diff --git a/src/DesignCompile/CompilePackage.cpp b/src/DesignCompile/CompilePackage.cpp index bf05777ad2..8d9fa6da21 100644 --- a/src/DesignCompile/CompilePackage.cpp +++ b/src/DesignCompile/CompilePackage.cpp @@ -189,15 +189,15 @@ bool CompilePackage::collectObjects_(CollectType collectType, Reduce reduce) { case VObjectType::slTask_declaration: { // Called twice, placeholder first, then definition if (collectType == CollectType::OTHER) break; - m_helper.compileTask(m_package, fC, id, m_compileDesign, Reduce::Yes, + m_helper.compileTask(m_package, fC, id, m_compileDesign, reduce, nullptr, false); break; } case VObjectType::slFunction_declaration: { // Called twice, placeholder first, then definition if (collectType == CollectType::OTHER) break; - m_helper.compileFunction(m_package, fC, id, m_compileDesign, - Reduce::Yes, nullptr, false); + m_helper.compileFunction(m_package, fC, id, m_compileDesign, reduce, + nullptr, false); break; } case VObjectType::slLet_declaration: { diff --git a/tests/AllPackageSignal/AllPackageSignal.log b/tests/AllPackageSignal/AllPackageSignal.log index fb8a2c90db..4fc40ed54a 100644 --- a/tests/AllPackageSignal/AllPackageSignal.log +++ b/tests/AllPackageSignal/AllPackageSignal.log @@ -48,7 +48,7 @@ n<> u<41> t p<42> l<6:22> el<6:25> n<> u<42> t p<43> c<41> l<6:22> el<6:25> n<> u<43> t p<44> c<42> l<6:22> el<6:25> n<> u<44> t p<129> c<43> s<45> l<6:22> el<6:25> -n u<45> t p<129> s<91> l<6:26> el<6:72> +n u<45> t p<129> s<91> l<6:26> el<6:73> n<> u<46> t p<47> l<7:5> el<7:8> n<> u<47> t p<89> c<46> s<88> l<7:5> el<7:8> n u<48> t p<87> s<86> l<7:9> el<7:19> @@ -141,7 +141,7 @@ n<> u<134> t p<135> l<6:22> el<6:25> n<> u<135> t p<136> c<134> l<6:22> el<6:25> n<> u<136> t p<137> c<135> l<6:22> el<6:25> n<> u<137> t p<217> c<136> s<138> l<6:22> el<6:25> -n u<138> t p<217> s<179> l<6:26> el<6:72> +n u<138> t p<217> s<179> l<6:26> el<6:73> n<> u<139> t p<140> l<7:5> el<7:8> n<> u<140> t p<177> c<139> s<176> l<7:5> el<7:8> n u<141> t p<175> s<174> l<7:9> el<7:19> @@ -229,7 +229,7 @@ n<> u<222> t p<223> l<6:22> el<6:25> n<> u<223> t p<224> c<222> l<6:22> el<6:25> n<> u<224> t p<225> c<223> l<6:22> el<6:25> n<> u<225> t p<316> c<224> s<226> l<6:22> el<6:25> -n u<226> t p<316> s<278> l<6:26> el<6:72> +n u<226> t p<316> s<278> l<6:26> el<6:73> n<> u<227> t p<228> l<7:5> el<7:8> n<> u<228> t p<276> c<227> s<275> l<7:5> el<7:8> n u<229> t p<274> s<273> l<7:9> el<7:19> @@ -353,56 +353,56 @@ AST_DEBUG_END [INF:UH0706] Creating UHDM Model... === UHDM Object Stats Begin (Non-Elaborated Model) === -array_typespec 2 -array_var 2 -assign_stmt 2 -assignment 2 -begin 2 -bit_select 2 -bit_typespec 4 -bit_var 4 -constant 28 +array_typespec 6 +array_var 6 +assign_stmt 6 +assignment 6 +begin 6 +bit_select 6 +bit_typespec 12 +bit_var 12 +constant 66 design 1 -function 2 -int_typespec 8 +function 6 +int_typespec 10 module_inst 4 -operation 10 +operation 24 package 4 param_assign 8 parameter 8 -range 2 -ref_obj 6 -return_stmt 2 -string_typespec 2 -sys_func_call 2 -tagged_pattern 2 +range 6 +ref_obj 8 +return_stmt 6 +string_typespec 6 +sys_func_call 4 +tagged_pattern 6 === UHDM Object Stats End === [INF:UH0707] Elaborating UHDM... === UHDM Object Stats Begin (Elaborated Model) === -array_typespec 2 -array_var 6 -assign_stmt 2 -assignment 4 -begin 4 -bit_select 4 -bit_typespec 4 -bit_var 10 -constant 28 +array_typespec 6 +array_var 18 +assign_stmt 6 +assignment 12 +begin 12 +bit_select 12 +bit_typespec 12 +bit_var 30 +constant 66 design 1 -function 4 -int_typespec 8 +function 12 +int_typespec 10 module_inst 4 -operation 12 +operation 30 package 4 param_assign 8 parameter 8 -range 2 -ref_obj 8 -return_stmt 4 -string_typespec 2 -sys_func_call 2 -tagged_pattern 2 +range 6 +ref_obj 14 +return_stmt 12 +string_typespec 6 +sys_func_call 4 +tagged_pattern 6 === UHDM Object Stats End === [INF:UH0708] Writing UHDM DB: ${SURELOG_DIR}/build/regression/AllPackageSignal/slpp_all/surelog.uhdm ... @@ -493,15 +493,208 @@ design: (unnamed) \_parameter: (pkg_a::ParameterIntInPkgA), line:28:17, endln:28:35 |vpiDefName:pkg_a |vpiTaskFunc: - \_function: (pkg_a::assert_static_in_package_ThisNameDoesNotMatter), line:6:3, endln:10:14 + \_function: (pkg_a::assert_static_in_package_ThisNameDoesNotMatter1), line:6:3, endln:10:14 + |vpiParent: + \_package: pkg_a (pkg_a::), file:${SURELOG_DIR}/tests/AllPackageSignal/dut.sv, line:21:1, endln:34:19 + |vpiName:assert_static_in_package_ThisNameDoesNotMatter1 + |vpiFullName:pkg_a::assert_static_in_package_ThisNameDoesNotMatter1 + |vpiVariables: + \_array_var: (pkg_a::assert_static_in_package_ThisNameDoesNotMatter1::unused_bit), line:7:9, endln:7:19 + |vpiParent: + \_function: (pkg_a::assert_static_in_package_ThisNameDoesNotMatter1), line:6:3, endln:10:14 + |vpiTypespec: + \_array_typespec: , line:7:9, endln:7:72 + |vpiRange: + \_range: , line:7:21, endln:7:72 + |vpiParent: + \_array_typespec: , line:7:9, endln:7:72 + |vpiLeftRange: + \_constant: + |vpiParent: + \_range: , line:7:21, endln:7:72 + |vpiDecompile:0 + |vpiSize:64 + |UINT:0 + |vpiConstType:9 + |vpiRightRange: + \_operation: , line:7:21, endln:7:72 + |vpiParent: + \_range: , line:7:21, endln:7:72 + |vpiOpType:11 + |vpiOperand: + \_operation: , line:7:22, endln:7:71 + |vpiParent: + \_operation: , line:7:21, endln:7:72 + |vpiOpType:32 + |vpiOperand: + \_operation: , line:7:23, endln:7:61 + |vpiParent: + \_operation: , line:7:22, endln:7:71 + |vpiOpType:14 + |vpiOperand: + \_constant: , line:7:23, endln:7:25 + |vpiParent: + \_operation: , line:7:23, endln:7:61 + |vpiDecompile:32 + |vpiSize:64 + |UINT:32 + |vpiConstType:9 + |vpiOperand: + \_sys_func_call: ($bits), line:7:29, endln:7:61 + |vpiParent: + \_operation: , line:7:23, endln:7:61 + |vpiArgument: + \_constant: , line:7:35, endln:7:60 + |vpiParent: + \_sys_func_call: ($bits), line:7:29, endln:7:61 + |vpiDecompile:4 + |vpiSize:32 + |UINT:4 + |vpiConstType:9 + |vpiName:$bits + |vpiOperand: + \_constant: , line:7:65, endln:7:66 + |vpiParent: + \_operation: , line:7:22, endln:7:71 + |vpiDecompile:1 + |vpiSize:64 + |UINT:1 + |vpiConstType:9 + |vpiOperand: + \_operation: , line:7:69, endln:7:71 + |vpiParent: + \_operation: , line:7:22, endln:7:71 + |vpiOpType:1 + |vpiOperand: + \_constant: , line:7:70, endln:7:71 + |vpiDecompile:1 + |vpiSize:64 + |UINT:1 + |vpiConstType:9 + |vpiOperand: + \_constant: + |vpiSize:64 + |INT:1 + |vpiConstType:7 + |vpiElemTypespec: + \_bit_typespec: , line:7:5, endln:7:8 + |vpiInstance: + \_package: pkg_a (pkg_a::), file:${SURELOG_DIR}/tests/AllPackageSignal/dut.sv, line:21:1, endln:34:19 + |vpiName:unused_bit + |vpiFullName:pkg_a::assert_static_in_package_ThisNameDoesNotMatter1::unused_bit + |vpiArrayType:1 + |vpiReg: + \_bit_var: (pkg_a::assert_static_in_package_ThisNameDoesNotMatter1::unused_bit), line:7:9, endln:7:19 + |vpiParent: + \_array_var: (pkg_a::assert_static_in_package_ThisNameDoesNotMatter1::unused_bit), line:7:9, endln:7:19 + |vpiTypespec: + \_bit_typespec: , line:7:5, endln:7:8 + |vpiFullName:pkg_a::assert_static_in_package_ThisNameDoesNotMatter1::unused_bit + |vpiVisibility:1 + |vpiAutomatic:1 + |vpiReturn: + \_bit_var: (pkg_a::assert_static_in_package_ThisNameDoesNotMatter1), line:6:22, endln:6:25 + |vpiParent: + \_function: (pkg_a::assert_static_in_package_ThisNameDoesNotMatter1), line:6:3, endln:10:14 + |vpiTypespec: + \_bit_typespec: , line:6:22, endln:6:25 + |vpiInstance: + \_package: pkg_a (pkg_a::), file:${SURELOG_DIR}/tests/AllPackageSignal/dut.sv, line:21:1, endln:34:19 + |vpiFullName:pkg_a::assert_static_in_package_ThisNameDoesNotMatter1 + |vpiStmt: + \_begin: (pkg_a::assert_static_in_package_ThisNameDoesNotMatter1), line:8:5, endln:8:35 + |vpiParent: + \_function: (pkg_a::assert_static_in_package_ThisNameDoesNotMatter1), line:6:3, endln:10:14 + |vpiFullName:pkg_a::assert_static_in_package_ThisNameDoesNotMatter1 + |vpiVariables: + \_bit_var: (pkg_a::assert_static_in_package_ThisNameDoesNotMatter1), line:7:9, endln:7:19 + |vpiParent: + \_begin: (pkg_a::assert_static_in_package_ThisNameDoesNotMatter1), line:8:5, endln:8:35 + |vpiTypespec: + \_bit_typespec: , line:7:5, endln:7:8 + |vpiFullName:pkg_a::assert_static_in_package_ThisNameDoesNotMatter1 + |vpiVariables: + \_array_var: (pkg_a::assert_static_in_package_ThisNameDoesNotMatter1::unused_bit), line:7:9, endln:7:19 + |vpiParent: + \_begin: (pkg_a::assert_static_in_package_ThisNameDoesNotMatter1), line:8:5, endln:8:35 + |vpiTypespec: + \_array_typespec: , line:7:9, endln:7:72 + |vpiName:unused_bit + |vpiFullName:pkg_a::assert_static_in_package_ThisNameDoesNotMatter1::unused_bit + |vpiArrayType:1 + |vpiReg: + \_bit_var: (pkg_a::assert_static_in_package_ThisNameDoesNotMatter1::unused_bit), line:7:9, endln:7:19 + |vpiParent: + \_array_var: (pkg_a::assert_static_in_package_ThisNameDoesNotMatter1::unused_bit), line:7:9, endln:7:19 + |vpiTypespec: + \_bit_typespec: , line:7:5, endln:7:8 + |vpiFullName:pkg_a::assert_static_in_package_ThisNameDoesNotMatter1::unused_bit + |vpiStmt: + \_assignment: , line:8:5, endln:8:34 + |vpiParent: + \_begin: (pkg_a::assert_static_in_package_ThisNameDoesNotMatter1), line:8:5, endln:8:35 + |vpiOpType:82 + |vpiBlocking:1 + |vpiRhs: + \_operation: , line:8:18, endln:8:34 + |vpiParent: + \_assignment: , line:8:5, endln:8:34 + |vpiOpType:75 + |vpiOperand: + \_tagged_pattern: , line:8:29, endln:8:33 + |vpiParent: + \_operation: , line:8:18, endln:8:34 + |vpiPattern: + \_constant: , line:8:29, endln:8:33 + |vpiDecompile:1'b0 + |vpiSize:1 + |BIN:0 + |vpiConstType:3 + |vpiTypespec: + \_string_typespec: (default), line:8:20, endln:8:27 + |vpiParent: + \_tagged_pattern: , line:8:29, endln:8:33 + |vpiName:default + |vpiLhs: + \_ref_obj: (pkg_a::assert_static_in_package_ThisNameDoesNotMatter1::unused_bit), line:8:5, endln:8:15 + |vpiParent: + \_assignment: , line:8:5, endln:8:34 + |vpiName:unused_bit + |vpiFullName:pkg_a::assert_static_in_package_ThisNameDoesNotMatter1::unused_bit + |vpiActual: + \_array_var: (pkg_a::assert_static_in_package_ThisNameDoesNotMatter1::unused_bit), line:7:9, endln:7:19 + |vpiStmt: + \_return_stmt: , line:9:5, endln:9:11 + |vpiParent: + \_begin: (pkg_a::assert_static_in_package_ThisNameDoesNotMatter1), line:8:5, endln:8:35 + |vpiCondition: + \_bit_select: (pkg_a::assert_static_in_package_ThisNameDoesNotMatter1::unused_bit), line:9:23, endln:9:24 + |vpiParent: + \_return_stmt: , line:9:5, endln:9:11 + |vpiName:unused_bit + |vpiFullName:pkg_a::assert_static_in_package_ThisNameDoesNotMatter1::unused_bit + |vpiActual: + \_array_var: (pkg_a::assert_static_in_package_ThisNameDoesNotMatter1::unused_bit), line:7:9, endln:7:19 + |vpiIndex: + \_constant: , line:9:23, endln:9:24 + |vpiParent: + \_bit_select: (pkg_a::assert_static_in_package_ThisNameDoesNotMatter1::unused_bit), line:9:23, endln:9:24 + |vpiDecompile:0 + |vpiSize:64 + |UINT:0 + |vpiConstType:9 + |vpiInstance: + \_package: pkg_a (pkg_a::), file:${SURELOG_DIR}/tests/AllPackageSignal/dut.sv, line:21:1, endln:34:19 + |vpiTaskFunc: + \_function: (pkg_a::assert_static_in_package_ThisNameDoesNotMatter2), line:6:3, endln:10:14 |vpiParent: \_package: pkg_a (pkg_a::), file:${SURELOG_DIR}/tests/AllPackageSignal/dut.sv, line:21:1, endln:34:19 - |vpiName:assert_static_in_package_ThisNameDoesNotMatter - |vpiFullName:pkg_a::assert_static_in_package_ThisNameDoesNotMatter + |vpiName:assert_static_in_package_ThisNameDoesNotMatter2 + |vpiFullName:pkg_a::assert_static_in_package_ThisNameDoesNotMatter2 |vpiVariables: - \_array_var: (pkg_a::assert_static_in_package_ThisNameDoesNotMatter::unused_bit), line:7:9, endln:7:19 + \_array_var: (pkg_a::assert_static_in_package_ThisNameDoesNotMatter2::unused_bit), line:7:9, endln:7:19 |vpiParent: - \_function: (pkg_a::assert_static_in_package_ThisNameDoesNotMatter), line:6:3, endln:10:14 + \_function: (pkg_a::assert_static_in_package_ThisNameDoesNotMatter2), line:6:3, endln:10:14 |vpiTypespec: \_array_typespec: , line:7:9, endln:7:65 |vpiRange: @@ -560,13 +753,16 @@ design: (unnamed) |UINT:1 |vpiConstType:9 |vpiOperand: - \_constant: , line:7:62, endln:7:63 + \_operation: , line:7:62, endln:7:64 |vpiParent: \_operation: , line:7:22, endln:7:64 - |vpiDecompile:-1 - |vpiSize:64 - |INT:-1 - |vpiConstType:7 + |vpiOpType:1 + |vpiOperand: + \_constant: , line:7:63, endln:7:64 + |vpiDecompile:1 + |vpiSize:64 + |UINT:1 + |vpiConstType:9 |vpiOperand: \_constant: |vpiSize:64 @@ -577,58 +773,58 @@ design: (unnamed) |vpiInstance: \_package: pkg_a (pkg_a::), file:${SURELOG_DIR}/tests/AllPackageSignal/dut.sv, line:21:1, endln:34:19 |vpiName:unused_bit - |vpiFullName:pkg_a::assert_static_in_package_ThisNameDoesNotMatter::unused_bit + |vpiFullName:pkg_a::assert_static_in_package_ThisNameDoesNotMatter2::unused_bit |vpiArrayType:1 |vpiReg: - \_bit_var: (pkg_a::assert_static_in_package_ThisNameDoesNotMatter::unused_bit), line:7:9, endln:7:19 + \_bit_var: (pkg_a::assert_static_in_package_ThisNameDoesNotMatter2::unused_bit), line:7:9, endln:7:19 |vpiParent: - \_array_var: (pkg_a::assert_static_in_package_ThisNameDoesNotMatter::unused_bit), line:7:9, endln:7:19 + \_array_var: (pkg_a::assert_static_in_package_ThisNameDoesNotMatter2::unused_bit), line:7:9, endln:7:19 |vpiTypespec: \_bit_typespec: , line:7:5, endln:7:8 - |vpiFullName:pkg_a::assert_static_in_package_ThisNameDoesNotMatter::unused_bit + |vpiFullName:pkg_a::assert_static_in_package_ThisNameDoesNotMatter2::unused_bit |vpiVisibility:1 |vpiAutomatic:1 |vpiReturn: - \_bit_var: (pkg_a::assert_static_in_package_ThisNameDoesNotMatter), line:6:22, endln:6:25 + \_bit_var: (pkg_a::assert_static_in_package_ThisNameDoesNotMatter2), line:6:22, endln:6:25 |vpiParent: - \_function: (pkg_a::assert_static_in_package_ThisNameDoesNotMatter), line:6:3, endln:10:14 + \_function: (pkg_a::assert_static_in_package_ThisNameDoesNotMatter2), line:6:3, endln:10:14 |vpiTypespec: \_bit_typespec: , line:6:22, endln:6:25 |vpiInstance: \_package: pkg_a (pkg_a::), file:${SURELOG_DIR}/tests/AllPackageSignal/dut.sv, line:21:1, endln:34:19 - |vpiFullName:pkg_a::assert_static_in_package_ThisNameDoesNotMatter + |vpiFullName:pkg_a::assert_static_in_package_ThisNameDoesNotMatter2 |vpiStmt: - \_begin: (pkg_a::assert_static_in_package_ThisNameDoesNotMatter), line:8:5, endln:8:35 + \_begin: (pkg_a::assert_static_in_package_ThisNameDoesNotMatter2), line:8:5, endln:8:35 |vpiParent: - \_function: (pkg_a::assert_static_in_package_ThisNameDoesNotMatter), line:6:3, endln:10:14 - |vpiFullName:pkg_a::assert_static_in_package_ThisNameDoesNotMatter + \_function: (pkg_a::assert_static_in_package_ThisNameDoesNotMatter2), line:6:3, endln:10:14 + |vpiFullName:pkg_a::assert_static_in_package_ThisNameDoesNotMatter2 |vpiVariables: - \_bit_var: (pkg_a::assert_static_in_package_ThisNameDoesNotMatter), line:7:9, endln:7:19 + \_bit_var: (pkg_a::assert_static_in_package_ThisNameDoesNotMatter2), line:7:9, endln:7:19 |vpiParent: - \_begin: (pkg_a::assert_static_in_package_ThisNameDoesNotMatter), line:8:5, endln:8:35 + \_begin: (pkg_a::assert_static_in_package_ThisNameDoesNotMatter2), line:8:5, endln:8:35 |vpiTypespec: \_bit_typespec: , line:7:5, endln:7:8 - |vpiFullName:pkg_a::assert_static_in_package_ThisNameDoesNotMatter + |vpiFullName:pkg_a::assert_static_in_package_ThisNameDoesNotMatter2 |vpiVariables: - \_array_var: (pkg_a::assert_static_in_package_ThisNameDoesNotMatter::unused_bit), line:7:9, endln:7:19 + \_array_var: (pkg_a::assert_static_in_package_ThisNameDoesNotMatter2::unused_bit), line:7:9, endln:7:19 |vpiParent: - \_begin: (pkg_a::assert_static_in_package_ThisNameDoesNotMatter), line:8:5, endln:8:35 + \_begin: (pkg_a::assert_static_in_package_ThisNameDoesNotMatter2), line:8:5, endln:8:35 |vpiTypespec: \_array_typespec: , line:7:9, endln:7:65 |vpiName:unused_bit - |vpiFullName:pkg_a::assert_static_in_package_ThisNameDoesNotMatter::unused_bit + |vpiFullName:pkg_a::assert_static_in_package_ThisNameDoesNotMatter2::unused_bit |vpiArrayType:1 |vpiReg: - \_bit_var: (pkg_a::assert_static_in_package_ThisNameDoesNotMatter::unused_bit), line:7:9, endln:7:19 + \_bit_var: (pkg_a::assert_static_in_package_ThisNameDoesNotMatter2::unused_bit), line:7:9, endln:7:19 |vpiParent: - \_array_var: (pkg_a::assert_static_in_package_ThisNameDoesNotMatter::unused_bit), line:7:9, endln:7:19 + \_array_var: (pkg_a::assert_static_in_package_ThisNameDoesNotMatter2::unused_bit), line:7:9, endln:7:19 |vpiTypespec: \_bit_typespec: , line:7:5, endln:7:8 - |vpiFullName:pkg_a::assert_static_in_package_ThisNameDoesNotMatter::unused_bit + |vpiFullName:pkg_a::assert_static_in_package_ThisNameDoesNotMatter2::unused_bit |vpiStmt: \_assignment: , line:8:5, endln:8:34 |vpiParent: - \_begin: (pkg_a::assert_static_in_package_ThisNameDoesNotMatter), line:8:5, endln:8:35 + \_begin: (pkg_a::assert_static_in_package_ThisNameDoesNotMatter2), line:8:5, endln:8:35 |vpiOpType:82 |vpiBlocking:1 |vpiRhs: @@ -652,156 +848,78 @@ design: (unnamed) \_tagged_pattern: , line:8:29, endln:8:33 |vpiName:default |vpiLhs: - \_ref_obj: (pkg_a::assert_static_in_package_ThisNameDoesNotMatter::unused_bit), line:8:5, endln:8:15 + \_ref_obj: (pkg_a::assert_static_in_package_ThisNameDoesNotMatter2::unused_bit), line:8:5, endln:8:15 |vpiParent: \_assignment: , line:8:5, endln:8:34 |vpiName:unused_bit - |vpiFullName:pkg_a::assert_static_in_package_ThisNameDoesNotMatter::unused_bit + |vpiFullName:pkg_a::assert_static_in_package_ThisNameDoesNotMatter2::unused_bit |vpiActual: - \_array_var: (pkg_a::assert_static_in_package_ThisNameDoesNotMatter::unused_bit), line:7:9, endln:7:19 + \_array_var: (pkg_a::assert_static_in_package_ThisNameDoesNotMatter2::unused_bit), line:7:9, endln:7:19 |vpiStmt: \_return_stmt: , line:9:5, endln:9:11 |vpiParent: - \_begin: (pkg_a::assert_static_in_package_ThisNameDoesNotMatter), line:8:5, endln:8:35 + \_begin: (pkg_a::assert_static_in_package_ThisNameDoesNotMatter2), line:8:5, endln:8:35 |vpiCondition: - \_bit_select: (pkg_a::assert_static_in_package_ThisNameDoesNotMatter::unused_bit), line:9:23, endln:9:24 + \_bit_select: (pkg_a::assert_static_in_package_ThisNameDoesNotMatter2::unused_bit), line:9:23, endln:9:24 |vpiParent: \_return_stmt: , line:9:5, endln:9:11 |vpiName:unused_bit - |vpiFullName:pkg_a::assert_static_in_package_ThisNameDoesNotMatter::unused_bit + |vpiFullName:pkg_a::assert_static_in_package_ThisNameDoesNotMatter2::unused_bit |vpiActual: - \_array_var: (pkg_a::assert_static_in_package_ThisNameDoesNotMatter::unused_bit), line:7:9, endln:7:19 + \_array_var: (pkg_a::assert_static_in_package_ThisNameDoesNotMatter2::unused_bit), line:7:9, endln:7:19 |vpiIndex: \_constant: , line:9:23, endln:9:24 |vpiParent: - \_bit_select: (pkg_a::assert_static_in_package_ThisNameDoesNotMatter::unused_bit), line:9:23, endln:9:24 + \_bit_select: (pkg_a::assert_static_in_package_ThisNameDoesNotMatter2::unused_bit), line:9:23, endln:9:24 |vpiDecompile:0 |vpiSize:64 |UINT:0 |vpiConstType:9 |vpiInstance: \_package: pkg_a (pkg_a::), file:${SURELOG_DIR}/tests/AllPackageSignal/dut.sv, line:21:1, endln:34:19 -|uhdmtopPackages: -\_package: pkg_b (pkg_b::), file:${SURELOG_DIR}/tests/AllPackageSignal/dut.sv, line:16:1, endln:18:19 - |vpiParent: - \_design: (unnamed) - |vpiName:pkg_b - |vpiFullName:pkg_b:: - |vpiParameter: - \_parameter: (pkg_b::ParameterIntEqual4), line:17:17, endln:17:35 - |vpiParent: - \_package: pkg_b (pkg_b::), file:${SURELOG_DIR}/tests/AllPackageSignal/dut.sv, line:16:1, endln:18:19 - |UINT:4 - |vpiTypespec: - \_int_typespec: , line:17:13, endln:17:16 - |vpiParent: - \_parameter: (pkg_b::ParameterIntEqual4), line:17:17, endln:17:35 - |vpiInstance: - \_package: pkg_b (pkg_b::), file:${SURELOG_DIR}/tests/AllPackageSignal/dut.sv, line:16:1, endln:18:19 - |vpiSigned:1 - |vpiSigned:1 - |vpiName:ParameterIntEqual4 - |vpiFullName:pkg_b::ParameterIntEqual4 - |vpiParamAssign: - \_param_assign: , line:17:17, endln:17:39 - |vpiParent: - \_package: pkg_b (pkg_b::), file:${SURELOG_DIR}/tests/AllPackageSignal/dut.sv, line:16:1, endln:18:19 - |vpiRhs: - \_constant: , line:17:38, endln:17:39 - |vpiParent: - \_param_assign: , line:17:17, endln:17:39 - |vpiDecompile:4 - |vpiSize:32 - |UINT:4 - |vpiTypespec: - \_int_typespec: , line:17:13, endln:17:16 - |vpiConstType:9 - |vpiLhs: - \_parameter: (pkg_b::ParameterIntEqual4), line:17:17, endln:17:35 - |vpiDefName:pkg_b - |vpiTop:1 -|uhdmtopPackages: -\_package: pkg_a (pkg_a::), file:${SURELOG_DIR}/tests/AllPackageSignal/dut.sv, line:21:1, endln:34:19 - |vpiParent: - \_design: (unnamed) - |vpiName:pkg_a - |vpiFullName:pkg_a:: - |vpiParameter: - \_parameter: (pkg_a::ParameterIntInPkgA), line:28:17, endln:28:35 - |vpiParent: - \_package: pkg_a (pkg_a::), file:${SURELOG_DIR}/tests/AllPackageSignal/dut.sv, line:21:1, endln:34:19 - |UINT:4 - |vpiTypespec: - \_int_typespec: , line:28:13, endln:28:16 - |vpiParent: - \_parameter: (pkg_a::ParameterIntInPkgA), line:28:17, endln:28:35 - |vpiInstance: - \_package: pkg_a (pkg_a::), file:${SURELOG_DIR}/tests/AllPackageSignal/dut.sv, line:21:1, endln:34:19 - |vpiSigned:1 - |vpiSigned:1 - |vpiName:ParameterIntInPkgA - |vpiFullName:pkg_a::ParameterIntInPkgA - |vpiParamAssign: - \_param_assign: , line:28:17, endln:28:39 - |vpiParent: - \_package: pkg_a (pkg_a::), file:${SURELOG_DIR}/tests/AllPackageSignal/dut.sv, line:21:1, endln:34:19 - |vpiRhs: - \_constant: , line:28:38, endln:28:39 - |vpiParent: - \_param_assign: , line:28:17, endln:28:39 - |vpiDecompile:4 - |vpiSize:32 - |UINT:4 - |vpiTypespec: - \_int_typespec: , line:28:13, endln:28:16 - |vpiConstType:9 - |vpiLhs: - \_parameter: (pkg_a::ParameterIntInPkgA), line:28:17, endln:28:35 - |vpiDefName:pkg_a - |vpiTop:1 |vpiTaskFunc: - \_function: (pkg_a::assert_static_in_package_ThisNameDoesNotMatter), line:6:3, endln:10:14 + \_function: (pkg_a::assert_static_in_package_ThisNameDoesNotMatter3), line:6:3, endln:10:14 |vpiParent: \_package: pkg_a (pkg_a::), file:${SURELOG_DIR}/tests/AllPackageSignal/dut.sv, line:21:1, endln:34:19 - |vpiName:assert_static_in_package_ThisNameDoesNotMatter - |vpiFullName:pkg_a::assert_static_in_package_ThisNameDoesNotMatter + |vpiName:assert_static_in_package_ThisNameDoesNotMatter3 + |vpiFullName:pkg_a::assert_static_in_package_ThisNameDoesNotMatter3 |vpiVariables: - \_array_var: (pkg_a::assert_static_in_package_ThisNameDoesNotMatter::unused_bit), line:7:9, endln:7:19 + \_array_var: (pkg_a::assert_static_in_package_ThisNameDoesNotMatter3::unused_bit), line:7:9, endln:7:19 |vpiParent: - \_function: (pkg_a::assert_static_in_package_ThisNameDoesNotMatter), line:6:3, endln:10:14 + \_function: (pkg_a::assert_static_in_package_ThisNameDoesNotMatter3), line:6:3, endln:10:14 |vpiTypespec: - \_array_typespec: , line:7:9, endln:7:65 + \_array_typespec: , line:7:9, endln:7:95 |vpiRange: - \_range: , line:7:21, endln:7:65 + \_range: , line:7:21, endln:7:95 |vpiParent: - \_array_typespec: , line:7:9, endln:7:65 + \_array_typespec: , line:7:9, endln:7:95 |vpiLeftRange: \_constant: |vpiParent: - \_range: , line:7:21, endln:7:65 + \_range: , line:7:21, endln:7:95 |vpiDecompile:0 |vpiSize:64 |UINT:0 |vpiConstType:9 |vpiRightRange: - \_operation: , line:7:21, endln:7:65 + \_operation: , line:7:21, endln:7:95 |vpiParent: - \_range: , line:7:21, endln:7:65 + \_range: , line:7:21, endln:7:95 |vpiOpType:11 |vpiOperand: - \_operation: , line:7:22, endln:7:64 + \_operation: , line:7:22, endln:7:94 |vpiParent: - \_operation: , line:7:21, endln:7:65 + \_operation: , line:7:21, endln:7:95 |vpiOpType:32 |vpiOperand: - \_operation: , line:7:23, endln:7:54 + \_operation: , line:7:23, endln:7:84 |vpiParent: - \_operation: , line:7:22, endln:7:64 + \_operation: , line:7:22, endln:7:94 |vpiOpType:14 |vpiOperand: \_sys_func_call: ($bits), line:7:23, endln:7:48 |vpiParent: - \_operation: , line:7:23, endln:7:54 + \_operation: , line:7:23, endln:7:84 |vpiArgument: \_ref_obj: (ParameterIntInPkgA), line:7:29, endln:7:47 |vpiParent: @@ -811,29 +929,37 @@ design: (unnamed) \_parameter: (pkg_a::ParameterIntInPkgA), line:28:17, endln:28:35 |vpiName:$bits |vpiOperand: - \_constant: , line:7:52, endln:7:54 + \_sys_func_call: ($bits), line:7:52, endln:7:84 |vpiParent: - \_operation: , line:7:23, endln:7:54 - |vpiDecompile:32 - |vpiSize:64 - |UINT:32 - |vpiConstType:9 + \_operation: , line:7:23, endln:7:84 + |vpiArgument: + \_constant: , line:7:58, endln:7:83 + |vpiParent: + \_sys_func_call: ($bits), line:7:52, endln:7:84 + |vpiDecompile:4 + |vpiSize:32 + |UINT:4 + |vpiConstType:9 + |vpiName:$bits |vpiOperand: - \_constant: , line:7:58, endln:7:59 + \_constant: , line:7:88, endln:7:89 |vpiParent: - \_operation: , line:7:22, endln:7:64 + \_operation: , line:7:22, endln:7:94 |vpiDecompile:1 |vpiSize:64 |UINT:1 |vpiConstType:9 |vpiOperand: - \_constant: , line:7:62, endln:7:63 + \_operation: , line:7:92, endln:7:94 |vpiParent: - \_operation: , line:7:22, endln:7:64 - |vpiDecompile:-1 - |vpiSize:64 - |INT:-1 - |vpiConstType:7 + \_operation: , line:7:22, endln:7:94 + |vpiOpType:1 + |vpiOperand: + \_constant: , line:7:93, endln:7:94 + |vpiDecompile:1 + |vpiSize:64 + |UINT:1 + |vpiConstType:9 |vpiOperand: \_constant: |vpiSize:64 @@ -844,58 +970,589 @@ design: (unnamed) |vpiInstance: \_package: pkg_a (pkg_a::), file:${SURELOG_DIR}/tests/AllPackageSignal/dut.sv, line:21:1, endln:34:19 |vpiName:unused_bit - |vpiFullName:pkg_a::assert_static_in_package_ThisNameDoesNotMatter::unused_bit + |vpiFullName:pkg_a::assert_static_in_package_ThisNameDoesNotMatter3::unused_bit |vpiArrayType:1 |vpiReg: - \_bit_var: (pkg_a::assert_static_in_package_ThisNameDoesNotMatter::unused_bit), line:7:9, endln:7:19 + \_bit_var: (pkg_a::assert_static_in_package_ThisNameDoesNotMatter3::unused_bit), line:7:9, endln:7:19 |vpiParent: - \_array_var: (pkg_a::assert_static_in_package_ThisNameDoesNotMatter::unused_bit), line:7:9, endln:7:19 + \_array_var: (pkg_a::assert_static_in_package_ThisNameDoesNotMatter3::unused_bit), line:7:9, endln:7:19 |vpiTypespec: \_bit_typespec: , line:7:5, endln:7:8 - |vpiFullName:pkg_a::assert_static_in_package_ThisNameDoesNotMatter::unused_bit + |vpiFullName:pkg_a::assert_static_in_package_ThisNameDoesNotMatter3::unused_bit |vpiVisibility:1 |vpiAutomatic:1 |vpiReturn: - \_bit_var: (pkg_a::assert_static_in_package_ThisNameDoesNotMatter), line:6:22, endln:6:25 + \_bit_var: (pkg_a::assert_static_in_package_ThisNameDoesNotMatter3), line:6:22, endln:6:25 |vpiParent: - \_function: (pkg_a::assert_static_in_package_ThisNameDoesNotMatter), line:6:3, endln:10:14 + \_function: (pkg_a::assert_static_in_package_ThisNameDoesNotMatter3), line:6:3, endln:10:14 |vpiTypespec: \_bit_typespec: , line:6:22, endln:6:25 |vpiInstance: \_package: pkg_a (pkg_a::), file:${SURELOG_DIR}/tests/AllPackageSignal/dut.sv, line:21:1, endln:34:19 - |vpiFullName:pkg_a::assert_static_in_package_ThisNameDoesNotMatter + |vpiFullName:pkg_a::assert_static_in_package_ThisNameDoesNotMatter3 |vpiStmt: - \_begin: (pkg_a::assert_static_in_package_ThisNameDoesNotMatter), line:8:5, endln:8:35 + \_begin: (pkg_a::assert_static_in_package_ThisNameDoesNotMatter3), line:8:5, endln:8:35 |vpiParent: - \_function: (pkg_a::assert_static_in_package_ThisNameDoesNotMatter), line:6:3, endln:10:14 - |vpiFullName:pkg_a::assert_static_in_package_ThisNameDoesNotMatter + \_function: (pkg_a::assert_static_in_package_ThisNameDoesNotMatter3), line:6:3, endln:10:14 + |vpiFullName:pkg_a::assert_static_in_package_ThisNameDoesNotMatter3 |vpiVariables: - \_bit_var: (pkg_a::assert_static_in_package_ThisNameDoesNotMatter), line:7:9, endln:7:19 + \_bit_var: (pkg_a::assert_static_in_package_ThisNameDoesNotMatter3), line:7:9, endln:7:19 |vpiParent: - \_begin: (pkg_a::assert_static_in_package_ThisNameDoesNotMatter), line:8:5, endln:8:35 + \_begin: (pkg_a::assert_static_in_package_ThisNameDoesNotMatter3), line:8:5, endln:8:35 |vpiTypespec: \_bit_typespec: , line:7:5, endln:7:8 - |vpiFullName:pkg_a::assert_static_in_package_ThisNameDoesNotMatter + |vpiFullName:pkg_a::assert_static_in_package_ThisNameDoesNotMatter3 |vpiVariables: - \_array_var: (pkg_a::assert_static_in_package_ThisNameDoesNotMatter::unused_bit), line:7:9, endln:7:19 + \_array_var: (pkg_a::assert_static_in_package_ThisNameDoesNotMatter3::unused_bit), line:7:9, endln:7:19 |vpiParent: - \_begin: (pkg_a::assert_static_in_package_ThisNameDoesNotMatter), line:8:5, endln:8:35 + \_begin: (pkg_a::assert_static_in_package_ThisNameDoesNotMatter3), line:8:5, endln:8:35 |vpiTypespec: - \_array_typespec: , line:7:9, endln:7:65 + \_array_typespec: , line:7:9, endln:7:95 + |vpiName:unused_bit + |vpiFullName:pkg_a::assert_static_in_package_ThisNameDoesNotMatter3::unused_bit + |vpiArrayType:1 + |vpiReg: + \_bit_var: (pkg_a::assert_static_in_package_ThisNameDoesNotMatter3::unused_bit), line:7:9, endln:7:19 + |vpiParent: + \_array_var: (pkg_a::assert_static_in_package_ThisNameDoesNotMatter3::unused_bit), line:7:9, endln:7:19 + |vpiTypespec: + \_bit_typespec: , line:7:5, endln:7:8 + |vpiFullName:pkg_a::assert_static_in_package_ThisNameDoesNotMatter3::unused_bit + |vpiStmt: + \_assignment: , line:8:5, endln:8:34 + |vpiParent: + \_begin: (pkg_a::assert_static_in_package_ThisNameDoesNotMatter3), line:8:5, endln:8:35 + |vpiOpType:82 + |vpiBlocking:1 + |vpiRhs: + \_operation: , line:8:18, endln:8:34 + |vpiParent: + \_assignment: , line:8:5, endln:8:34 + |vpiOpType:75 + |vpiOperand: + \_tagged_pattern: , line:8:29, endln:8:33 + |vpiParent: + \_operation: , line:8:18, endln:8:34 + |vpiPattern: + \_constant: , line:8:29, endln:8:33 + |vpiDecompile:1'b0 + |vpiSize:1 + |BIN:0 + |vpiConstType:3 + |vpiTypespec: + \_string_typespec: (default), line:8:20, endln:8:27 + |vpiParent: + \_tagged_pattern: , line:8:29, endln:8:33 + |vpiName:default + |vpiLhs: + \_ref_obj: (pkg_a::assert_static_in_package_ThisNameDoesNotMatter3::unused_bit), line:8:5, endln:8:15 + |vpiParent: + \_assignment: , line:8:5, endln:8:34 + |vpiName:unused_bit + |vpiFullName:pkg_a::assert_static_in_package_ThisNameDoesNotMatter3::unused_bit + |vpiActual: + \_array_var: (pkg_a::assert_static_in_package_ThisNameDoesNotMatter3::unused_bit), line:7:9, endln:7:19 + |vpiStmt: + \_return_stmt: , line:9:5, endln:9:11 + |vpiParent: + \_begin: (pkg_a::assert_static_in_package_ThisNameDoesNotMatter3), line:8:5, endln:8:35 + |vpiCondition: + \_bit_select: (pkg_a::assert_static_in_package_ThisNameDoesNotMatter3::unused_bit), line:9:23, endln:9:24 + |vpiParent: + \_return_stmt: , line:9:5, endln:9:11 + |vpiName:unused_bit + |vpiFullName:pkg_a::assert_static_in_package_ThisNameDoesNotMatter3::unused_bit + |vpiActual: + \_array_var: (pkg_a::assert_static_in_package_ThisNameDoesNotMatter3::unused_bit), line:7:9, endln:7:19 + |vpiIndex: + \_constant: , line:9:23, endln:9:24 + |vpiParent: + \_bit_select: (pkg_a::assert_static_in_package_ThisNameDoesNotMatter3::unused_bit), line:9:23, endln:9:24 + |vpiDecompile:0 + |vpiSize:64 + |UINT:0 + |vpiConstType:9 + |vpiInstance: + \_package: pkg_a (pkg_a::), file:${SURELOG_DIR}/tests/AllPackageSignal/dut.sv, line:21:1, endln:34:19 +|uhdmtopPackages: +\_package: pkg_b (pkg_b::), file:${SURELOG_DIR}/tests/AllPackageSignal/dut.sv, line:16:1, endln:18:19 + |vpiParent: + \_design: (unnamed) + |vpiName:pkg_b + |vpiFullName:pkg_b:: + |vpiParameter: + \_parameter: (pkg_b::ParameterIntEqual4), line:17:17, endln:17:35 + |vpiParent: + \_package: pkg_b (pkg_b::), file:${SURELOG_DIR}/tests/AllPackageSignal/dut.sv, line:16:1, endln:18:19 + |UINT:4 + |vpiTypespec: + \_int_typespec: , line:17:13, endln:17:16 + |vpiParent: + \_parameter: (pkg_b::ParameterIntEqual4), line:17:17, endln:17:35 + |vpiInstance: + \_package: pkg_b (pkg_b::), file:${SURELOG_DIR}/tests/AllPackageSignal/dut.sv, line:16:1, endln:18:19 + |vpiSigned:1 + |vpiSigned:1 + |vpiName:ParameterIntEqual4 + |vpiFullName:pkg_b::ParameterIntEqual4 + |vpiParamAssign: + \_param_assign: , line:17:17, endln:17:39 + |vpiParent: + \_package: pkg_b (pkg_b::), file:${SURELOG_DIR}/tests/AllPackageSignal/dut.sv, line:16:1, endln:18:19 + |vpiRhs: + \_constant: , line:17:38, endln:17:39 + |vpiParent: + \_param_assign: , line:17:17, endln:17:39 + |vpiDecompile:4 + |vpiSize:32 + |UINT:4 + |vpiTypespec: + \_int_typespec: , line:17:13, endln:17:16 + |vpiConstType:9 + |vpiLhs: + \_parameter: (pkg_b::ParameterIntEqual4), line:17:17, endln:17:35 + |vpiDefName:pkg_b + |vpiTop:1 +|uhdmtopPackages: +\_package: pkg_a (pkg_a::), file:${SURELOG_DIR}/tests/AllPackageSignal/dut.sv, line:21:1, endln:34:19 + |vpiParent: + \_design: (unnamed) + |vpiName:pkg_a + |vpiFullName:pkg_a:: + |vpiParameter: + \_parameter: (pkg_a::ParameterIntInPkgA), line:28:17, endln:28:35 + |vpiParent: + \_package: pkg_a (pkg_a::), file:${SURELOG_DIR}/tests/AllPackageSignal/dut.sv, line:21:1, endln:34:19 + |UINT:4 + |vpiTypespec: + \_int_typespec: , line:28:13, endln:28:16 + |vpiParent: + \_parameter: (pkg_a::ParameterIntInPkgA), line:28:17, endln:28:35 + |vpiInstance: + \_package: pkg_a (pkg_a::), file:${SURELOG_DIR}/tests/AllPackageSignal/dut.sv, line:21:1, endln:34:19 + |vpiSigned:1 + |vpiSigned:1 + |vpiName:ParameterIntInPkgA + |vpiFullName:pkg_a::ParameterIntInPkgA + |vpiParamAssign: + \_param_assign: , line:28:17, endln:28:39 + |vpiParent: + \_package: pkg_a (pkg_a::), file:${SURELOG_DIR}/tests/AllPackageSignal/dut.sv, line:21:1, endln:34:19 + |vpiRhs: + \_constant: , line:28:38, endln:28:39 + |vpiParent: + \_param_assign: , line:28:17, endln:28:39 + |vpiDecompile:4 + |vpiSize:32 + |UINT:4 + |vpiTypespec: + \_int_typespec: , line:28:13, endln:28:16 + |vpiConstType:9 + |vpiLhs: + \_parameter: (pkg_a::ParameterIntInPkgA), line:28:17, endln:28:35 + |vpiDefName:pkg_a + |vpiTop:1 + |vpiTaskFunc: + \_function: (pkg_a::assert_static_in_package_ThisNameDoesNotMatter1), line:6:3, endln:10:14 + |vpiParent: + \_package: pkg_a (pkg_a::), file:${SURELOG_DIR}/tests/AllPackageSignal/dut.sv, line:21:1, endln:34:19 + |vpiName:assert_static_in_package_ThisNameDoesNotMatter1 + |vpiFullName:pkg_a::assert_static_in_package_ThisNameDoesNotMatter1 + |vpiVariables: + \_array_var: (pkg_a::assert_static_in_package_ThisNameDoesNotMatter1::unused_bit), line:7:9, endln:7:19 + |vpiParent: + \_function: (pkg_a::assert_static_in_package_ThisNameDoesNotMatter1), line:6:3, endln:10:14 + |vpiTypespec: + \_array_typespec: , line:7:9, endln:7:72 + |vpiRange: + \_range: , line:7:21, endln:7:72 + |vpiParent: + \_array_typespec: , line:7:9, endln:7:72 + |vpiLeftRange: + \_constant: + |vpiParent: + \_range: , line:7:21, endln:7:72 + |vpiDecompile:0 + |vpiSize:64 + |UINT:0 + |vpiConstType:9 + |vpiRightRange: + \_operation: , line:7:21, endln:7:72 + |vpiParent: + \_range: , line:7:21, endln:7:72 + |vpiOpType:11 + |vpiOperand: + \_constant: , line:7:65, endln:7:66 + |vpiParent: + \_operation: , line:7:21, endln:7:72 + |vpiDecompile:1 + |vpiSize:64 + |UINT:1 + |vpiConstType:9 + |vpiOperand: + \_constant: + |vpiSize:64 + |INT:1 + |vpiConstType:7 + |vpiElemTypespec: + \_bit_typespec: , line:7:5, endln:7:8 + |vpiInstance: + \_package: pkg_a (pkg_a::), file:${SURELOG_DIR}/tests/AllPackageSignal/dut.sv, line:21:1, endln:34:19 + |vpiName:unused_bit + |vpiFullName:pkg_a::assert_static_in_package_ThisNameDoesNotMatter1::unused_bit + |vpiArrayType:1 + |vpiReg: + \_bit_var: (pkg_a::assert_static_in_package_ThisNameDoesNotMatter1::unused_bit), line:7:9, endln:7:19 + |vpiParent: + \_array_var: (pkg_a::assert_static_in_package_ThisNameDoesNotMatter1::unused_bit), line:7:9, endln:7:19 + |vpiTypespec: + \_bit_typespec: , line:7:5, endln:7:8 + |vpiFullName:pkg_a::assert_static_in_package_ThisNameDoesNotMatter1::unused_bit + |vpiVisibility:1 + |vpiAutomatic:1 + |vpiReturn: + \_bit_var: (pkg_a::assert_static_in_package_ThisNameDoesNotMatter1), line:6:22, endln:6:25 + |vpiParent: + \_function: (pkg_a::assert_static_in_package_ThisNameDoesNotMatter1), line:6:3, endln:10:14 + |vpiTypespec: + \_bit_typespec: , line:6:22, endln:6:25 + |vpiInstance: + \_package: pkg_a (pkg_a::), file:${SURELOG_DIR}/tests/AllPackageSignal/dut.sv, line:21:1, endln:34:19 + |vpiFullName:pkg_a::assert_static_in_package_ThisNameDoesNotMatter1 + |vpiStmt: + \_begin: (pkg_a::assert_static_in_package_ThisNameDoesNotMatter1), line:8:5, endln:8:35 + |vpiParent: + \_function: (pkg_a::assert_static_in_package_ThisNameDoesNotMatter1), line:6:3, endln:10:14 + |vpiFullName:pkg_a::assert_static_in_package_ThisNameDoesNotMatter1 + |vpiVariables: + \_bit_var: (pkg_a::assert_static_in_package_ThisNameDoesNotMatter1), line:7:9, endln:7:19 + |vpiParent: + \_begin: (pkg_a::assert_static_in_package_ThisNameDoesNotMatter1), line:8:5, endln:8:35 + |vpiTypespec: + \_bit_typespec: , line:7:5, endln:7:8 + |vpiFullName:pkg_a::assert_static_in_package_ThisNameDoesNotMatter1 + |vpiVariables: + \_array_var: (pkg_a::assert_static_in_package_ThisNameDoesNotMatter1::unused_bit), line:7:9, endln:7:19 + |vpiParent: + \_begin: (pkg_a::assert_static_in_package_ThisNameDoesNotMatter1), line:8:5, endln:8:35 + |vpiTypespec: + \_array_typespec: , line:7:9, endln:7:72 + |vpiName:unused_bit + |vpiFullName:pkg_a::assert_static_in_package_ThisNameDoesNotMatter1::unused_bit + |vpiArrayType:1 + |vpiReg: + \_bit_var: (pkg_a::assert_static_in_package_ThisNameDoesNotMatter1::unused_bit), line:7:9, endln:7:19 + |vpiParent: + \_array_var: (pkg_a::assert_static_in_package_ThisNameDoesNotMatter1::unused_bit), line:7:9, endln:7:19 + |vpiTypespec: + \_bit_typespec: , line:7:5, endln:7:8 + |vpiFullName:pkg_a::assert_static_in_package_ThisNameDoesNotMatter1::unused_bit + |vpiStmt: + \_assignment: , line:8:5, endln:8:34 + |vpiParent: + \_begin: (pkg_a::assert_static_in_package_ThisNameDoesNotMatter1), line:8:5, endln:8:35 + |vpiOpType:82 + |vpiBlocking:1 + |vpiRhs: + \_operation: , line:8:18, endln:8:34 + |vpiParent: + \_assignment: , line:8:5, endln:8:34 + |vpiOpType:75 + |vpiOperand: + \_tagged_pattern: , line:8:29, endln:8:33 + |vpiParent: + \_operation: , line:8:18, endln:8:34 + |vpiPattern: + \_constant: , line:8:29, endln:8:33 + |vpiDecompile:1'b0 + |vpiSize:1 + |BIN:0 + |vpiConstType:3 + |vpiTypespec: + \_string_typespec: (default), line:8:20, endln:8:27 + |vpiParent: + \_tagged_pattern: , line:8:29, endln:8:33 + |vpiName:default + |vpiLhs: + \_ref_obj: (pkg_a::assert_static_in_package_ThisNameDoesNotMatter1::unused_bit), line:8:5, endln:8:15 + |vpiParent: + \_assignment: , line:8:5, endln:8:34 + |vpiName:unused_bit + |vpiFullName:pkg_a::assert_static_in_package_ThisNameDoesNotMatter1::unused_bit + |vpiActual: + \_array_var: (pkg_a::assert_static_in_package_ThisNameDoesNotMatter1::unused_bit), line:7:9, endln:7:19 + |vpiStmt: + \_return_stmt: , line:9:5, endln:9:11 + |vpiParent: + \_begin: (pkg_a::assert_static_in_package_ThisNameDoesNotMatter1), line:8:5, endln:8:35 + |vpiCondition: + \_bit_select: (pkg_a::assert_static_in_package_ThisNameDoesNotMatter1::unused_bit), line:9:23, endln:9:24 + |vpiParent: + \_return_stmt: , line:9:5, endln:9:11 + |vpiName:unused_bit + |vpiFullName:pkg_a::assert_static_in_package_ThisNameDoesNotMatter1::unused_bit + |vpiActual: + \_array_var: (pkg_a::assert_static_in_package_ThisNameDoesNotMatter1::unused_bit), line:7:9, endln:7:19 + |vpiIndex: + \_constant: , line:9:23, endln:9:24 + |vpiParent: + \_bit_select: (pkg_a::assert_static_in_package_ThisNameDoesNotMatter1::unused_bit), line:9:23, endln:9:24 + |vpiDecompile:0 + |vpiSize:64 + |UINT:0 + |vpiConstType:9 + |vpiInstance: + \_package: pkg_a (pkg_a::), file:${SURELOG_DIR}/tests/AllPackageSignal/dut.sv, line:21:1, endln:34:19 + |vpiTaskFunc: + \_function: (pkg_a::assert_static_in_package_ThisNameDoesNotMatter2), line:6:3, endln:10:14 + |vpiParent: + \_package: pkg_a (pkg_a::), file:${SURELOG_DIR}/tests/AllPackageSignal/dut.sv, line:21:1, endln:34:19 + |vpiName:assert_static_in_package_ThisNameDoesNotMatter2 + |vpiFullName:pkg_a::assert_static_in_package_ThisNameDoesNotMatter2 + |vpiVariables: + \_array_var: (pkg_a::assert_static_in_package_ThisNameDoesNotMatter2::unused_bit), line:7:9, endln:7:19 + |vpiParent: + \_function: (pkg_a::assert_static_in_package_ThisNameDoesNotMatter2), line:6:3, endln:10:14 + |vpiTypespec: + \_array_typespec: , line:7:9, endln:7:65 + |vpiRange: + \_range: , line:7:21, endln:7:65 + |vpiParent: + \_array_typespec: , line:7:9, endln:7:65 + |vpiLeftRange: + \_constant: + |vpiParent: + \_range: , line:7:21, endln:7:65 + |vpiDecompile:0 + |vpiSize:64 + |UINT:0 + |vpiConstType:9 + |vpiRightRange: + \_operation: , line:7:21, endln:7:65 + |vpiParent: + \_range: , line:7:21, endln:7:65 + |vpiOpType:11 + |vpiOperand: + \_constant: , line:7:58, endln:7:59 + |vpiParent: + \_operation: , line:7:21, endln:7:65 + |vpiDecompile:1 + |vpiSize:64 + |UINT:1 + |vpiConstType:9 + |vpiOperand: + \_constant: + |vpiSize:64 + |INT:1 + |vpiConstType:7 + |vpiElemTypespec: + \_bit_typespec: , line:7:5, endln:7:8 + |vpiInstance: + \_package: pkg_a (pkg_a::), file:${SURELOG_DIR}/tests/AllPackageSignal/dut.sv, line:21:1, endln:34:19 + |vpiName:unused_bit + |vpiFullName:pkg_a::assert_static_in_package_ThisNameDoesNotMatter2::unused_bit + |vpiArrayType:1 + |vpiReg: + \_bit_var: (pkg_a::assert_static_in_package_ThisNameDoesNotMatter2::unused_bit), line:7:9, endln:7:19 + |vpiParent: + \_array_var: (pkg_a::assert_static_in_package_ThisNameDoesNotMatter2::unused_bit), line:7:9, endln:7:19 + |vpiTypespec: + \_bit_typespec: , line:7:5, endln:7:8 + |vpiFullName:pkg_a::assert_static_in_package_ThisNameDoesNotMatter2::unused_bit + |vpiVisibility:1 + |vpiAutomatic:1 + |vpiReturn: + \_bit_var: (pkg_a::assert_static_in_package_ThisNameDoesNotMatter2), line:6:22, endln:6:25 + |vpiParent: + \_function: (pkg_a::assert_static_in_package_ThisNameDoesNotMatter2), line:6:3, endln:10:14 + |vpiTypespec: + \_bit_typespec: , line:6:22, endln:6:25 + |vpiInstance: + \_package: pkg_a (pkg_a::), file:${SURELOG_DIR}/tests/AllPackageSignal/dut.sv, line:21:1, endln:34:19 + |vpiFullName:pkg_a::assert_static_in_package_ThisNameDoesNotMatter2 + |vpiStmt: + \_begin: (pkg_a::assert_static_in_package_ThisNameDoesNotMatter2), line:8:5, endln:8:35 + |vpiParent: + \_function: (pkg_a::assert_static_in_package_ThisNameDoesNotMatter2), line:6:3, endln:10:14 + |vpiFullName:pkg_a::assert_static_in_package_ThisNameDoesNotMatter2 + |vpiVariables: + \_bit_var: (pkg_a::assert_static_in_package_ThisNameDoesNotMatter2), line:7:9, endln:7:19 + |vpiParent: + \_begin: (pkg_a::assert_static_in_package_ThisNameDoesNotMatter2), line:8:5, endln:8:35 + |vpiTypespec: + \_bit_typespec: , line:7:5, endln:7:8 + |vpiFullName:pkg_a::assert_static_in_package_ThisNameDoesNotMatter2 + |vpiVariables: + \_array_var: (pkg_a::assert_static_in_package_ThisNameDoesNotMatter2::unused_bit), line:7:9, endln:7:19 + |vpiParent: + \_begin: (pkg_a::assert_static_in_package_ThisNameDoesNotMatter2), line:8:5, endln:8:35 + |vpiTypespec: + \_array_typespec: , line:7:9, endln:7:65 + |vpiName:unused_bit + |vpiFullName:pkg_a::assert_static_in_package_ThisNameDoesNotMatter2::unused_bit + |vpiArrayType:1 + |vpiReg: + \_bit_var: (pkg_a::assert_static_in_package_ThisNameDoesNotMatter2::unused_bit), line:7:9, endln:7:19 + |vpiParent: + \_array_var: (pkg_a::assert_static_in_package_ThisNameDoesNotMatter2::unused_bit), line:7:9, endln:7:19 + |vpiTypespec: + \_bit_typespec: , line:7:5, endln:7:8 + |vpiFullName:pkg_a::assert_static_in_package_ThisNameDoesNotMatter2::unused_bit + |vpiStmt: + \_assignment: , line:8:5, endln:8:34 + |vpiParent: + \_begin: (pkg_a::assert_static_in_package_ThisNameDoesNotMatter2), line:8:5, endln:8:35 + |vpiOpType:82 + |vpiBlocking:1 + |vpiRhs: + \_operation: , line:8:18, endln:8:34 + |vpiParent: + \_assignment: , line:8:5, endln:8:34 + |vpiOpType:75 + |vpiOperand: + \_tagged_pattern: , line:8:29, endln:8:33 + |vpiParent: + \_operation: , line:8:18, endln:8:34 + |vpiPattern: + \_constant: , line:8:29, endln:8:33 + |vpiDecompile:1'b0 + |vpiSize:1 + |BIN:0 + |vpiConstType:3 + |vpiTypespec: + \_string_typespec: (default), line:8:20, endln:8:27 + |vpiParent: + \_tagged_pattern: , line:8:29, endln:8:33 + |vpiName:default + |vpiLhs: + \_ref_obj: (pkg_a::assert_static_in_package_ThisNameDoesNotMatter2::unused_bit), line:8:5, endln:8:15 + |vpiParent: + \_assignment: , line:8:5, endln:8:34 + |vpiName:unused_bit + |vpiFullName:pkg_a::assert_static_in_package_ThisNameDoesNotMatter2::unused_bit + |vpiActual: + \_array_var: (pkg_a::assert_static_in_package_ThisNameDoesNotMatter2::unused_bit), line:7:9, endln:7:19 + |vpiStmt: + \_return_stmt: , line:9:5, endln:9:11 + |vpiParent: + \_begin: (pkg_a::assert_static_in_package_ThisNameDoesNotMatter2), line:8:5, endln:8:35 + |vpiCondition: + \_bit_select: (pkg_a::assert_static_in_package_ThisNameDoesNotMatter2::unused_bit), line:9:23, endln:9:24 + |vpiParent: + \_return_stmt: , line:9:5, endln:9:11 + |vpiName:unused_bit + |vpiFullName:pkg_a::assert_static_in_package_ThisNameDoesNotMatter2::unused_bit + |vpiActual: + \_array_var: (pkg_a::assert_static_in_package_ThisNameDoesNotMatter2::unused_bit), line:7:9, endln:7:19 + |vpiIndex: + \_constant: , line:9:23, endln:9:24 + |vpiParent: + \_bit_select: (pkg_a::assert_static_in_package_ThisNameDoesNotMatter2::unused_bit), line:9:23, endln:9:24 + |vpiDecompile:0 + |vpiSize:64 + |UINT:0 + |vpiConstType:9 + |vpiInstance: + \_package: pkg_a (pkg_a::), file:${SURELOG_DIR}/tests/AllPackageSignal/dut.sv, line:21:1, endln:34:19 + |vpiTaskFunc: + \_function: (pkg_a::assert_static_in_package_ThisNameDoesNotMatter3), line:6:3, endln:10:14 + |vpiParent: + \_package: pkg_a (pkg_a::), file:${SURELOG_DIR}/tests/AllPackageSignal/dut.sv, line:21:1, endln:34:19 + |vpiName:assert_static_in_package_ThisNameDoesNotMatter3 + |vpiFullName:pkg_a::assert_static_in_package_ThisNameDoesNotMatter3 + |vpiVariables: + \_array_var: (pkg_a::assert_static_in_package_ThisNameDoesNotMatter3::unused_bit), line:7:9, endln:7:19 + |vpiParent: + \_function: (pkg_a::assert_static_in_package_ThisNameDoesNotMatter3), line:6:3, endln:10:14 + |vpiTypespec: + \_array_typespec: , line:7:9, endln:7:95 + |vpiRange: + \_range: , line:7:21, endln:7:95 + |vpiParent: + \_array_typespec: , line:7:9, endln:7:95 + |vpiLeftRange: + \_constant: + |vpiParent: + \_range: , line:7:21, endln:7:95 + |vpiDecompile:0 + |vpiSize:64 + |UINT:0 + |vpiConstType:9 + |vpiRightRange: + \_operation: , line:7:21, endln:7:95 + |vpiParent: + \_range: , line:7:21, endln:7:95 + |vpiOpType:11 + |vpiOperand: + \_constant: , line:7:88, endln:7:89 + |vpiParent: + \_operation: , line:7:21, endln:7:95 + |vpiDecompile:1 + |vpiSize:64 + |UINT:1 + |vpiConstType:9 + |vpiOperand: + \_constant: + |vpiSize:64 + |INT:1 + |vpiConstType:7 + |vpiElemTypespec: + \_bit_typespec: , line:7:5, endln:7:8 + |vpiInstance: + \_package: pkg_a (pkg_a::), file:${SURELOG_DIR}/tests/AllPackageSignal/dut.sv, line:21:1, endln:34:19 + |vpiName:unused_bit + |vpiFullName:pkg_a::assert_static_in_package_ThisNameDoesNotMatter3::unused_bit + |vpiArrayType:1 + |vpiReg: + \_bit_var: (pkg_a::assert_static_in_package_ThisNameDoesNotMatter3::unused_bit), line:7:9, endln:7:19 + |vpiParent: + \_array_var: (pkg_a::assert_static_in_package_ThisNameDoesNotMatter3::unused_bit), line:7:9, endln:7:19 + |vpiTypespec: + \_bit_typespec: , line:7:5, endln:7:8 + |vpiFullName:pkg_a::assert_static_in_package_ThisNameDoesNotMatter3::unused_bit + |vpiVisibility:1 + |vpiAutomatic:1 + |vpiReturn: + \_bit_var: (pkg_a::assert_static_in_package_ThisNameDoesNotMatter3), line:6:22, endln:6:25 + |vpiParent: + \_function: (pkg_a::assert_static_in_package_ThisNameDoesNotMatter3), line:6:3, endln:10:14 + |vpiTypespec: + \_bit_typespec: , line:6:22, endln:6:25 + |vpiInstance: + \_package: pkg_a (pkg_a::), file:${SURELOG_DIR}/tests/AllPackageSignal/dut.sv, line:21:1, endln:34:19 + |vpiFullName:pkg_a::assert_static_in_package_ThisNameDoesNotMatter3 + |vpiStmt: + \_begin: (pkg_a::assert_static_in_package_ThisNameDoesNotMatter3), line:8:5, endln:8:35 + |vpiParent: + \_function: (pkg_a::assert_static_in_package_ThisNameDoesNotMatter3), line:6:3, endln:10:14 + |vpiFullName:pkg_a::assert_static_in_package_ThisNameDoesNotMatter3 + |vpiVariables: + \_bit_var: (pkg_a::assert_static_in_package_ThisNameDoesNotMatter3), line:7:9, endln:7:19 + |vpiParent: + \_begin: (pkg_a::assert_static_in_package_ThisNameDoesNotMatter3), line:8:5, endln:8:35 + |vpiTypespec: + \_bit_typespec: , line:7:5, endln:7:8 + |vpiFullName:pkg_a::assert_static_in_package_ThisNameDoesNotMatter3 + |vpiVariables: + \_array_var: (pkg_a::assert_static_in_package_ThisNameDoesNotMatter3::unused_bit), line:7:9, endln:7:19 + |vpiParent: + \_begin: (pkg_a::assert_static_in_package_ThisNameDoesNotMatter3), line:8:5, endln:8:35 + |vpiTypespec: + \_array_typespec: , line:7:9, endln:7:95 |vpiName:unused_bit - |vpiFullName:pkg_a::assert_static_in_package_ThisNameDoesNotMatter::unused_bit + |vpiFullName:pkg_a::assert_static_in_package_ThisNameDoesNotMatter3::unused_bit |vpiArrayType:1 |vpiReg: - \_bit_var: (pkg_a::assert_static_in_package_ThisNameDoesNotMatter::unused_bit), line:7:9, endln:7:19 + \_bit_var: (pkg_a::assert_static_in_package_ThisNameDoesNotMatter3::unused_bit), line:7:9, endln:7:19 |vpiParent: - \_array_var: (pkg_a::assert_static_in_package_ThisNameDoesNotMatter::unused_bit), line:7:9, endln:7:19 + \_array_var: (pkg_a::assert_static_in_package_ThisNameDoesNotMatter3::unused_bit), line:7:9, endln:7:19 |vpiTypespec: \_bit_typespec: , line:7:5, endln:7:8 - |vpiFullName:pkg_a::assert_static_in_package_ThisNameDoesNotMatter::unused_bit + |vpiFullName:pkg_a::assert_static_in_package_ThisNameDoesNotMatter3::unused_bit |vpiStmt: \_assignment: , line:8:5, endln:8:34 |vpiParent: - \_begin: (pkg_a::assert_static_in_package_ThisNameDoesNotMatter), line:8:5, endln:8:35 + \_begin: (pkg_a::assert_static_in_package_ThisNameDoesNotMatter3), line:8:5, endln:8:35 |vpiOpType:82 |vpiBlocking:1 |vpiRhs: @@ -919,29 +1576,29 @@ design: (unnamed) \_tagged_pattern: , line:8:29, endln:8:33 |vpiName:default |vpiLhs: - \_ref_obj: (pkg_a::assert_static_in_package_ThisNameDoesNotMatter::unused_bit), line:8:5, endln:8:15 + \_ref_obj: (pkg_a::assert_static_in_package_ThisNameDoesNotMatter3::unused_bit), line:8:5, endln:8:15 |vpiParent: \_assignment: , line:8:5, endln:8:34 |vpiName:unused_bit - |vpiFullName:pkg_a::assert_static_in_package_ThisNameDoesNotMatter::unused_bit + |vpiFullName:pkg_a::assert_static_in_package_ThisNameDoesNotMatter3::unused_bit |vpiActual: - \_array_var: (pkg_a::assert_static_in_package_ThisNameDoesNotMatter::unused_bit), line:7:9, endln:7:19 + \_array_var: (pkg_a::assert_static_in_package_ThisNameDoesNotMatter3::unused_bit), line:7:9, endln:7:19 |vpiStmt: \_return_stmt: , line:9:5, endln:9:11 |vpiParent: - \_begin: (pkg_a::assert_static_in_package_ThisNameDoesNotMatter), line:8:5, endln:8:35 + \_begin: (pkg_a::assert_static_in_package_ThisNameDoesNotMatter3), line:8:5, endln:8:35 |vpiCondition: - \_bit_select: (pkg_a::assert_static_in_package_ThisNameDoesNotMatter::unused_bit), line:9:23, endln:9:24 + \_bit_select: (pkg_a::assert_static_in_package_ThisNameDoesNotMatter3::unused_bit), line:9:23, endln:9:24 |vpiParent: \_return_stmt: , line:9:5, endln:9:11 |vpiName:unused_bit - |vpiFullName:pkg_a::assert_static_in_package_ThisNameDoesNotMatter::unused_bit + |vpiFullName:pkg_a::assert_static_in_package_ThisNameDoesNotMatter3::unused_bit |vpiActual: - \_array_var: (pkg_a::assert_static_in_package_ThisNameDoesNotMatter::unused_bit), line:7:9, endln:7:19 + \_array_var: (pkg_a::assert_static_in_package_ThisNameDoesNotMatter3::unused_bit), line:7:9, endln:7:19 |vpiIndex: \_constant: , line:9:23, endln:9:24 |vpiParent: - \_bit_select: (pkg_a::assert_static_in_package_ThisNameDoesNotMatter::unused_bit), line:9:23, endln:9:24 + \_bit_select: (pkg_a::assert_static_in_package_ThisNameDoesNotMatter3::unused_bit), line:9:23, endln:9:24 |vpiDecompile:0 |vpiSize:64 |UINT:0 diff --git a/tests/AllPackageSignal/dut.sv b/tests/AllPackageSignal/dut.sv index 278161da52..6f7ddf8284 100644 --- a/tests/AllPackageSignal/dut.sv +++ b/tests/AllPackageSignal/dut.sv @@ -27,9 +27,9 @@ package pkg_a; // Comment line 6 parameter int ParameterIntInPkgA = 4; //// The two following lines do not raise any error when uncommented: - `ASSERT_STATIC_IN_PACKAGE(ThisNameDoesNotMatter, 32 == $bits(pkg_b::ParameterIntEqual4)) - `ASSERT_STATIC_IN_PACKAGE(ThisNameDoesNotMatter, $bits(ParameterIntInPkgA) == 32) + `ASSERT_STATIC_IN_PACKAGE(ThisNameDoesNotMatter1, 32 == $bits(pkg_b::ParameterIntEqual4)) + `ASSERT_STATIC_IN_PACKAGE(ThisNameDoesNotMatter2, $bits(ParameterIntInPkgA) == 32) //// This one does fail: - `ASSERT_STATIC_IN_PACKAGE(ThisNameDoesNotMatter, $bits(ParameterIntInPkgA) == $bits(pkg_b::ParameterIntEqual4)) + `ASSERT_STATIC_IN_PACKAGE(ThisNameDoesNotMatter3, $bits(ParameterIntInPkgA) == $bits(pkg_b::ParameterIntEqual4)) endpackage : pkg_a diff --git a/tests/ArianeElab/ArianeElab.log b/tests/ArianeElab/ArianeElab.log index 8fe6794299..302e3525a7 100644 --- a/tests/ArianeElab/ArianeElab.log +++ b/tests/ArianeElab/ArianeElab.log @@ -20226,7 +20226,7 @@ bit_typespec 377 byte_typespec 8 case_item 126 case_stmt 30 -constant 8962 +constant 8942 cont_assign 30 design 1 enum_const 933 @@ -20253,13 +20253,13 @@ logic_net 14 logic_typespec 1582 logic_var 98 long_int_typespec 4 -module_inst 52 +module_inst 48 named_begin 5 operation 2040 package 6 packed_array_typespec 90 -param_assign 1297 -parameter 1516 +param_assign 1293 +parameter 1512 part_select 116 port 42 range 1818 @@ -20290,7 +20290,7 @@ bit_typespec 377 byte_typespec 8 case_item 497 case_stmt 120 -constant 9081 +constant 9061 cont_assign 122 design 1 enum_const 933 @@ -20317,13 +20317,13 @@ logic_net 14 logic_typespec 1582 logic_var 206 long_int_typespec 4 -module_inst 56 +module_inst 52 named_begin 5 -operation 3352 +operation 3360 package 6 packed_array_typespec 90 -param_assign 1417 -parameter 1516 +param_assign 1413 +parameter 1512 part_select 442 port 84 range 1818 @@ -46010,11 +46010,26 @@ design: (work@top) \_operation: , line:1374:16, endln:1374:62 |vpiOpType:34 |vpiOperand: - \_constant: , line:1374:18, endln:1374:29 - |vpiDecompile:32 - |vpiSize:64 - |INT:32 - |vpiConstType:7 + \_operation: , line:1374:18, endln:1374:32 + |vpiParent: + \_operation: , line:1374:17, endln:1374:46 + |vpiOpType:11 + |vpiOperand: + \_constant: , line:1374:18, endln:1374:29 + |vpiParent: + \_operation: , line:1374:18, endln:1374:32 + |vpiDecompile:64 + |vpiSize:64 + |UINT:64 + |vpiConstType:9 + |vpiOperand: + \_constant: , line:1374:30, endln:1374:32 + |vpiParent: + \_operation: , line:1374:18, endln:1374:32 + |vpiDecompile:32 + |vpiSize:64 + |UINT:32 + |vpiConstType:9 |vpiOperand: \_operation: , line:1374:32, endln:1374:45 |vpiParent: @@ -46154,11 +46169,39 @@ design: (work@top) \_operation: , line:1381:16, endln:1381:128 |vpiOpType:34 |vpiOperand: - \_constant: , line:1381:19, endln:1381:33 - |vpiDecompile:44 - |vpiSize:64 - |INT:44 - |vpiConstType:7 + \_operation: , line:1381:19, endln:1381:36 + |vpiParent: + \_operation: , line:1381:18, endln:1381:57 + |vpiOpType:11 + |vpiOperand: + \_operation: , line:1381:19, endln:1381:33 + |vpiParent: + \_operation: , line:1381:19, endln:1381:36 + |vpiOpType:24 + |vpiOperand: + \_constant: , line:1381:19, endln:1381:21 + |vpiParent: + \_operation: , line:1381:19, endln:1381:33 + |vpiDecompile:44 + |vpiSize:64 + |UINT:44 + |vpiConstType:9 + |vpiOperand: + \_constant: , line:1381:22, endln:1381:33 + |vpiParent: + \_operation: , line:1381:19, endln:1381:33 + |vpiDecompile:64 + |vpiSize:64 + |UINT:64 + |vpiConstType:9 + |vpiOperand: + \_constant: , line:1381:34, endln:1381:36 + |vpiParent: + \_operation: , line:1381:19, endln:1381:36 + |vpiDecompile:64 + |vpiSize:64 + |UINT:64 + |vpiConstType:9 |vpiOperand: \_operation: , line:1381:37, endln:1381:56 |vpiParent: @@ -46342,11 +46385,39 @@ design: (work@top) \_operation: , line:1385:16, endln:1385:81 |vpiOpType:34 |vpiOperand: - \_constant: , line:1385:19, endln:1385:33 - |vpiDecompile:52 - |vpiSize:64 - |INT:52 - |vpiConstType:7 + \_operation: , line:1385:19, endln:1385:36 + |vpiParent: + \_operation: , line:1385:18, endln:1385:57 + |vpiOpType:11 + |vpiOperand: + \_operation: , line:1385:19, endln:1385:33 + |vpiParent: + \_operation: , line:1385:19, endln:1385:36 + |vpiOpType:24 + |vpiOperand: + \_constant: , line:1385:19, endln:1385:21 + |vpiParent: + \_operation: , line:1385:19, endln:1385:33 + |vpiDecompile:52 + |vpiSize:64 + |UINT:52 + |vpiConstType:9 + |vpiOperand: + \_constant: , line:1385:22, endln:1385:33 + |vpiParent: + \_operation: , line:1385:19, endln:1385:33 + |vpiDecompile:64 + |vpiSize:64 + |UINT:64 + |vpiConstType:9 + |vpiOperand: + \_constant: , line:1385:34, endln:1385:36 + |vpiParent: + \_operation: , line:1385:19, endln:1385:36 + |vpiDecompile:64 + |vpiSize:64 + |UINT:64 + |vpiConstType:9 |vpiOperand: \_operation: , line:1385:37, endln:1385:56 |vpiParent: @@ -46486,11 +46557,39 @@ design: (work@top) \_operation: , line:1389:16, endln:1389:145 |vpiOpType:34 |vpiOperand: - \_constant: , line:1389:19, endln:1389:33 - |vpiDecompile:51 - |vpiSize:64 - |INT:51 - |vpiConstType:7 + \_operation: , line:1389:19, endln:1389:36 + |vpiParent: + \_operation: , line:1389:18, endln:1389:57 + |vpiOpType:11 + |vpiOperand: + \_operation: , line:1389:19, endln:1389:33 + |vpiParent: + \_operation: , line:1389:19, endln:1389:36 + |vpiOpType:24 + |vpiOperand: + \_constant: , line:1389:19, endln:1389:21 + |vpiParent: + \_operation: , line:1389:19, endln:1389:33 + |vpiDecompile:51 + |vpiSize:64 + |UINT:51 + |vpiConstType:9 + |vpiOperand: + \_constant: , line:1389:22, endln:1389:33 + |vpiParent: + \_operation: , line:1389:19, endln:1389:33 + |vpiDecompile:64 + |vpiSize:64 + |UINT:64 + |vpiConstType:9 + |vpiOperand: + \_constant: , line:1389:34, endln:1389:36 + |vpiParent: + \_operation: , line:1389:19, endln:1389:36 + |vpiDecompile:64 + |vpiSize:64 + |UINT:64 + |vpiConstType:9 |vpiOperand: \_operation: , line:1389:37, endln:1389:56 |vpiParent: @@ -54455,8 +54554,6 @@ design: (work@top) \_ref_obj: (fpnew_pkg::num_lanes::fmt), line:1851:35, endln:1851:38 |vpiParent: \_func_call: (fp_width), line:1851:26, endln:1851:39 - |vpiTypespec: - \_enum_typespec: (fpnew_pkg::fp_format_e), line:1927:51, endln:1927:62 |vpiName:fmt |vpiFullName:fpnew_pkg::num_lanes::fmt |vpiActual: @@ -54595,8 +54692,6 @@ design: (work@top) \_ref_obj: (fpnew_pkg::max_num_lanes::cfg), line:1856:39, endln:1856:42 |vpiParent: \_func_call: (min_fp_width), line:1856:26, endln:1856:43 - |vpiTypespec: - \_logic_typespec: (fmt_logic_t), line:1778:48, endln:1778:59 |vpiName:cfg |vpiFullName:fpnew_pkg::max_num_lanes::cfg |vpiActual: @@ -57222,10 +57317,20 @@ design: (work@top) |vpiParent: \_begin: (fpnew_pkg::get_first_enabled_multi), line:1941:7, endln:1941:30 |vpiCondition: - \_constant: , line:1941:14, endln:1941:29 - |vpiSize:64 - |UINT:0 - |vpiConstType:9 + \_operation: , line:1941:14, endln:1941:29 + |vpiParent: + \_return_stmt: , line:1941:7, endln:1941:13 + |vpiTypespec: + \_enum_typespec: (fpnew_pkg::fp_format_e), line:1927:51, endln:1927:62 + |vpiOpType:67 + |vpiOperand: + \_constant: , line:1941:27, endln:1941:28 + |vpiParent: + \_operation: , line:1941:14, endln:1941:29 + |vpiDecompile:0 + |vpiSize:64 + |UINT:0 + |vpiConstType:9 |vpiInstance: \_package: fpnew_pkg (fpnew_pkg::), file:${SURELOG_DIR}/tests/ArianeElab/dut.sv, line:1485:1, endln:1955:11 |vpiTaskFunc: diff --git a/tests/ArianeElab2/ArianeElab2.log b/tests/ArianeElab2/ArianeElab2.log index 0259454643..f8579245dc 100644 --- a/tests/ArianeElab2/ArianeElab2.log +++ b/tests/ArianeElab2/ArianeElab2.log @@ -20841,7 +20841,7 @@ bit_typespec 377 byte_typespec 8 case_item 126 case_stmt 30 -constant 245520 +constant 245500 cont_assign 4 design 1 enum_const 67037 @@ -20867,13 +20867,13 @@ logic_net 15 logic_typespec 51287 logic_var 99 long_int_typespec 4 -module_inst 581 +module_inst 577 named_begin 5 operation 47170 package 6 packed_array_typespec 24866 -param_assign 2768 -parameter 2995 +param_assign 2764 +parameter 2991 part_select 92 port 42 range 92750 @@ -20903,7 +20903,7 @@ bit_typespec 377 byte_typespec 8 case_item 497 case_stmt 120 -constant 245548 +constant 245528 cont_assign 5 design 1 enum_const 67037 @@ -20929,13 +20929,13 @@ logic_net 15 logic_typespec 51287 logic_var 207 long_int_typespec 4 -module_inst 613 +module_inst 609 named_begin 5 -operation 48749 +operation 48757 package 6 packed_array_typespec 24866 -param_assign 4695 -parameter 2995 +param_assign 4691 +parameter 2991 part_select 334 port 84 range 92750 @@ -46621,11 +46621,26 @@ design: (work@top) \_operation: , line:1374:16, endln:1374:62 |vpiOpType:34 |vpiOperand: - \_constant: , line:1374:18, endln:1374:29 - |vpiDecompile:32 - |vpiSize:64 - |INT:32 - |vpiConstType:7 + \_operation: , line:1374:18, endln:1374:32 + |vpiParent: + \_operation: , line:1374:17, endln:1374:46 + |vpiOpType:11 + |vpiOperand: + \_constant: , line:1374:18, endln:1374:29 + |vpiParent: + \_operation: , line:1374:18, endln:1374:32 + |vpiDecompile:64 + |vpiSize:64 + |UINT:64 + |vpiConstType:9 + |vpiOperand: + \_constant: , line:1374:30, endln:1374:32 + |vpiParent: + \_operation: , line:1374:18, endln:1374:32 + |vpiDecompile:32 + |vpiSize:64 + |UINT:32 + |vpiConstType:9 |vpiOperand: \_operation: , line:1374:32, endln:1374:45 |vpiParent: @@ -46765,11 +46780,39 @@ design: (work@top) \_operation: , line:1381:16, endln:1381:128 |vpiOpType:34 |vpiOperand: - \_constant: , line:1381:19, endln:1381:33 - |vpiDecompile:44 - |vpiSize:64 - |INT:44 - |vpiConstType:7 + \_operation: , line:1381:19, endln:1381:36 + |vpiParent: + \_operation: , line:1381:18, endln:1381:57 + |vpiOpType:11 + |vpiOperand: + \_operation: , line:1381:19, endln:1381:33 + |vpiParent: + \_operation: , line:1381:19, endln:1381:36 + |vpiOpType:24 + |vpiOperand: + \_constant: , line:1381:19, endln:1381:21 + |vpiParent: + \_operation: , line:1381:19, endln:1381:33 + |vpiDecompile:44 + |vpiSize:64 + |UINT:44 + |vpiConstType:9 + |vpiOperand: + \_constant: , line:1381:22, endln:1381:33 + |vpiParent: + \_operation: , line:1381:19, endln:1381:33 + |vpiDecompile:64 + |vpiSize:64 + |UINT:64 + |vpiConstType:9 + |vpiOperand: + \_constant: , line:1381:34, endln:1381:36 + |vpiParent: + \_operation: , line:1381:19, endln:1381:36 + |vpiDecompile:64 + |vpiSize:64 + |UINT:64 + |vpiConstType:9 |vpiOperand: \_operation: , line:1381:37, endln:1381:56 |vpiParent: @@ -46953,11 +46996,39 @@ design: (work@top) \_operation: , line:1385:16, endln:1385:81 |vpiOpType:34 |vpiOperand: - \_constant: , line:1385:19, endln:1385:33 - |vpiDecompile:52 - |vpiSize:64 - |INT:52 - |vpiConstType:7 + \_operation: , line:1385:19, endln:1385:36 + |vpiParent: + \_operation: , line:1385:18, endln:1385:57 + |vpiOpType:11 + |vpiOperand: + \_operation: , line:1385:19, endln:1385:33 + |vpiParent: + \_operation: , line:1385:19, endln:1385:36 + |vpiOpType:24 + |vpiOperand: + \_constant: , line:1385:19, endln:1385:21 + |vpiParent: + \_operation: , line:1385:19, endln:1385:33 + |vpiDecompile:52 + |vpiSize:64 + |UINT:52 + |vpiConstType:9 + |vpiOperand: + \_constant: , line:1385:22, endln:1385:33 + |vpiParent: + \_operation: , line:1385:19, endln:1385:33 + |vpiDecompile:64 + |vpiSize:64 + |UINT:64 + |vpiConstType:9 + |vpiOperand: + \_constant: , line:1385:34, endln:1385:36 + |vpiParent: + \_operation: , line:1385:19, endln:1385:36 + |vpiDecompile:64 + |vpiSize:64 + |UINT:64 + |vpiConstType:9 |vpiOperand: \_operation: , line:1385:37, endln:1385:56 |vpiParent: @@ -47097,11 +47168,39 @@ design: (work@top) \_operation: , line:1389:16, endln:1389:145 |vpiOpType:34 |vpiOperand: - \_constant: , line:1389:19, endln:1389:33 - |vpiDecompile:51 - |vpiSize:64 - |INT:51 - |vpiConstType:7 + \_operation: , line:1389:19, endln:1389:36 + |vpiParent: + \_operation: , line:1389:18, endln:1389:57 + |vpiOpType:11 + |vpiOperand: + \_operation: , line:1389:19, endln:1389:33 + |vpiParent: + \_operation: , line:1389:19, endln:1389:36 + |vpiOpType:24 + |vpiOperand: + \_constant: , line:1389:19, endln:1389:21 + |vpiParent: + \_operation: , line:1389:19, endln:1389:33 + |vpiDecompile:51 + |vpiSize:64 + |UINT:51 + |vpiConstType:9 + |vpiOperand: + \_constant: , line:1389:22, endln:1389:33 + |vpiParent: + \_operation: , line:1389:19, endln:1389:33 + |vpiDecompile:64 + |vpiSize:64 + |UINT:64 + |vpiConstType:9 + |vpiOperand: + \_constant: , line:1389:34, endln:1389:36 + |vpiParent: + \_operation: , line:1389:19, endln:1389:36 + |vpiDecompile:64 + |vpiSize:64 + |UINT:64 + |vpiConstType:9 |vpiOperand: \_operation: , line:1389:37, endln:1389:56 |vpiParent: @@ -55066,8 +55165,6 @@ design: (work@top) \_ref_obj: (fpnew_pkg::num_lanes::fmt), line:1851:35, endln:1851:38 |vpiParent: \_func_call: (fp_width), line:1851:26, endln:1851:39 - |vpiTypespec: - \_enum_typespec: (fpnew_pkg::fp_format_e), line:1927:51, endln:1927:62 |vpiName:fmt |vpiFullName:fpnew_pkg::num_lanes::fmt |vpiActual: @@ -55206,8 +55303,6 @@ design: (work@top) \_ref_obj: (fpnew_pkg::max_num_lanes::cfg), line:1856:39, endln:1856:42 |vpiParent: \_func_call: (min_fp_width), line:1856:26, endln:1856:43 - |vpiTypespec: - \_logic_typespec: (fmt_logic_t), line:1778:48, endln:1778:59 |vpiName:cfg |vpiFullName:fpnew_pkg::max_num_lanes::cfg |vpiActual: @@ -57833,10 +57928,20 @@ design: (work@top) |vpiParent: \_begin: (fpnew_pkg::get_first_enabled_multi), line:1941:7, endln:1941:30 |vpiCondition: - \_constant: , line:1941:14, endln:1941:29 - |vpiSize:64 - |UINT:0 - |vpiConstType:9 + \_operation: , line:1941:14, endln:1941:29 + |vpiParent: + \_return_stmt: , line:1941:7, endln:1941:13 + |vpiTypespec: + \_enum_typespec: (fpnew_pkg::fp_format_e), line:1927:51, endln:1927:62 + |vpiOpType:67 + |vpiOperand: + \_constant: , line:1941:27, endln:1941:28 + |vpiParent: + \_operation: , line:1941:14, endln:1941:29 + |vpiDecompile:0 + |vpiSize:64 + |UINT:0 + |vpiConstType:9 |vpiInstance: \_package: fpnew_pkg (fpnew_pkg::), file:${SURELOG_DIR}/tests/ArianeElab2/dut.sv, line:1485:1, endln:1955:11 |vpiTaskFunc: diff --git a/tests/BlackParrotMuteErrors/BlackParrotMuteErrors.log b/tests/BlackParrotMuteErrors/BlackParrotMuteErrors.log index da37dc4b34..ee6069be2c 100644 --- a/tests/BlackParrotMuteErrors/BlackParrotMuteErrors.log +++ b/tests/BlackParrotMuteErrors/BlackParrotMuteErrors.log @@ -6673,13 +6673,13 @@ io_decl 19 logic_net 1 logic_typespec 2801 logic_var 1 -module_inst 49 +module_inst 46 named_begin 2 operation 656 package 10 packed_array_typespec 36 -param_assign 3583 -parameter 4817 +param_assign 3581 +parameter 4815 range 2785 ref_module 5 ref_obj 270 @@ -6727,13 +6727,13 @@ io_decl 51 logic_net 1 logic_typespec 2801 logic_var 1 -module_inst 53 +module_inst 50 named_begin 2 operation 758 package 10 packed_array_typespec 36 -param_assign 4547 -parameter 4817 +param_assign 4545 +parameter 4815 range 2801 ref_module 5 ref_obj 344 @@ -7075,11 +7075,6 @@ design: (work@otp_ctrl) \_ref_obj: (prim_util_pkg::vbits::value), line:16:53, endln:16:58 |vpiParent: \_func_call: (prim_util_pkg::_clog2), line:16:31, endln:16:44 - |vpiTypespec: - \_integer_typespec: , line:5:37, endln:5:44 - |vpiInstance: - \_package: prim_util_pkg (prim_util_pkg::), file:${SURELOG_DIR}/tests/BlackParrotMuteErrors/dut.sv, line:1:1, endln:21:11 - |vpiSigned:1 |vpiName:value |vpiFullName:prim_util_pkg::vbits::value |vpiActual: @@ -50749,6 +50744,9 @@ design: (work@otp_ctrl) |vpiName:value |vpiTypedef: \_integer_typespec: , line:5:37, endln:5:44 + |vpiInstance: + \_package: prim_util_pkg (prim_util_pkg::), file:${SURELOG_DIR}/tests/BlackParrotMuteErrors/dut.sv, line:1:1, endln:21:11 + |vpiSigned:1 |vpiStmt: \_begin: (prim_util_pkg::_clog2), line:7:5, endln:7:23 |vpiParent: diff --git a/tests/EvalFunc/EvalFunc.log b/tests/EvalFunc/EvalFunc.log index b7ea85df72..06a7d08aff 100644 --- a/tests/EvalFunc/EvalFunc.log +++ b/tests/EvalFunc/EvalFunc.log @@ -764,11 +764,11 @@ integer_var 16 io_decl 8 logic_typespec 2 logic_var 1 -module_inst 16 +module_inst 13 operation 23 package 2 -param_assign 64 -parameter 64 +param_assign 62 +parameter 62 range 3 ref_obj 52 ref_var 3 @@ -794,11 +794,11 @@ integer_var 21 io_decl 16 logic_typespec 2 logic_var 2 -module_inst 16 +module_inst 13 operation 46 package 2 -param_assign 64 -parameter 64 +param_assign 62 +parameter 62 range 3 ref_obj 96 ref_var 6 @@ -1126,11 +1126,6 @@ design: (work@top) \_ref_obj: (prim_util_pkg::vbits::value), line:14:53, endln:14:58 |vpiParent: \_func_call: (prim_util_pkg::_clog2), line:14:31, endln:14:44 - |vpiTypespec: - \_integer_typespec: , line:3:37, endln:3:44 - |vpiInstance: - \_package: prim_util_pkg (prim_util_pkg::), file:${SURELOG_DIR}/tests/EvalFunc/dut.sv, line:1:1, endln:18:11 - |vpiSigned:1 |vpiName:value |vpiFullName:prim_util_pkg::vbits::value |vpiActual: @@ -1187,6 +1182,9 @@ design: (work@top) |vpiName:value |vpiTypedef: \_integer_typespec: , line:3:37, endln:3:44 + |vpiInstance: + \_package: prim_util_pkg (prim_util_pkg::), file:${SURELOG_DIR}/tests/EvalFunc/dut.sv, line:1:1, endln:18:11 + |vpiSigned:1 |vpiStmt: \_begin: (prim_util_pkg::_clog2), line:5:5, endln:5:23 |vpiParent: diff --git a/tests/EvalFuncPack/EvalFuncPack.log b/tests/EvalFuncPack/EvalFuncPack.log index 685b34f258..1b45c3869e 100644 --- a/tests/EvalFuncPack/EvalFuncPack.log +++ b/tests/EvalFuncPack/EvalFuncPack.log @@ -786,11 +786,11 @@ io_decl 19 logic_net 1 logic_typespec 2 logic_var 2 -module_inst 63 +module_inst 60 operation 35 package 8 -param_assign 74 -parameter 77 +param_assign 72 +parameter 75 range 5 ref_obj 56 ref_var 2 @@ -825,11 +825,11 @@ io_decl 39 logic_net 1 logic_typespec 2 logic_var 2 -module_inst 63 +module_inst 60 operation 63 package 8 -param_assign 74 -parameter 77 +param_assign 72 +parameter 75 range 8 ref_obj 107 ref_var 4 @@ -1164,11 +1164,6 @@ design: (work@flash_ctrl_info_cfg) \_ref_obj: (prim_util_pkg::vbits::value), line:17:53, endln:17:58 |vpiParent: \_func_call: (prim_util_pkg::_clog2), line:17:31, endln:17:44 - |vpiTypespec: - \_integer_typespec: , line:6:37, endln:6:44 - |vpiInstance: - \_package: prim_util_pkg (prim_util_pkg::), file:${SURELOG_DIR}/tests/EvalFuncPack/dut.sv, line:2:1, endln:22:11 - |vpiSigned:1 |vpiName:value |vpiFullName:prim_util_pkg::vbits::value |vpiActual: @@ -1785,6 +1780,9 @@ design: (work@flash_ctrl_info_cfg) |vpiName:value |vpiTypedef: \_integer_typespec: , line:6:37, endln:6:44 + |vpiInstance: + \_package: prim_util_pkg (prim_util_pkg::), file:${SURELOG_DIR}/tests/EvalFuncPack/dut.sv, line:2:1, endln:22:11 + |vpiSigned:1 |vpiStmt: \_begin: (prim_util_pkg::_clog2), line:8:5, endln:8:23 |vpiParent: diff --git a/tests/FileList/FileList.log b/tests/FileList/FileList.log index 1b35150224..056b2c1d0d 100644 --- a/tests/FileList/FileList.log +++ b/tests/FileList/FileList.log @@ -237,14 +237,14 @@ io_decl 2 logic_net 5 logic_typespec 8 logic_var 1 -module_inst 8 +module_inst 7 operation 9 package 2 param_assign 4 parameter 4 port 4 range 3 -ref_obj 16 +ref_obj 15 return_stmt 2 sys_func_call 2 === UHDM Object Stats End === @@ -268,14 +268,14 @@ io_decl 5 logic_net 5 logic_typespec 8 logic_var 1 -module_inst 8 +module_inst 7 operation 17 package 2 param_assign 4 parameter 4 port 6 range 3 -ref_obj 28 +ref_obj 27 return_stmt 5 sys_func_call 5 === UHDM Object Stats End === diff --git a/tests/ForeachForeach/ForeachForeach.log b/tests/ForeachForeach/ForeachForeach.log index 48c4f0bef6..fe07ba6ecc 100644 --- a/tests/ForeachForeach/ForeachForeach.log +++ b/tests/ForeachForeach/ForeachForeach.log @@ -135,17 +135,18 @@ bit_select 2 bit_typespec 3 bit_var 3 class_defn 1 -class_typespec 3 +class_typespec 2 class_var 2 -constant 11 +constant 10 design 1 foreach_stmt 4 function 2 hier_path 3 -module_inst 4 +module_inst 3 +operation 1 package 2 -range 6 -ref_obj 13 +range 5 +ref_obj 12 ref_var 6 unsupported_typespec 6 === UHDM Object Stats End === @@ -161,17 +162,18 @@ bit_select 4 bit_typespec 3 bit_var 10 class_defn 1 -class_typespec 3 +class_typespec 2 class_var 4 -constant 11 +constant 10 design 1 foreach_stmt 8 function 4 hier_path 5 -module_inst 4 +module_inst 3 +operation 1 package 2 -range 6 -ref_obj 19 +range 5 +ref_obj 18 ref_var 10 unsupported_typespec 6 === UHDM Object Stats End === @@ -205,42 +207,41 @@ design: (unnamed) |vpiParent: \_function: (uvm::uvm_phase::get_adjacent_predecessor_nodes), line:8:3, endln:20:14 |vpiTypespec: - \_array_typespec: , line:11:10, endln:11:33 + \_array_typespec: , line:11:10, endln:11:32 |vpiRange: - \_range: , line:11:22, endln:11:33 + \_range: , line:11:23, endln:11:32 |vpiParent: - \_array_typespec: , line:11:10, endln:11:33 + \_array_typespec: , line:11:10, endln:11:32 |vpiLeftRange: - \_constant: , line:11:22, endln:11:23 + \_constant: |vpiParent: - \_range: , line:11:22, endln:11:33 + \_range: , line:11:23, endln:11:32 |vpiDecompile:0 |vpiSize:64 |UINT:0 |vpiConstType:9 |vpiRightRange: - \_constant: , line:11:22, endln:11:23 + \_operation: , line:11:23, endln:11:32 |vpiParent: - \_range: , line:11:22, endln:11:33 - |vpiDecompile:associative - |STRING:associative - |vpiTypespec: - \_class_typespec: (uvm_phase), line:11:23, endln:11:32 + \_range: , line:11:23, endln:11:32 + |vpiOpType:11 + |vpiOperand: + \_ref_obj: (uvm_phase), line:11:23, endln:11:32 + |vpiParent: + \_operation: , line:11:23, endln:11:32 |vpiName:uvm_phase - |vpiInstance: - \_package: uvm (uvm::), file:${SURELOG_DIR}/tests/ForeachForeach/dut.sv, line:1:1, endln:23:11 - |vpiClassDefn: - \_class_defn: (uvm::uvm_phase), file:${SURELOG_DIR}/tests/ForeachForeach/dut.sv, line:3:1, endln:5:9 - |vpiConstType:6 - |vpiIndexTypespec: - \_class_typespec: (uvm_phase), line:11:23, endln:11:32 + |vpiOperand: + \_constant: + |vpiSize:64 + |INT:1 + |vpiConstType:7 |vpiElemTypespec: \_bit_typespec: , line:11:6, endln:11:9 |vpiInstance: \_package: uvm (uvm::), file:${SURELOG_DIR}/tests/ForeachForeach/dut.sv, line:1:1, endln:23:11 |vpiName:predecessors |vpiFullName:uvm::uvm_phase::get_adjacent_predecessor_nodes::predecessors - |vpiArrayType:3 + |vpiArrayType:1 |vpiReg: \_bit_var: (uvm::uvm_phase::get_adjacent_predecessor_nodes::predecessors), line:11:10, endln:11:22 |vpiParent: @@ -266,10 +267,10 @@ design: (unnamed) |vpiParent: \_begin: (uvm::uvm_phase::get_adjacent_predecessor_nodes), line:13:6, endln:17:9 |vpiTypespec: - \_array_typespec: , line:11:10, endln:11:33 + \_array_typespec: , line:11:10, endln:11:32 |vpiName:predecessors |vpiFullName:uvm::uvm_phase::get_adjacent_predecessor_nodes::predecessors - |vpiArrayType:3 + |vpiArrayType:1 |vpiReg: \_bit_var: (uvm::uvm_phase::get_adjacent_predecessor_nodes::predecessors), line:11:10, endln:11:22 |vpiParent: diff --git a/tests/FuncDef2/FuncDef2.log b/tests/FuncDef2/FuncDef2.log index 1cb89eb038..b1bb1a712a 100644 --- a/tests/FuncDef2/FuncDef2.log +++ b/tests/FuncDef2/FuncDef2.log @@ -3658,7 +3658,7 @@ bit_select 39 bit_typespec 9 bit_var 4 break_stmt 2 -constant 332 +constant 319 design 1 enum_const 28 enum_typespec 9 @@ -3673,21 +3673,21 @@ hier_path 74 if_else 20 if_stmt 18 import_typespec 1 -int_typespec 138 +int_typespec 132 int_var 88 io_decl 72 logic_net 7 logic_typespec 26 logic_var 14 -module_inst 76 +module_inst 42 named_begin 3 operation 120 package 2 -param_assign 118 -parameter 125 +param_assign 76 +parameter 83 port 6 range 9 -ref_obj 442 +ref_obj 448 ref_var 1 return_stmt 88 short_int_typespec 104 @@ -3711,7 +3711,7 @@ bit_select 76 bit_typespec 9 bit_var 4 break_stmt 5 -constant 335 +constant 321 design 1 enum_const 28 enum_typespec 9 @@ -3726,21 +3726,21 @@ hier_path 159 if_else 50 if_stmt 45 import_typespec 1 -int_typespec 138 +int_typespec 132 int_var 193 io_decl 180 logic_net 7 logic_typespec 26 logic_var 14 -module_inst 76 +module_inst 42 named_begin 3 operation 270 package 2 -param_assign 118 -parameter 125 +param_assign 76 +parameter 83 port 9 range 9 -ref_obj 1016 +ref_obj 1031 ref_var 1 return_stmt 220 short_int_typespec 104 @@ -4989,8 +4989,6 @@ design: (work@tnoc_vc_splitter) \_ref_obj: (tnoc_pkg::get_location_id_width::packet_config), line:104:27, endln:104:40 |vpiParent: \_func_call: (get_id_x_width), line:104:12, endln:104:41 - |vpiTypespec: - \_struct_typespec: (tnoc_pkg::tnoc_packet_config), line:387:5, endln:387:23 |vpiName:packet_config |vpiFullName:tnoc_pkg::get_location_id_width::packet_config |vpiActual: @@ -5006,8 +5004,6 @@ design: (work@tnoc_vc_splitter) \_ref_obj: (tnoc_pkg::get_location_id_width::packet_config), line:104:59, endln:104:72 |vpiParent: \_func_call: (get_id_y_width), line:104:44, endln:104:73 - |vpiTypespec: - \_struct_typespec: (tnoc_pkg::tnoc_packet_config), line:387:5, endln:387:23 |vpiName:packet_config |vpiFullName:tnoc_pkg::get_location_id_width::packet_config |vpiActual: @@ -5440,8 +5436,6 @@ design: (work@tnoc_vc_splitter) \_operation: , line:135:23, endln:135:56 |vpiParent: \_func_call: (tnoc_clog2), line:135:12, endln:135:57 - |vpiTypespec: - \_bit_typespec: , line:66:37, endln:66:40 |vpiOpType:24 |vpiOperand: \_hier_path: (packet_config.max_byte_length), line:135:23, endln:135:52 @@ -5965,8 +5959,6 @@ design: (work@tnoc_vc_splitter) \_operation: , line:168:23, endln:168:71 |vpiParent: \_func_call: (tnoc_clog2), line:168:12, endln:168:72 - |vpiTypespec: - \_bit_typespec: , line:66:37, endln:66:40 |vpiOpType:24 |vpiOperand: \_operation: , line:168:24, endln:168:66 @@ -6057,13 +6049,13 @@ design: (work@tnoc_vc_splitter) |vpiActual: \_io_decl: (packet_type), line:199:66, endln:199:77 |vpiOperand: - \_constant: , line:200:28, endln:200:47 + \_ref_obj: (tnoc_pkg::is_valid_packet_type::TNOC_INVALID_PACKET), line:200:28, endln:200:47 |vpiParent: \_operation: , line:200:13, endln:200:47 - |vpiDecompile:'b00000000 - |vpiSize:-1 - |BIN:00000000 - |vpiConstType:3 + |vpiName:TNOC_INVALID_PACKET + |vpiFullName:tnoc_pkg::is_valid_packet_type::TNOC_INVALID_PACKET + |vpiActual: + \_enum_const: (TNOC_INVALID_PACKET), line:175:5, endln:175:42 |vpiInstance: \_package: tnoc_pkg (tnoc_pkg::), file:${SURELOG_DIR}/tests/FuncDef2/dut.sv, line:40:1, endln:400:11 |vpiTaskFunc: @@ -6108,8 +6100,6 @@ design: (work@tnoc_vc_splitter) \_ref_obj: (tnoc_pkg::is_request_packet_type::packet_type), line:204:34, endln:204:45 |vpiParent: \_func_call: (is_valid_packet_type), line:204:13, endln:204:46 - |vpiTypespec: - \_enum_typespec: (tnoc_pkg::tnoc_packet_type), line:223:62, endln:223:78 |vpiName:packet_type |vpiFullName:tnoc_pkg::is_request_packet_type::packet_type |vpiActual: @@ -6131,20 +6121,13 @@ design: (work@tnoc_vc_splitter) |vpiActual: \_io_decl: (packet_type), line:203:68, endln:203:79 |vpiIndex: - \_constant: , line:204:64, endln:204:93 + \_ref_obj: (tnoc_pkg::is_request_packet_type::TNOC_PACKET_TYPE_RESPONSE_BIT), line:204:64, endln:204:93 |vpiParent: \_bit_select: (tnoc_pkg::is_request_packet_type::packet_type), line:204:64, endln:204:93 - |vpiDecompile:7 - |vpiSize:64 - |UINT:7 - |vpiTypespec: - \_int_typespec: , line:183:15, endln:183:18 - |vpiParent: - \_constant: , line:204:64, endln:204:93 - |vpiInstance: - \_package: tnoc_pkg (tnoc_pkg::), file:${SURELOG_DIR}/tests/FuncDef2/dut.sv, line:40:1, endln:400:11 - |vpiSigned:1 - |vpiConstType:9 + |vpiName:TNOC_PACKET_TYPE_RESPONSE_BIT + |vpiFullName:tnoc_pkg::is_request_packet_type::TNOC_PACKET_TYPE_RESPONSE_BIT + |vpiActual: + \_parameter: (tnoc_pkg::TNOC_PACKET_TYPE_RESPONSE_BIT), line:183:19, endln:183:48 |vpiInstance: \_package: tnoc_pkg (tnoc_pkg::), file:${SURELOG_DIR}/tests/FuncDef2/dut.sv, line:40:1, endln:400:11 |vpiTaskFunc: @@ -6189,8 +6172,6 @@ design: (work@tnoc_vc_splitter) \_ref_obj: (tnoc_pkg::is_response_packet_type::packet_type), line:208:34, endln:208:45 |vpiParent: \_func_call: (is_valid_packet_type), line:208:13, endln:208:46 - |vpiTypespec: - \_enum_typespec: (tnoc_pkg::tnoc_packet_type), line:223:62, endln:223:78 |vpiName:packet_type |vpiFullName:tnoc_pkg::is_response_packet_type::packet_type |vpiActual: @@ -6207,20 +6188,13 @@ design: (work@tnoc_vc_splitter) |vpiActual: \_io_decl: (packet_type), line:207:69, endln:207:80 |vpiIndex: - \_constant: , line:208:62, endln:208:91 + \_ref_obj: (tnoc_pkg::is_response_packet_type::TNOC_PACKET_TYPE_RESPONSE_BIT), line:208:62, endln:208:91 |vpiParent: \_bit_select: (tnoc_pkg::is_response_packet_type::packet_type), line:208:62, endln:208:91 - |vpiDecompile:7 - |vpiSize:64 - |UINT:7 - |vpiTypespec: - \_int_typespec: , line:183:15, endln:183:18 - |vpiParent: - \_constant: , line:208:62, endln:208:91 - |vpiInstance: - \_package: tnoc_pkg (tnoc_pkg::), file:${SURELOG_DIR}/tests/FuncDef2/dut.sv, line:40:1, endln:400:11 - |vpiSigned:1 - |vpiConstType:9 + |vpiName:TNOC_PACKET_TYPE_RESPONSE_BIT + |vpiFullName:tnoc_pkg::is_response_packet_type::TNOC_PACKET_TYPE_RESPONSE_BIT + |vpiActual: + \_parameter: (tnoc_pkg::TNOC_PACKET_TYPE_RESPONSE_BIT), line:183:19, endln:183:48 |vpiInstance: \_package: tnoc_pkg (tnoc_pkg::), file:${SURELOG_DIR}/tests/FuncDef2/dut.sv, line:40:1, endln:400:11 |vpiTaskFunc: @@ -6265,8 +6239,6 @@ design: (work@tnoc_vc_splitter) \_ref_obj: (tnoc_pkg::is_packet_with_payload_type::packet_type), line:212:34, endln:212:45 |vpiParent: \_func_call: (is_valid_packet_type), line:212:13, endln:212:46 - |vpiTypespec: - \_enum_typespec: (tnoc_pkg::tnoc_packet_type), line:223:62, endln:223:78 |vpiName:packet_type |vpiFullName:tnoc_pkg::is_packet_with_payload_type::packet_type |vpiActual: @@ -6283,20 +6255,13 @@ design: (work@tnoc_vc_splitter) |vpiActual: \_io_decl: (packet_type), line:211:73, endln:211:84 |vpiIndex: - \_constant: , line:212:62, endln:212:90 + \_ref_obj: (tnoc_pkg::is_packet_with_payload_type::TNOC_PACKET_TYPE_PAYLOAD_BIT), line:212:62, endln:212:90 |vpiParent: \_bit_select: (tnoc_pkg::is_packet_with_payload_type::packet_type), line:212:62, endln:212:90 - |vpiDecompile:6 - |vpiSize:64 - |UINT:6 - |vpiTypespec: - \_int_typespec: , line:184:15, endln:184:18 - |vpiParent: - \_constant: , line:212:62, endln:212:90 - |vpiInstance: - \_package: tnoc_pkg (tnoc_pkg::), file:${SURELOG_DIR}/tests/FuncDef2/dut.sv, line:40:1, endln:400:11 - |vpiSigned:1 - |vpiConstType:9 + |vpiName:TNOC_PACKET_TYPE_PAYLOAD_BIT + |vpiFullName:tnoc_pkg::is_packet_with_payload_type::TNOC_PACKET_TYPE_PAYLOAD_BIT + |vpiActual: + \_parameter: (tnoc_pkg::TNOC_PACKET_TYPE_PAYLOAD_BIT), line:184:19, endln:184:47 |vpiInstance: \_package: tnoc_pkg (tnoc_pkg::), file:${SURELOG_DIR}/tests/FuncDef2/dut.sv, line:40:1, endln:400:11 |vpiTaskFunc: @@ -6341,8 +6306,6 @@ design: (work@tnoc_vc_splitter) \_ref_obj: (tnoc_pkg::is_header_only_packet_type::packet_type), line:216:34, endln:216:45 |vpiParent: \_func_call: (is_valid_packet_type), line:216:13, endln:216:46 - |vpiTypespec: - \_enum_typespec: (tnoc_pkg::tnoc_packet_type), line:223:62, endln:223:78 |vpiName:packet_type |vpiFullName:tnoc_pkg::is_header_only_packet_type::packet_type |vpiActual: @@ -6364,20 +6327,13 @@ design: (work@tnoc_vc_splitter) |vpiActual: \_io_decl: (packet_type), line:215:72, endln:215:83 |vpiIndex: - \_constant: , line:216:64, endln:216:92 + \_ref_obj: (tnoc_pkg::is_header_only_packet_type::TNOC_PACKET_TYPE_PAYLOAD_BIT), line:216:64, endln:216:92 |vpiParent: \_bit_select: (tnoc_pkg::is_header_only_packet_type::packet_type), line:216:64, endln:216:92 - |vpiDecompile:6 - |vpiSize:64 - |UINT:6 - |vpiTypespec: - \_int_typespec: , line:184:15, endln:184:18 - |vpiParent: - \_constant: , line:216:64, endln:216:92 - |vpiInstance: - \_package: tnoc_pkg (tnoc_pkg::), file:${SURELOG_DIR}/tests/FuncDef2/dut.sv, line:40:1, endln:400:11 - |vpiSigned:1 - |vpiConstType:9 + |vpiName:TNOC_PACKET_TYPE_PAYLOAD_BIT + |vpiFullName:tnoc_pkg::is_header_only_packet_type::TNOC_PACKET_TYPE_PAYLOAD_BIT + |vpiActual: + \_parameter: (tnoc_pkg::TNOC_PACKET_TYPE_PAYLOAD_BIT), line:184:19, endln:184:47 |vpiInstance: \_package: tnoc_pkg (tnoc_pkg::), file:${SURELOG_DIR}/tests/FuncDef2/dut.sv, line:40:1, endln:400:11 |vpiTaskFunc: @@ -6422,8 +6378,6 @@ design: (work@tnoc_vc_splitter) \_ref_obj: (tnoc_pkg::is_posted_request_packet_type::packet_type), line:220:36, endln:220:47 |vpiParent: \_func_call: (is_request_packet_type), line:220:13, endln:220:48 - |vpiTypespec: - \_enum_typespec: (tnoc_pkg::tnoc_packet_type), line:223:62, endln:223:78 |vpiName:packet_type |vpiFullName:tnoc_pkg::is_posted_request_packet_type::packet_type |vpiActual: @@ -6445,20 +6399,13 @@ design: (work@tnoc_vc_splitter) |vpiActual: \_io_decl: (packet_type), line:219:75, endln:219:86 |vpiIndex: - \_constant: , line:220:66, endln:220:97 + \_ref_obj: (tnoc_pkg::is_posted_request_packet_type::TNOC_PACKET_TYPE_NON_POSTED_BIT), line:220:66, endln:220:97 |vpiParent: \_bit_select: (tnoc_pkg::is_posted_request_packet_type::packet_type), line:220:66, endln:220:97 - |vpiDecompile:5 - |vpiSize:64 - |UINT:5 - |vpiTypespec: - \_int_typespec: , line:185:15, endln:185:18 - |vpiParent: - \_constant: , line:220:66, endln:220:97 - |vpiInstance: - \_package: tnoc_pkg (tnoc_pkg::), file:${SURELOG_DIR}/tests/FuncDef2/dut.sv, line:40:1, endln:400:11 - |vpiSigned:1 - |vpiConstType:9 + |vpiName:TNOC_PACKET_TYPE_NON_POSTED_BIT + |vpiFullName:tnoc_pkg::is_posted_request_packet_type::TNOC_PACKET_TYPE_NON_POSTED_BIT + |vpiActual: + \_parameter: (tnoc_pkg::TNOC_PACKET_TYPE_NON_POSTED_BIT), line:185:19, endln:185:50 |vpiInstance: \_package: tnoc_pkg (tnoc_pkg::), file:${SURELOG_DIR}/tests/FuncDef2/dut.sv, line:40:1, endln:400:11 |vpiTaskFunc: @@ -6503,8 +6450,6 @@ design: (work@tnoc_vc_splitter) \_ref_obj: (tnoc_pkg::is_non_posted_request_packet_type::packet_type), line:224:36, endln:224:47 |vpiParent: \_func_call: (is_request_packet_type), line:224:13, endln:224:48 - |vpiTypespec: - \_enum_typespec: (tnoc_pkg::tnoc_packet_type), line:223:62, endln:223:78 |vpiName:packet_type |vpiFullName:tnoc_pkg::is_non_posted_request_packet_type::packet_type |vpiActual: @@ -6521,20 +6466,13 @@ design: (work@tnoc_vc_splitter) |vpiActual: \_io_decl: (packet_type), line:223:79, endln:223:90 |vpiIndex: - \_constant: , line:224:64, endln:224:95 + \_ref_obj: (tnoc_pkg::is_non_posted_request_packet_type::TNOC_PACKET_TYPE_NON_POSTED_BIT), line:224:64, endln:224:95 |vpiParent: \_bit_select: (tnoc_pkg::is_non_posted_request_packet_type::packet_type), line:224:64, endln:224:95 - |vpiDecompile:5 - |vpiSize:64 - |UINT:5 - |vpiTypespec: - \_int_typespec: , line:185:15, endln:185:18 - |vpiParent: - \_constant: , line:224:64, endln:224:95 - |vpiInstance: - \_package: tnoc_pkg (tnoc_pkg::), file:${SURELOG_DIR}/tests/FuncDef2/dut.sv, line:40:1, endln:400:11 - |vpiSigned:1 - |vpiConstType:9 + |vpiName:TNOC_PACKET_TYPE_NON_POSTED_BIT + |vpiFullName:tnoc_pkg::is_non_posted_request_packet_type::TNOC_PACKET_TYPE_NON_POSTED_BIT + |vpiActual: + \_parameter: (tnoc_pkg::TNOC_PACKET_TYPE_NON_POSTED_BIT), line:185:19, endln:185:50 |vpiInstance: \_package: tnoc_pkg (tnoc_pkg::), file:${SURELOG_DIR}/tests/FuncDef2/dut.sv, line:40:1, endln:400:11 |vpiTaskFunc: @@ -9050,13 +8988,13 @@ design: (work@tnoc_vc_splitter) |vpiActual: \_io_decl: (port_type), line:378:55, endln:378:64 |vpiOperand: - \_constant: , line:379:26, endln:379:41 + \_ref_obj: (tnoc_pkg::is_local_port::TNOC_LOCAL_PORT), line:379:26, endln:379:41 |vpiParent: \_operation: , line:379:13, endln:379:41 - |vpiDecompile:1'b0 - |vpiSize:1 - |BIN:0 - |vpiConstType:3 + |vpiName:TNOC_LOCAL_PORT + |vpiFullName:tnoc_pkg::is_local_port::TNOC_LOCAL_PORT + |vpiActual: + \_enum_const: (TNOC_LOCAL_PORT), line:374:5, endln:374:31 |vpiInstance: \_package: tnoc_pkg (tnoc_pkg::), file:${SURELOG_DIR}/tests/FuncDef2/dut.sv, line:40:1, endln:400:11 |vpiTaskFunc: @@ -9102,13 +9040,13 @@ design: (work@tnoc_vc_splitter) |vpiActual: \_io_decl: (port_type), line:382:57, endln:382:66 |vpiOperand: - \_constant: , line:383:26, endln:383:44 + \_ref_obj: (tnoc_pkg::is_interna_port::TNOC_INTERNAL_PORT), line:383:26, endln:383:44 |vpiParent: \_operation: , line:383:13, endln:383:44 - |vpiDecompile:1'b1 - |vpiSize:1 - |BIN:1 - |vpiConstType:3 + |vpiName:TNOC_INTERNAL_PORT + |vpiFullName:tnoc_pkg::is_interna_port::TNOC_INTERNAL_PORT + |vpiActual: + \_enum_const: (TNOC_INTERNAL_PORT), line:375:5, endln:375:31 |vpiInstance: \_package: tnoc_pkg (tnoc_pkg::), file:${SURELOG_DIR}/tests/FuncDef2/dut.sv, line:40:1, endln:400:11 |vpiTaskFunc: diff --git a/tests/PackEnumVal/PackEnumVal.log b/tests/PackEnumVal/PackEnumVal.log index 9d4cff1d09..a23baf3e3b 100644 --- a/tests/PackEnumVal/PackEnumVal.log +++ b/tests/PackEnumVal/PackEnumVal.log @@ -137,7 +137,7 @@ AST_DEBUG_END [INF:UH0706] Creating UHDM Model... === UHDM Object Stats Begin (Non-Elaborated Model) === -constant 10 +constant 9 cont_assign 1 design 1 enum_const 4 @@ -160,7 +160,7 @@ return_stmt 2 [INF:UH0707] Elaborating UHDM... === UHDM Object Stats Begin (Elaborated Model) === -constant 10 +constant 9 cont_assign 2 design 1 enum_const 4 @@ -177,7 +177,7 @@ package 2 param_assign 1 parameter 1 port 6 -ref_obj 16 +ref_obj 17 return_stmt 4 === UHDM Object Stats End === [INF:UH0708] Writing UHDM DB: ${SURELOG_DIR}/build/regression/PackEnumVal/slpp_all/surelog.uhdm ... @@ -261,13 +261,13 @@ design: (work@top) \_return_stmt: , line:10:5, endln:10:11 |vpiOpType:14 |vpiOperand: - \_constant: , line:10:12, endln:10:14 + \_ref_obj: (test_package::test_true::On), line:10:12, endln:10:14 |vpiParent: \_operation: , line:10:12, endln:10:21 - |vpiDecompile:1 - |vpiSize:64 - |UINT:1 - |vpiConstType:9 + |vpiName:On + |vpiFullName:test_package::test_true::On + |vpiActual: + \_enum_const: (On), line:5:5, endln:5:12 |vpiOperand: \_ref_obj: (test_package::test_true::val), line:10:18, endln:10:21 |vpiParent: @@ -545,4 +545,4 @@ design: (work@top) [ NOTE] : 5 -[roundtrip]: ${SURELOG_DIR}/tests/PackEnumVal/dut.sv | ${SURELOG_DIR}/build/regression/PackEnumVal/roundtrip/dut_000.sv | 7 | 18 | \ No newline at end of file +[roundtrip]: ${SURELOG_DIR}/tests/PackEnumVal/dut.sv | ${SURELOG_DIR}/build/regression/PackEnumVal/roundtrip/dut_000.sv | 6 | 18 | \ No newline at end of file diff --git a/tests/PackFuncParent/PackFuncParent.log b/tests/PackFuncParent/PackFuncParent.log index 13ca511bf9..f60750c674 100644 --- a/tests/PackFuncParent/PackFuncParent.log +++ b/tests/PackFuncParent/PackFuncParent.log @@ -255,7 +255,7 @@ io_decl 4 logic_net 2 logic_typespec 15 logic_var 6 -module_inst 8 +module_inst 7 package 2 param_assign 6 parameter 6 @@ -280,7 +280,7 @@ io_decl 8 logic_net 2 logic_typespec 15 logic_var 10 -module_inst 8 +module_inst 7 package 2 param_assign 6 parameter 6 diff --git a/tests/PackageBind/PackageBind.log b/tests/PackageBind/PackageBind.log index 7492099c8c..ca93b2244b 100644 --- a/tests/PackageBind/PackageBind.log +++ b/tests/PackageBind/PackageBind.log @@ -185,7 +185,7 @@ integer_typespec 10 integer_var 5 io_decl 2 logic_net 1 -module_inst 6 +module_inst 5 operation 6 package 2 param_assign 9 @@ -211,7 +211,7 @@ integer_typespec 10 integer_var 9 io_decl 4 logic_net 1 -module_inst 6 +module_inst 5 operation 12 package 2 param_assign 9 diff --git a/tests/PackageFuncCall/PackageFuncCall.log b/tests/PackageFuncCall/PackageFuncCall.log index 179f4536fc..ef13c504e3 100644 --- a/tests/PackageFuncCall/PackageFuncCall.log +++ b/tests/PackageFuncCall/PackageFuncCall.log @@ -801,7 +801,7 @@ io_decl 15 logic_net 7 logic_typespec 16 logic_var 5 -module_inst 6 +module_inst 5 named_begin 1 operation 26 package 4 @@ -841,7 +841,7 @@ io_decl 30 logic_net 7 logic_typespec 16 logic_var 9 -module_inst 6 +module_inst 5 named_begin 2 operation 40 package 4 diff --git a/tests/PkgImportFunc/PkgImportFunc.log b/tests/PkgImportFunc/PkgImportFunc.log index 976fa3fa5a..3100fda0c6 100644 --- a/tests/PkgImportFunc/PkgImportFunc.log +++ b/tests/PkgImportFunc/PkgImportFunc.log @@ -134,7 +134,7 @@ import_typespec 2 int_typespec 13 int_var 11 logic_net 1 -module_inst 6 +module_inst 5 package 4 param_assign 2 parameter 2 @@ -156,7 +156,7 @@ import_typespec 2 int_typespec 13 int_var 23 logic_net 1 -module_inst 6 +module_inst 5 package 4 param_assign 2 parameter 2 diff --git a/tests/SimpleClass1/SimpleClass1.log b/tests/SimpleClass1/SimpleClass1.log index d0d3468eee..e7e37eb519 100644 --- a/tests/SimpleClass1/SimpleClass1.log +++ b/tests/SimpleClass1/SimpleClass1.log @@ -806,9 +806,9 @@ case_stmt 211 chandle_typespec 14 chandle_var 2 class_defn 640 -class_typespec 16505 +class_typespec 16501 class_var 8799 -constant 79428 +constant 79415 constraint 12 continue_stmt 124 delay_control 129 @@ -843,24 +843,24 @@ logic_var 71 long_int_typespec 179 long_int_var 26 method_func_call 17747 -module_inst 40 +module_inst 30 named_begin 18 named_event 8 named_fork 7 -operation 23405 +operation 23409 package 8 packed_array_typespec 8 -param_assign 1835 -parameter 3389 +param_assign 1825 +parameter 3379 part_select 123 -range 12193 +range 12189 real_typespec 41 real_var 8 -ref_obj 100588 +ref_obj 100585 ref_var 4429 repeat 70 return_stmt 6760 -string_typespec 6860 +string_typespec 6859 string_var 3104 struct_typespec 110 struct_var 107 diff --git a/tests/SimpleInterface/SimpleInterface.log b/tests/SimpleInterface/SimpleInterface.log index fa9661f228..4eb2d066c7 100644 --- a/tests/SimpleInterface/SimpleInterface.log +++ b/tests/SimpleInterface/SimpleInterface.log @@ -2193,11 +2193,11 @@ case_stmt 83 chandle_typespec 6 chandle_var 2 class_defn 613 -class_typespec 8664 +class_typespec 8660 class_var 3403 clocking_block 4 clocking_io_decl 12 -constant 29378 +constant 29365 constraint 4 continue_stmt 52 delay_control 60 @@ -2236,26 +2236,26 @@ long_int_typespec 99 long_int_var 18 method_func_call 7996 modport 6 -module_inst 49 +module_inst 39 named_begin 6 named_event 8 named_fork 3 -operation 10011 +operation 10015 package 4 packed_array_typespec 8 -param_assign 642 -parameter 888 +param_assign 632 +parameter 878 part_select 43 port 22 -range 3229 +range 3225 real_typespec 33 real_var 8 ref_module 2 -ref_obj 41471 +ref_obj 41468 ref_var 1789 repeat 26 return_stmt 3272 -string_typespec 3268 +string_typespec 3267 string_var 1387 struct_typespec 14 struct_var 35 diff --git a/tests/UnitThisNew/UnitThisNew.log b/tests/UnitThisNew/UnitThisNew.log index 2d73e166cc..b2a0e429f1 100644 --- a/tests/UnitThisNew/UnitThisNew.log +++ b/tests/UnitThisNew/UnitThisNew.log @@ -600,7 +600,7 @@ int_var 4 io_decl 19 logic_var 1 method_func_call 10 -module_inst 2 +module_inst 1 operation 4 package 4 range 2 @@ -639,7 +639,7 @@ int_var 4 io_decl 38 logic_var 1 method_func_call 20 -module_inst 2 +module_inst 1 operation 8 package 4 range 2 diff --git a/third_party/tests/AmiqEth/AmiqEth.log b/third_party/tests/AmiqEth/AmiqEth.log index 61a8dec63e..26ecc5a56c 100644 --- a/third_party/tests/AmiqEth/AmiqEth.log +++ b/third_party/tests/AmiqEth/AmiqEth.log @@ -1628,9 +1628,9 @@ case_stmt 393 chandle_typespec 18 chandle_var 2 class_defn 1256 -class_typespec 42997 +class_typespec 42973 class_var 31170 -constant 418797 +constant 418776 constraint 19 continue_stmt 161 delay_control 208 @@ -1670,24 +1670,24 @@ logic_var 129 long_int_typespec 256 long_int_var 37 method_func_call 29282 -module_inst 123 +module_inst 91 named_begin 24 named_event 17 named_fork 17 -operation 43734 +operation 43738 package 14 packed_array_typespec 126 -param_assign 43650 -parameter 58963 +param_assign 43624 +parameter 58937 part_select 181 -range 140974 +range 140970 real_typespec 71 real_var 11 -ref_obj 172632 +ref_obj 172630 ref_var 6605 repeat 94 return_stmt 11549 -string_typespec 22453 +string_typespec 22451 string_var 11171 struct_typespec 158 struct_var 143 diff --git a/third_party/tests/AmiqSimpleTestSuite/AmiqSimpleTestSuite.log b/third_party/tests/AmiqSimpleTestSuite/AmiqSimpleTestSuite.log index 03f5b5aca5..cf283257f0 100644 --- a/third_party/tests/AmiqSimpleTestSuite/AmiqSimpleTestSuite.log +++ b/third_party/tests/AmiqSimpleTestSuite/AmiqSimpleTestSuite.log @@ -887,9 +887,9 @@ case_stmt 211 chandle_typespec 14 chandle_var 2 class_defn 645 -class_typespec 17210 +class_typespec 17206 class_var 9024 -constant 85057 +constant 85044 constraint 13 continue_stmt 124 cover 30 @@ -932,27 +932,27 @@ logic_var 92 long_int_typespec 179 long_int_var 26 method_func_call 18186 -module_inst 52 +module_inst 42 named_begin 18 named_event 8 named_fork 7 -operation 23855 +operation 23859 package 8 packed_array_typespec 8 -param_assign 2744 -parameter 4417 +param_assign 2734 +parameter 4407 part_select 123 port 9 property_spec 62 -range 13917 +range 13913 real_typespec 41 real_var 8 ref_module 5 -ref_obj 103719 +ref_obj 103716 ref_var 4666 repeat 76 return_stmt 6983 -string_typespec 7289 +string_typespec 7288 string_var 3294 struct_typespec 110 struct_var 107 diff --git a/third_party/tests/ApbSlave/ApbSlave.log b/third_party/tests/ApbSlave/ApbSlave.log index a7b9544ce7..95fb6f1ee4 100644 --- a/third_party/tests/ApbSlave/ApbSlave.log +++ b/third_party/tests/ApbSlave/ApbSlave.log @@ -111,7 +111,7 @@ logic_net 49 logic_typespec 63 logic_var 11 method_func_call 67 -module_inst 12 +module_inst 11 named_begin 4 operation 72 package 4 diff --git a/third_party/tests/AzadiRTL/AzadiRTL.log b/third_party/tests/AzadiRTL/AzadiRTL.log index 94f0c7eb49..89e43a9132 100644 --- a/third_party/tests/AzadiRTL/AzadiRTL.log +++ b/third_party/tests/AzadiRTL/AzadiRTL.log @@ -21743,7 +21743,7 @@ case_stmt 178 class_defn 8 class_typespec 4 class_var 3 -constant 271727 +constant 271726 cont_assign 7035 delay_control 4 design 1 @@ -21778,21 +21778,21 @@ logic_typespec 63987 logic_var 3730 long_int_typespec 6 long_int_var 2 -module_inst 3220 +module_inst 3214 named_begin 340 operation 61080 package 28 packed_array_net 3 packed_array_typespec 19096 packed_array_var 10 -param_assign 8681 -parameter 10395 +param_assign 8677 +parameter 10391 part_select 1757 port 13121 property_spec 31 range 89011 ref_module 476 -ref_obj 57557 +ref_obj 57554 ref_var 67 return_stmt 118 string_typespec 18806 @@ -21825,7 +21825,7 @@ case_stmt 316 class_defn 8 class_typespec 4 class_var 3 -constant 273345 +constant 273344 cont_assign 15432 delay_control 8 design 1 @@ -21860,21 +21860,21 @@ logic_typespec 63987 logic_var 5699 long_int_typespec 6 long_int_var 6 -module_inst 3420 +module_inst 3414 named_begin 495 -operation 92348 +operation 92349 package 28 packed_array_net 3 packed_array_typespec 19096 packed_array_var 18 -param_assign 11285 -parameter 10395 +param_assign 11281 +parameter 10391 part_select 2783 port 23276 property_spec 110 range 90183 ref_module 476 -ref_obj 124702 +ref_obj 124699 ref_var 95 return_stmt 317 string_typespec 18977 diff --git a/third_party/tests/BuildOVMPkg/BuildOVMPkg.log b/third_party/tests/BuildOVMPkg/BuildOVMPkg.log index e8d4885e0b..059394a122 100644 --- a/third_party/tests/BuildOVMPkg/BuildOVMPkg.log +++ b/third_party/tests/BuildOVMPkg/BuildOVMPkg.log @@ -830,9 +830,9 @@ byte_var 22 case_item 326 case_stmt 59 class_defn 525 -class_typespec 2815 +class_typespec 2795 class_var 1089 -constant 8907 +constant 8899 constraint 3 delay_control 26 design 1 @@ -866,23 +866,23 @@ io_decl 3530 logic_typespec 83 logic_var 29 method_func_call 3182 -module_inst 58 +module_inst 36 named_event 6 named_fork 4 operation 4767 package 4 packed_array_typespec 6 -param_assign 202 -parameter 202 +param_assign 186 +parameter 186 part_select 8 range 420 real_typespec 18 real_var 3 -ref_obj 17286 +ref_obj 17287 ref_var 359 repeat 2 return_stmt 1573 -string_typespec 1990 +string_typespec 1989 string_var 756 sys_func_call 647 task 247 diff --git a/third_party/tests/BuildUVMPkg/BuildUVMPkg.log b/third_party/tests/BuildUVMPkg/BuildUVMPkg.log index 95f0a0334e..fe73b2ad77 100644 --- a/third_party/tests/BuildUVMPkg/BuildUVMPkg.log +++ b/third_party/tests/BuildUVMPkg/BuildUVMPkg.log @@ -701,9 +701,9 @@ case_stmt 83 chandle_typespec 6 chandle_var 2 class_defn 613 -class_typespec 8460 +class_typespec 8456 class_var 3302 -constant 26381 +constant 26368 constraint 4 continue_stmt 52 delay_control 53 @@ -735,24 +735,24 @@ logic_var 38 long_int_typespec 99 long_int_var 18 method_func_call 7996 -module_inst 36 +module_inst 26 named_begin 6 named_event 8 named_fork 3 -operation 9989 +operation 9993 package 4 packed_array_typespec 8 -param_assign 191 -parameter 191 +param_assign 181 +parameter 181 part_select 43 -range 1979 +range 1975 real_typespec 33 real_var 8 -ref_obj 41128 +ref_obj 41125 ref_var 1788 repeat 26 return_stmt 3272 -string_typespec 3167 +string_typespec 3166 string_var 1363 struct_typespec 14 struct_var 35 diff --git a/third_party/tests/CoresSweRV/CoresSweRV.log b/third_party/tests/CoresSweRV/CoresSweRV.log index 69d2bf9dd5..5ac08f0310 100644 --- a/third_party/tests/CoresSweRV/CoresSweRV.log +++ b/third_party/tests/CoresSweRV/CoresSweRV.log @@ -4823,9 +4823,9 @@ case_stmt 122 chandle_typespec 6 chandle_var 2 class_defn 613 -class_typespec 8460 +class_typespec 8456 class_var 3302 -constant 217364 +constant 217351 constraint 4 cont_assign 7074 continue_stmt 52 @@ -4869,27 +4869,27 @@ logic_var 4307 long_int_typespec 99 long_int_var 18 method_func_call 7996 -module_inst 7734 +module_inst 7724 named_begin 72 named_event 8 named_fork 3 -operation 94320 +operation 94324 package 6 packed_array_typespec 33 packed_array_var 10 -param_assign 5466 -parameter 6806 +param_assign 5456 +parameter 6796 part_select 15589 port 35086 -range 34802 +range 34798 real_typespec 33 real_var 8 ref_module 2139 -ref_obj 137052 +ref_obj 137049 ref_var 1830 repeat 26 return_stmt 3379 -string_typespec 3207 +string_typespec 3206 string_var 1400 struct_net 199 struct_typespec 52 @@ -4930,9 +4930,9 @@ case_stmt 298 chandle_typespec 6 chandle_var 2 class_defn 613 -class_typespec 9909 +class_typespec 9905 class_var 21999 -constant 217463 +constant 217450 constraint 10 cont_assign 7123 continue_stmt 173 @@ -4977,27 +4977,27 @@ long_int_typespec 99 long_int_var 161 method_func_call 45424 method_task_call 3598 -module_inst 7734 +module_inst 7724 named_begin 81 named_event 50 named_fork 10 -operation 143787 +operation 143792 package 6 packed_array_typespec 33 packed_array_var 10 -param_assign 5479 -parameter 6806 +param_assign 5469 +parameter 6796 part_select 15853 port 35389 -range 36594 +range 36590 real_typespec 33 real_var 10 ref_module 2139 -ref_obj 384030 +ref_obj 384029 ref_var 8953 repeat 207 return_stmt 19618 -string_typespec 3207 +string_typespec 3206 string_var 5157 struct_net 199 struct_typespec 66 diff --git a/third_party/tests/CoresSweRVMP/CoresSweRVMP.log b/third_party/tests/CoresSweRVMP/CoresSweRVMP.log index 8fae9d4024..1c7c92d25b 100644 --- a/third_party/tests/CoresSweRVMP/CoresSweRVMP.log +++ b/third_party/tests/CoresSweRVMP/CoresSweRVMP.log @@ -131,7 +131,7 @@ CMake Deprecation Warning at CMakeLists.txt:1 (cmake_minimum_required): CMake that the project does not need compatibility with older versions. --- Configuring done (0.1s) +-- Configuring done (0.0s) -- Generating done (0.0s) -- Build files have been written to: ${SURELOG_DIR}/build/regression/CoresSweRVMP/slpp_all/mp_preprocess [ 6%] Generating 10_lsu_bus_intf.sv @@ -4914,9 +4914,9 @@ case_stmt 122 chandle_typespec 6 chandle_var 2 class_defn 613 -class_typespec 8460 +class_typespec 8456 class_var 3302 -constant 217364 +constant 217351 constraint 4 cont_assign 7074 continue_stmt 52 @@ -4960,27 +4960,27 @@ logic_var 4307 long_int_typespec 99 long_int_var 18 method_func_call 7996 -module_inst 7734 +module_inst 7724 named_begin 72 named_event 8 named_fork 3 -operation 94320 +operation 94324 package 6 packed_array_typespec 33 packed_array_var 10 -param_assign 5466 -parameter 6806 +param_assign 5456 +parameter 6796 part_select 15589 port 35086 -range 34802 +range 34798 real_typespec 33 real_var 8 ref_module 2139 -ref_obj 137052 +ref_obj 137049 ref_var 1830 repeat 26 return_stmt 3379 -string_typespec 3207 +string_typespec 3206 string_var 1400 struct_net 199 struct_typespec 52 @@ -5021,9 +5021,9 @@ case_stmt 298 chandle_typespec 6 chandle_var 2 class_defn 613 -class_typespec 9909 +class_typespec 9905 class_var 21999 -constant 217463 +constant 217450 constraint 10 cont_assign 7123 continue_stmt 173 @@ -5068,27 +5068,27 @@ long_int_typespec 99 long_int_var 161 method_func_call 45424 method_task_call 3598 -module_inst 7734 +module_inst 7724 named_begin 81 named_event 50 named_fork 10 -operation 143787 +operation 143792 package 6 packed_array_typespec 33 packed_array_var 10 -param_assign 5479 -parameter 6806 +param_assign 5469 +parameter 6796 part_select 15853 port 35389 -range 36594 +range 36590 real_typespec 33 real_var 10 ref_module 2139 -ref_obj 384030 +ref_obj 384029 ref_var 8953 repeat 207 return_stmt 19618 -string_typespec 3207 +string_typespec 3206 string_var 5157 struct_net 199 struct_typespec 66 diff --git a/third_party/tests/Driver/Driver.log b/third_party/tests/Driver/Driver.log index dca153d1e6..2f5e45934c 100644 --- a/third_party/tests/Driver/Driver.log +++ b/third_party/tests/Driver/Driver.log @@ -809,11 +809,11 @@ case_stmt 83 chandle_typespec 6 chandle_var 2 class_defn 628 -class_typespec 8666 +class_typespec 8662 class_var 3445 clocking_block 2 clocking_io_decl 4 -constant 29059 +constant 29046 constraint 4 continue_stmt 52 delay_control 56 @@ -853,26 +853,26 @@ logic_var 42 long_int_typespec 99 long_int_var 18 method_func_call 8073 -module_inst 42 +module_inst 31 named_begin 8 named_event 8 named_fork 5 -operation 10038 +operation 10042 package 6 packed_array_typespec 8 -param_assign 519 -parameter 765 +param_assign 509 +parameter 755 part_select 43 port 7 -range 3067 +range 3063 real_typespec 33 real_var 8 ref_module 1 -ref_obj 41610 +ref_obj 41607 ref_var 1795 repeat 30 return_stmt 3301 -string_typespec 3308 +string_typespec 3307 string_var 1432 struct_typespec 14 struct_var 35 diff --git a/third_party/tests/Earlgrey_0_1/sim-icarus/Earlgrey_0_1.log b/third_party/tests/Earlgrey_0_1/sim-icarus/Earlgrey_0_1.log index 91bc1c5db8..21aecfe353 100644 --- a/third_party/tests/Earlgrey_0_1/sim-icarus/Earlgrey_0_1.log +++ b/third_party/tests/Earlgrey_0_1/sim-icarus/Earlgrey_0_1.log @@ -12349,15 +12349,15 @@ io_decl 253 logic_net 27147 logic_typespec 67932 logic_var 12776 -module_inst 6099 +module_inst 6093 named_begin 496 operation 101476 package 80 packed_array_net 8 packed_array_typespec 170 packed_array_var 10 -param_assign 16486 -parameter 20380 +param_assign 16480 +parameter 20374 part_select 3319 port 38152 property_spec 2 @@ -12423,21 +12423,21 @@ integer_typespec 480 integer_var 1 io_decl 2275 logic_net 27147 -logic_typespec 68109 +logic_typespec 68108 logic_var 23010 -module_inst 6863 +module_inst 6857 named_begin 984 operation 200400 package 80 packed_array_net 8 packed_array_typespec 170 packed_array_var 10 -param_assign 23114 -parameter 20380 +param_assign 23108 +parameter 20374 part_select 9422 port 67958 property_spec 4 -range 52156 +range 52155 ref_module 1917 ref_obj 388871 ref_var 80 diff --git a/third_party/tests/Earlgrey_Verilator_01_05_21/sim-icarus/Earlgrey_Verilator_01_05_21.log b/third_party/tests/Earlgrey_Verilator_01_05_21/sim-icarus/Earlgrey_Verilator_01_05_21.log index e99ae4a372..837851e6ed 100644 --- a/third_party/tests/Earlgrey_Verilator_01_05_21/sim-icarus/Earlgrey_Verilator_01_05_21.log +++ b/third_party/tests/Earlgrey_Verilator_01_05_21/sim-icarus/Earlgrey_Verilator_01_05_21.log @@ -28283,20 +28283,20 @@ logic_net 68737 logic_typespec 226330 logic_var 26980 method_func_call 1 -module_inst 21915 +module_inst 21908 named_begin 1113 operation 228133 package 142 packed_array_net 30 packed_array_typespec 660 packed_array_var 70 -param_assign 58815 -parameter 73794 +param_assign 58809 +parameter 73788 part_select 4960 port 91788 range 164989 ref_module 5040 -ref_obj 330491 +ref_obj 330490 ref_var 174 return_stmt 429 string_typespec 36713 @@ -28366,23 +28366,23 @@ interface_inst 10 interface_typespec 1 io_decl 19631 logic_net 68737 -logic_typespec 227505 +logic_typespec 227504 logic_var 77594 method_func_call 1 -module_inst 31454 +module_inst 31447 named_begin 3234 operation 635789 package 142 packed_array_net 30 packed_array_typespec 660 packed_array_var 83 -param_assign 97125 -parameter 73794 +param_assign 97119 +parameter 73788 part_select 22451 port 224742 -range 183734 +range 183733 ref_module 5040 -ref_obj 1085785 +ref_obj 1085784 ref_var 176 return_stmt 15514 string_typespec 37103 diff --git a/third_party/tests/Earlgrey_Verilator_0_1/sim-verilator/Earlgrey_Verilator_0_1.log b/third_party/tests/Earlgrey_Verilator_0_1/sim-verilator/Earlgrey_Verilator_0_1.log index 6b51c3d7d5..813e2d6342 100644 --- a/third_party/tests/Earlgrey_Verilator_0_1/sim-verilator/Earlgrey_Verilator_0_1.log +++ b/third_party/tests/Earlgrey_Verilator_0_1/sim-verilator/Earlgrey_Verilator_0_1.log @@ -11657,15 +11657,15 @@ io_decl 357 logic_net 26847 logic_typespec 68362 logic_var 12425 -module_inst 5707 +module_inst 5701 named_begin 491 operation 102368 package 82 packed_array_net 8 packed_array_typespec 170 packed_array_var 10 -param_assign 17244 -parameter 21185 +param_assign 17238 +parameter 21179 part_select 3296 port 37447 range 49196 @@ -11737,20 +11737,20 @@ integer_var 1 interface_inst 1 io_decl 2569 logic_net 26847 -logic_typespec 68539 +logic_typespec 68538 logic_var 21571 -module_inst 6274 +module_inst 6268 named_begin 955 operation 194528 package 82 packed_array_net 8 packed_array_typespec 170 packed_array_var 10 -param_assign 23581 -parameter 21185 +param_assign 23575 +parameter 21179 part_select 9045 port 64704 -range 53235 +range 53234 ref_module 1821 ref_obj 371769 ref_var 82 diff --git a/third_party/tests/Ibex/Ibex.log b/third_party/tests/Ibex/Ibex.log index 98ca0acf89..722e1d4ff4 100644 --- a/third_party/tests/Ibex/Ibex.log +++ b/third_party/tests/Ibex/Ibex.log @@ -1578,11 +1578,11 @@ case_stmt 591 chandle_typespec 30 chandle_var 2 class_defn 707 -class_typespec 36783 +class_typespec 36779 class_var 23498 clocking_block 23 clocking_io_decl 162 -constant 276196 +constant 276182 constraint 32 cont_assign 1680 continue_stmt 269 @@ -1630,27 +1630,27 @@ logic_var 1094 long_int_typespec 339 long_int_var 42 method_func_call 37796 -module_inst 164 +module_inst 154 named_begin 206 named_event 8 named_fork 20 -operation 59992 +operation 59996 package 26 packed_array_typespec 21 -param_assign 16811 -parameter 25153 +param_assign 16801 +parameter 25143 part_select 868 port 2251 property_spec 75 -range 63437 +range 63433 real_typespec 59 real_var 9 ref_module 55 -ref_obj 238102 +ref_obj 238099 ref_var 9791 repeat 164 return_stmt 14242 -string_typespec 16374 +string_typespec 16373 string_var 7930 struct_net 9 struct_typespec 320 diff --git a/third_party/tests/IbexGoogle/IbexGoogle.log b/third_party/tests/IbexGoogle/IbexGoogle.log index 1d01204c62..9a306c7096 100644 --- a/third_party/tests/IbexGoogle/IbexGoogle.log +++ b/third_party/tests/IbexGoogle/IbexGoogle.log @@ -947,9 +947,9 @@ case_stmt 237 chandle_typespec 14 chandle_var 2 class_defn 706 -class_typespec 17866 +class_typespec 17862 class_var 9567 -constant 95440 +constant 95427 constraint 40 continue_stmt 133 delay_control 129 @@ -985,24 +985,24 @@ logic_var 71 long_int_typespec 179 long_int_var 26 method_func_call 18998 -module_inst 49 +module_inst 39 named_begin 18 named_event 8 named_fork 7 -operation 25973 +operation 25977 package 10 packed_array_typespec 12 -param_assign 3189 -parameter 5628 +param_assign 3179 +parameter 5618 part_select 189 -range 16743 +range 16739 real_typespec 41 real_var 8 -ref_obj 110977 +ref_obj 110974 ref_var 4642 repeat 73 return_stmt 7108 -string_typespec 7572 +string_typespec 7571 string_var 3520 struct_typespec 112 struct_var 111 diff --git a/third_party/tests/IncompTitan/IncompTitan.log b/third_party/tests/IncompTitan/IncompTitan.log index e7fea0e945..17c1449979 100644 --- a/third_party/tests/IncompTitan/IncompTitan.log +++ b/third_party/tests/IncompTitan/IncompTitan.log @@ -10691,22 +10691,22 @@ io_decl 273 logic_net 35501 logic_typespec 99452 logic_var 12330 -module_inst 10304 +module_inst 10297 named_begin 395 operation 103168 package 94 packed_array_net 8 packed_array_typespec 241 packed_array_var 12 -param_assign 21045 -parameter 25532 +param_assign 21039 +parameter 25526 part_select 2008 port 54477 property_inst 4 property_spec 478 range 75170 ref_module 2376 -ref_obj 166113 +ref_obj 166112 ref_var 74 return_stmt 137 string_typespec 10655 diff --git a/third_party/tests/MiniAmiq/MiniAmiq.log b/third_party/tests/MiniAmiq/MiniAmiq.log index 2804445c90..f4f4584e4a 100644 --- a/third_party/tests/MiniAmiq/MiniAmiq.log +++ b/third_party/tests/MiniAmiq/MiniAmiq.log @@ -817,9 +817,9 @@ case_stmt 147 chandle_typespec 10 chandle_var 2 class_defn 637 -class_typespec 12950 +class_typespec 12946 class_var 6103 -constant 52803 +constant 52790 constraint 8 continue_stmt 88 delay_control 95 @@ -858,24 +858,24 @@ logic_var 55 long_int_typespec 139 long_int_var 22 method_func_call 13288 -module_inst 39 +module_inst 29 named_begin 12 named_event 8 named_fork 5 -operation 17083 +operation 17087 package 6 packed_array_typespec 8 -param_assign 934 -parameter 1503 +param_assign 924 +parameter 1493 part_select 83 -range 6649 +range 6645 real_typespec 37 real_var 8 -ref_obj 72775 +ref_obj 72772 ref_var 3341 repeat 48 return_stmt 5223 -string_typespec 5316 +string_typespec 5315 string_var 2364 struct_typespec 62 struct_var 71 diff --git a/third_party/tests/Monitor/Monitor.log b/third_party/tests/Monitor/Monitor.log index a8a266aacc..3ff35d2659 100644 --- a/third_party/tests/Monitor/Monitor.log +++ b/third_party/tests/Monitor/Monitor.log @@ -877,11 +877,11 @@ case_stmt 147 chandle_typespec 10 chandle_var 2 class_defn 648 -class_typespec 13120 +class_typespec 13116 class_var 6222 clocking_block 2 clocking_io_decl 4 -constant 55581 +constant 55568 constraint 8 continue_stmt 88 delay_control 99 @@ -923,26 +923,26 @@ logic_var 61 long_int_typespec 139 long_int_var 22 method_func_call 13336 -module_inst 45 +module_inst 34 named_begin 14 named_event 8 named_fork 7 -operation 17098 +operation 17102 package 8 packed_array_typespec 8 -param_assign 1308 -parameter 2118 +param_assign 1298 +parameter 2108 part_select 83 port 7 -range 7779 +range 7775 real_typespec 37 real_var 8 ref_module 1 -ref_obj 73078 +ref_obj 73075 ref_var 3347 repeat 50 return_stmt 5240 -string_typespec 5432 +string_typespec 5431 string_var 2419 struct_typespec 62 struct_var 71 diff --git a/third_party/tests/OVMSwitch/OVMSwitch.log b/third_party/tests/OVMSwitch/OVMSwitch.log index 90f45a39a2..7dc9b5091b 100644 --- a/third_party/tests/OVMSwitch/OVMSwitch.log +++ b/third_party/tests/OVMSwitch/OVMSwitch.log @@ -734,11 +734,11 @@ byte_var 26 case_item 350 case_stmt 64 class_defn 549 -class_typespec 3817 +class_typespec 3797 class_var 2008 clocking_block 10 clocking_io_decl 30 -constant 11833 +constant 11825 constraint 6 cont_assign 90 delay_control 49 @@ -779,7 +779,7 @@ logic_var 35 method_func_call 3512 modport 17 module_array 1 -module_inst 73 +module_inst 51 module_typespec 1 named_begin 1 named_event 6 @@ -787,19 +787,19 @@ named_fork 4 operation 5315 package 4 packed_array_typespec 6 -param_assign 1326 -parameter 2374 +param_assign 1310 +parameter 2358 part_select 8 port 73 range 859 real_typespec 18 real_var 3 ref_module 3 -ref_obj 18998 +ref_obj 18999 ref_var 388 repeat 6 return_stmt 1617 -string_typespec 2574 +string_typespec 2573 string_var 1007 sys_func_call 727 task 255 diff --git a/third_party/tests/Opentitan/Opentitan.log b/third_party/tests/Opentitan/Opentitan.log index e8aa5de778..4e955f80f8 100644 --- a/third_party/tests/Opentitan/Opentitan.log +++ b/third_party/tests/Opentitan/Opentitan.log @@ -8330,9 +8330,9 @@ case_stmt 215 chandle_typespec 6 chandle_var 2 class_defn 613 -class_typespec 8460 +class_typespec 8456 class_var 3302 -constant 246287 +constant 246274 constraint 4 cont_assign 13810 continue_stmt 52 @@ -8380,30 +8380,30 @@ logic_var 9369 long_int_typespec 99 long_int_var 18 method_func_call 7996 -module_inst 4563 +module_inst 4553 named_begin 370 named_event 8 named_fork 3 -operation 84032 +operation 84036 package 54 packed_array_net 8 packed_array_typespec 144 packed_array_var 12 -param_assign 12010 -parameter 14748 +param_assign 12000 +parameter 14738 part_select 1898 port 29632 property_inst 4 property_spec 641 -range 37506 +range 37502 real_typespec 33 real_var 8 ref_module 1427 -ref_obj 155710 +ref_obj 155707 ref_var 1842 repeat 26 return_stmt 3321 -string_typespec 5660 +string_typespec 5659 string_var 1363 struct_net 108 struct_typespec 1441 @@ -8445,9 +8445,9 @@ case_stmt 577 chandle_typespec 6 chandle_var 2 class_defn 613 -class_typespec 9908 +class_typespec 9904 class_var 21999 -constant 250216 +constant 250203 constraint 10 cont_assign 30814 continue_stmt 173 @@ -8496,30 +8496,30 @@ long_int_typespec 99 long_int_var 161 method_func_call 45424 method_task_call 3598 -module_inst 4998 +module_inst 4988 named_begin 768 named_event 50 named_fork 10 -operation 204091 +operation 204096 package 54 packed_array_net 8 packed_array_typespec 144 packed_array_var 14 -param_assign 16097 -parameter 14752 +param_assign 16087 +parameter 14742 part_select 4379 port 51240 property_inst 8 property_spec 4755 -range 42277 +range 42273 real_typespec 33 real_var 10 ref_module 1427 -ref_obj 562650 +ref_obj 562649 ref_var 8963 repeat 207 return_stmt 19839 -string_typespec 5930 +string_typespec 5929 string_var 5108 struct_net 108 struct_typespec 1455 diff --git a/third_party/tests/Scoreboard/Scoreboard.log b/third_party/tests/Scoreboard/Scoreboard.log index c91f678828..d92cc6563e 100644 --- a/third_party/tests/Scoreboard/Scoreboard.log +++ b/third_party/tests/Scoreboard/Scoreboard.log @@ -797,9 +797,9 @@ case_stmt 83 chandle_typespec 6 chandle_var 2 class_defn 622 -class_typespec 8523 +class_typespec 8519 class_var 3345 -constant 26893 +constant 26880 constraint 4 continue_stmt 52 delay_control 56 @@ -835,24 +835,24 @@ logic_var 42 long_int_typespec 99 long_int_var 18 method_func_call 8065 -module_inst 41 +module_inst 30 named_begin 9 named_event 8 named_fork 3 -operation 10019 +operation 10023 package 6 packed_array_typespec 8 -param_assign 234 -parameter 273 +param_assign 224 +parameter 263 part_select 43 -range 2146 +range 2142 real_typespec 33 real_var 8 -ref_obj 41396 +ref_obj 41393 ref_var 1793 repeat 26 return_stmt 3287 -string_typespec 3230 +string_typespec 3229 string_var 1395 struct_typespec 14 struct_var 35 diff --git a/third_party/tests/SeqDriver/SeqDriver.log b/third_party/tests/SeqDriver/SeqDriver.log index 0af718c90e..6a74d21fc3 100644 --- a/third_party/tests/SeqDriver/SeqDriver.log +++ b/third_party/tests/SeqDriver/SeqDriver.log @@ -781,11 +781,11 @@ case_stmt 147 chandle_typespec 10 chandle_var 2 class_defn 623 -class_typespec 12603 +class_typespec 12599 class_var 5910 clocking_block 2 clocking_io_decl 4 -constant 49458 +constant 49445 constraint 8 continue_stmt 88 delay_control 92 @@ -823,25 +823,25 @@ logic_var 60 long_int_typespec 139 long_int_var 22 method_func_call 12891 -module_inst 41 +module_inst 31 named_begin 12 named_event 8 named_fork 5 -operation 16715 +operation 16719 package 6 packed_array_typespec 8 -param_assign 722 -parameter 1011 +param_assign 712 +parameter 1001 part_select 84 -range 5535 +range 5531 real_typespec 37 real_var 8 ref_module 1 -ref_obj 71041 +ref_obj 71038 ref_var 3113 repeat 49 return_stmt 5036 -string_typespec 4935 +string_typespec 4934 string_var 2186 struct_typespec 62 struct_var 71 diff --git a/third_party/tests/SimpleOVM/SimpleOVM.log b/third_party/tests/SimpleOVM/SimpleOVM.log index b151790bd4..38a442f633 100644 --- a/third_party/tests/SimpleOVM/SimpleOVM.log +++ b/third_party/tests/SimpleOVM/SimpleOVM.log @@ -659,9 +659,9 @@ byte_var 22 case_item 326 case_stmt 59 class_defn 525 -class_typespec 2815 +class_typespec 2795 class_var 1089 -constant 8907 +constant 8899 constraint 3 delay_control 26 design 1 @@ -695,23 +695,23 @@ io_decl 3530 logic_typespec 83 logic_var 29 method_func_call 3182 -module_inst 58 +module_inst 36 named_event 6 named_fork 4 operation 4767 package 4 packed_array_typespec 6 -param_assign 202 -parameter 202 +param_assign 186 +parameter 186 part_select 8 range 420 real_typespec 18 real_var 3 -ref_obj 17286 +ref_obj 17287 ref_var 359 repeat 2 return_stmt 1573 -string_typespec 1990 +string_typespec 1989 string_var 756 sys_func_call 647 task 247 diff --git a/third_party/tests/SimpleUVM/SimpleUVM.log b/third_party/tests/SimpleUVM/SimpleUVM.log index 43ced90ff5..64521e640c 100644 --- a/third_party/tests/SimpleUVM/SimpleUVM.log +++ b/third_party/tests/SimpleUVM/SimpleUVM.log @@ -774,11 +774,11 @@ case_stmt 83 chandle_typespec 6 chandle_var 2 class_defn 615 -class_typespec 8518 +class_typespec 8514 class_var 3338 clocking_block 1 clocking_io_decl 4 -constant 27328 +constant 27315 constraint 4 continue_stmt 52 delay_control 54 @@ -816,26 +816,26 @@ logic_var 39 long_int_typespec 99 long_int_var 18 method_func_call 8000 -module_inst 42 +module_inst 32 named_begin 6 named_event 8 named_fork 3 -operation 9996 +operation 10000 package 4 packed_array_typespec 8 -param_assign 314 -parameter 396 +param_assign 304 +parameter 386 part_select 43 port 16 -range 2366 +range 2362 real_typespec 33 real_var 8 ref_module 1 -ref_obj 41249 +ref_obj 41246 ref_var 1788 repeat 27 return_stmt 3272 -string_typespec 3195 +string_typespec 3194 string_var 1375 struct_typespec 14 struct_var 35 diff --git a/third_party/tests/Tnoc/Tnoc.log b/third_party/tests/Tnoc/Tnoc.log index a277478725..ce5f0f0095 100644 --- a/third_party/tests/Tnoc/Tnoc.log +++ b/third_party/tests/Tnoc/Tnoc.log @@ -5719,7 +5719,7 @@ case_stmt 5 class_defn 8 class_typespec 4 class_var 3 -constant 820367 +constant 820354 cont_assign 1640 design 1 enum_const 5097 @@ -5739,7 +5739,7 @@ if_else 64 if_stmt 1298 import_typespec 33 indexed_part_select 3 -int_typespec 232687 +int_typespec 232681 int_var 1783 interface_array 320 interface_inst 7819 @@ -5751,20 +5751,20 @@ logic_var 16212 method_func_call 37 modport 8599 module_array 23 -module_inst 13295 +module_inst 13261 module_typespec 23 named_begin 84 operation 135878 package 6 packed_array_typespec 2686 packed_array_var 2666 -param_assign 29006 -parameter 34354 +param_assign 28964 +parameter 34312 part_select 380 port 5100 range 36905 ref_module 296 -ref_obj 102768 +ref_obj 102774 ref_var 60 return_stmt 169 short_int_typespec 667760 diff --git a/third_party/tests/UVMNestedSeq/UVMNestedSeq.log b/third_party/tests/UVMNestedSeq/UVMNestedSeq.log index c1e6ec0e41..470df14d73 100644 --- a/third_party/tests/UVMNestedSeq/UVMNestedSeq.log +++ b/third_party/tests/UVMNestedSeq/UVMNestedSeq.log @@ -876,9 +876,9 @@ case_stmt 339 chandle_typespec 22 chandle_var 2 class_defn 685 -class_typespec 24737 +class_typespec 24733 class_var 13997 -constant 122062 +constant 122049 constraint 32 continue_stmt 196 delay_control 206 @@ -916,26 +916,26 @@ logic_var 116 long_int_typespec 259 long_int_var 34 method_func_call 27624 -module_inst 44 +module_inst 34 named_begin 30 named_event 8 named_fork 11 -operation 36918 +operation 36922 package 12 packed_array_typespec 8 -param_assign 2355 -parameter 4168 +param_assign 2345 +parameter 4158 part_select 203 port 3 -range 17715 +range 17711 real_typespec 49 real_var 8 ref_module 2 -ref_obj 160871 +ref_obj 160868 ref_var 7104 repeat 118 return_stmt 10392 -string_typespec 10392 +string_typespec 10391 string_var 4753 struct_typespec 206 struct_var 179 diff --git a/third_party/tests/UVMSwitch/UVMSwitch.log b/third_party/tests/UVMSwitch/UVMSwitch.log index 209cacc609..c14d8ba708 100644 --- a/third_party/tests/UVMSwitch/UVMSwitch.log +++ b/third_party/tests/UVMSwitch/UVMSwitch.log @@ -6931,11 +6931,11 @@ case_stmt 90 chandle_typespec 6 chandle_var 2 class_defn 637 -class_typespec 9020 +class_typespec 9016 class_var 3796 clocking_block 10 clocking_io_decl 30 -constant 37556 +constant 37543 constraint 7 cont_assign 90 continue_stmt 52 @@ -6978,27 +6978,27 @@ long_int_var 18 method_func_call 8201 modport 17 module_array 1 -module_inst 51 +module_inst 41 module_typespec 1 named_begin 7 named_event 8 named_fork 3 -operation 10489 +operation 10493 package 4 packed_array_typespec 8 -param_assign 1289 -parameter 2312 +param_assign 1279 +parameter 2302 part_select 43 port 73 -range 6609 +range 6605 real_typespec 33 real_var 8 ref_module 3 -ref_obj 42726 +ref_obj 42723 ref_var 1821 repeat 30 return_stmt 3331 -string_typespec 3471 +string_typespec 3470 string_var 1539 struct_typespec 14 struct_var 35 diff --git a/third_party/tests/UnitAmiqEth/UnitAmiqEth.log b/third_party/tests/UnitAmiqEth/UnitAmiqEth.log index 3e130ae3ff..4e739c1485 100644 --- a/third_party/tests/UnitAmiqEth/UnitAmiqEth.log +++ b/third_party/tests/UnitAmiqEth/UnitAmiqEth.log @@ -1299,9 +1299,9 @@ case_stmt 206 chandle_typespec 10 chandle_var 2 class_defn 1147 -class_typespec 15644 +class_typespec 15620 class_var 7263 -constant 65901 +constant 65880 constraint 11 continue_stmt 88 delay_control 117 @@ -1340,24 +1340,24 @@ logic_var 82 long_int_typespec 139 long_int_var 22 method_func_call 16167 -module_inst 101 +module_inst 69 named_begin 12 named_event 14 named_fork 9 -operation 22237 +operation 22241 package 8 packed_array_typespec 126 -param_assign 1442 -parameter 2361 +param_assign 1416 +parameter 2335 part_select 91 -range 8747 +range 8743 real_typespec 55 real_var 11 -ref_obj 88952 +ref_obj 88950 ref_var 3476 repeat 50 return_stmt 6655 -string_typespec 7080 +string_typespec 7078 string_var 3040 struct_typespec 62 struct_var 71