From 27503ebe6dfd111c569bd5b53a30ab1cedd21031 Mon Sep 17 00:00:00 2001 From: Kiran Upadhyayula Date: Wed, 11 Sep 2024 16:57:37 -0700 Subject: [PATCH] Revert reg files --- src/datavault/rtl/dv_reg.sv | 58 +- src/doe/rtl/doe_reg.sv | 214 ++++--- src/ecc/rtl/ecc_reg.sv | 286 ++++++--- src/hmac/rtl/hmac_reg.sv | 334 +++++++---- src/keyvault/rtl/kv_reg.sv | 64 +- src/mldsa/rtl/mldsa_reg.sv | 135 +++-- src/pcrvault/rtl/pv_reg.sv | 34 +- src/sha256/rtl/sha256_reg.sv | 232 +++++--- src/sha512/rtl/sha512_reg.sv | 328 ++++++---- src/soc_ifc/rtl/mbox_csr.sv | 88 ++- src/soc_ifc/rtl/sha512_acc_csr.sv | 250 +++++--- src/soc_ifc/rtl/soc_ifc_reg.sv | 952 ++++++++++++++++++++---------- tools/scripts/Makefile | 2 +- 13 files changed, 1974 insertions(+), 1003 deletions(-) diff --git a/src/datavault/rtl/dv_reg.sv b/src/datavault/rtl/dv_reg.sv index 8484364f0..3cf9a61b9 100644 --- a/src/datavault/rtl/dv_reg.sv +++ b/src/datavault/rtl/dv_reg.sv @@ -235,8 +235,10 @@ module dv_reg ( for(genvar i0=0; i0<10; i0++) begin // Field: dv_reg.StickyDataVaultCtrl[].lock_entry always_comb begin - automatic logic [0:0] next_c = field_storage.StickyDataVaultCtrl[i0].lock_entry.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.StickyDataVaultCtrl[i0].lock_entry.value; + load_next_c = '0; if(decoded_reg_strb.StickyDataVaultCtrl[i0] && decoded_req_is_wr && !(hwif_in.StickyDataVaultCtrl[i0].lock_entry.swwel)) begin // SW write next_c = (field_storage.StickyDataVaultCtrl[i0].lock_entry.value & ~decoded_wr_biten[0:0]) | (decoded_wr_data[0:0] & decoded_wr_biten[0:0]); load_next_c = '1; @@ -257,8 +259,10 @@ module dv_reg ( for(genvar i1=0; i1<12; i1++) begin // Field: dv_reg.STICKY_DATA_VAULT_ENTRY[][].data always_comb begin - automatic logic [31:0] next_c = field_storage.STICKY_DATA_VAULT_ENTRY[i0][i1].data.value; - automatic logic load_next_c = '0; + automatic logic [31:0] next_c; + automatic logic load_next_c; + next_c = field_storage.STICKY_DATA_VAULT_ENTRY[i0][i1].data.value; + load_next_c = '0; if(decoded_reg_strb.STICKY_DATA_VAULT_ENTRY[i0][i1] && decoded_req_is_wr && !(hwif_in.STICKY_DATA_VAULT_ENTRY[i0][i1].data.swwel)) begin // SW write next_c = (field_storage.STICKY_DATA_VAULT_ENTRY[i0][i1].data.value & ~decoded_wr_biten[31:0]) | (decoded_wr_data[31:0] & decoded_wr_biten[31:0]); load_next_c = '1; @@ -278,8 +282,10 @@ module dv_reg ( for(genvar i0=0; i0<10; i0++) begin // Field: dv_reg.DataVaultCtrl[].lock_entry always_comb begin - automatic logic [0:0] next_c = field_storage.DataVaultCtrl[i0].lock_entry.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.DataVaultCtrl[i0].lock_entry.value; + load_next_c = '0; if(decoded_reg_strb.DataVaultCtrl[i0] && decoded_req_is_wr && !(hwif_in.DataVaultCtrl[i0].lock_entry.swwel)) begin // SW write next_c = (field_storage.DataVaultCtrl[i0].lock_entry.value & ~decoded_wr_biten[0:0]) | (decoded_wr_data[0:0] & decoded_wr_biten[0:0]); load_next_c = '1; @@ -300,8 +306,10 @@ module dv_reg ( for(genvar i1=0; i1<12; i1++) begin // Field: dv_reg.DATA_VAULT_ENTRY[][].data always_comb begin - automatic logic [31:0] next_c = field_storage.DATA_VAULT_ENTRY[i0][i1].data.value; - automatic logic load_next_c = '0; + automatic logic [31:0] next_c; + automatic logic load_next_c; + next_c = field_storage.DATA_VAULT_ENTRY[i0][i1].data.value; + load_next_c = '0; if(decoded_reg_strb.DATA_VAULT_ENTRY[i0][i1] && decoded_req_is_wr && !(hwif_in.DATA_VAULT_ENTRY[i0][i1].data.swwel)) begin // SW write next_c = (field_storage.DATA_VAULT_ENTRY[i0][i1].data.value & ~decoded_wr_biten[31:0]) | (decoded_wr_data[31:0] & decoded_wr_biten[31:0]); load_next_c = '1; @@ -321,8 +329,10 @@ module dv_reg ( for(genvar i0=0; i0<10; i0++) begin // Field: dv_reg.LockableScratchRegCtrl[].lock_entry always_comb begin - automatic logic [0:0] next_c = field_storage.LockableScratchRegCtrl[i0].lock_entry.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.LockableScratchRegCtrl[i0].lock_entry.value; + load_next_c = '0; if(decoded_reg_strb.LockableScratchRegCtrl[i0] && decoded_req_is_wr && !(hwif_in.LockableScratchRegCtrl[i0].lock_entry.swwel)) begin // SW write next_c = (field_storage.LockableScratchRegCtrl[i0].lock_entry.value & ~decoded_wr_biten[0:0]) | (decoded_wr_data[0:0] & decoded_wr_biten[0:0]); load_next_c = '1; @@ -342,8 +352,10 @@ module dv_reg ( for(genvar i0=0; i0<10; i0++) begin // Field: dv_reg.LockableScratchReg[].data always_comb begin - automatic logic [31:0] next_c = field_storage.LockableScratchReg[i0].data.value; - automatic logic load_next_c = '0; + automatic logic [31:0] next_c; + automatic logic load_next_c; + next_c = field_storage.LockableScratchReg[i0].data.value; + load_next_c = '0; if(decoded_reg_strb.LockableScratchReg[i0] && decoded_req_is_wr && !(hwif_in.LockableScratchReg[i0].data.swwel)) begin // SW write next_c = (field_storage.LockableScratchReg[i0].data.value & ~decoded_wr_biten[31:0]) | (decoded_wr_data[31:0] & decoded_wr_biten[31:0]); load_next_c = '1; @@ -362,8 +374,10 @@ module dv_reg ( for(genvar i0=0; i0<8; i0++) begin // Field: dv_reg.NonStickyGenericScratchReg[].data always_comb begin - automatic logic [31:0] next_c = field_storage.NonStickyGenericScratchReg[i0].data.value; - automatic logic load_next_c = '0; + automatic logic [31:0] next_c; + automatic logic load_next_c; + next_c = field_storage.NonStickyGenericScratchReg[i0].data.value; + load_next_c = '0; if(decoded_reg_strb.NonStickyGenericScratchReg[i0] && decoded_req_is_wr) begin // SW write next_c = (field_storage.NonStickyGenericScratchReg[i0].data.value & ~decoded_wr_biten[31:0]) | (decoded_wr_data[31:0] & decoded_wr_biten[31:0]); load_next_c = '1; @@ -382,8 +396,10 @@ module dv_reg ( for(genvar i0=0; i0<8; i0++) begin // Field: dv_reg.StickyLockableScratchRegCtrl[].lock_entry always_comb begin - automatic logic [0:0] next_c = field_storage.StickyLockableScratchRegCtrl[i0].lock_entry.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.StickyLockableScratchRegCtrl[i0].lock_entry.value; + load_next_c = '0; if(decoded_reg_strb.StickyLockableScratchRegCtrl[i0] && decoded_req_is_wr && !(hwif_in.StickyLockableScratchRegCtrl[i0].lock_entry.swwel)) begin // SW write next_c = (field_storage.StickyLockableScratchRegCtrl[i0].lock_entry.value & ~decoded_wr_biten[0:0]) | (decoded_wr_data[0:0] & decoded_wr_biten[0:0]); load_next_c = '1; @@ -403,8 +419,10 @@ module dv_reg ( for(genvar i0=0; i0<8; i0++) begin // Field: dv_reg.StickyLockableScratchReg[].data always_comb begin - automatic logic [31:0] next_c = field_storage.StickyLockableScratchReg[i0].data.value; - automatic logic load_next_c = '0; + automatic logic [31:0] next_c; + automatic logic load_next_c; + next_c = field_storage.StickyLockableScratchReg[i0].data.value; + load_next_c = '0; if(decoded_reg_strb.StickyLockableScratchReg[i0] && decoded_req_is_wr && !(hwif_in.StickyLockableScratchReg[i0].data.swwel)) begin // SW write next_c = (field_storage.StickyLockableScratchReg[i0].data.value & ~decoded_wr_biten[31:0]) | (decoded_wr_data[31:0] & decoded_wr_biten[31:0]); load_next_c = '1; @@ -435,7 +453,7 @@ module dv_reg ( logic readback_err; logic readback_done; logic [31:0] readback_data; - + // Assign readback values to a flattened array logic [304-1:0][31:0] readback_array; for(genvar i0=0; i0<10; i0++) begin @@ -490,4 +508,4 @@ module dv_reg ( `CALIPTRA_ASSERT_KNOWN(ERR_HWIF_IN, hwif_in, clk, !hwif_in.hard_reset_b) -endmodule \ No newline at end of file +endmodule diff --git a/src/doe/rtl/doe_reg.sv b/src/doe/rtl/doe_reg.sv index 6f9747288..377e1784e 100644 --- a/src/doe/rtl/doe_reg.sv +++ b/src/doe/rtl/doe_reg.sv @@ -501,8 +501,10 @@ module doe_reg ( for(genvar i0=0; i0<4; i0++) begin // Field: doe_reg.DOE_IV[].IV always_comb begin - automatic logic [31:0] next_c = field_storage.DOE_IV[i0].IV.value; - automatic logic load_next_c = '0; + automatic logic [31:0] next_c; + automatic logic load_next_c; + next_c = field_storage.DOE_IV[i0].IV.value; + load_next_c = '0; if(decoded_reg_strb.DOE_IV[i0] && decoded_req_is_wr) begin // SW write next_c = (field_storage.DOE_IV[i0].IV.value & ~decoded_wr_biten[31:0]) | (decoded_wr_data[31:0] & decoded_wr_biten[31:0]); load_next_c = '1; @@ -525,8 +527,10 @@ module doe_reg ( end // Field: doe_reg.DOE_CTRL.CMD always_comb begin - automatic logic [1:0] next_c = field_storage.DOE_CTRL.CMD.value; - automatic logic load_next_c = '0; + automatic logic [1:0] next_c; + automatic logic load_next_c; + next_c = field_storage.DOE_CTRL.CMD.value; + load_next_c = '0; if(decoded_reg_strb.DOE_CTRL && decoded_req_is_wr) begin // SW write next_c = (field_storage.DOE_CTRL.CMD.value & ~decoded_wr_biten[1:0]) | (decoded_wr_data[1:0] & decoded_wr_biten[1:0]); load_next_c = '1; @@ -548,8 +552,10 @@ module doe_reg ( assign hwif_out.DOE_CTRL.CMD.swmod = decoded_reg_strb.DOE_CTRL && decoded_req_is_wr; // Field: doe_reg.DOE_CTRL.DEST always_comb begin - automatic logic [4:0] next_c = field_storage.DOE_CTRL.DEST.value; - automatic logic load_next_c = '0; + automatic logic [4:0] next_c; + automatic logic load_next_c; + next_c = field_storage.DOE_CTRL.DEST.value; + load_next_c = '0; if(decoded_reg_strb.DOE_CTRL && decoded_req_is_wr) begin // SW write next_c = (field_storage.DOE_CTRL.DEST.value & ~decoded_wr_biten[6:2]) | (decoded_wr_data[6:2] & decoded_wr_biten[6:2]); load_next_c = '1; @@ -567,8 +573,10 @@ module doe_reg ( assign hwif_out.DOE_CTRL.DEST.value = field_storage.DOE_CTRL.DEST.value; // Field: doe_reg.DOE_STATUS.READY always_comb begin - automatic logic [0:0] next_c = field_storage.DOE_STATUS.READY.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.DOE_STATUS.READY.value; + load_next_c = '0; if(hwif_in.DOE_STATUS.READY.hwset) begin // HW Set next_c = '1; load_next_c = '1; @@ -588,8 +596,10 @@ module doe_reg ( end // Field: doe_reg.DOE_STATUS.VALID always_comb begin - automatic logic [0:0] next_c = field_storage.DOE_STATUS.VALID.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.DOE_STATUS.VALID.value; + load_next_c = '0; if(hwif_in.DOE_STATUS.VALID.hwset) begin // HW Set next_c = '1; load_next_c = '1; @@ -609,8 +619,10 @@ module doe_reg ( end // Field: doe_reg.DOE_STATUS.DEOBF_SECRETS_CLEARED always_comb begin - automatic logic [0:0] next_c = field_storage.DOE_STATUS.DEOBF_SECRETS_CLEARED.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.DOE_STATUS.DEOBF_SECRETS_CLEARED.value; + load_next_c = '0; if(hwif_in.DOE_STATUS.DEOBF_SECRETS_CLEARED.hwset) begin // HW Set next_c = '1; load_next_c = '1; @@ -627,8 +639,10 @@ module doe_reg ( end // Field: doe_reg.intr_block_rf.global_intr_en_r.error_en always_comb begin - automatic logic [0:0] next_c = field_storage.intr_block_rf.global_intr_en_r.error_en.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.intr_block_rf.global_intr_en_r.error_en.value; + load_next_c = '0; if(decoded_reg_strb.intr_block_rf.global_intr_en_r && decoded_req_is_wr) begin // SW write next_c = (field_storage.intr_block_rf.global_intr_en_r.error_en.value & ~decoded_wr_biten[0:0]) | (decoded_wr_data[0:0] & decoded_wr_biten[0:0]); load_next_c = '1; @@ -645,8 +659,10 @@ module doe_reg ( end // Field: doe_reg.intr_block_rf.global_intr_en_r.notif_en always_comb begin - automatic logic [0:0] next_c = field_storage.intr_block_rf.global_intr_en_r.notif_en.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.intr_block_rf.global_intr_en_r.notif_en.value; + load_next_c = '0; if(decoded_reg_strb.intr_block_rf.global_intr_en_r && decoded_req_is_wr) begin // SW write next_c = (field_storage.intr_block_rf.global_intr_en_r.notif_en.value & ~decoded_wr_biten[1:1]) | (decoded_wr_data[1:1] & decoded_wr_biten[1:1]); load_next_c = '1; @@ -663,8 +679,10 @@ module doe_reg ( end // Field: doe_reg.intr_block_rf.error_intr_en_r.error0_en always_comb begin - automatic logic [0:0] next_c = field_storage.intr_block_rf.error_intr_en_r.error0_en.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.intr_block_rf.error_intr_en_r.error0_en.value; + load_next_c = '0; if(decoded_reg_strb.intr_block_rf.error_intr_en_r && decoded_req_is_wr) begin // SW write next_c = (field_storage.intr_block_rf.error_intr_en_r.error0_en.value & ~decoded_wr_biten[0:0]) | (decoded_wr_data[0:0] & decoded_wr_biten[0:0]); load_next_c = '1; @@ -681,8 +699,10 @@ module doe_reg ( end // Field: doe_reg.intr_block_rf.error_intr_en_r.error1_en always_comb begin - automatic logic [0:0] next_c = field_storage.intr_block_rf.error_intr_en_r.error1_en.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.intr_block_rf.error_intr_en_r.error1_en.value; + load_next_c = '0; if(decoded_reg_strb.intr_block_rf.error_intr_en_r && decoded_req_is_wr) begin // SW write next_c = (field_storage.intr_block_rf.error_intr_en_r.error1_en.value & ~decoded_wr_biten[1:1]) | (decoded_wr_data[1:1] & decoded_wr_biten[1:1]); load_next_c = '1; @@ -699,8 +719,10 @@ module doe_reg ( end // Field: doe_reg.intr_block_rf.error_intr_en_r.error2_en always_comb begin - automatic logic [0:0] next_c = field_storage.intr_block_rf.error_intr_en_r.error2_en.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.intr_block_rf.error_intr_en_r.error2_en.value; + load_next_c = '0; if(decoded_reg_strb.intr_block_rf.error_intr_en_r && decoded_req_is_wr) begin // SW write next_c = (field_storage.intr_block_rf.error_intr_en_r.error2_en.value & ~decoded_wr_biten[2:2]) | (decoded_wr_data[2:2] & decoded_wr_biten[2:2]); load_next_c = '1; @@ -717,8 +739,10 @@ module doe_reg ( end // Field: doe_reg.intr_block_rf.error_intr_en_r.error3_en always_comb begin - automatic logic [0:0] next_c = field_storage.intr_block_rf.error_intr_en_r.error3_en.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.intr_block_rf.error_intr_en_r.error3_en.value; + load_next_c = '0; if(decoded_reg_strb.intr_block_rf.error_intr_en_r && decoded_req_is_wr) begin // SW write next_c = (field_storage.intr_block_rf.error_intr_en_r.error3_en.value & ~decoded_wr_biten[3:3]) | (decoded_wr_data[3:3] & decoded_wr_biten[3:3]); load_next_c = '1; @@ -735,8 +759,10 @@ module doe_reg ( end // Field: doe_reg.intr_block_rf.notif_intr_en_r.notif_cmd_done_en always_comb begin - automatic logic [0:0] next_c = field_storage.intr_block_rf.notif_intr_en_r.notif_cmd_done_en.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.intr_block_rf.notif_intr_en_r.notif_cmd_done_en.value; + load_next_c = '0; if(decoded_reg_strb.intr_block_rf.notif_intr_en_r && decoded_req_is_wr) begin // SW write next_c = (field_storage.intr_block_rf.notif_intr_en_r.notif_cmd_done_en.value & ~decoded_wr_biten[0:0]) | (decoded_wr_data[0:0] & decoded_wr_biten[0:0]); load_next_c = '1; @@ -753,8 +779,10 @@ module doe_reg ( end // Field: doe_reg.intr_block_rf.error_global_intr_r.agg_sts always_comb begin - automatic logic [0:0] next_c = field_storage.intr_block_rf.error_global_intr_r.agg_sts.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.intr_block_rf.error_global_intr_r.agg_sts.value; + load_next_c = '0; // HW Write next_c = hwif_out.intr_block_rf.error_internal_intr_r.intr; @@ -773,8 +801,10 @@ module doe_reg ( |(field_storage.intr_block_rf.error_global_intr_r.agg_sts.value & field_storage.intr_block_rf.global_intr_en_r.error_en.value); // Field: doe_reg.intr_block_rf.notif_global_intr_r.agg_sts always_comb begin - automatic logic [0:0] next_c = field_storage.intr_block_rf.notif_global_intr_r.agg_sts.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.intr_block_rf.notif_global_intr_r.agg_sts.value; + load_next_c = '0; // HW Write next_c = hwif_out.intr_block_rf.notif_internal_intr_r.intr; @@ -793,8 +823,10 @@ module doe_reg ( |(field_storage.intr_block_rf.notif_global_intr_r.agg_sts.value & field_storage.intr_block_rf.global_intr_en_r.notif_en.value); // Field: doe_reg.intr_block_rf.error_internal_intr_r.error0_sts always_comb begin - automatic logic [0:0] next_c = field_storage.intr_block_rf.error_internal_intr_r.error0_sts.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.intr_block_rf.error_internal_intr_r.error0_sts.value; + load_next_c = '0; if(field_storage.intr_block_rf.error_intr_trig_r.error0_trig.value != '0) begin // stickybit next_c = field_storage.intr_block_rf.error_internal_intr_r.error0_sts.value | field_storage.intr_block_rf.error_intr_trig_r.error0_trig.value; load_next_c = '1; @@ -817,8 +849,10 @@ module doe_reg ( end // Field: doe_reg.intr_block_rf.error_internal_intr_r.error1_sts always_comb begin - automatic logic [0:0] next_c = field_storage.intr_block_rf.error_internal_intr_r.error1_sts.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.intr_block_rf.error_internal_intr_r.error1_sts.value; + load_next_c = '0; if(field_storage.intr_block_rf.error_intr_trig_r.error1_trig.value != '0) begin // stickybit next_c = field_storage.intr_block_rf.error_internal_intr_r.error1_sts.value | field_storage.intr_block_rf.error_intr_trig_r.error1_trig.value; load_next_c = '1; @@ -841,8 +875,10 @@ module doe_reg ( end // Field: doe_reg.intr_block_rf.error_internal_intr_r.error2_sts always_comb begin - automatic logic [0:0] next_c = field_storage.intr_block_rf.error_internal_intr_r.error2_sts.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.intr_block_rf.error_internal_intr_r.error2_sts.value; + load_next_c = '0; if(field_storage.intr_block_rf.error_intr_trig_r.error2_trig.value != '0) begin // stickybit next_c = field_storage.intr_block_rf.error_internal_intr_r.error2_sts.value | field_storage.intr_block_rf.error_intr_trig_r.error2_trig.value; load_next_c = '1; @@ -865,8 +901,10 @@ module doe_reg ( end // Field: doe_reg.intr_block_rf.error_internal_intr_r.error3_sts always_comb begin - automatic logic [0:0] next_c = field_storage.intr_block_rf.error_internal_intr_r.error3_sts.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.intr_block_rf.error_internal_intr_r.error3_sts.value; + load_next_c = '0; if(field_storage.intr_block_rf.error_intr_trig_r.error3_trig.value != '0) begin // stickybit next_c = field_storage.intr_block_rf.error_internal_intr_r.error3_sts.value | field_storage.intr_block_rf.error_intr_trig_r.error3_trig.value; load_next_c = '1; @@ -894,8 +932,10 @@ module doe_reg ( || |(field_storage.intr_block_rf.error_internal_intr_r.error3_sts.value & field_storage.intr_block_rf.error_intr_en_r.error3_en.value); // Field: doe_reg.intr_block_rf.notif_internal_intr_r.notif_cmd_done_sts always_comb begin - automatic logic [0:0] next_c = field_storage.intr_block_rf.notif_internal_intr_r.notif_cmd_done_sts.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.intr_block_rf.notif_internal_intr_r.notif_cmd_done_sts.value; + load_next_c = '0; if(field_storage.intr_block_rf.notif_intr_trig_r.notif_cmd_done_trig.value != '0) begin // stickybit next_c = field_storage.intr_block_rf.notif_internal_intr_r.notif_cmd_done_sts.value | field_storage.intr_block_rf.notif_intr_trig_r.notif_cmd_done_trig.value; load_next_c = '1; @@ -920,8 +960,10 @@ module doe_reg ( |(field_storage.intr_block_rf.notif_internal_intr_r.notif_cmd_done_sts.value & field_storage.intr_block_rf.notif_intr_en_r.notif_cmd_done_en.value); // Field: doe_reg.intr_block_rf.error_intr_trig_r.error0_trig always_comb begin - automatic logic [0:0] next_c = field_storage.intr_block_rf.error_intr_trig_r.error0_trig.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.intr_block_rf.error_intr_trig_r.error0_trig.value; + load_next_c = '0; if(decoded_reg_strb.intr_block_rf.error_intr_trig_r && decoded_req_is_wr) begin // SW write 1 set next_c = field_storage.intr_block_rf.error_intr_trig_r.error0_trig.value | (decoded_wr_data[0:0] & decoded_wr_biten[0:0]); load_next_c = '1; @@ -941,8 +983,10 @@ module doe_reg ( end // Field: doe_reg.intr_block_rf.error_intr_trig_r.error1_trig always_comb begin - automatic logic [0:0] next_c = field_storage.intr_block_rf.error_intr_trig_r.error1_trig.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.intr_block_rf.error_intr_trig_r.error1_trig.value; + load_next_c = '0; if(decoded_reg_strb.intr_block_rf.error_intr_trig_r && decoded_req_is_wr) begin // SW write 1 set next_c = field_storage.intr_block_rf.error_intr_trig_r.error1_trig.value | (decoded_wr_data[1:1] & decoded_wr_biten[1:1]); load_next_c = '1; @@ -962,8 +1006,10 @@ module doe_reg ( end // Field: doe_reg.intr_block_rf.error_intr_trig_r.error2_trig always_comb begin - automatic logic [0:0] next_c = field_storage.intr_block_rf.error_intr_trig_r.error2_trig.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.intr_block_rf.error_intr_trig_r.error2_trig.value; + load_next_c = '0; if(decoded_reg_strb.intr_block_rf.error_intr_trig_r && decoded_req_is_wr) begin // SW write 1 set next_c = field_storage.intr_block_rf.error_intr_trig_r.error2_trig.value | (decoded_wr_data[2:2] & decoded_wr_biten[2:2]); load_next_c = '1; @@ -983,8 +1029,10 @@ module doe_reg ( end // Field: doe_reg.intr_block_rf.error_intr_trig_r.error3_trig always_comb begin - automatic logic [0:0] next_c = field_storage.intr_block_rf.error_intr_trig_r.error3_trig.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.intr_block_rf.error_intr_trig_r.error3_trig.value; + load_next_c = '0; if(decoded_reg_strb.intr_block_rf.error_intr_trig_r && decoded_req_is_wr) begin // SW write 1 set next_c = field_storage.intr_block_rf.error_intr_trig_r.error3_trig.value | (decoded_wr_data[3:3] & decoded_wr_biten[3:3]); load_next_c = '1; @@ -1004,8 +1052,10 @@ module doe_reg ( end // Field: doe_reg.intr_block_rf.notif_intr_trig_r.notif_cmd_done_trig always_comb begin - automatic logic [0:0] next_c = field_storage.intr_block_rf.notif_intr_trig_r.notif_cmd_done_trig.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.intr_block_rf.notif_intr_trig_r.notif_cmd_done_trig.value; + load_next_c = '0; if(decoded_reg_strb.intr_block_rf.notif_intr_trig_r && decoded_req_is_wr) begin // SW write 1 set next_c = field_storage.intr_block_rf.notif_intr_trig_r.notif_cmd_done_trig.value | (decoded_wr_data[0:0] & decoded_wr_biten[0:0]); load_next_c = '1; @@ -1025,8 +1075,10 @@ module doe_reg ( end // Field: doe_reg.intr_block_rf.error0_intr_count_r.cnt always_comb begin - automatic logic [31:0] next_c = field_storage.intr_block_rf.error0_intr_count_r.cnt.value; - automatic logic load_next_c = '0; + automatic logic [31:0] next_c; + automatic logic load_next_c; + next_c = field_storage.intr_block_rf.error0_intr_count_r.cnt.value; + load_next_c = '0; if(decoded_reg_strb.intr_block_rf.error0_intr_count_r && decoded_req_is_wr) begin // SW write next_c = (field_storage.intr_block_rf.error0_intr_count_r.cnt.value & ~decoded_wr_biten[31:0]) | (decoded_wr_data[31:0] & decoded_wr_biten[31:0]); load_next_c = '1; @@ -1057,8 +1109,10 @@ module doe_reg ( end // Field: doe_reg.intr_block_rf.error1_intr_count_r.cnt always_comb begin - automatic logic [31:0] next_c = field_storage.intr_block_rf.error1_intr_count_r.cnt.value; - automatic logic load_next_c = '0; + automatic logic [31:0] next_c; + automatic logic load_next_c; + next_c = field_storage.intr_block_rf.error1_intr_count_r.cnt.value; + load_next_c = '0; if(decoded_reg_strb.intr_block_rf.error1_intr_count_r && decoded_req_is_wr) begin // SW write next_c = (field_storage.intr_block_rf.error1_intr_count_r.cnt.value & ~decoded_wr_biten[31:0]) | (decoded_wr_data[31:0] & decoded_wr_biten[31:0]); load_next_c = '1; @@ -1089,8 +1143,10 @@ module doe_reg ( end // Field: doe_reg.intr_block_rf.error2_intr_count_r.cnt always_comb begin - automatic logic [31:0] next_c = field_storage.intr_block_rf.error2_intr_count_r.cnt.value; - automatic logic load_next_c = '0; + automatic logic [31:0] next_c; + automatic logic load_next_c; + next_c = field_storage.intr_block_rf.error2_intr_count_r.cnt.value; + load_next_c = '0; if(decoded_reg_strb.intr_block_rf.error2_intr_count_r && decoded_req_is_wr) begin // SW write next_c = (field_storage.intr_block_rf.error2_intr_count_r.cnt.value & ~decoded_wr_biten[31:0]) | (decoded_wr_data[31:0] & decoded_wr_biten[31:0]); load_next_c = '1; @@ -1121,8 +1177,10 @@ module doe_reg ( end // Field: doe_reg.intr_block_rf.error3_intr_count_r.cnt always_comb begin - automatic logic [31:0] next_c = field_storage.intr_block_rf.error3_intr_count_r.cnt.value; - automatic logic load_next_c = '0; + automatic logic [31:0] next_c; + automatic logic load_next_c; + next_c = field_storage.intr_block_rf.error3_intr_count_r.cnt.value; + load_next_c = '0; if(decoded_reg_strb.intr_block_rf.error3_intr_count_r && decoded_req_is_wr) begin // SW write next_c = (field_storage.intr_block_rf.error3_intr_count_r.cnt.value & ~decoded_wr_biten[31:0]) | (decoded_wr_data[31:0] & decoded_wr_biten[31:0]); load_next_c = '1; @@ -1153,8 +1211,10 @@ module doe_reg ( end // Field: doe_reg.intr_block_rf.notif_cmd_done_intr_count_r.cnt always_comb begin - automatic logic [31:0] next_c = field_storage.intr_block_rf.notif_cmd_done_intr_count_r.cnt.value; - automatic logic load_next_c = '0; + automatic logic [31:0] next_c; + automatic logic load_next_c; + next_c = field_storage.intr_block_rf.notif_cmd_done_intr_count_r.cnt.value; + load_next_c = '0; if(decoded_reg_strb.intr_block_rf.notif_cmd_done_intr_count_r && decoded_req_is_wr) begin // SW write next_c = (field_storage.intr_block_rf.notif_cmd_done_intr_count_r.cnt.value & ~decoded_wr_biten[31:0]) | (decoded_wr_data[31:0] & decoded_wr_biten[31:0]); load_next_c = '1; @@ -1185,8 +1245,10 @@ module doe_reg ( end // Field: doe_reg.intr_block_rf.error0_intr_count_incr_r.pulse always_comb begin - automatic logic [0:0] next_c = field_storage.intr_block_rf.error0_intr_count_incr_r.pulse.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.intr_block_rf.error0_intr_count_incr_r.pulse.value; + load_next_c = '0; if(field_storage.intr_block_rf.error_intr_trig_r.error0_trig.value) begin // HW Write - we next_c = field_storage.intr_block_rf.error_intr_trig_r.error0_trig.value; load_next_c = '1; @@ -1214,8 +1276,10 @@ module doe_reg ( end // Field: doe_reg.intr_block_rf.error1_intr_count_incr_r.pulse always_comb begin - automatic logic [0:0] next_c = field_storage.intr_block_rf.error1_intr_count_incr_r.pulse.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.intr_block_rf.error1_intr_count_incr_r.pulse.value; + load_next_c = '0; if(field_storage.intr_block_rf.error_intr_trig_r.error1_trig.value) begin // HW Write - we next_c = field_storage.intr_block_rf.error_intr_trig_r.error1_trig.value; load_next_c = '1; @@ -1243,8 +1307,10 @@ module doe_reg ( end // Field: doe_reg.intr_block_rf.error2_intr_count_incr_r.pulse always_comb begin - automatic logic [0:0] next_c = field_storage.intr_block_rf.error2_intr_count_incr_r.pulse.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.intr_block_rf.error2_intr_count_incr_r.pulse.value; + load_next_c = '0; if(field_storage.intr_block_rf.error_intr_trig_r.error2_trig.value) begin // HW Write - we next_c = field_storage.intr_block_rf.error_intr_trig_r.error2_trig.value; load_next_c = '1; @@ -1272,8 +1338,10 @@ module doe_reg ( end // Field: doe_reg.intr_block_rf.error3_intr_count_incr_r.pulse always_comb begin - automatic logic [0:0] next_c = field_storage.intr_block_rf.error3_intr_count_incr_r.pulse.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.intr_block_rf.error3_intr_count_incr_r.pulse.value; + load_next_c = '0; if(field_storage.intr_block_rf.error_intr_trig_r.error3_trig.value) begin // HW Write - we next_c = field_storage.intr_block_rf.error_intr_trig_r.error3_trig.value; load_next_c = '1; @@ -1301,8 +1369,10 @@ module doe_reg ( end // Field: doe_reg.intr_block_rf.notif_cmd_done_intr_count_incr_r.pulse always_comb begin - automatic logic [0:0] next_c = field_storage.intr_block_rf.notif_cmd_done_intr_count_incr_r.pulse.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.intr_block_rf.notif_cmd_done_intr_count_incr_r.pulse.value; + load_next_c = '0; if(field_storage.intr_block_rf.notif_intr_trig_r.notif_cmd_done_trig.value) begin // HW Write - we next_c = field_storage.intr_block_rf.notif_intr_trig_r.notif_cmd_done_trig.value; load_next_c = '1; @@ -1343,7 +1413,7 @@ module doe_reg ( logic readback_err; logic readback_done; logic [31:0] readback_data; - + // Assign readback values to a flattened array logic [25-1:0][31:0] readback_array; for(genvar i0=0; i0<4; i0++) begin @@ -1418,4 +1488,4 @@ module doe_reg ( `CALIPTRA_ASSERT_KNOWN(ERR_HWIF_IN, hwif_in, clk, !hwif_in.cptra_pwrgood) -endmodule \ No newline at end of file +endmodule diff --git a/src/ecc/rtl/ecc_reg.sv b/src/ecc/rtl/ecc_reg.sv index ebbbc6f12..b09ff831e 100644 --- a/src/ecc/rtl/ecc_reg.sv +++ b/src/ecc/rtl/ecc_reg.sv @@ -656,8 +656,10 @@ module ecc_reg ( // Field: ecc_reg.ECC_CTRL.CTRL always_comb begin - automatic logic [1:0] next_c = field_storage.ECC_CTRL.CTRL.value; - automatic logic load_next_c = '0; + automatic logic [1:0] next_c; + automatic logic load_next_c; + next_c = field_storage.ECC_CTRL.CTRL.value; + load_next_c = '0; if(decoded_reg_strb.ECC_CTRL && decoded_req_is_wr && hwif_in.ecc_ready) begin // SW write next_c = (field_storage.ECC_CTRL.CTRL.value & ~decoded_wr_biten[1:0]) | (decoded_wr_data[1:0] & decoded_wr_biten[1:0]); load_next_c = '1; @@ -678,8 +680,10 @@ module ecc_reg ( assign hwif_out.ECC_CTRL.CTRL.value = field_storage.ECC_CTRL.CTRL.value; // Field: ecc_reg.ECC_CTRL.ZEROIZE always_comb begin - automatic logic [0:0] next_c = field_storage.ECC_CTRL.ZEROIZE.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.ECC_CTRL.ZEROIZE.value; + load_next_c = '0; if(decoded_reg_strb.ECC_CTRL && decoded_req_is_wr) begin // SW write next_c = (field_storage.ECC_CTRL.ZEROIZE.value & ~decoded_wr_biten[2:2]) | (decoded_wr_data[2:2] & decoded_wr_biten[2:2]); load_next_c = '1; @@ -700,8 +704,10 @@ module ecc_reg ( assign hwif_out.ECC_CTRL.ZEROIZE.value = field_storage.ECC_CTRL.ZEROIZE.value; // Field: ecc_reg.ECC_CTRL.PCR_SIGN always_comb begin - automatic logic [0:0] next_c = field_storage.ECC_CTRL.PCR_SIGN.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.ECC_CTRL.PCR_SIGN.value; + load_next_c = '0; if(decoded_reg_strb.ECC_CTRL && decoded_req_is_wr && hwif_in.ecc_ready) begin // SW write next_c = (field_storage.ECC_CTRL.PCR_SIGN.value & ~decoded_wr_biten[3:3]) | (decoded_wr_data[3:3] & decoded_wr_biten[3:3]); load_next_c = '1; @@ -723,8 +729,10 @@ module ecc_reg ( for(genvar i0=0; i0<12; i0++) begin // Field: ecc_reg.ECC_SEED[].SEED always_comb begin - automatic logic [31:0] next_c = field_storage.ECC_SEED[i0].SEED.value; - automatic logic load_next_c = '0; + automatic logic [31:0] next_c; + automatic logic load_next_c; + next_c = field_storage.ECC_SEED[i0].SEED.value; + load_next_c = '0; if(decoded_reg_strb.ECC_SEED[i0] && decoded_req_is_wr && hwif_in.ECC_SEED[i0].SEED.swwe) begin // SW write next_c = (field_storage.ECC_SEED[i0].SEED.value & ~decoded_wr_biten[31:0]) | (decoded_wr_data[31:0] & decoded_wr_biten[31:0]); load_next_c = '1; @@ -750,8 +758,10 @@ module ecc_reg ( for(genvar i0=0; i0<12; i0++) begin // Field: ecc_reg.ECC_MSG[].MSG always_comb begin - automatic logic [31:0] next_c = field_storage.ECC_MSG[i0].MSG.value; - automatic logic load_next_c = '0; + automatic logic [31:0] next_c; + automatic logic load_next_c; + next_c = field_storage.ECC_MSG[i0].MSG.value; + load_next_c = '0; if(decoded_reg_strb.ECC_MSG[i0] && decoded_req_is_wr && hwif_in.ecc_ready) begin // SW write next_c = (field_storage.ECC_MSG[i0].MSG.value & ~decoded_wr_biten[31:0]) | (decoded_wr_data[31:0] & decoded_wr_biten[31:0]); load_next_c = '1; @@ -777,8 +787,10 @@ module ecc_reg ( for(genvar i0=0; i0<12; i0++) begin // Field: ecc_reg.ECC_PRIVKEY_OUT[].PRIVKEY_OUT always_comb begin - automatic logic [31:0] next_c = field_storage.ECC_PRIVKEY_OUT[i0].PRIVKEY_OUT.value; - automatic logic load_next_c = '0; + automatic logic [31:0] next_c; + automatic logic load_next_c; + next_c = field_storage.ECC_PRIVKEY_OUT[i0].PRIVKEY_OUT.value; + load_next_c = '0; if(hwif_in.ECC_PRIVKEY_OUT[i0].PRIVKEY_OUT.we) begin // HW Write - we next_c = hwif_in.ECC_PRIVKEY_OUT[i0].PRIVKEY_OUT.next; load_next_c = '1; @@ -800,8 +812,10 @@ module ecc_reg ( for(genvar i0=0; i0<12; i0++) begin // Field: ecc_reg.ECC_PUBKEY_X[].PUBKEY_X always_comb begin - automatic logic [31:0] next_c = field_storage.ECC_PUBKEY_X[i0].PUBKEY_X.value; - automatic logic load_next_c = '0; + automatic logic [31:0] next_c; + automatic logic load_next_c; + next_c = field_storage.ECC_PUBKEY_X[i0].PUBKEY_X.value; + load_next_c = '0; if(decoded_reg_strb.ECC_PUBKEY_X[i0] && decoded_req_is_wr && hwif_in.ecc_ready) begin // SW write next_c = (field_storage.ECC_PUBKEY_X[i0].PUBKEY_X.value & ~decoded_wr_biten[31:0]) | (decoded_wr_data[31:0] & decoded_wr_biten[31:0]); load_next_c = '1; @@ -827,8 +841,10 @@ module ecc_reg ( for(genvar i0=0; i0<12; i0++) begin // Field: ecc_reg.ECC_PUBKEY_Y[].PUBKEY_Y always_comb begin - automatic logic [31:0] next_c = field_storage.ECC_PUBKEY_Y[i0].PUBKEY_Y.value; - automatic logic load_next_c = '0; + automatic logic [31:0] next_c; + automatic logic load_next_c; + next_c = field_storage.ECC_PUBKEY_Y[i0].PUBKEY_Y.value; + load_next_c = '0; if(decoded_reg_strb.ECC_PUBKEY_Y[i0] && decoded_req_is_wr && hwif_in.ecc_ready) begin // SW write next_c = (field_storage.ECC_PUBKEY_Y[i0].PUBKEY_Y.value & ~decoded_wr_biten[31:0]) | (decoded_wr_data[31:0] & decoded_wr_biten[31:0]); load_next_c = '1; @@ -854,8 +870,10 @@ module ecc_reg ( for(genvar i0=0; i0<12; i0++) begin // Field: ecc_reg.ECC_SIGN_R[].SIGN_R always_comb begin - automatic logic [31:0] next_c = field_storage.ECC_SIGN_R[i0].SIGN_R.value; - automatic logic load_next_c = '0; + automatic logic [31:0] next_c; + automatic logic load_next_c; + next_c = field_storage.ECC_SIGN_R[i0].SIGN_R.value; + load_next_c = '0; if(decoded_reg_strb.ECC_SIGN_R[i0] && decoded_req_is_wr && hwif_in.ecc_ready) begin // SW write next_c = (field_storage.ECC_SIGN_R[i0].SIGN_R.value & ~decoded_wr_biten[31:0]) | (decoded_wr_data[31:0] & decoded_wr_biten[31:0]); load_next_c = '1; @@ -881,8 +899,10 @@ module ecc_reg ( for(genvar i0=0; i0<12; i0++) begin // Field: ecc_reg.ECC_SIGN_S[].SIGN_S always_comb begin - automatic logic [31:0] next_c = field_storage.ECC_SIGN_S[i0].SIGN_S.value; - automatic logic load_next_c = '0; + automatic logic [31:0] next_c; + automatic logic load_next_c; + next_c = field_storage.ECC_SIGN_S[i0].SIGN_S.value; + load_next_c = '0; if(decoded_reg_strb.ECC_SIGN_S[i0] && decoded_req_is_wr && hwif_in.ecc_ready) begin // SW write next_c = (field_storage.ECC_SIGN_S[i0].SIGN_S.value & ~decoded_wr_biten[31:0]) | (decoded_wr_data[31:0] & decoded_wr_biten[31:0]); load_next_c = '1; @@ -908,8 +928,10 @@ module ecc_reg ( for(genvar i0=0; i0<12; i0++) begin // Field: ecc_reg.ECC_VERIFY_R[].VERIFY_R always_comb begin - automatic logic [31:0] next_c = field_storage.ECC_VERIFY_R[i0].VERIFY_R.value; - automatic logic load_next_c = '0; + automatic logic [31:0] next_c; + automatic logic load_next_c; + next_c = field_storage.ECC_VERIFY_R[i0].VERIFY_R.value; + load_next_c = '0; if(hwif_in.ECC_VERIFY_R[i0].VERIFY_R.we) begin // HW Write - we next_c = hwif_in.ECC_VERIFY_R[i0].VERIFY_R.next; load_next_c = '1; @@ -932,8 +954,10 @@ module ecc_reg ( for(genvar i0=0; i0<12; i0++) begin // Field: ecc_reg.ECC_IV[].IV always_comb begin - automatic logic [31:0] next_c = field_storage.ECC_IV[i0].IV.value; - automatic logic load_next_c = '0; + automatic logic [31:0] next_c; + automatic logic load_next_c; + next_c = field_storage.ECC_IV[i0].IV.value; + load_next_c = '0; if(decoded_reg_strb.ECC_IV[i0] && decoded_req_is_wr && hwif_in.ecc_ready) begin // SW write next_c = (field_storage.ECC_IV[i0].IV.value & ~decoded_wr_biten[31:0]) | (decoded_wr_data[31:0] & decoded_wr_biten[31:0]); load_next_c = '1; @@ -956,8 +980,10 @@ module ecc_reg ( for(genvar i0=0; i0<12; i0++) begin // Field: ecc_reg.ECC_NONCE[].NONCE always_comb begin - automatic logic [31:0] next_c = field_storage.ECC_NONCE[i0].NONCE.value; - automatic logic load_next_c = '0; + automatic logic [31:0] next_c; + automatic logic load_next_c; + next_c = field_storage.ECC_NONCE[i0].NONCE.value; + load_next_c = '0; if(decoded_reg_strb.ECC_NONCE[i0] && decoded_req_is_wr && hwif_in.ecc_ready) begin // SW write next_c = (field_storage.ECC_NONCE[i0].NONCE.value & ~decoded_wr_biten[31:0]) | (decoded_wr_data[31:0] & decoded_wr_biten[31:0]); load_next_c = '1; @@ -980,8 +1006,10 @@ module ecc_reg ( for(genvar i0=0; i0<12; i0++) begin // Field: ecc_reg.ECC_PRIVKEY_IN[].PRIVKEY_IN always_comb begin - automatic logic [31:0] next_c = field_storage.ECC_PRIVKEY_IN[i0].PRIVKEY_IN.value; - automatic logic load_next_c = '0; + automatic logic [31:0] next_c; + automatic logic load_next_c; + next_c = field_storage.ECC_PRIVKEY_IN[i0].PRIVKEY_IN.value; + load_next_c = '0; if(decoded_reg_strb.ECC_PRIVKEY_IN[i0] && decoded_req_is_wr && hwif_in.ECC_PRIVKEY_IN[i0].PRIVKEY_IN.swwe) begin // SW write next_c = (field_storage.ECC_PRIVKEY_IN[i0].PRIVKEY_IN.value & ~decoded_wr_biten[31:0]) | (decoded_wr_data[31:0] & decoded_wr_biten[31:0]); load_next_c = '1; @@ -1006,8 +1034,10 @@ module ecc_reg ( end // Field: ecc_reg.ecc_kv_rd_pkey_ctrl.read_en always_comb begin - automatic logic [0:0] next_c = field_storage.ecc_kv_rd_pkey_ctrl.read_en.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.ecc_kv_rd_pkey_ctrl.read_en.value; + load_next_c = '0; if(decoded_reg_strb.ecc_kv_rd_pkey_ctrl && decoded_req_is_wr) begin // SW write next_c = (field_storage.ecc_kv_rd_pkey_ctrl.read_en.value & ~decoded_wr_biten[0:0]) | (decoded_wr_data[0:0] & decoded_wr_biten[0:0]); load_next_c = '1; @@ -1028,8 +1058,10 @@ module ecc_reg ( assign hwif_out.ecc_kv_rd_pkey_ctrl.read_en.value = field_storage.ecc_kv_rd_pkey_ctrl.read_en.value; // Field: ecc_reg.ecc_kv_rd_pkey_ctrl.read_entry always_comb begin - automatic logic [4:0] next_c = field_storage.ecc_kv_rd_pkey_ctrl.read_entry.value; - automatic logic load_next_c = '0; + automatic logic [4:0] next_c; + automatic logic load_next_c; + next_c = field_storage.ecc_kv_rd_pkey_ctrl.read_entry.value; + load_next_c = '0; if(decoded_reg_strb.ecc_kv_rd_pkey_ctrl && decoded_req_is_wr) begin // SW write next_c = (field_storage.ecc_kv_rd_pkey_ctrl.read_entry.value & ~decoded_wr_biten[5:1]) | (decoded_wr_data[5:1] & decoded_wr_biten[5:1]); load_next_c = '1; @@ -1047,8 +1079,10 @@ module ecc_reg ( assign hwif_out.ecc_kv_rd_pkey_ctrl.read_entry.value = field_storage.ecc_kv_rd_pkey_ctrl.read_entry.value; // Field: ecc_reg.ecc_kv_rd_pkey_ctrl.pcr_hash_extend always_comb begin - automatic logic [0:0] next_c = field_storage.ecc_kv_rd_pkey_ctrl.pcr_hash_extend.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.ecc_kv_rd_pkey_ctrl.pcr_hash_extend.value; + load_next_c = '0; if(decoded_reg_strb.ecc_kv_rd_pkey_ctrl && decoded_req_is_wr) begin // SW write next_c = (field_storage.ecc_kv_rd_pkey_ctrl.pcr_hash_extend.value & ~decoded_wr_biten[6:6]) | (decoded_wr_data[6:6] & decoded_wr_biten[6:6]); load_next_c = '1; @@ -1066,8 +1100,10 @@ module ecc_reg ( assign hwif_out.ecc_kv_rd_pkey_ctrl.pcr_hash_extend.value = field_storage.ecc_kv_rd_pkey_ctrl.pcr_hash_extend.value; // Field: ecc_reg.ecc_kv_rd_pkey_ctrl.rsvd always_comb begin - automatic logic [24:0] next_c = field_storage.ecc_kv_rd_pkey_ctrl.rsvd.value; - automatic logic load_next_c = '0; + automatic logic [24:0] next_c; + automatic logic load_next_c; + next_c = field_storage.ecc_kv_rd_pkey_ctrl.rsvd.value; + load_next_c = '0; if(decoded_reg_strb.ecc_kv_rd_pkey_ctrl && decoded_req_is_wr) begin // SW write next_c = (field_storage.ecc_kv_rd_pkey_ctrl.rsvd.value & ~decoded_wr_biten[31:7]) | (decoded_wr_data[31:7] & decoded_wr_biten[31:7]); load_next_c = '1; @@ -1085,8 +1121,10 @@ module ecc_reg ( assign hwif_out.ecc_kv_rd_pkey_ctrl.rsvd.value = field_storage.ecc_kv_rd_pkey_ctrl.rsvd.value; // Field: ecc_reg.ecc_kv_rd_pkey_status.VALID always_comb begin - automatic logic [0:0] next_c = field_storage.ecc_kv_rd_pkey_status.VALID.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.ecc_kv_rd_pkey_status.VALID.value; + load_next_c = '0; if(hwif_in.ecc_kv_rd_pkey_status.VALID.hwset) begin // HW Set next_c = '1; load_next_c = '1; @@ -1106,8 +1144,10 @@ module ecc_reg ( end // Field: ecc_reg.ecc_kv_rd_seed_ctrl.read_en always_comb begin - automatic logic [0:0] next_c = field_storage.ecc_kv_rd_seed_ctrl.read_en.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.ecc_kv_rd_seed_ctrl.read_en.value; + load_next_c = '0; if(decoded_reg_strb.ecc_kv_rd_seed_ctrl && decoded_req_is_wr) begin // SW write next_c = (field_storage.ecc_kv_rd_seed_ctrl.read_en.value & ~decoded_wr_biten[0:0]) | (decoded_wr_data[0:0] & decoded_wr_biten[0:0]); load_next_c = '1; @@ -1128,8 +1168,10 @@ module ecc_reg ( assign hwif_out.ecc_kv_rd_seed_ctrl.read_en.value = field_storage.ecc_kv_rd_seed_ctrl.read_en.value; // Field: ecc_reg.ecc_kv_rd_seed_ctrl.read_entry always_comb begin - automatic logic [4:0] next_c = field_storage.ecc_kv_rd_seed_ctrl.read_entry.value; - automatic logic load_next_c = '0; + automatic logic [4:0] next_c; + automatic logic load_next_c; + next_c = field_storage.ecc_kv_rd_seed_ctrl.read_entry.value; + load_next_c = '0; if(decoded_reg_strb.ecc_kv_rd_seed_ctrl && decoded_req_is_wr) begin // SW write next_c = (field_storage.ecc_kv_rd_seed_ctrl.read_entry.value & ~decoded_wr_biten[5:1]) | (decoded_wr_data[5:1] & decoded_wr_biten[5:1]); load_next_c = '1; @@ -1147,8 +1189,10 @@ module ecc_reg ( assign hwif_out.ecc_kv_rd_seed_ctrl.read_entry.value = field_storage.ecc_kv_rd_seed_ctrl.read_entry.value; // Field: ecc_reg.ecc_kv_rd_seed_ctrl.pcr_hash_extend always_comb begin - automatic logic [0:0] next_c = field_storage.ecc_kv_rd_seed_ctrl.pcr_hash_extend.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.ecc_kv_rd_seed_ctrl.pcr_hash_extend.value; + load_next_c = '0; if(decoded_reg_strb.ecc_kv_rd_seed_ctrl && decoded_req_is_wr) begin // SW write next_c = (field_storage.ecc_kv_rd_seed_ctrl.pcr_hash_extend.value & ~decoded_wr_biten[6:6]) | (decoded_wr_data[6:6] & decoded_wr_biten[6:6]); load_next_c = '1; @@ -1166,8 +1210,10 @@ module ecc_reg ( assign hwif_out.ecc_kv_rd_seed_ctrl.pcr_hash_extend.value = field_storage.ecc_kv_rd_seed_ctrl.pcr_hash_extend.value; // Field: ecc_reg.ecc_kv_rd_seed_ctrl.rsvd always_comb begin - automatic logic [24:0] next_c = field_storage.ecc_kv_rd_seed_ctrl.rsvd.value; - automatic logic load_next_c = '0; + automatic logic [24:0] next_c; + automatic logic load_next_c; + next_c = field_storage.ecc_kv_rd_seed_ctrl.rsvd.value; + load_next_c = '0; if(decoded_reg_strb.ecc_kv_rd_seed_ctrl && decoded_req_is_wr) begin // SW write next_c = (field_storage.ecc_kv_rd_seed_ctrl.rsvd.value & ~decoded_wr_biten[31:7]) | (decoded_wr_data[31:7] & decoded_wr_biten[31:7]); load_next_c = '1; @@ -1185,8 +1231,10 @@ module ecc_reg ( assign hwif_out.ecc_kv_rd_seed_ctrl.rsvd.value = field_storage.ecc_kv_rd_seed_ctrl.rsvd.value; // Field: ecc_reg.ecc_kv_rd_seed_status.VALID always_comb begin - automatic logic [0:0] next_c = field_storage.ecc_kv_rd_seed_status.VALID.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.ecc_kv_rd_seed_status.VALID.value; + load_next_c = '0; if(hwif_in.ecc_kv_rd_seed_status.VALID.hwset) begin // HW Set next_c = '1; load_next_c = '1; @@ -1206,8 +1254,10 @@ module ecc_reg ( end // Field: ecc_reg.ecc_kv_wr_pkey_ctrl.write_en always_comb begin - automatic logic [0:0] next_c = field_storage.ecc_kv_wr_pkey_ctrl.write_en.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.ecc_kv_wr_pkey_ctrl.write_en.value; + load_next_c = '0; if(decoded_reg_strb.ecc_kv_wr_pkey_ctrl && decoded_req_is_wr) begin // SW write next_c = (field_storage.ecc_kv_wr_pkey_ctrl.write_en.value & ~decoded_wr_biten[0:0]) | (decoded_wr_data[0:0] & decoded_wr_biten[0:0]); load_next_c = '1; @@ -1228,8 +1278,10 @@ module ecc_reg ( assign hwif_out.ecc_kv_wr_pkey_ctrl.write_en.value = field_storage.ecc_kv_wr_pkey_ctrl.write_en.value; // Field: ecc_reg.ecc_kv_wr_pkey_ctrl.write_entry always_comb begin - automatic logic [4:0] next_c = field_storage.ecc_kv_wr_pkey_ctrl.write_entry.value; - automatic logic load_next_c = '0; + automatic logic [4:0] next_c; + automatic logic load_next_c; + next_c = field_storage.ecc_kv_wr_pkey_ctrl.write_entry.value; + load_next_c = '0; if(decoded_reg_strb.ecc_kv_wr_pkey_ctrl && decoded_req_is_wr) begin // SW write next_c = (field_storage.ecc_kv_wr_pkey_ctrl.write_entry.value & ~decoded_wr_biten[5:1]) | (decoded_wr_data[5:1] & decoded_wr_biten[5:1]); load_next_c = '1; @@ -1247,8 +1299,10 @@ module ecc_reg ( assign hwif_out.ecc_kv_wr_pkey_ctrl.write_entry.value = field_storage.ecc_kv_wr_pkey_ctrl.write_entry.value; // Field: ecc_reg.ecc_kv_wr_pkey_ctrl.hmac_key_dest_valid always_comb begin - automatic logic [0:0] next_c = field_storage.ecc_kv_wr_pkey_ctrl.hmac_key_dest_valid.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.ecc_kv_wr_pkey_ctrl.hmac_key_dest_valid.value; + load_next_c = '0; if(decoded_reg_strb.ecc_kv_wr_pkey_ctrl && decoded_req_is_wr) begin // SW write next_c = (field_storage.ecc_kv_wr_pkey_ctrl.hmac_key_dest_valid.value & ~decoded_wr_biten[6:6]) | (decoded_wr_data[6:6] & decoded_wr_biten[6:6]); load_next_c = '1; @@ -1266,8 +1320,10 @@ module ecc_reg ( assign hwif_out.ecc_kv_wr_pkey_ctrl.hmac_key_dest_valid.value = field_storage.ecc_kv_wr_pkey_ctrl.hmac_key_dest_valid.value; // Field: ecc_reg.ecc_kv_wr_pkey_ctrl.hmac_block_dest_valid always_comb begin - automatic logic [0:0] next_c = field_storage.ecc_kv_wr_pkey_ctrl.hmac_block_dest_valid.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.ecc_kv_wr_pkey_ctrl.hmac_block_dest_valid.value; + load_next_c = '0; if(decoded_reg_strb.ecc_kv_wr_pkey_ctrl && decoded_req_is_wr) begin // SW write next_c = (field_storage.ecc_kv_wr_pkey_ctrl.hmac_block_dest_valid.value & ~decoded_wr_biten[7:7]) | (decoded_wr_data[7:7] & decoded_wr_biten[7:7]); load_next_c = '1; @@ -1285,8 +1341,10 @@ module ecc_reg ( assign hwif_out.ecc_kv_wr_pkey_ctrl.hmac_block_dest_valid.value = field_storage.ecc_kv_wr_pkey_ctrl.hmac_block_dest_valid.value; // Field: ecc_reg.ecc_kv_wr_pkey_ctrl.sha_block_dest_valid always_comb begin - automatic logic [0:0] next_c = field_storage.ecc_kv_wr_pkey_ctrl.sha_block_dest_valid.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.ecc_kv_wr_pkey_ctrl.sha_block_dest_valid.value; + load_next_c = '0; if(decoded_reg_strb.ecc_kv_wr_pkey_ctrl && decoded_req_is_wr) begin // SW write next_c = (field_storage.ecc_kv_wr_pkey_ctrl.sha_block_dest_valid.value & ~decoded_wr_biten[8:8]) | (decoded_wr_data[8:8] & decoded_wr_biten[8:8]); load_next_c = '1; @@ -1304,8 +1362,10 @@ module ecc_reg ( assign hwif_out.ecc_kv_wr_pkey_ctrl.sha_block_dest_valid.value = field_storage.ecc_kv_wr_pkey_ctrl.sha_block_dest_valid.value; // Field: ecc_reg.ecc_kv_wr_pkey_ctrl.ecc_pkey_dest_valid always_comb begin - automatic logic [0:0] next_c = field_storage.ecc_kv_wr_pkey_ctrl.ecc_pkey_dest_valid.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.ecc_kv_wr_pkey_ctrl.ecc_pkey_dest_valid.value; + load_next_c = '0; if(decoded_reg_strb.ecc_kv_wr_pkey_ctrl && decoded_req_is_wr) begin // SW write next_c = (field_storage.ecc_kv_wr_pkey_ctrl.ecc_pkey_dest_valid.value & ~decoded_wr_biten[9:9]) | (decoded_wr_data[9:9] & decoded_wr_biten[9:9]); load_next_c = '1; @@ -1323,8 +1383,10 @@ module ecc_reg ( assign hwif_out.ecc_kv_wr_pkey_ctrl.ecc_pkey_dest_valid.value = field_storage.ecc_kv_wr_pkey_ctrl.ecc_pkey_dest_valid.value; // Field: ecc_reg.ecc_kv_wr_pkey_ctrl.ecc_seed_dest_valid always_comb begin - automatic logic [0:0] next_c = field_storage.ecc_kv_wr_pkey_ctrl.ecc_seed_dest_valid.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.ecc_kv_wr_pkey_ctrl.ecc_seed_dest_valid.value; + load_next_c = '0; if(decoded_reg_strb.ecc_kv_wr_pkey_ctrl && decoded_req_is_wr) begin // SW write next_c = (field_storage.ecc_kv_wr_pkey_ctrl.ecc_seed_dest_valid.value & ~decoded_wr_biten[10:10]) | (decoded_wr_data[10:10] & decoded_wr_biten[10:10]); load_next_c = '1; @@ -1342,8 +1404,10 @@ module ecc_reg ( assign hwif_out.ecc_kv_wr_pkey_ctrl.ecc_seed_dest_valid.value = field_storage.ecc_kv_wr_pkey_ctrl.ecc_seed_dest_valid.value; // Field: ecc_reg.ecc_kv_wr_pkey_ctrl.rsvd always_comb begin - automatic logic [20:0] next_c = field_storage.ecc_kv_wr_pkey_ctrl.rsvd.value; - automatic logic load_next_c = '0; + automatic logic [20:0] next_c; + automatic logic load_next_c; + next_c = field_storage.ecc_kv_wr_pkey_ctrl.rsvd.value; + load_next_c = '0; if(decoded_reg_strb.ecc_kv_wr_pkey_ctrl && decoded_req_is_wr) begin // SW write next_c = (field_storage.ecc_kv_wr_pkey_ctrl.rsvd.value & ~decoded_wr_biten[31:11]) | (decoded_wr_data[31:11] & decoded_wr_biten[31:11]); load_next_c = '1; @@ -1361,8 +1425,10 @@ module ecc_reg ( assign hwif_out.ecc_kv_wr_pkey_ctrl.rsvd.value = field_storage.ecc_kv_wr_pkey_ctrl.rsvd.value; // Field: ecc_reg.ecc_kv_wr_pkey_status.VALID always_comb begin - automatic logic [0:0] next_c = field_storage.ecc_kv_wr_pkey_status.VALID.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.ecc_kv_wr_pkey_status.VALID.value; + load_next_c = '0; if(hwif_in.ecc_kv_wr_pkey_status.VALID.hwset) begin // HW Set next_c = '1; load_next_c = '1; @@ -1382,8 +1448,10 @@ module ecc_reg ( end // Field: ecc_reg.intr_block_rf.global_intr_en_r.error_en always_comb begin - automatic logic [0:0] next_c = field_storage.intr_block_rf.global_intr_en_r.error_en.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.intr_block_rf.global_intr_en_r.error_en.value; + load_next_c = '0; if(decoded_reg_strb.intr_block_rf.global_intr_en_r && decoded_req_is_wr) begin // SW write next_c = (field_storage.intr_block_rf.global_intr_en_r.error_en.value & ~decoded_wr_biten[0:0]) | (decoded_wr_data[0:0] & decoded_wr_biten[0:0]); load_next_c = '1; @@ -1400,8 +1468,10 @@ module ecc_reg ( end // Field: ecc_reg.intr_block_rf.global_intr_en_r.notif_en always_comb begin - automatic logic [0:0] next_c = field_storage.intr_block_rf.global_intr_en_r.notif_en.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.intr_block_rf.global_intr_en_r.notif_en.value; + load_next_c = '0; if(decoded_reg_strb.intr_block_rf.global_intr_en_r && decoded_req_is_wr) begin // SW write next_c = (field_storage.intr_block_rf.global_intr_en_r.notif_en.value & ~decoded_wr_biten[1:1]) | (decoded_wr_data[1:1] & decoded_wr_biten[1:1]); load_next_c = '1; @@ -1418,8 +1488,10 @@ module ecc_reg ( end // Field: ecc_reg.intr_block_rf.error_intr_en_r.error_internal_en always_comb begin - automatic logic [0:0] next_c = field_storage.intr_block_rf.error_intr_en_r.error_internal_en.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.intr_block_rf.error_intr_en_r.error_internal_en.value; + load_next_c = '0; if(decoded_reg_strb.intr_block_rf.error_intr_en_r && decoded_req_is_wr) begin // SW write next_c = (field_storage.intr_block_rf.error_intr_en_r.error_internal_en.value & ~decoded_wr_biten[0:0]) | (decoded_wr_data[0:0] & decoded_wr_biten[0:0]); load_next_c = '1; @@ -1436,8 +1508,10 @@ module ecc_reg ( end // Field: ecc_reg.intr_block_rf.notif_intr_en_r.notif_cmd_done_en always_comb begin - automatic logic [0:0] next_c = field_storage.intr_block_rf.notif_intr_en_r.notif_cmd_done_en.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.intr_block_rf.notif_intr_en_r.notif_cmd_done_en.value; + load_next_c = '0; if(decoded_reg_strb.intr_block_rf.notif_intr_en_r && decoded_req_is_wr) begin // SW write next_c = (field_storage.intr_block_rf.notif_intr_en_r.notif_cmd_done_en.value & ~decoded_wr_biten[0:0]) | (decoded_wr_data[0:0] & decoded_wr_biten[0:0]); load_next_c = '1; @@ -1454,8 +1528,10 @@ module ecc_reg ( end // Field: ecc_reg.intr_block_rf.error_global_intr_r.agg_sts always_comb begin - automatic logic [0:0] next_c = field_storage.intr_block_rf.error_global_intr_r.agg_sts.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.intr_block_rf.error_global_intr_r.agg_sts.value; + load_next_c = '0; // HW Write next_c = hwif_out.intr_block_rf.error_internal_intr_r.intr; @@ -1474,8 +1550,10 @@ module ecc_reg ( |(field_storage.intr_block_rf.error_global_intr_r.agg_sts.value & field_storage.intr_block_rf.global_intr_en_r.error_en.value); // Field: ecc_reg.intr_block_rf.notif_global_intr_r.agg_sts always_comb begin - automatic logic [0:0] next_c = field_storage.intr_block_rf.notif_global_intr_r.agg_sts.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.intr_block_rf.notif_global_intr_r.agg_sts.value; + load_next_c = '0; // HW Write next_c = hwif_out.intr_block_rf.notif_internal_intr_r.intr; @@ -1494,8 +1572,10 @@ module ecc_reg ( |(field_storage.intr_block_rf.notif_global_intr_r.agg_sts.value & field_storage.intr_block_rf.global_intr_en_r.notif_en.value); // Field: ecc_reg.intr_block_rf.error_internal_intr_r.error_internal_sts always_comb begin - automatic logic [0:0] next_c = field_storage.intr_block_rf.error_internal_intr_r.error_internal_sts.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.intr_block_rf.error_internal_intr_r.error_internal_sts.value; + load_next_c = '0; if(field_storage.intr_block_rf.error_intr_trig_r.error_internal_trig.value != '0) begin // stickybit next_c = field_storage.intr_block_rf.error_internal_intr_r.error_internal_sts.value | field_storage.intr_block_rf.error_intr_trig_r.error_internal_trig.value; load_next_c = '1; @@ -1520,8 +1600,10 @@ module ecc_reg ( |(field_storage.intr_block_rf.error_internal_intr_r.error_internal_sts.value & field_storage.intr_block_rf.error_intr_en_r.error_internal_en.value); // Field: ecc_reg.intr_block_rf.notif_internal_intr_r.notif_cmd_done_sts always_comb begin - automatic logic [0:0] next_c = field_storage.intr_block_rf.notif_internal_intr_r.notif_cmd_done_sts.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.intr_block_rf.notif_internal_intr_r.notif_cmd_done_sts.value; + load_next_c = '0; if(field_storage.intr_block_rf.notif_intr_trig_r.notif_cmd_done_trig.value != '0) begin // stickybit next_c = field_storage.intr_block_rf.notif_internal_intr_r.notif_cmd_done_sts.value | field_storage.intr_block_rf.notif_intr_trig_r.notif_cmd_done_trig.value; load_next_c = '1; @@ -1546,8 +1628,10 @@ module ecc_reg ( |(field_storage.intr_block_rf.notif_internal_intr_r.notif_cmd_done_sts.value & field_storage.intr_block_rf.notif_intr_en_r.notif_cmd_done_en.value); // Field: ecc_reg.intr_block_rf.error_intr_trig_r.error_internal_trig always_comb begin - automatic logic [0:0] next_c = field_storage.intr_block_rf.error_intr_trig_r.error_internal_trig.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.intr_block_rf.error_intr_trig_r.error_internal_trig.value; + load_next_c = '0; if(decoded_reg_strb.intr_block_rf.error_intr_trig_r && decoded_req_is_wr) begin // SW write 1 set next_c = field_storage.intr_block_rf.error_intr_trig_r.error_internal_trig.value | (decoded_wr_data[0:0] & decoded_wr_biten[0:0]); load_next_c = '1; @@ -1567,8 +1651,10 @@ module ecc_reg ( end // Field: ecc_reg.intr_block_rf.notif_intr_trig_r.notif_cmd_done_trig always_comb begin - automatic logic [0:0] next_c = field_storage.intr_block_rf.notif_intr_trig_r.notif_cmd_done_trig.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.intr_block_rf.notif_intr_trig_r.notif_cmd_done_trig.value; + load_next_c = '0; if(decoded_reg_strb.intr_block_rf.notif_intr_trig_r && decoded_req_is_wr) begin // SW write 1 set next_c = field_storage.intr_block_rf.notif_intr_trig_r.notif_cmd_done_trig.value | (decoded_wr_data[0:0] & decoded_wr_biten[0:0]); load_next_c = '1; @@ -1588,8 +1674,10 @@ module ecc_reg ( end // Field: ecc_reg.intr_block_rf.error_internal_intr_count_r.cnt always_comb begin - automatic logic [31:0] next_c = field_storage.intr_block_rf.error_internal_intr_count_r.cnt.value; - automatic logic load_next_c = '0; + automatic logic [31:0] next_c; + automatic logic load_next_c; + next_c = field_storage.intr_block_rf.error_internal_intr_count_r.cnt.value; + load_next_c = '0; if(decoded_reg_strb.intr_block_rf.error_internal_intr_count_r && decoded_req_is_wr) begin // SW write next_c = (field_storage.intr_block_rf.error_internal_intr_count_r.cnt.value & ~decoded_wr_biten[31:0]) | (decoded_wr_data[31:0] & decoded_wr_biten[31:0]); load_next_c = '1; @@ -1620,8 +1708,10 @@ module ecc_reg ( end // Field: ecc_reg.intr_block_rf.notif_cmd_done_intr_count_r.cnt always_comb begin - automatic logic [31:0] next_c = field_storage.intr_block_rf.notif_cmd_done_intr_count_r.cnt.value; - automatic logic load_next_c = '0; + automatic logic [31:0] next_c; + automatic logic load_next_c; + next_c = field_storage.intr_block_rf.notif_cmd_done_intr_count_r.cnt.value; + load_next_c = '0; if(decoded_reg_strb.intr_block_rf.notif_cmd_done_intr_count_r && decoded_req_is_wr) begin // SW write next_c = (field_storage.intr_block_rf.notif_cmd_done_intr_count_r.cnt.value & ~decoded_wr_biten[31:0]) | (decoded_wr_data[31:0] & decoded_wr_biten[31:0]); load_next_c = '1; @@ -1652,8 +1742,10 @@ module ecc_reg ( end // Field: ecc_reg.intr_block_rf.error_internal_intr_count_incr_r.pulse always_comb begin - automatic logic [0:0] next_c = field_storage.intr_block_rf.error_internal_intr_count_incr_r.pulse.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.intr_block_rf.error_internal_intr_count_incr_r.pulse.value; + load_next_c = '0; if(field_storage.intr_block_rf.error_intr_trig_r.error_internal_trig.value) begin // HW Write - we next_c = field_storage.intr_block_rf.error_intr_trig_r.error_internal_trig.value; load_next_c = '1; @@ -1681,8 +1773,10 @@ module ecc_reg ( end // Field: ecc_reg.intr_block_rf.notif_cmd_done_intr_count_incr_r.pulse always_comb begin - automatic logic [0:0] next_c = field_storage.intr_block_rf.notif_cmd_done_intr_count_incr_r.pulse.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.intr_block_rf.notif_cmd_done_intr_count_incr_r.pulse.value; + load_next_c = '0; if(field_storage.intr_block_rf.notif_intr_trig_r.notif_cmd_done_trig.value) begin // HW Write - we next_c = field_storage.intr_block_rf.notif_intr_trig_r.notif_cmd_done_trig.value; load_next_c = '1; @@ -1723,7 +1817,7 @@ module ecc_reg ( logic readback_err; logic readback_done; logic [31:0] readback_data; - + // Assign readback values to a flattened array logic [96-1:0][31:0] readback_array; for(genvar i0=0; i0<2; i0++) begin @@ -1823,4 +1917,4 @@ module ecc_reg ( `CALIPTRA_ASSERT_KNOWN(ERR_HWIF_IN, hwif_in, clk, !hwif_in.hard_reset_b) -endmodule \ No newline at end of file +endmodule diff --git a/src/hmac/rtl/hmac_reg.sv b/src/hmac/rtl/hmac_reg.sv index d6dd9ad24..e8d9093a0 100644 --- a/src/hmac/rtl/hmac_reg.sv +++ b/src/hmac/rtl/hmac_reg.sv @@ -704,8 +704,10 @@ module hmac_reg ( // Field: hmac_reg.HMAC384_CTRL.INIT always_comb begin - automatic logic [0:0] next_c = field_storage.HMAC384_CTRL.INIT.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.HMAC384_CTRL.INIT.value; + load_next_c = '0; if(decoded_reg_strb.HMAC384_CTRL && decoded_req_is_wr) begin // SW write next_c = (field_storage.HMAC384_CTRL.INIT.value & ~decoded_wr_biten[0:0]) | (decoded_wr_data[0:0] & decoded_wr_biten[0:0]); load_next_c = '1; @@ -726,8 +728,10 @@ module hmac_reg ( assign hwif_out.HMAC384_CTRL.INIT.value = field_storage.HMAC384_CTRL.INIT.value; // Field: hmac_reg.HMAC384_CTRL.NEXT always_comb begin - automatic logic [0:0] next_c = field_storage.HMAC384_CTRL.NEXT.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.HMAC384_CTRL.NEXT.value; + load_next_c = '0; if(decoded_reg_strb.HMAC384_CTRL && decoded_req_is_wr) begin // SW write next_c = (field_storage.HMAC384_CTRL.NEXT.value & ~decoded_wr_biten[1:1]) | (decoded_wr_data[1:1] & decoded_wr_biten[1:1]); load_next_c = '1; @@ -748,8 +752,10 @@ module hmac_reg ( assign hwif_out.HMAC384_CTRL.NEXT.value = field_storage.HMAC384_CTRL.NEXT.value; // Field: hmac_reg.HMAC384_CTRL.ZEROIZE always_comb begin - automatic logic [0:0] next_c = field_storage.HMAC384_CTRL.ZEROIZE.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.HMAC384_CTRL.ZEROIZE.value; + load_next_c = '0; if(decoded_reg_strb.HMAC384_CTRL && decoded_req_is_wr) begin // SW write next_c = (field_storage.HMAC384_CTRL.ZEROIZE.value & ~decoded_wr_biten[2:2]) | (decoded_wr_data[2:2] & decoded_wr_biten[2:2]); load_next_c = '1; @@ -771,8 +777,10 @@ module hmac_reg ( for(genvar i0=0; i0<12; i0++) begin // Field: hmac_reg.HMAC384_KEY[].KEY always_comb begin - automatic logic [31:0] next_c = field_storage.HMAC384_KEY[i0].KEY.value; - automatic logic load_next_c = '0; + automatic logic [31:0] next_c; + automatic logic load_next_c; + next_c = field_storage.HMAC384_KEY[i0].KEY.value; + load_next_c = '0; if(decoded_reg_strb.HMAC384_KEY[i0] && decoded_req_is_wr && !(hwif_in.HMAC384_KEY[i0].KEY.swwel)) begin // SW write next_c = (field_storage.HMAC384_KEY[i0].KEY.value & ~decoded_wr_biten[31:0]) | (decoded_wr_data[31:0] & decoded_wr_biten[31:0]); load_next_c = '1; @@ -798,8 +806,10 @@ module hmac_reg ( for(genvar i0=0; i0<32; i0++) begin // Field: hmac_reg.HMAC384_BLOCK[].BLOCK always_comb begin - automatic logic [31:0] next_c = field_storage.HMAC384_BLOCK[i0].BLOCK.value; - automatic logic load_next_c = '0; + automatic logic [31:0] next_c; + automatic logic load_next_c; + next_c = field_storage.HMAC384_BLOCK[i0].BLOCK.value; + load_next_c = '0; if(decoded_reg_strb.HMAC384_BLOCK[i0] && decoded_req_is_wr && !(hwif_in.HMAC384_BLOCK[i0].BLOCK.swwel)) begin // SW write next_c = (field_storage.HMAC384_BLOCK[i0].BLOCK.value & ~decoded_wr_biten[31:0]) | (decoded_wr_data[31:0] & decoded_wr_biten[31:0]); load_next_c = '1; @@ -825,8 +835,10 @@ module hmac_reg ( for(genvar i0=0; i0<12; i0++) begin // Field: hmac_reg.HMAC384_TAG[].TAG always_comb begin - automatic logic [31:0] next_c = field_storage.HMAC384_TAG[i0].TAG.value; - automatic logic load_next_c = '0; + automatic logic [31:0] next_c; + automatic logic load_next_c; + next_c = field_storage.HMAC384_TAG[i0].TAG.value; + load_next_c = '0; if(hwif_in.HMAC384_TAG[i0].TAG.hwclr) begin // HW Clear next_c = '0; load_next_c = '1; @@ -848,8 +860,10 @@ module hmac_reg ( for(genvar i0=0; i0<12; i0++) begin // Field: hmac_reg.HMAC384_LFSR_SEED[].LFSR_SEED always_comb begin - automatic logic [31:0] next_c = field_storage.HMAC384_LFSR_SEED[i0].LFSR_SEED.value; - automatic logic load_next_c = '0; + automatic logic [31:0] next_c; + automatic logic load_next_c; + next_c = field_storage.HMAC384_LFSR_SEED[i0].LFSR_SEED.value; + load_next_c = '0; if(decoded_reg_strb.HMAC384_LFSR_SEED[i0] && decoded_req_is_wr) begin // SW write next_c = (field_storage.HMAC384_LFSR_SEED[i0].LFSR_SEED.value & ~decoded_wr_biten[31:0]) | (decoded_wr_data[31:0] & decoded_wr_biten[31:0]); load_next_c = '1; @@ -868,8 +882,10 @@ module hmac_reg ( end // Field: hmac_reg.HMAC384_KV_RD_KEY_CTRL.read_en always_comb begin - automatic logic [0:0] next_c = field_storage.HMAC384_KV_RD_KEY_CTRL.read_en.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.HMAC384_KV_RD_KEY_CTRL.read_en.value; + load_next_c = '0; if(decoded_reg_strb.HMAC384_KV_RD_KEY_CTRL && decoded_req_is_wr) begin // SW write next_c = (field_storage.HMAC384_KV_RD_KEY_CTRL.read_en.value & ~decoded_wr_biten[0:0]) | (decoded_wr_data[0:0] & decoded_wr_biten[0:0]); load_next_c = '1; @@ -890,8 +906,10 @@ module hmac_reg ( assign hwif_out.HMAC384_KV_RD_KEY_CTRL.read_en.value = field_storage.HMAC384_KV_RD_KEY_CTRL.read_en.value; // Field: hmac_reg.HMAC384_KV_RD_KEY_CTRL.read_entry always_comb begin - automatic logic [4:0] next_c = field_storage.HMAC384_KV_RD_KEY_CTRL.read_entry.value; - automatic logic load_next_c = '0; + automatic logic [4:0] next_c; + automatic logic load_next_c; + next_c = field_storage.HMAC384_KV_RD_KEY_CTRL.read_entry.value; + load_next_c = '0; if(decoded_reg_strb.HMAC384_KV_RD_KEY_CTRL && decoded_req_is_wr) begin // SW write next_c = (field_storage.HMAC384_KV_RD_KEY_CTRL.read_entry.value & ~decoded_wr_biten[5:1]) | (decoded_wr_data[5:1] & decoded_wr_biten[5:1]); load_next_c = '1; @@ -909,8 +927,10 @@ module hmac_reg ( assign hwif_out.HMAC384_KV_RD_KEY_CTRL.read_entry.value = field_storage.HMAC384_KV_RD_KEY_CTRL.read_entry.value; // Field: hmac_reg.HMAC384_KV_RD_KEY_CTRL.pcr_hash_extend always_comb begin - automatic logic [0:0] next_c = field_storage.HMAC384_KV_RD_KEY_CTRL.pcr_hash_extend.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.HMAC384_KV_RD_KEY_CTRL.pcr_hash_extend.value; + load_next_c = '0; if(decoded_reg_strb.HMAC384_KV_RD_KEY_CTRL && decoded_req_is_wr) begin // SW write next_c = (field_storage.HMAC384_KV_RD_KEY_CTRL.pcr_hash_extend.value & ~decoded_wr_biten[6:6]) | (decoded_wr_data[6:6] & decoded_wr_biten[6:6]); load_next_c = '1; @@ -928,8 +948,10 @@ module hmac_reg ( assign hwif_out.HMAC384_KV_RD_KEY_CTRL.pcr_hash_extend.value = field_storage.HMAC384_KV_RD_KEY_CTRL.pcr_hash_extend.value; // Field: hmac_reg.HMAC384_KV_RD_KEY_CTRL.rsvd always_comb begin - automatic logic [24:0] next_c = field_storage.HMAC384_KV_RD_KEY_CTRL.rsvd.value; - automatic logic load_next_c = '0; + automatic logic [24:0] next_c; + automatic logic load_next_c; + next_c = field_storage.HMAC384_KV_RD_KEY_CTRL.rsvd.value; + load_next_c = '0; if(decoded_reg_strb.HMAC384_KV_RD_KEY_CTRL && decoded_req_is_wr) begin // SW write next_c = (field_storage.HMAC384_KV_RD_KEY_CTRL.rsvd.value & ~decoded_wr_biten[31:7]) | (decoded_wr_data[31:7] & decoded_wr_biten[31:7]); load_next_c = '1; @@ -947,8 +969,10 @@ module hmac_reg ( assign hwif_out.HMAC384_KV_RD_KEY_CTRL.rsvd.value = field_storage.HMAC384_KV_RD_KEY_CTRL.rsvd.value; // Field: hmac_reg.HMAC384_KV_RD_KEY_STATUS.VALID always_comb begin - automatic logic [0:0] next_c = field_storage.HMAC384_KV_RD_KEY_STATUS.VALID.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.HMAC384_KV_RD_KEY_STATUS.VALID.value; + load_next_c = '0; if(hwif_in.HMAC384_KV_RD_KEY_STATUS.VALID.hwset) begin // HW Set next_c = '1; load_next_c = '1; @@ -968,8 +992,10 @@ module hmac_reg ( end // Field: hmac_reg.HMAC384_KV_RD_BLOCK_CTRL.read_en always_comb begin - automatic logic [0:0] next_c = field_storage.HMAC384_KV_RD_BLOCK_CTRL.read_en.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.HMAC384_KV_RD_BLOCK_CTRL.read_en.value; + load_next_c = '0; if(decoded_reg_strb.HMAC384_KV_RD_BLOCK_CTRL && decoded_req_is_wr) begin // SW write next_c = (field_storage.HMAC384_KV_RD_BLOCK_CTRL.read_en.value & ~decoded_wr_biten[0:0]) | (decoded_wr_data[0:0] & decoded_wr_biten[0:0]); load_next_c = '1; @@ -990,8 +1016,10 @@ module hmac_reg ( assign hwif_out.HMAC384_KV_RD_BLOCK_CTRL.read_en.value = field_storage.HMAC384_KV_RD_BLOCK_CTRL.read_en.value; // Field: hmac_reg.HMAC384_KV_RD_BLOCK_CTRL.read_entry always_comb begin - automatic logic [4:0] next_c = field_storage.HMAC384_KV_RD_BLOCK_CTRL.read_entry.value; - automatic logic load_next_c = '0; + automatic logic [4:0] next_c; + automatic logic load_next_c; + next_c = field_storage.HMAC384_KV_RD_BLOCK_CTRL.read_entry.value; + load_next_c = '0; if(decoded_reg_strb.HMAC384_KV_RD_BLOCK_CTRL && decoded_req_is_wr) begin // SW write next_c = (field_storage.HMAC384_KV_RD_BLOCK_CTRL.read_entry.value & ~decoded_wr_biten[5:1]) | (decoded_wr_data[5:1] & decoded_wr_biten[5:1]); load_next_c = '1; @@ -1009,8 +1037,10 @@ module hmac_reg ( assign hwif_out.HMAC384_KV_RD_BLOCK_CTRL.read_entry.value = field_storage.HMAC384_KV_RD_BLOCK_CTRL.read_entry.value; // Field: hmac_reg.HMAC384_KV_RD_BLOCK_CTRL.pcr_hash_extend always_comb begin - automatic logic [0:0] next_c = field_storage.HMAC384_KV_RD_BLOCK_CTRL.pcr_hash_extend.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.HMAC384_KV_RD_BLOCK_CTRL.pcr_hash_extend.value; + load_next_c = '0; if(decoded_reg_strb.HMAC384_KV_RD_BLOCK_CTRL && decoded_req_is_wr) begin // SW write next_c = (field_storage.HMAC384_KV_RD_BLOCK_CTRL.pcr_hash_extend.value & ~decoded_wr_biten[6:6]) | (decoded_wr_data[6:6] & decoded_wr_biten[6:6]); load_next_c = '1; @@ -1028,8 +1058,10 @@ module hmac_reg ( assign hwif_out.HMAC384_KV_RD_BLOCK_CTRL.pcr_hash_extend.value = field_storage.HMAC384_KV_RD_BLOCK_CTRL.pcr_hash_extend.value; // Field: hmac_reg.HMAC384_KV_RD_BLOCK_CTRL.rsvd always_comb begin - automatic logic [24:0] next_c = field_storage.HMAC384_KV_RD_BLOCK_CTRL.rsvd.value; - automatic logic load_next_c = '0; + automatic logic [24:0] next_c; + automatic logic load_next_c; + next_c = field_storage.HMAC384_KV_RD_BLOCK_CTRL.rsvd.value; + load_next_c = '0; if(decoded_reg_strb.HMAC384_KV_RD_BLOCK_CTRL && decoded_req_is_wr) begin // SW write next_c = (field_storage.HMAC384_KV_RD_BLOCK_CTRL.rsvd.value & ~decoded_wr_biten[31:7]) | (decoded_wr_data[31:7] & decoded_wr_biten[31:7]); load_next_c = '1; @@ -1047,8 +1079,10 @@ module hmac_reg ( assign hwif_out.HMAC384_KV_RD_BLOCK_CTRL.rsvd.value = field_storage.HMAC384_KV_RD_BLOCK_CTRL.rsvd.value; // Field: hmac_reg.HMAC384_KV_RD_BLOCK_STATUS.VALID always_comb begin - automatic logic [0:0] next_c = field_storage.HMAC384_KV_RD_BLOCK_STATUS.VALID.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.HMAC384_KV_RD_BLOCK_STATUS.VALID.value; + load_next_c = '0; if(hwif_in.HMAC384_KV_RD_BLOCK_STATUS.VALID.hwset) begin // HW Set next_c = '1; load_next_c = '1; @@ -1068,8 +1102,10 @@ module hmac_reg ( end // Field: hmac_reg.HMAC384_KV_WR_CTRL.write_en always_comb begin - automatic logic [0:0] next_c = field_storage.HMAC384_KV_WR_CTRL.write_en.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.HMAC384_KV_WR_CTRL.write_en.value; + load_next_c = '0; if(decoded_reg_strb.HMAC384_KV_WR_CTRL && decoded_req_is_wr) begin // SW write next_c = (field_storage.HMAC384_KV_WR_CTRL.write_en.value & ~decoded_wr_biten[0:0]) | (decoded_wr_data[0:0] & decoded_wr_biten[0:0]); load_next_c = '1; @@ -1090,8 +1126,10 @@ module hmac_reg ( assign hwif_out.HMAC384_KV_WR_CTRL.write_en.value = field_storage.HMAC384_KV_WR_CTRL.write_en.value; // Field: hmac_reg.HMAC384_KV_WR_CTRL.write_entry always_comb begin - automatic logic [4:0] next_c = field_storage.HMAC384_KV_WR_CTRL.write_entry.value; - automatic logic load_next_c = '0; + automatic logic [4:0] next_c; + automatic logic load_next_c; + next_c = field_storage.HMAC384_KV_WR_CTRL.write_entry.value; + load_next_c = '0; if(decoded_reg_strb.HMAC384_KV_WR_CTRL && decoded_req_is_wr) begin // SW write next_c = (field_storage.HMAC384_KV_WR_CTRL.write_entry.value & ~decoded_wr_biten[5:1]) | (decoded_wr_data[5:1] & decoded_wr_biten[5:1]); load_next_c = '1; @@ -1109,8 +1147,10 @@ module hmac_reg ( assign hwif_out.HMAC384_KV_WR_CTRL.write_entry.value = field_storage.HMAC384_KV_WR_CTRL.write_entry.value; // Field: hmac_reg.HMAC384_KV_WR_CTRL.hmac_key_dest_valid always_comb begin - automatic logic [0:0] next_c = field_storage.HMAC384_KV_WR_CTRL.hmac_key_dest_valid.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.HMAC384_KV_WR_CTRL.hmac_key_dest_valid.value; + load_next_c = '0; if(decoded_reg_strb.HMAC384_KV_WR_CTRL && decoded_req_is_wr) begin // SW write next_c = (field_storage.HMAC384_KV_WR_CTRL.hmac_key_dest_valid.value & ~decoded_wr_biten[6:6]) | (decoded_wr_data[6:6] & decoded_wr_biten[6:6]); load_next_c = '1; @@ -1128,8 +1168,10 @@ module hmac_reg ( assign hwif_out.HMAC384_KV_WR_CTRL.hmac_key_dest_valid.value = field_storage.HMAC384_KV_WR_CTRL.hmac_key_dest_valid.value; // Field: hmac_reg.HMAC384_KV_WR_CTRL.hmac_block_dest_valid always_comb begin - automatic logic [0:0] next_c = field_storage.HMAC384_KV_WR_CTRL.hmac_block_dest_valid.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.HMAC384_KV_WR_CTRL.hmac_block_dest_valid.value; + load_next_c = '0; if(decoded_reg_strb.HMAC384_KV_WR_CTRL && decoded_req_is_wr) begin // SW write next_c = (field_storage.HMAC384_KV_WR_CTRL.hmac_block_dest_valid.value & ~decoded_wr_biten[7:7]) | (decoded_wr_data[7:7] & decoded_wr_biten[7:7]); load_next_c = '1; @@ -1147,8 +1189,10 @@ module hmac_reg ( assign hwif_out.HMAC384_KV_WR_CTRL.hmac_block_dest_valid.value = field_storage.HMAC384_KV_WR_CTRL.hmac_block_dest_valid.value; // Field: hmac_reg.HMAC384_KV_WR_CTRL.sha_block_dest_valid always_comb begin - automatic logic [0:0] next_c = field_storage.HMAC384_KV_WR_CTRL.sha_block_dest_valid.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.HMAC384_KV_WR_CTRL.sha_block_dest_valid.value; + load_next_c = '0; if(decoded_reg_strb.HMAC384_KV_WR_CTRL && decoded_req_is_wr) begin // SW write next_c = (field_storage.HMAC384_KV_WR_CTRL.sha_block_dest_valid.value & ~decoded_wr_biten[8:8]) | (decoded_wr_data[8:8] & decoded_wr_biten[8:8]); load_next_c = '1; @@ -1166,8 +1210,10 @@ module hmac_reg ( assign hwif_out.HMAC384_KV_WR_CTRL.sha_block_dest_valid.value = field_storage.HMAC384_KV_WR_CTRL.sha_block_dest_valid.value; // Field: hmac_reg.HMAC384_KV_WR_CTRL.ecc_pkey_dest_valid always_comb begin - automatic logic [0:0] next_c = field_storage.HMAC384_KV_WR_CTRL.ecc_pkey_dest_valid.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.HMAC384_KV_WR_CTRL.ecc_pkey_dest_valid.value; + load_next_c = '0; if(decoded_reg_strb.HMAC384_KV_WR_CTRL && decoded_req_is_wr) begin // SW write next_c = (field_storage.HMAC384_KV_WR_CTRL.ecc_pkey_dest_valid.value & ~decoded_wr_biten[9:9]) | (decoded_wr_data[9:9] & decoded_wr_biten[9:9]); load_next_c = '1; @@ -1185,8 +1231,10 @@ module hmac_reg ( assign hwif_out.HMAC384_KV_WR_CTRL.ecc_pkey_dest_valid.value = field_storage.HMAC384_KV_WR_CTRL.ecc_pkey_dest_valid.value; // Field: hmac_reg.HMAC384_KV_WR_CTRL.ecc_seed_dest_valid always_comb begin - automatic logic [0:0] next_c = field_storage.HMAC384_KV_WR_CTRL.ecc_seed_dest_valid.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.HMAC384_KV_WR_CTRL.ecc_seed_dest_valid.value; + load_next_c = '0; if(decoded_reg_strb.HMAC384_KV_WR_CTRL && decoded_req_is_wr) begin // SW write next_c = (field_storage.HMAC384_KV_WR_CTRL.ecc_seed_dest_valid.value & ~decoded_wr_biten[10:10]) | (decoded_wr_data[10:10] & decoded_wr_biten[10:10]); load_next_c = '1; @@ -1204,8 +1252,10 @@ module hmac_reg ( assign hwif_out.HMAC384_KV_WR_CTRL.ecc_seed_dest_valid.value = field_storage.HMAC384_KV_WR_CTRL.ecc_seed_dest_valid.value; // Field: hmac_reg.HMAC384_KV_WR_CTRL.rsvd always_comb begin - automatic logic [20:0] next_c = field_storage.HMAC384_KV_WR_CTRL.rsvd.value; - automatic logic load_next_c = '0; + automatic logic [20:0] next_c; + automatic logic load_next_c; + next_c = field_storage.HMAC384_KV_WR_CTRL.rsvd.value; + load_next_c = '0; if(decoded_reg_strb.HMAC384_KV_WR_CTRL && decoded_req_is_wr) begin // SW write next_c = (field_storage.HMAC384_KV_WR_CTRL.rsvd.value & ~decoded_wr_biten[31:11]) | (decoded_wr_data[31:11] & decoded_wr_biten[31:11]); load_next_c = '1; @@ -1223,8 +1273,10 @@ module hmac_reg ( assign hwif_out.HMAC384_KV_WR_CTRL.rsvd.value = field_storage.HMAC384_KV_WR_CTRL.rsvd.value; // Field: hmac_reg.HMAC384_KV_WR_STATUS.VALID always_comb begin - automatic logic [0:0] next_c = field_storage.HMAC384_KV_WR_STATUS.VALID.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.HMAC384_KV_WR_STATUS.VALID.value; + load_next_c = '0; if(hwif_in.HMAC384_KV_WR_STATUS.VALID.hwset) begin // HW Set next_c = '1; load_next_c = '1; @@ -1244,8 +1296,10 @@ module hmac_reg ( end // Field: hmac_reg.intr_block_rf.global_intr_en_r.error_en always_comb begin - automatic logic [0:0] next_c = field_storage.intr_block_rf.global_intr_en_r.error_en.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.intr_block_rf.global_intr_en_r.error_en.value; + load_next_c = '0; if(decoded_reg_strb.intr_block_rf.global_intr_en_r && decoded_req_is_wr) begin // SW write next_c = (field_storage.intr_block_rf.global_intr_en_r.error_en.value & ~decoded_wr_biten[0:0]) | (decoded_wr_data[0:0] & decoded_wr_biten[0:0]); load_next_c = '1; @@ -1262,8 +1316,10 @@ module hmac_reg ( end // Field: hmac_reg.intr_block_rf.global_intr_en_r.notif_en always_comb begin - automatic logic [0:0] next_c = field_storage.intr_block_rf.global_intr_en_r.notif_en.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.intr_block_rf.global_intr_en_r.notif_en.value; + load_next_c = '0; if(decoded_reg_strb.intr_block_rf.global_intr_en_r && decoded_req_is_wr) begin // SW write next_c = (field_storage.intr_block_rf.global_intr_en_r.notif_en.value & ~decoded_wr_biten[1:1]) | (decoded_wr_data[1:1] & decoded_wr_biten[1:1]); load_next_c = '1; @@ -1280,8 +1336,10 @@ module hmac_reg ( end // Field: hmac_reg.intr_block_rf.error_intr_en_r.error0_en always_comb begin - automatic logic [0:0] next_c = field_storage.intr_block_rf.error_intr_en_r.error0_en.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.intr_block_rf.error_intr_en_r.error0_en.value; + load_next_c = '0; if(decoded_reg_strb.intr_block_rf.error_intr_en_r && decoded_req_is_wr) begin // SW write next_c = (field_storage.intr_block_rf.error_intr_en_r.error0_en.value & ~decoded_wr_biten[0:0]) | (decoded_wr_data[0:0] & decoded_wr_biten[0:0]); load_next_c = '1; @@ -1298,8 +1356,10 @@ module hmac_reg ( end // Field: hmac_reg.intr_block_rf.error_intr_en_r.error1_en always_comb begin - automatic logic [0:0] next_c = field_storage.intr_block_rf.error_intr_en_r.error1_en.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.intr_block_rf.error_intr_en_r.error1_en.value; + load_next_c = '0; if(decoded_reg_strb.intr_block_rf.error_intr_en_r && decoded_req_is_wr) begin // SW write next_c = (field_storage.intr_block_rf.error_intr_en_r.error1_en.value & ~decoded_wr_biten[1:1]) | (decoded_wr_data[1:1] & decoded_wr_biten[1:1]); load_next_c = '1; @@ -1316,8 +1376,10 @@ module hmac_reg ( end // Field: hmac_reg.intr_block_rf.error_intr_en_r.error2_en always_comb begin - automatic logic [0:0] next_c = field_storage.intr_block_rf.error_intr_en_r.error2_en.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.intr_block_rf.error_intr_en_r.error2_en.value; + load_next_c = '0; if(decoded_reg_strb.intr_block_rf.error_intr_en_r && decoded_req_is_wr) begin // SW write next_c = (field_storage.intr_block_rf.error_intr_en_r.error2_en.value & ~decoded_wr_biten[2:2]) | (decoded_wr_data[2:2] & decoded_wr_biten[2:2]); load_next_c = '1; @@ -1334,8 +1396,10 @@ module hmac_reg ( end // Field: hmac_reg.intr_block_rf.error_intr_en_r.error3_en always_comb begin - automatic logic [0:0] next_c = field_storage.intr_block_rf.error_intr_en_r.error3_en.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.intr_block_rf.error_intr_en_r.error3_en.value; + load_next_c = '0; if(decoded_reg_strb.intr_block_rf.error_intr_en_r && decoded_req_is_wr) begin // SW write next_c = (field_storage.intr_block_rf.error_intr_en_r.error3_en.value & ~decoded_wr_biten[3:3]) | (decoded_wr_data[3:3] & decoded_wr_biten[3:3]); load_next_c = '1; @@ -1352,8 +1416,10 @@ module hmac_reg ( end // Field: hmac_reg.intr_block_rf.notif_intr_en_r.notif_cmd_done_en always_comb begin - automatic logic [0:0] next_c = field_storage.intr_block_rf.notif_intr_en_r.notif_cmd_done_en.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.intr_block_rf.notif_intr_en_r.notif_cmd_done_en.value; + load_next_c = '0; if(decoded_reg_strb.intr_block_rf.notif_intr_en_r && decoded_req_is_wr) begin // SW write next_c = (field_storage.intr_block_rf.notif_intr_en_r.notif_cmd_done_en.value & ~decoded_wr_biten[0:0]) | (decoded_wr_data[0:0] & decoded_wr_biten[0:0]); load_next_c = '1; @@ -1370,8 +1436,10 @@ module hmac_reg ( end // Field: hmac_reg.intr_block_rf.error_global_intr_r.agg_sts always_comb begin - automatic logic [0:0] next_c = field_storage.intr_block_rf.error_global_intr_r.agg_sts.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.intr_block_rf.error_global_intr_r.agg_sts.value; + load_next_c = '0; // HW Write next_c = hwif_out.intr_block_rf.error_internal_intr_r.intr; @@ -1390,8 +1458,10 @@ module hmac_reg ( |(field_storage.intr_block_rf.error_global_intr_r.agg_sts.value & field_storage.intr_block_rf.global_intr_en_r.error_en.value); // Field: hmac_reg.intr_block_rf.notif_global_intr_r.agg_sts always_comb begin - automatic logic [0:0] next_c = field_storage.intr_block_rf.notif_global_intr_r.agg_sts.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.intr_block_rf.notif_global_intr_r.agg_sts.value; + load_next_c = '0; // HW Write next_c = hwif_out.intr_block_rf.notif_internal_intr_r.intr; @@ -1410,8 +1480,10 @@ module hmac_reg ( |(field_storage.intr_block_rf.notif_global_intr_r.agg_sts.value & field_storage.intr_block_rf.global_intr_en_r.notif_en.value); // Field: hmac_reg.intr_block_rf.error_internal_intr_r.error0_sts always_comb begin - automatic logic [0:0] next_c = field_storage.intr_block_rf.error_internal_intr_r.error0_sts.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.intr_block_rf.error_internal_intr_r.error0_sts.value; + load_next_c = '0; if(field_storage.intr_block_rf.error_intr_trig_r.error0_trig.value != '0) begin // stickybit next_c = field_storage.intr_block_rf.error_internal_intr_r.error0_sts.value | field_storage.intr_block_rf.error_intr_trig_r.error0_trig.value; load_next_c = '1; @@ -1434,8 +1506,10 @@ module hmac_reg ( end // Field: hmac_reg.intr_block_rf.error_internal_intr_r.error1_sts always_comb begin - automatic logic [0:0] next_c = field_storage.intr_block_rf.error_internal_intr_r.error1_sts.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.intr_block_rf.error_internal_intr_r.error1_sts.value; + load_next_c = '0; if(field_storage.intr_block_rf.error_intr_trig_r.error1_trig.value != '0) begin // stickybit next_c = field_storage.intr_block_rf.error_internal_intr_r.error1_sts.value | field_storage.intr_block_rf.error_intr_trig_r.error1_trig.value; load_next_c = '1; @@ -1458,8 +1532,10 @@ module hmac_reg ( end // Field: hmac_reg.intr_block_rf.error_internal_intr_r.error2_sts always_comb begin - automatic logic [0:0] next_c = field_storage.intr_block_rf.error_internal_intr_r.error2_sts.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.intr_block_rf.error_internal_intr_r.error2_sts.value; + load_next_c = '0; if(field_storage.intr_block_rf.error_intr_trig_r.error2_trig.value != '0) begin // stickybit next_c = field_storage.intr_block_rf.error_internal_intr_r.error2_sts.value | field_storage.intr_block_rf.error_intr_trig_r.error2_trig.value; load_next_c = '1; @@ -1482,8 +1558,10 @@ module hmac_reg ( end // Field: hmac_reg.intr_block_rf.error_internal_intr_r.error3_sts always_comb begin - automatic logic [0:0] next_c = field_storage.intr_block_rf.error_internal_intr_r.error3_sts.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.intr_block_rf.error_internal_intr_r.error3_sts.value; + load_next_c = '0; if(field_storage.intr_block_rf.error_intr_trig_r.error3_trig.value != '0) begin // stickybit next_c = field_storage.intr_block_rf.error_internal_intr_r.error3_sts.value | field_storage.intr_block_rf.error_intr_trig_r.error3_trig.value; load_next_c = '1; @@ -1511,8 +1589,10 @@ module hmac_reg ( || |(field_storage.intr_block_rf.error_internal_intr_r.error3_sts.value & field_storage.intr_block_rf.error_intr_en_r.error3_en.value); // Field: hmac_reg.intr_block_rf.notif_internal_intr_r.notif_cmd_done_sts always_comb begin - automatic logic [0:0] next_c = field_storage.intr_block_rf.notif_internal_intr_r.notif_cmd_done_sts.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.intr_block_rf.notif_internal_intr_r.notif_cmd_done_sts.value; + load_next_c = '0; if(field_storage.intr_block_rf.notif_intr_trig_r.notif_cmd_done_trig.value != '0) begin // stickybit next_c = field_storage.intr_block_rf.notif_internal_intr_r.notif_cmd_done_sts.value | field_storage.intr_block_rf.notif_intr_trig_r.notif_cmd_done_trig.value; load_next_c = '1; @@ -1537,8 +1617,10 @@ module hmac_reg ( |(field_storage.intr_block_rf.notif_internal_intr_r.notif_cmd_done_sts.value & field_storage.intr_block_rf.notif_intr_en_r.notif_cmd_done_en.value); // Field: hmac_reg.intr_block_rf.error_intr_trig_r.error0_trig always_comb begin - automatic logic [0:0] next_c = field_storage.intr_block_rf.error_intr_trig_r.error0_trig.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.intr_block_rf.error_intr_trig_r.error0_trig.value; + load_next_c = '0; if(decoded_reg_strb.intr_block_rf.error_intr_trig_r && decoded_req_is_wr) begin // SW write 1 set next_c = field_storage.intr_block_rf.error_intr_trig_r.error0_trig.value | (decoded_wr_data[0:0] & decoded_wr_biten[0:0]); load_next_c = '1; @@ -1558,8 +1640,10 @@ module hmac_reg ( end // Field: hmac_reg.intr_block_rf.error_intr_trig_r.error1_trig always_comb begin - automatic logic [0:0] next_c = field_storage.intr_block_rf.error_intr_trig_r.error1_trig.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.intr_block_rf.error_intr_trig_r.error1_trig.value; + load_next_c = '0; if(decoded_reg_strb.intr_block_rf.error_intr_trig_r && decoded_req_is_wr) begin // SW write 1 set next_c = field_storage.intr_block_rf.error_intr_trig_r.error1_trig.value | (decoded_wr_data[1:1] & decoded_wr_biten[1:1]); load_next_c = '1; @@ -1579,8 +1663,10 @@ module hmac_reg ( end // Field: hmac_reg.intr_block_rf.error_intr_trig_r.error2_trig always_comb begin - automatic logic [0:0] next_c = field_storage.intr_block_rf.error_intr_trig_r.error2_trig.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.intr_block_rf.error_intr_trig_r.error2_trig.value; + load_next_c = '0; if(decoded_reg_strb.intr_block_rf.error_intr_trig_r && decoded_req_is_wr) begin // SW write 1 set next_c = field_storage.intr_block_rf.error_intr_trig_r.error2_trig.value | (decoded_wr_data[2:2] & decoded_wr_biten[2:2]); load_next_c = '1; @@ -1600,8 +1686,10 @@ module hmac_reg ( end // Field: hmac_reg.intr_block_rf.error_intr_trig_r.error3_trig always_comb begin - automatic logic [0:0] next_c = field_storage.intr_block_rf.error_intr_trig_r.error3_trig.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.intr_block_rf.error_intr_trig_r.error3_trig.value; + load_next_c = '0; if(decoded_reg_strb.intr_block_rf.error_intr_trig_r && decoded_req_is_wr) begin // SW write 1 set next_c = field_storage.intr_block_rf.error_intr_trig_r.error3_trig.value | (decoded_wr_data[3:3] & decoded_wr_biten[3:3]); load_next_c = '1; @@ -1621,8 +1709,10 @@ module hmac_reg ( end // Field: hmac_reg.intr_block_rf.notif_intr_trig_r.notif_cmd_done_trig always_comb begin - automatic logic [0:0] next_c = field_storage.intr_block_rf.notif_intr_trig_r.notif_cmd_done_trig.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.intr_block_rf.notif_intr_trig_r.notif_cmd_done_trig.value; + load_next_c = '0; if(decoded_reg_strb.intr_block_rf.notif_intr_trig_r && decoded_req_is_wr) begin // SW write 1 set next_c = field_storage.intr_block_rf.notif_intr_trig_r.notif_cmd_done_trig.value | (decoded_wr_data[0:0] & decoded_wr_biten[0:0]); load_next_c = '1; @@ -1642,8 +1732,10 @@ module hmac_reg ( end // Field: hmac_reg.intr_block_rf.error0_intr_count_r.cnt always_comb begin - automatic logic [31:0] next_c = field_storage.intr_block_rf.error0_intr_count_r.cnt.value; - automatic logic load_next_c = '0; + automatic logic [31:0] next_c; + automatic logic load_next_c; + next_c = field_storage.intr_block_rf.error0_intr_count_r.cnt.value; + load_next_c = '0; if(decoded_reg_strb.intr_block_rf.error0_intr_count_r && decoded_req_is_wr) begin // SW write next_c = (field_storage.intr_block_rf.error0_intr_count_r.cnt.value & ~decoded_wr_biten[31:0]) | (decoded_wr_data[31:0] & decoded_wr_biten[31:0]); load_next_c = '1; @@ -1674,8 +1766,10 @@ module hmac_reg ( end // Field: hmac_reg.intr_block_rf.error1_intr_count_r.cnt always_comb begin - automatic logic [31:0] next_c = field_storage.intr_block_rf.error1_intr_count_r.cnt.value; - automatic logic load_next_c = '0; + automatic logic [31:0] next_c; + automatic logic load_next_c; + next_c = field_storage.intr_block_rf.error1_intr_count_r.cnt.value; + load_next_c = '0; if(decoded_reg_strb.intr_block_rf.error1_intr_count_r && decoded_req_is_wr) begin // SW write next_c = (field_storage.intr_block_rf.error1_intr_count_r.cnt.value & ~decoded_wr_biten[31:0]) | (decoded_wr_data[31:0] & decoded_wr_biten[31:0]); load_next_c = '1; @@ -1706,8 +1800,10 @@ module hmac_reg ( end // Field: hmac_reg.intr_block_rf.error2_intr_count_r.cnt always_comb begin - automatic logic [31:0] next_c = field_storage.intr_block_rf.error2_intr_count_r.cnt.value; - automatic logic load_next_c = '0; + automatic logic [31:0] next_c; + automatic logic load_next_c; + next_c = field_storage.intr_block_rf.error2_intr_count_r.cnt.value; + load_next_c = '0; if(decoded_reg_strb.intr_block_rf.error2_intr_count_r && decoded_req_is_wr) begin // SW write next_c = (field_storage.intr_block_rf.error2_intr_count_r.cnt.value & ~decoded_wr_biten[31:0]) | (decoded_wr_data[31:0] & decoded_wr_biten[31:0]); load_next_c = '1; @@ -1738,8 +1834,10 @@ module hmac_reg ( end // Field: hmac_reg.intr_block_rf.error3_intr_count_r.cnt always_comb begin - automatic logic [31:0] next_c = field_storage.intr_block_rf.error3_intr_count_r.cnt.value; - automatic logic load_next_c = '0; + automatic logic [31:0] next_c; + automatic logic load_next_c; + next_c = field_storage.intr_block_rf.error3_intr_count_r.cnt.value; + load_next_c = '0; if(decoded_reg_strb.intr_block_rf.error3_intr_count_r && decoded_req_is_wr) begin // SW write next_c = (field_storage.intr_block_rf.error3_intr_count_r.cnt.value & ~decoded_wr_biten[31:0]) | (decoded_wr_data[31:0] & decoded_wr_biten[31:0]); load_next_c = '1; @@ -1770,8 +1868,10 @@ module hmac_reg ( end // Field: hmac_reg.intr_block_rf.notif_cmd_done_intr_count_r.cnt always_comb begin - automatic logic [31:0] next_c = field_storage.intr_block_rf.notif_cmd_done_intr_count_r.cnt.value; - automatic logic load_next_c = '0; + automatic logic [31:0] next_c; + automatic logic load_next_c; + next_c = field_storage.intr_block_rf.notif_cmd_done_intr_count_r.cnt.value; + load_next_c = '0; if(decoded_reg_strb.intr_block_rf.notif_cmd_done_intr_count_r && decoded_req_is_wr) begin // SW write next_c = (field_storage.intr_block_rf.notif_cmd_done_intr_count_r.cnt.value & ~decoded_wr_biten[31:0]) | (decoded_wr_data[31:0] & decoded_wr_biten[31:0]); load_next_c = '1; @@ -1802,8 +1902,10 @@ module hmac_reg ( end // Field: hmac_reg.intr_block_rf.error0_intr_count_incr_r.pulse always_comb begin - automatic logic [0:0] next_c = field_storage.intr_block_rf.error0_intr_count_incr_r.pulse.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.intr_block_rf.error0_intr_count_incr_r.pulse.value; + load_next_c = '0; if(field_storage.intr_block_rf.error_intr_trig_r.error0_trig.value) begin // HW Write - we next_c = field_storage.intr_block_rf.error_intr_trig_r.error0_trig.value; load_next_c = '1; @@ -1831,8 +1933,10 @@ module hmac_reg ( end // Field: hmac_reg.intr_block_rf.error1_intr_count_incr_r.pulse always_comb begin - automatic logic [0:0] next_c = field_storage.intr_block_rf.error1_intr_count_incr_r.pulse.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.intr_block_rf.error1_intr_count_incr_r.pulse.value; + load_next_c = '0; if(field_storage.intr_block_rf.error_intr_trig_r.error1_trig.value) begin // HW Write - we next_c = field_storage.intr_block_rf.error_intr_trig_r.error1_trig.value; load_next_c = '1; @@ -1860,8 +1964,10 @@ module hmac_reg ( end // Field: hmac_reg.intr_block_rf.error2_intr_count_incr_r.pulse always_comb begin - automatic logic [0:0] next_c = field_storage.intr_block_rf.error2_intr_count_incr_r.pulse.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.intr_block_rf.error2_intr_count_incr_r.pulse.value; + load_next_c = '0; if(field_storage.intr_block_rf.error_intr_trig_r.error2_trig.value) begin // HW Write - we next_c = field_storage.intr_block_rf.error_intr_trig_r.error2_trig.value; load_next_c = '1; @@ -1889,8 +1995,10 @@ module hmac_reg ( end // Field: hmac_reg.intr_block_rf.error3_intr_count_incr_r.pulse always_comb begin - automatic logic [0:0] next_c = field_storage.intr_block_rf.error3_intr_count_incr_r.pulse.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.intr_block_rf.error3_intr_count_incr_r.pulse.value; + load_next_c = '0; if(field_storage.intr_block_rf.error_intr_trig_r.error3_trig.value) begin // HW Write - we next_c = field_storage.intr_block_rf.error_intr_trig_r.error3_trig.value; load_next_c = '1; @@ -1918,8 +2026,10 @@ module hmac_reg ( end // Field: hmac_reg.intr_block_rf.notif_cmd_done_intr_count_incr_r.pulse always_comb begin - automatic logic [0:0] next_c = field_storage.intr_block_rf.notif_cmd_done_intr_count_incr_r.pulse.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.intr_block_rf.notif_cmd_done_intr_count_incr_r.pulse.value; + load_next_c = '0; if(field_storage.intr_block_rf.notif_intr_trig_r.notif_cmd_done_trig.value) begin // HW Write - we next_c = field_storage.intr_block_rf.notif_intr_trig_r.notif_cmd_done_trig.value; load_next_c = '1; @@ -1960,7 +2070,7 @@ module hmac_reg ( logic readback_err; logic readback_done; logic [31:0] readback_data; - + // Assign readback values to a flattened array logic [42-1:0][31:0] readback_array; for(genvar i0=0; i0<2; i0++) begin @@ -2063,4 +2173,4 @@ module hmac_reg ( `CALIPTRA_ASSERT_KNOWN(ERR_HWIF_IN, hwif_in, clk, !hwif_in.error_reset_b) -endmodule \ No newline at end of file +endmodule diff --git a/src/keyvault/rtl/kv_reg.sv b/src/keyvault/rtl/kv_reg.sv index ec92042c7..5e00aca5d 100644 --- a/src/keyvault/rtl/kv_reg.sv +++ b/src/keyvault/rtl/kv_reg.sv @@ -190,8 +190,10 @@ module kv_reg ( for(genvar i0=0; i0<32; i0++) begin // Field: kv_reg.KEY_CTRL[].lock_wr always_comb begin - automatic logic [0:0] next_c = field_storage.KEY_CTRL[i0].lock_wr.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.KEY_CTRL[i0].lock_wr.value; + load_next_c = '0; if(decoded_reg_strb.KEY_CTRL[i0] && decoded_req_is_wr && !(hwif_in.KEY_CTRL[i0].lock_wr.swwel)) begin // SW write next_c = (field_storage.KEY_CTRL[i0].lock_wr.value & ~decoded_wr_biten[0:0]) | (decoded_wr_data[0:0] & decoded_wr_biten[0:0]); load_next_c = '1; @@ -209,8 +211,10 @@ module kv_reg ( assign hwif_out.KEY_CTRL[i0].lock_wr.value = field_storage.KEY_CTRL[i0].lock_wr.value; // Field: kv_reg.KEY_CTRL[].lock_use always_comb begin - automatic logic [0:0] next_c = field_storage.KEY_CTRL[i0].lock_use.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.KEY_CTRL[i0].lock_use.value; + load_next_c = '0; if(decoded_reg_strb.KEY_CTRL[i0] && decoded_req_is_wr && !(hwif_in.KEY_CTRL[i0].lock_use.swwel)) begin // SW write next_c = (field_storage.KEY_CTRL[i0].lock_use.value & ~decoded_wr_biten[1:1]) | (decoded_wr_data[1:1] & decoded_wr_biten[1:1]); load_next_c = '1; @@ -228,8 +232,10 @@ module kv_reg ( assign hwif_out.KEY_CTRL[i0].lock_use.value = field_storage.KEY_CTRL[i0].lock_use.value; // Field: kv_reg.KEY_CTRL[].clear always_comb begin - automatic logic [0:0] next_c = field_storage.KEY_CTRL[i0].clear.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.KEY_CTRL[i0].clear.value; + load_next_c = '0; if(decoded_reg_strb.KEY_CTRL[i0] && decoded_req_is_wr) begin // SW write next_c = (field_storage.KEY_CTRL[i0].clear.value & ~decoded_wr_biten[2:2]) | (decoded_wr_data[2:2] & decoded_wr_biten[2:2]); load_next_c = '1; @@ -250,8 +256,10 @@ module kv_reg ( assign hwif_out.KEY_CTRL[i0].clear.value = field_storage.KEY_CTRL[i0].clear.value; // Field: kv_reg.KEY_CTRL[].rsvd0 always_comb begin - automatic logic [0:0] next_c = field_storage.KEY_CTRL[i0].rsvd0.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.KEY_CTRL[i0].rsvd0.value; + load_next_c = '0; if(decoded_reg_strb.KEY_CTRL[i0] && decoded_req_is_wr) begin // SW write next_c = (field_storage.KEY_CTRL[i0].rsvd0.value & ~decoded_wr_biten[3:3]) | (decoded_wr_data[3:3] & decoded_wr_biten[3:3]); load_next_c = '1; @@ -272,8 +280,10 @@ module kv_reg ( assign hwif_out.KEY_CTRL[i0].rsvd0.value = field_storage.KEY_CTRL[i0].rsvd0.value; // Field: kv_reg.KEY_CTRL[].rsvd1 always_comb begin - automatic logic [4:0] next_c = field_storage.KEY_CTRL[i0].rsvd1.value; - automatic logic load_next_c = '0; + automatic logic [4:0] next_c; + automatic logic load_next_c; + next_c = field_storage.KEY_CTRL[i0].rsvd1.value; + load_next_c = '0; if(decoded_reg_strb.KEY_CTRL[i0] && decoded_req_is_wr) begin // SW write next_c = (field_storage.KEY_CTRL[i0].rsvd1.value & ~decoded_wr_biten[8:4]) | (decoded_wr_data[8:4] & decoded_wr_biten[8:4]); load_next_c = '1; @@ -291,8 +301,10 @@ module kv_reg ( assign hwif_out.KEY_CTRL[i0].rsvd1.value = field_storage.KEY_CTRL[i0].rsvd1.value; // Field: kv_reg.KEY_CTRL[].dest_valid always_comb begin - automatic logic [7:0] next_c = field_storage.KEY_CTRL[i0].dest_valid.value; - automatic logic load_next_c = '0; + automatic logic [7:0] next_c; + automatic logic load_next_c; + next_c = field_storage.KEY_CTRL[i0].dest_valid.value; + load_next_c = '0; if(hwif_in.KEY_CTRL[i0].dest_valid.we) begin // HW Write - we next_c = hwif_in.KEY_CTRL[i0].dest_valid.next; load_next_c = '1; @@ -313,8 +325,10 @@ module kv_reg ( assign hwif_out.KEY_CTRL[i0].dest_valid.value = field_storage.KEY_CTRL[i0].dest_valid.value; // Field: kv_reg.KEY_CTRL[].last_dword always_comb begin - automatic logic [3:0] next_c = field_storage.KEY_CTRL[i0].last_dword.value; - automatic logic load_next_c = '0; + automatic logic [3:0] next_c; + automatic logic load_next_c; + next_c = field_storage.KEY_CTRL[i0].last_dword.value; + load_next_c = '0; if(hwif_in.KEY_CTRL[i0].last_dword.we) begin // HW Write - we next_c = hwif_in.KEY_CTRL[i0].last_dword.next; load_next_c = '1; @@ -338,8 +352,10 @@ module kv_reg ( for(genvar i1=0; i1<12; i1++) begin // Field: kv_reg.KEY_ENTRY[][].data always_comb begin - automatic logic [31:0] next_c = field_storage.KEY_ENTRY[i0][i1].data.value; - automatic logic load_next_c = '0; + automatic logic [31:0] next_c; + automatic logic load_next_c; + next_c = field_storage.KEY_ENTRY[i0][i1].data.value; + load_next_c = '0; if(decoded_reg_strb.KEY_ENTRY[i0][i1] && decoded_req_is_wr && !(hwif_in.KEY_ENTRY[i0][i1].data.swwel)) begin // SW write next_c = (field_storage.KEY_ENTRY[i0][i1].data.value & ~decoded_wr_biten[31:0]) | (decoded_wr_data[31:0] & decoded_wr_biten[31:0]); load_next_c = '1; @@ -365,8 +381,10 @@ module kv_reg ( end // Field: kv_reg.CLEAR_SECRETS.wr_debug_values always_comb begin - automatic logic [0:0] next_c = field_storage.CLEAR_SECRETS.wr_debug_values.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.CLEAR_SECRETS.wr_debug_values.value; + load_next_c = '0; if(decoded_reg_strb.CLEAR_SECRETS && decoded_req_is_wr) begin // SW write next_c = (field_storage.CLEAR_SECRETS.wr_debug_values.value & ~decoded_wr_biten[0:0]) | (decoded_wr_data[0:0] & decoded_wr_biten[0:0]); load_next_c = '1; @@ -387,8 +405,10 @@ module kv_reg ( assign hwif_out.CLEAR_SECRETS.wr_debug_values.value = field_storage.CLEAR_SECRETS.wr_debug_values.value; // Field: kv_reg.CLEAR_SECRETS.sel_debug_value always_comb begin - automatic logic [0:0] next_c = field_storage.CLEAR_SECRETS.sel_debug_value.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.CLEAR_SECRETS.sel_debug_value.value; + load_next_c = '0; if(decoded_reg_strb.CLEAR_SECRETS && decoded_req_is_wr) begin // SW write next_c = (field_storage.CLEAR_SECRETS.sel_debug_value.value & ~decoded_wr_biten[1:1]) | (decoded_wr_data[1:1] & decoded_wr_biten[1:1]); load_next_c = '1; @@ -419,7 +439,7 @@ module kv_reg ( logic readback_err; logic readback_done; logic [31:0] readback_data; - + // Assign readback values to a flattened array logic [33-1:0][31:0] readback_array; for(genvar i0=0; i0<32; i0++) begin @@ -452,4 +472,4 @@ module kv_reg ( `CALIPTRA_ASSERT_KNOWN(ERR_HWIF_IN, hwif_in, clk, !hwif_in.hard_reset_b) -endmodule \ No newline at end of file +endmodule diff --git a/src/mldsa/rtl/mldsa_reg.sv b/src/mldsa/rtl/mldsa_reg.sv index 621b71063..cbb10d3c2 100644 --- a/src/mldsa/rtl/mldsa_reg.sv +++ b/src/mldsa/rtl/mldsa_reg.sv @@ -123,8 +123,8 @@ module mldsa_reg ( logic [31:0] decoded_wr_biten; always_comb begin - automatic logic is_external = '0; - + automatic logic is_external; + is_external = '0; for(int i0=0; i0<2; i0++) begin decoded_reg_strb.MLDSA_NAME[i0] = cpuif_req_masked & (cpuif_addr == 15'h0 + i0*15'h4); end @@ -175,7 +175,6 @@ module mldsa_reg ( decoded_reg_strb.intr_block_rf.notif_cmd_done_intr_count_incr_r = cpuif_req_masked & (cpuif_addr == 15'h6204); decoded_strb_is_external = is_external; external_req = is_external; - end // Pass down signals to next stage @@ -434,8 +433,10 @@ module mldsa_reg ( // Field: mldsa_reg.MLDSA_CTRL.CTRL always_comb begin - automatic logic [2:0] next_c = field_storage.MLDSA_CTRL.CTRL.value; - automatic logic load_next_c = '0; + automatic logic [2:0] next_c; + automatic logic load_next_c; + next_c = field_storage.MLDSA_CTRL.CTRL.value; + load_next_c = '0; if(decoded_reg_strb.MLDSA_CTRL && decoded_req_is_wr && hwif_in.mldsa_ready) begin // SW write next_c = (field_storage.MLDSA_CTRL.CTRL.value & ~decoded_wr_biten[2:0]) | (decoded_wr_data[2:0] & decoded_wr_biten[2:0]); load_next_c = '1; @@ -456,8 +457,10 @@ module mldsa_reg ( assign hwif_out.MLDSA_CTRL.CTRL.value = field_storage.MLDSA_CTRL.CTRL.value; // Field: mldsa_reg.MLDSA_CTRL.ZEROIZE always_comb begin - automatic logic [0:0] next_c = field_storage.MLDSA_CTRL.ZEROIZE.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.MLDSA_CTRL.ZEROIZE.value; + load_next_c = '0; if(decoded_reg_strb.MLDSA_CTRL && decoded_req_is_wr) begin // SW write next_c = (field_storage.MLDSA_CTRL.ZEROIZE.value & ~decoded_wr_biten[3:3]) | (decoded_wr_data[3:3] & decoded_wr_biten[3:3]); load_next_c = '1; @@ -479,8 +482,10 @@ module mldsa_reg ( for(genvar i0=0; i0<16; i0++) begin // Field: mldsa_reg.MLDSA_ENTROPY[].ENTROPY always_comb begin - automatic logic [31:0] next_c = field_storage.MLDSA_ENTROPY[i0].ENTROPY.value; - automatic logic load_next_c = '0; + automatic logic [31:0] next_c; + automatic logic load_next_c; + next_c = field_storage.MLDSA_ENTROPY[i0].ENTROPY.value; + load_next_c = '0; if(decoded_reg_strb.MLDSA_ENTROPY[i0] && decoded_req_is_wr && hwif_in.mldsa_ready) begin // SW write next_c = (field_storage.MLDSA_ENTROPY[i0].ENTROPY.value & ~decoded_wr_biten[31:0]) | (decoded_wr_data[31:0] & decoded_wr_biten[31:0]); load_next_c = '1; @@ -503,8 +508,10 @@ module mldsa_reg ( for(genvar i0=0; i0<8; i0++) begin // Field: mldsa_reg.MLDSA_SEED[].SEED always_comb begin - automatic logic [31:0] next_c = field_storage.MLDSA_SEED[i0].SEED.value; - automatic logic load_next_c = '0; + automatic logic [31:0] next_c; + automatic logic load_next_c; + next_c = field_storage.MLDSA_SEED[i0].SEED.value; + load_next_c = '0; if(decoded_reg_strb.MLDSA_SEED[i0] && decoded_req_is_wr && hwif_in.mldsa_ready) begin // SW write next_c = (field_storage.MLDSA_SEED[i0].SEED.value & ~decoded_wr_biten[31:0]) | (decoded_wr_data[31:0] & decoded_wr_biten[31:0]); load_next_c = '1; @@ -530,8 +537,10 @@ module mldsa_reg ( for(genvar i0=0; i0<8; i0++) begin // Field: mldsa_reg.MLDSA_SIGN_RND[].SIGN_RND always_comb begin - automatic logic [31:0] next_c = field_storage.MLDSA_SIGN_RND[i0].SIGN_RND.value; - automatic logic load_next_c = '0; + automatic logic [31:0] next_c; + automatic logic load_next_c; + next_c = field_storage.MLDSA_SIGN_RND[i0].SIGN_RND.value; + load_next_c = '0; if(decoded_reg_strb.MLDSA_SIGN_RND[i0] && decoded_req_is_wr && hwif_in.mldsa_ready) begin // SW write next_c = (field_storage.MLDSA_SIGN_RND[i0].SIGN_RND.value & ~decoded_wr_biten[31:0]) | (decoded_wr_data[31:0] & decoded_wr_biten[31:0]); load_next_c = '1; @@ -554,8 +563,10 @@ module mldsa_reg ( for(genvar i0=0; i0<16; i0++) begin // Field: mldsa_reg.MLDSA_MSG[].MSG always_comb begin - automatic logic [31:0] next_c = field_storage.MLDSA_MSG[i0].MSG.value; - automatic logic load_next_c = '0; + automatic logic [31:0] next_c; + automatic logic load_next_c; + next_c = field_storage.MLDSA_MSG[i0].MSG.value; + load_next_c = '0; if(decoded_reg_strb.MLDSA_MSG[i0] && decoded_req_is_wr && hwif_in.mldsa_ready) begin // SW write next_c = (field_storage.MLDSA_MSG[i0].MSG.value & ~decoded_wr_biten[31:0]) | (decoded_wr_data[31:0] & decoded_wr_biten[31:0]); load_next_c = '1; @@ -581,8 +592,10 @@ module mldsa_reg ( for(genvar i0=0; i0<16; i0++) begin // Field: mldsa_reg.MLDSA_VERIFY_RES[].VERIFY_RES always_comb begin - automatic logic [31:0] next_c = field_storage.MLDSA_VERIFY_RES[i0].VERIFY_RES.value; - automatic logic load_next_c = '0; + automatic logic [31:0] next_c; + automatic logic load_next_c; + next_c = field_storage.MLDSA_VERIFY_RES[i0].VERIFY_RES.value; + load_next_c = '0; if(hwif_in.MLDSA_VERIFY_RES[i0].VERIFY_RES.we) begin // HW Write - we next_c = hwif_in.MLDSA_VERIFY_RES[i0].VERIFY_RES.next; load_next_c = '1; @@ -628,8 +641,10 @@ module mldsa_reg ( assign hwif_out.MLDSA_PRIVKEY_IN.wr_biten = decoded_wr_biten; // Field: mldsa_reg.intr_block_rf.global_intr_en_r.error_en always_comb begin - automatic logic [0:0] next_c = field_storage.intr_block_rf.global_intr_en_r.error_en.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.intr_block_rf.global_intr_en_r.error_en.value; + load_next_c = '0; if(decoded_reg_strb.intr_block_rf.global_intr_en_r && decoded_req_is_wr) begin // SW write next_c = (field_storage.intr_block_rf.global_intr_en_r.error_en.value & ~decoded_wr_biten[0:0]) | (decoded_wr_data[0:0] & decoded_wr_biten[0:0]); load_next_c = '1; @@ -646,8 +661,10 @@ module mldsa_reg ( end // Field: mldsa_reg.intr_block_rf.global_intr_en_r.notif_en always_comb begin - automatic logic [0:0] next_c = field_storage.intr_block_rf.global_intr_en_r.notif_en.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.intr_block_rf.global_intr_en_r.notif_en.value; + load_next_c = '0; if(decoded_reg_strb.intr_block_rf.global_intr_en_r && decoded_req_is_wr) begin // SW write next_c = (field_storage.intr_block_rf.global_intr_en_r.notif_en.value & ~decoded_wr_biten[1:1]) | (decoded_wr_data[1:1] & decoded_wr_biten[1:1]); load_next_c = '1; @@ -664,8 +681,10 @@ module mldsa_reg ( end // Field: mldsa_reg.intr_block_rf.error_intr_en_r.error_internal_en always_comb begin - automatic logic [0:0] next_c = field_storage.intr_block_rf.error_intr_en_r.error_internal_en.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.intr_block_rf.error_intr_en_r.error_internal_en.value; + load_next_c = '0; if(decoded_reg_strb.intr_block_rf.error_intr_en_r && decoded_req_is_wr) begin // SW write next_c = (field_storage.intr_block_rf.error_intr_en_r.error_internal_en.value & ~decoded_wr_biten[0:0]) | (decoded_wr_data[0:0] & decoded_wr_biten[0:0]); load_next_c = '1; @@ -682,8 +701,10 @@ module mldsa_reg ( end // Field: mldsa_reg.intr_block_rf.notif_intr_en_r.notif_cmd_done_en always_comb begin - automatic logic [0:0] next_c = field_storage.intr_block_rf.notif_intr_en_r.notif_cmd_done_en.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.intr_block_rf.notif_intr_en_r.notif_cmd_done_en.value; + load_next_c = '0; if(decoded_reg_strb.intr_block_rf.notif_intr_en_r && decoded_req_is_wr) begin // SW write next_c = (field_storage.intr_block_rf.notif_intr_en_r.notif_cmd_done_en.value & ~decoded_wr_biten[0:0]) | (decoded_wr_data[0:0] & decoded_wr_biten[0:0]); load_next_c = '1; @@ -700,8 +721,10 @@ module mldsa_reg ( end // Field: mldsa_reg.intr_block_rf.error_global_intr_r.agg_sts always_comb begin - automatic logic [0:0] next_c = field_storage.intr_block_rf.error_global_intr_r.agg_sts.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.intr_block_rf.error_global_intr_r.agg_sts.value; + load_next_c = '0; // HW Write next_c = hwif_out.intr_block_rf.error_internal_intr_r.intr; @@ -720,8 +743,10 @@ module mldsa_reg ( |(field_storage.intr_block_rf.error_global_intr_r.agg_sts.value & field_storage.intr_block_rf.global_intr_en_r.error_en.value); // Field: mldsa_reg.intr_block_rf.notif_global_intr_r.agg_sts always_comb begin - automatic logic [0:0] next_c = field_storage.intr_block_rf.notif_global_intr_r.agg_sts.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.intr_block_rf.notif_global_intr_r.agg_sts.value; + load_next_c = '0; // HW Write next_c = hwif_out.intr_block_rf.notif_internal_intr_r.intr; @@ -740,8 +765,10 @@ module mldsa_reg ( |(field_storage.intr_block_rf.notif_global_intr_r.agg_sts.value & field_storage.intr_block_rf.global_intr_en_r.notif_en.value); // Field: mldsa_reg.intr_block_rf.error_internal_intr_r.error_internal_sts always_comb begin - automatic logic [0:0] next_c = field_storage.intr_block_rf.error_internal_intr_r.error_internal_sts.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.intr_block_rf.error_internal_intr_r.error_internal_sts.value; + load_next_c = '0; if(field_storage.intr_block_rf.error_intr_trig_r.error_internal_trig.value != '0) begin // stickybit next_c = field_storage.intr_block_rf.error_internal_intr_r.error_internal_sts.value | field_storage.intr_block_rf.error_intr_trig_r.error_internal_trig.value; load_next_c = '1; @@ -766,8 +793,10 @@ module mldsa_reg ( |(field_storage.intr_block_rf.error_internal_intr_r.error_internal_sts.value & field_storage.intr_block_rf.error_intr_en_r.error_internal_en.value); // Field: mldsa_reg.intr_block_rf.notif_internal_intr_r.notif_cmd_done_sts always_comb begin - automatic logic [0:0] next_c = field_storage.intr_block_rf.notif_internal_intr_r.notif_cmd_done_sts.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.intr_block_rf.notif_internal_intr_r.notif_cmd_done_sts.value; + load_next_c = '0; if(field_storage.intr_block_rf.notif_intr_trig_r.notif_cmd_done_trig.value != '0) begin // stickybit next_c = field_storage.intr_block_rf.notif_internal_intr_r.notif_cmd_done_sts.value | field_storage.intr_block_rf.notif_intr_trig_r.notif_cmd_done_trig.value; load_next_c = '1; @@ -792,8 +821,10 @@ module mldsa_reg ( |(field_storage.intr_block_rf.notif_internal_intr_r.notif_cmd_done_sts.value & field_storage.intr_block_rf.notif_intr_en_r.notif_cmd_done_en.value); // Field: mldsa_reg.intr_block_rf.error_intr_trig_r.error_internal_trig always_comb begin - automatic logic [0:0] next_c = field_storage.intr_block_rf.error_intr_trig_r.error_internal_trig.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.intr_block_rf.error_intr_trig_r.error_internal_trig.value; + load_next_c = '0; if(decoded_reg_strb.intr_block_rf.error_intr_trig_r && decoded_req_is_wr) begin // SW write 1 set next_c = field_storage.intr_block_rf.error_intr_trig_r.error_internal_trig.value | (decoded_wr_data[0:0] & decoded_wr_biten[0:0]); load_next_c = '1; @@ -813,8 +844,10 @@ module mldsa_reg ( end // Field: mldsa_reg.intr_block_rf.notif_intr_trig_r.notif_cmd_done_trig always_comb begin - automatic logic [0:0] next_c = field_storage.intr_block_rf.notif_intr_trig_r.notif_cmd_done_trig.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.intr_block_rf.notif_intr_trig_r.notif_cmd_done_trig.value; + load_next_c = '0; if(decoded_reg_strb.intr_block_rf.notif_intr_trig_r && decoded_req_is_wr) begin // SW write 1 set next_c = field_storage.intr_block_rf.notif_intr_trig_r.notif_cmd_done_trig.value | (decoded_wr_data[0:0] & decoded_wr_biten[0:0]); load_next_c = '1; @@ -834,8 +867,10 @@ module mldsa_reg ( end // Field: mldsa_reg.intr_block_rf.error_internal_intr_count_r.cnt always_comb begin - automatic logic [31:0] next_c = field_storage.intr_block_rf.error_internal_intr_count_r.cnt.value; - automatic logic load_next_c = '0; + automatic logic [31:0] next_c; + automatic logic load_next_c; + next_c = field_storage.intr_block_rf.error_internal_intr_count_r.cnt.value; + load_next_c = '0; if(decoded_reg_strb.intr_block_rf.error_internal_intr_count_r && decoded_req_is_wr) begin // SW write next_c = (field_storage.intr_block_rf.error_internal_intr_count_r.cnt.value & ~decoded_wr_biten[31:0]) | (decoded_wr_data[31:0] & decoded_wr_biten[31:0]); load_next_c = '1; @@ -866,8 +901,10 @@ module mldsa_reg ( end // Field: mldsa_reg.intr_block_rf.notif_cmd_done_intr_count_r.cnt always_comb begin - automatic logic [31:0] next_c = field_storage.intr_block_rf.notif_cmd_done_intr_count_r.cnt.value; - automatic logic load_next_c = '0; + automatic logic [31:0] next_c; + automatic logic load_next_c; + next_c = field_storage.intr_block_rf.notif_cmd_done_intr_count_r.cnt.value; + load_next_c = '0; if(decoded_reg_strb.intr_block_rf.notif_cmd_done_intr_count_r && decoded_req_is_wr) begin // SW write next_c = (field_storage.intr_block_rf.notif_cmd_done_intr_count_r.cnt.value & ~decoded_wr_biten[31:0]) | (decoded_wr_data[31:0] & decoded_wr_biten[31:0]); load_next_c = '1; @@ -898,8 +935,10 @@ module mldsa_reg ( end // Field: mldsa_reg.intr_block_rf.error_internal_intr_count_incr_r.pulse always_comb begin - automatic logic [0:0] next_c = field_storage.intr_block_rf.error_internal_intr_count_incr_r.pulse.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.intr_block_rf.error_internal_intr_count_incr_r.pulse.value; + load_next_c = '0; if(field_storage.intr_block_rf.error_intr_trig_r.error_internal_trig.value) begin // HW Write - we next_c = field_storage.intr_block_rf.error_intr_trig_r.error_internal_trig.value; load_next_c = '1; @@ -927,8 +966,10 @@ module mldsa_reg ( end // Field: mldsa_reg.intr_block_rf.notif_cmd_done_intr_count_incr_r.pulse always_comb begin - automatic logic [0:0] next_c = field_storage.intr_block_rf.notif_cmd_done_intr_count_incr_r.pulse.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.intr_block_rf.notif_cmd_done_intr_count_incr_r.pulse.value; + load_next_c = '0; if(field_storage.intr_block_rf.notif_intr_trig_r.notif_cmd_done_trig.value) begin // HW Write - we next_c = field_storage.intr_block_rf.notif_intr_trig_r.notif_cmd_done_trig.value; load_next_c = '1; @@ -1000,7 +1041,7 @@ module mldsa_reg ( logic readback_err; logic readback_done; logic [31:0] readback_data; - + // Assign readback values to a flattened array logic [1841-1:0][31:0] readback_array; for(genvar i0=0; i0<2; i0++) begin @@ -1066,4 +1107,4 @@ module mldsa_reg ( `CALIPTRA_ASSERT_KNOWN(ERR_HWIF_IN, hwif_in, clk, !hwif_in.hard_reset_b) -endmodule \ No newline at end of file +endmodule diff --git a/src/pcrvault/rtl/pv_reg.sv b/src/pcrvault/rtl/pv_reg.sv index b3ab0d78a..fee15c434 100644 --- a/src/pcrvault/rtl/pv_reg.sv +++ b/src/pcrvault/rtl/pv_reg.sv @@ -149,8 +149,10 @@ module pv_reg ( for(genvar i0=0; i0<32; i0++) begin // Field: pv_reg.PCR_CTRL[].lock always_comb begin - automatic logic [0:0] next_c = field_storage.PCR_CTRL[i0].lock.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.PCR_CTRL[i0].lock.value; + load_next_c = '0; if(decoded_reg_strb.PCR_CTRL[i0] && decoded_req_is_wr && !(hwif_in.PCR_CTRL[i0].lock.swwel)) begin // SW write next_c = (field_storage.PCR_CTRL[i0].lock.value & ~decoded_wr_biten[0:0]) | (decoded_wr_data[0:0] & decoded_wr_biten[0:0]); load_next_c = '1; @@ -168,8 +170,10 @@ module pv_reg ( assign hwif_out.PCR_CTRL[i0].lock.value = field_storage.PCR_CTRL[i0].lock.value; // Field: pv_reg.PCR_CTRL[].clear always_comb begin - automatic logic [0:0] next_c = field_storage.PCR_CTRL[i0].clear.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.PCR_CTRL[i0].clear.value; + load_next_c = '0; if(decoded_reg_strb.PCR_CTRL[i0] && decoded_req_is_wr && !(hwif_in.PCR_CTRL[i0].clear.swwel)) begin // SW write next_c = (field_storage.PCR_CTRL[i0].clear.value & ~decoded_wr_biten[1:1]) | (decoded_wr_data[1:1] & decoded_wr_biten[1:1]); load_next_c = '1; @@ -190,8 +194,10 @@ module pv_reg ( assign hwif_out.PCR_CTRL[i0].clear.value = field_storage.PCR_CTRL[i0].clear.value; // Field: pv_reg.PCR_CTRL[].rsvd0 always_comb begin - automatic logic [0:0] next_c = field_storage.PCR_CTRL[i0].rsvd0.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.PCR_CTRL[i0].rsvd0.value; + load_next_c = '0; if(decoded_reg_strb.PCR_CTRL[i0] && decoded_req_is_wr) begin // SW write next_c = (field_storage.PCR_CTRL[i0].rsvd0.value & ~decoded_wr_biten[2:2]) | (decoded_wr_data[2:2] & decoded_wr_biten[2:2]); load_next_c = '1; @@ -212,8 +218,10 @@ module pv_reg ( assign hwif_out.PCR_CTRL[i0].rsvd0.value = field_storage.PCR_CTRL[i0].rsvd0.value; // Field: pv_reg.PCR_CTRL[].rsvd1 always_comb begin - automatic logic [4:0] next_c = field_storage.PCR_CTRL[i0].rsvd1.value; - automatic logic load_next_c = '0; + automatic logic [4:0] next_c; + automatic logic load_next_c; + next_c = field_storage.PCR_CTRL[i0].rsvd1.value; + load_next_c = '0; if(decoded_reg_strb.PCR_CTRL[i0] && decoded_req_is_wr) begin // SW write next_c = (field_storage.PCR_CTRL[i0].rsvd1.value & ~decoded_wr_biten[7:3]) | (decoded_wr_data[7:3] & decoded_wr_biten[7:3]); load_next_c = '1; @@ -234,8 +242,10 @@ module pv_reg ( for(genvar i1=0; i1<12; i1++) begin // Field: pv_reg.PCR_ENTRY[][].data always_comb begin - automatic logic [31:0] next_c = field_storage.PCR_ENTRY[i0][i1].data.value; - automatic logic load_next_c = '0; + automatic logic [31:0] next_c; + automatic logic load_next_c; + next_c = field_storage.PCR_ENTRY[i0][i1].data.value; + load_next_c = '0; if(hwif_in.PCR_ENTRY[i0][i1].data.we) begin // HW Write - we next_c = hwif_in.PCR_ENTRY[i0][i1].data.next; load_next_c = '1; @@ -271,7 +281,7 @@ module pv_reg ( logic readback_err; logic readback_done; logic [31:0] readback_data; - + // Assign readback values to a flattened array logic [416-1:0][31:0] readback_array; for(genvar i0=0; i0<32; i0++) begin @@ -303,4 +313,4 @@ module pv_reg ( `CALIPTRA_ASSERT_KNOWN(ERR_HWIF_IN, hwif_in, clk, !hwif_in.hard_reset_b) -endmodule \ No newline at end of file +endmodule diff --git a/src/sha256/rtl/sha256_reg.sv b/src/sha256/rtl/sha256_reg.sv index bda350c79..87b7451c8 100644 --- a/src/sha256/rtl/sha256_reg.sv +++ b/src/sha256/rtl/sha256_reg.sv @@ -533,8 +533,10 @@ module sha256_reg ( // Field: sha256_reg.SHA256_CTRL.INIT always_comb begin - automatic logic [0:0] next_c = field_storage.SHA256_CTRL.INIT.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.SHA256_CTRL.INIT.value; + load_next_c = '0; if(decoded_reg_strb.SHA256_CTRL && decoded_req_is_wr) begin // SW write next_c = (field_storage.SHA256_CTRL.INIT.value & ~decoded_wr_biten[0:0]) | (decoded_wr_data[0:0] & decoded_wr_biten[0:0]); load_next_c = '1; @@ -555,8 +557,10 @@ module sha256_reg ( assign hwif_out.SHA256_CTRL.INIT.value = field_storage.SHA256_CTRL.INIT.value; // Field: sha256_reg.SHA256_CTRL.NEXT always_comb begin - automatic logic [0:0] next_c = field_storage.SHA256_CTRL.NEXT.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.SHA256_CTRL.NEXT.value; + load_next_c = '0; if(decoded_reg_strb.SHA256_CTRL && decoded_req_is_wr) begin // SW write next_c = (field_storage.SHA256_CTRL.NEXT.value & ~decoded_wr_biten[1:1]) | (decoded_wr_data[1:1] & decoded_wr_biten[1:1]); load_next_c = '1; @@ -577,8 +581,10 @@ module sha256_reg ( assign hwif_out.SHA256_CTRL.NEXT.value = field_storage.SHA256_CTRL.NEXT.value; // Field: sha256_reg.SHA256_CTRL.MODE always_comb begin - automatic logic [0:0] next_c = field_storage.SHA256_CTRL.MODE.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.SHA256_CTRL.MODE.value; + load_next_c = '0; if(decoded_reg_strb.SHA256_CTRL && decoded_req_is_wr && hwif_in.sha256_ready) begin // SW write next_c = (field_storage.SHA256_CTRL.MODE.value & ~decoded_wr_biten[2:2]) | (decoded_wr_data[2:2] & decoded_wr_biten[2:2]); load_next_c = '1; @@ -596,8 +602,10 @@ module sha256_reg ( assign hwif_out.SHA256_CTRL.MODE.value = field_storage.SHA256_CTRL.MODE.value; // Field: sha256_reg.SHA256_CTRL.ZEROIZE always_comb begin - automatic logic [0:0] next_c = field_storage.SHA256_CTRL.ZEROIZE.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.SHA256_CTRL.ZEROIZE.value; + load_next_c = '0; if(decoded_reg_strb.SHA256_CTRL && decoded_req_is_wr) begin // SW write next_c = (field_storage.SHA256_CTRL.ZEROIZE.value & ~decoded_wr_biten[3:3]) | (decoded_wr_data[3:3] & decoded_wr_biten[3:3]); load_next_c = '1; @@ -618,8 +626,10 @@ module sha256_reg ( assign hwif_out.SHA256_CTRL.ZEROIZE.value = field_storage.SHA256_CTRL.ZEROIZE.value; // Field: sha256_reg.SHA256_CTRL.WNTZ_MODE always_comb begin - automatic logic [0:0] next_c = field_storage.SHA256_CTRL.WNTZ_MODE.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.SHA256_CTRL.WNTZ_MODE.value; + load_next_c = '0; if(decoded_reg_strb.SHA256_CTRL && decoded_req_is_wr && hwif_in.sha256_ready) begin // SW write next_c = (field_storage.SHA256_CTRL.WNTZ_MODE.value & ~decoded_wr_biten[4:4]) | (decoded_wr_data[4:4] & decoded_wr_biten[4:4]); load_next_c = '1; @@ -640,8 +650,10 @@ module sha256_reg ( assign hwif_out.SHA256_CTRL.WNTZ_MODE.value = field_storage.SHA256_CTRL.WNTZ_MODE.value; // Field: sha256_reg.SHA256_CTRL.WNTZ_W always_comb begin - automatic logic [3:0] next_c = field_storage.SHA256_CTRL.WNTZ_W.value; - automatic logic load_next_c = '0; + automatic logic [3:0] next_c; + automatic logic load_next_c; + next_c = field_storage.SHA256_CTRL.WNTZ_W.value; + load_next_c = '0; if(decoded_reg_strb.SHA256_CTRL && decoded_req_is_wr && hwif_in.sha256_ready) begin // SW write next_c = (field_storage.SHA256_CTRL.WNTZ_W.value & ~decoded_wr_biten[8:5]) | (decoded_wr_data[8:5] & decoded_wr_biten[8:5]); load_next_c = '1; @@ -659,8 +671,10 @@ module sha256_reg ( assign hwif_out.SHA256_CTRL.WNTZ_W.value = field_storage.SHA256_CTRL.WNTZ_W.value; // Field: sha256_reg.SHA256_CTRL.WNTZ_N_MODE always_comb begin - automatic logic [0:0] next_c = field_storage.SHA256_CTRL.WNTZ_N_MODE.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.SHA256_CTRL.WNTZ_N_MODE.value; + load_next_c = '0; if(decoded_reg_strb.SHA256_CTRL && decoded_req_is_wr && hwif_in.sha256_ready) begin // SW write next_c = (field_storage.SHA256_CTRL.WNTZ_N_MODE.value & ~decoded_wr_biten[9:9]) | (decoded_wr_data[9:9] & decoded_wr_biten[9:9]); load_next_c = '1; @@ -679,8 +693,10 @@ module sha256_reg ( for(genvar i0=0; i0<16; i0++) begin // Field: sha256_reg.SHA256_BLOCK[].BLOCK always_comb begin - automatic logic [31:0] next_c = field_storage.SHA256_BLOCK[i0].BLOCK.value; - automatic logic load_next_c = '0; + automatic logic [31:0] next_c; + automatic logic load_next_c; + next_c = field_storage.SHA256_BLOCK[i0].BLOCK.value; + load_next_c = '0; if(decoded_reg_strb.SHA256_BLOCK[i0] && decoded_req_is_wr) begin // SW write next_c = (field_storage.SHA256_BLOCK[i0].BLOCK.value & ~decoded_wr_biten[31:0]) | (decoded_wr_data[31:0] & decoded_wr_biten[31:0]); load_next_c = '1; @@ -703,8 +719,10 @@ module sha256_reg ( for(genvar i0=0; i0<8; i0++) begin // Field: sha256_reg.SHA256_DIGEST[].DIGEST always_comb begin - automatic logic [31:0] next_c = field_storage.SHA256_DIGEST[i0].DIGEST.value; - automatic logic load_next_c = '0; + automatic logic [31:0] next_c; + automatic logic load_next_c; + next_c = field_storage.SHA256_DIGEST[i0].DIGEST.value; + load_next_c = '0; if(hwif_in.SHA256_DIGEST[i0].DIGEST.hwclr) begin // HW Clear next_c = '0; load_next_c = '1; @@ -725,8 +743,10 @@ module sha256_reg ( end // Field: sha256_reg.intr_block_rf.global_intr_en_r.error_en always_comb begin - automatic logic [0:0] next_c = field_storage.intr_block_rf.global_intr_en_r.error_en.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.intr_block_rf.global_intr_en_r.error_en.value; + load_next_c = '0; if(decoded_reg_strb.intr_block_rf.global_intr_en_r && decoded_req_is_wr) begin // SW write next_c = (field_storage.intr_block_rf.global_intr_en_r.error_en.value & ~decoded_wr_biten[0:0]) | (decoded_wr_data[0:0] & decoded_wr_biten[0:0]); load_next_c = '1; @@ -743,8 +763,10 @@ module sha256_reg ( end // Field: sha256_reg.intr_block_rf.global_intr_en_r.notif_en always_comb begin - automatic logic [0:0] next_c = field_storage.intr_block_rf.global_intr_en_r.notif_en.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.intr_block_rf.global_intr_en_r.notif_en.value; + load_next_c = '0; if(decoded_reg_strb.intr_block_rf.global_intr_en_r && decoded_req_is_wr) begin // SW write next_c = (field_storage.intr_block_rf.global_intr_en_r.notif_en.value & ~decoded_wr_biten[1:1]) | (decoded_wr_data[1:1] & decoded_wr_biten[1:1]); load_next_c = '1; @@ -761,8 +783,10 @@ module sha256_reg ( end // Field: sha256_reg.intr_block_rf.error_intr_en_r.error0_en always_comb begin - automatic logic [0:0] next_c = field_storage.intr_block_rf.error_intr_en_r.error0_en.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.intr_block_rf.error_intr_en_r.error0_en.value; + load_next_c = '0; if(decoded_reg_strb.intr_block_rf.error_intr_en_r && decoded_req_is_wr) begin // SW write next_c = (field_storage.intr_block_rf.error_intr_en_r.error0_en.value & ~decoded_wr_biten[0:0]) | (decoded_wr_data[0:0] & decoded_wr_biten[0:0]); load_next_c = '1; @@ -779,8 +803,10 @@ module sha256_reg ( end // Field: sha256_reg.intr_block_rf.error_intr_en_r.error1_en always_comb begin - automatic logic [0:0] next_c = field_storage.intr_block_rf.error_intr_en_r.error1_en.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.intr_block_rf.error_intr_en_r.error1_en.value; + load_next_c = '0; if(decoded_reg_strb.intr_block_rf.error_intr_en_r && decoded_req_is_wr) begin // SW write next_c = (field_storage.intr_block_rf.error_intr_en_r.error1_en.value & ~decoded_wr_biten[1:1]) | (decoded_wr_data[1:1] & decoded_wr_biten[1:1]); load_next_c = '1; @@ -797,8 +823,10 @@ module sha256_reg ( end // Field: sha256_reg.intr_block_rf.error_intr_en_r.error2_en always_comb begin - automatic logic [0:0] next_c = field_storage.intr_block_rf.error_intr_en_r.error2_en.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.intr_block_rf.error_intr_en_r.error2_en.value; + load_next_c = '0; if(decoded_reg_strb.intr_block_rf.error_intr_en_r && decoded_req_is_wr) begin // SW write next_c = (field_storage.intr_block_rf.error_intr_en_r.error2_en.value & ~decoded_wr_biten[2:2]) | (decoded_wr_data[2:2] & decoded_wr_biten[2:2]); load_next_c = '1; @@ -815,8 +843,10 @@ module sha256_reg ( end // Field: sha256_reg.intr_block_rf.error_intr_en_r.error3_en always_comb begin - automatic logic [0:0] next_c = field_storage.intr_block_rf.error_intr_en_r.error3_en.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.intr_block_rf.error_intr_en_r.error3_en.value; + load_next_c = '0; if(decoded_reg_strb.intr_block_rf.error_intr_en_r && decoded_req_is_wr) begin // SW write next_c = (field_storage.intr_block_rf.error_intr_en_r.error3_en.value & ~decoded_wr_biten[3:3]) | (decoded_wr_data[3:3] & decoded_wr_biten[3:3]); load_next_c = '1; @@ -833,8 +863,10 @@ module sha256_reg ( end // Field: sha256_reg.intr_block_rf.notif_intr_en_r.notif_cmd_done_en always_comb begin - automatic logic [0:0] next_c = field_storage.intr_block_rf.notif_intr_en_r.notif_cmd_done_en.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.intr_block_rf.notif_intr_en_r.notif_cmd_done_en.value; + load_next_c = '0; if(decoded_reg_strb.intr_block_rf.notif_intr_en_r && decoded_req_is_wr) begin // SW write next_c = (field_storage.intr_block_rf.notif_intr_en_r.notif_cmd_done_en.value & ~decoded_wr_biten[0:0]) | (decoded_wr_data[0:0] & decoded_wr_biten[0:0]); load_next_c = '1; @@ -851,8 +883,10 @@ module sha256_reg ( end // Field: sha256_reg.intr_block_rf.error_global_intr_r.agg_sts always_comb begin - automatic logic [0:0] next_c = field_storage.intr_block_rf.error_global_intr_r.agg_sts.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.intr_block_rf.error_global_intr_r.agg_sts.value; + load_next_c = '0; // HW Write next_c = hwif_out.intr_block_rf.error_internal_intr_r.intr; @@ -871,8 +905,10 @@ module sha256_reg ( |(field_storage.intr_block_rf.error_global_intr_r.agg_sts.value & field_storage.intr_block_rf.global_intr_en_r.error_en.value); // Field: sha256_reg.intr_block_rf.notif_global_intr_r.agg_sts always_comb begin - automatic logic [0:0] next_c = field_storage.intr_block_rf.notif_global_intr_r.agg_sts.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.intr_block_rf.notif_global_intr_r.agg_sts.value; + load_next_c = '0; // HW Write next_c = hwif_out.intr_block_rf.notif_internal_intr_r.intr; @@ -891,8 +927,10 @@ module sha256_reg ( |(field_storage.intr_block_rf.notif_global_intr_r.agg_sts.value & field_storage.intr_block_rf.global_intr_en_r.notif_en.value); // Field: sha256_reg.intr_block_rf.error_internal_intr_r.error0_sts always_comb begin - automatic logic [0:0] next_c = field_storage.intr_block_rf.error_internal_intr_r.error0_sts.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.intr_block_rf.error_internal_intr_r.error0_sts.value; + load_next_c = '0; if(field_storage.intr_block_rf.error_intr_trig_r.error0_trig.value != '0) begin // stickybit next_c = field_storage.intr_block_rf.error_internal_intr_r.error0_sts.value | field_storage.intr_block_rf.error_intr_trig_r.error0_trig.value; load_next_c = '1; @@ -915,8 +953,10 @@ module sha256_reg ( end // Field: sha256_reg.intr_block_rf.error_internal_intr_r.error1_sts always_comb begin - automatic logic [0:0] next_c = field_storage.intr_block_rf.error_internal_intr_r.error1_sts.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.intr_block_rf.error_internal_intr_r.error1_sts.value; + load_next_c = '0; if(field_storage.intr_block_rf.error_intr_trig_r.error1_trig.value != '0) begin // stickybit next_c = field_storage.intr_block_rf.error_internal_intr_r.error1_sts.value | field_storage.intr_block_rf.error_intr_trig_r.error1_trig.value; load_next_c = '1; @@ -939,8 +979,10 @@ module sha256_reg ( end // Field: sha256_reg.intr_block_rf.error_internal_intr_r.error2_sts always_comb begin - automatic logic [0:0] next_c = field_storage.intr_block_rf.error_internal_intr_r.error2_sts.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.intr_block_rf.error_internal_intr_r.error2_sts.value; + load_next_c = '0; if(field_storage.intr_block_rf.error_intr_trig_r.error2_trig.value != '0) begin // stickybit next_c = field_storage.intr_block_rf.error_internal_intr_r.error2_sts.value | field_storage.intr_block_rf.error_intr_trig_r.error2_trig.value; load_next_c = '1; @@ -963,8 +1005,10 @@ module sha256_reg ( end // Field: sha256_reg.intr_block_rf.error_internal_intr_r.error3_sts always_comb begin - automatic logic [0:0] next_c = field_storage.intr_block_rf.error_internal_intr_r.error3_sts.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.intr_block_rf.error_internal_intr_r.error3_sts.value; + load_next_c = '0; if(field_storage.intr_block_rf.error_intr_trig_r.error3_trig.value != '0) begin // stickybit next_c = field_storage.intr_block_rf.error_internal_intr_r.error3_sts.value | field_storage.intr_block_rf.error_intr_trig_r.error3_trig.value; load_next_c = '1; @@ -992,8 +1036,10 @@ module sha256_reg ( || |(field_storage.intr_block_rf.error_internal_intr_r.error3_sts.value & field_storage.intr_block_rf.error_intr_en_r.error3_en.value); // Field: sha256_reg.intr_block_rf.notif_internal_intr_r.notif_cmd_done_sts always_comb begin - automatic logic [0:0] next_c = field_storage.intr_block_rf.notif_internal_intr_r.notif_cmd_done_sts.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.intr_block_rf.notif_internal_intr_r.notif_cmd_done_sts.value; + load_next_c = '0; if(field_storage.intr_block_rf.notif_intr_trig_r.notif_cmd_done_trig.value != '0) begin // stickybit next_c = field_storage.intr_block_rf.notif_internal_intr_r.notif_cmd_done_sts.value | field_storage.intr_block_rf.notif_intr_trig_r.notif_cmd_done_trig.value; load_next_c = '1; @@ -1018,8 +1064,10 @@ module sha256_reg ( |(field_storage.intr_block_rf.notif_internal_intr_r.notif_cmd_done_sts.value & field_storage.intr_block_rf.notif_intr_en_r.notif_cmd_done_en.value); // Field: sha256_reg.intr_block_rf.error_intr_trig_r.error0_trig always_comb begin - automatic logic [0:0] next_c = field_storage.intr_block_rf.error_intr_trig_r.error0_trig.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.intr_block_rf.error_intr_trig_r.error0_trig.value; + load_next_c = '0; if(decoded_reg_strb.intr_block_rf.error_intr_trig_r && decoded_req_is_wr) begin // SW write 1 set next_c = field_storage.intr_block_rf.error_intr_trig_r.error0_trig.value | (decoded_wr_data[0:0] & decoded_wr_biten[0:0]); load_next_c = '1; @@ -1039,8 +1087,10 @@ module sha256_reg ( end // Field: sha256_reg.intr_block_rf.error_intr_trig_r.error1_trig always_comb begin - automatic logic [0:0] next_c = field_storage.intr_block_rf.error_intr_trig_r.error1_trig.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.intr_block_rf.error_intr_trig_r.error1_trig.value; + load_next_c = '0; if(decoded_reg_strb.intr_block_rf.error_intr_trig_r && decoded_req_is_wr) begin // SW write 1 set next_c = field_storage.intr_block_rf.error_intr_trig_r.error1_trig.value | (decoded_wr_data[1:1] & decoded_wr_biten[1:1]); load_next_c = '1; @@ -1060,8 +1110,10 @@ module sha256_reg ( end // Field: sha256_reg.intr_block_rf.error_intr_trig_r.error2_trig always_comb begin - automatic logic [0:0] next_c = field_storage.intr_block_rf.error_intr_trig_r.error2_trig.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.intr_block_rf.error_intr_trig_r.error2_trig.value; + load_next_c = '0; if(decoded_reg_strb.intr_block_rf.error_intr_trig_r && decoded_req_is_wr) begin // SW write 1 set next_c = field_storage.intr_block_rf.error_intr_trig_r.error2_trig.value | (decoded_wr_data[2:2] & decoded_wr_biten[2:2]); load_next_c = '1; @@ -1081,8 +1133,10 @@ module sha256_reg ( end // Field: sha256_reg.intr_block_rf.error_intr_trig_r.error3_trig always_comb begin - automatic logic [0:0] next_c = field_storage.intr_block_rf.error_intr_trig_r.error3_trig.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.intr_block_rf.error_intr_trig_r.error3_trig.value; + load_next_c = '0; if(decoded_reg_strb.intr_block_rf.error_intr_trig_r && decoded_req_is_wr) begin // SW write 1 set next_c = field_storage.intr_block_rf.error_intr_trig_r.error3_trig.value | (decoded_wr_data[3:3] & decoded_wr_biten[3:3]); load_next_c = '1; @@ -1102,8 +1156,10 @@ module sha256_reg ( end // Field: sha256_reg.intr_block_rf.notif_intr_trig_r.notif_cmd_done_trig always_comb begin - automatic logic [0:0] next_c = field_storage.intr_block_rf.notif_intr_trig_r.notif_cmd_done_trig.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.intr_block_rf.notif_intr_trig_r.notif_cmd_done_trig.value; + load_next_c = '0; if(decoded_reg_strb.intr_block_rf.notif_intr_trig_r && decoded_req_is_wr) begin // SW write 1 set next_c = field_storage.intr_block_rf.notif_intr_trig_r.notif_cmd_done_trig.value | (decoded_wr_data[0:0] & decoded_wr_biten[0:0]); load_next_c = '1; @@ -1123,8 +1179,10 @@ module sha256_reg ( end // Field: sha256_reg.intr_block_rf.error0_intr_count_r.cnt always_comb begin - automatic logic [31:0] next_c = field_storage.intr_block_rf.error0_intr_count_r.cnt.value; - automatic logic load_next_c = '0; + automatic logic [31:0] next_c; + automatic logic load_next_c; + next_c = field_storage.intr_block_rf.error0_intr_count_r.cnt.value; + load_next_c = '0; if(decoded_reg_strb.intr_block_rf.error0_intr_count_r && decoded_req_is_wr) begin // SW write next_c = (field_storage.intr_block_rf.error0_intr_count_r.cnt.value & ~decoded_wr_biten[31:0]) | (decoded_wr_data[31:0] & decoded_wr_biten[31:0]); load_next_c = '1; @@ -1155,8 +1213,10 @@ module sha256_reg ( end // Field: sha256_reg.intr_block_rf.error1_intr_count_r.cnt always_comb begin - automatic logic [31:0] next_c = field_storage.intr_block_rf.error1_intr_count_r.cnt.value; - automatic logic load_next_c = '0; + automatic logic [31:0] next_c; + automatic logic load_next_c; + next_c = field_storage.intr_block_rf.error1_intr_count_r.cnt.value; + load_next_c = '0; if(decoded_reg_strb.intr_block_rf.error1_intr_count_r && decoded_req_is_wr) begin // SW write next_c = (field_storage.intr_block_rf.error1_intr_count_r.cnt.value & ~decoded_wr_biten[31:0]) | (decoded_wr_data[31:0] & decoded_wr_biten[31:0]); load_next_c = '1; @@ -1187,8 +1247,10 @@ module sha256_reg ( end // Field: sha256_reg.intr_block_rf.error2_intr_count_r.cnt always_comb begin - automatic logic [31:0] next_c = field_storage.intr_block_rf.error2_intr_count_r.cnt.value; - automatic logic load_next_c = '0; + automatic logic [31:0] next_c; + automatic logic load_next_c; + next_c = field_storage.intr_block_rf.error2_intr_count_r.cnt.value; + load_next_c = '0; if(decoded_reg_strb.intr_block_rf.error2_intr_count_r && decoded_req_is_wr) begin // SW write next_c = (field_storage.intr_block_rf.error2_intr_count_r.cnt.value & ~decoded_wr_biten[31:0]) | (decoded_wr_data[31:0] & decoded_wr_biten[31:0]); load_next_c = '1; @@ -1219,8 +1281,10 @@ module sha256_reg ( end // Field: sha256_reg.intr_block_rf.error3_intr_count_r.cnt always_comb begin - automatic logic [31:0] next_c = field_storage.intr_block_rf.error3_intr_count_r.cnt.value; - automatic logic load_next_c = '0; + automatic logic [31:0] next_c; + automatic logic load_next_c; + next_c = field_storage.intr_block_rf.error3_intr_count_r.cnt.value; + load_next_c = '0; if(decoded_reg_strb.intr_block_rf.error3_intr_count_r && decoded_req_is_wr) begin // SW write next_c = (field_storage.intr_block_rf.error3_intr_count_r.cnt.value & ~decoded_wr_biten[31:0]) | (decoded_wr_data[31:0] & decoded_wr_biten[31:0]); load_next_c = '1; @@ -1251,8 +1315,10 @@ module sha256_reg ( end // Field: sha256_reg.intr_block_rf.notif_cmd_done_intr_count_r.cnt always_comb begin - automatic logic [31:0] next_c = field_storage.intr_block_rf.notif_cmd_done_intr_count_r.cnt.value; - automatic logic load_next_c = '0; + automatic logic [31:0] next_c; + automatic logic load_next_c; + next_c = field_storage.intr_block_rf.notif_cmd_done_intr_count_r.cnt.value; + load_next_c = '0; if(decoded_reg_strb.intr_block_rf.notif_cmd_done_intr_count_r && decoded_req_is_wr) begin // SW write next_c = (field_storage.intr_block_rf.notif_cmd_done_intr_count_r.cnt.value & ~decoded_wr_biten[31:0]) | (decoded_wr_data[31:0] & decoded_wr_biten[31:0]); load_next_c = '1; @@ -1283,8 +1349,10 @@ module sha256_reg ( end // Field: sha256_reg.intr_block_rf.error0_intr_count_incr_r.pulse always_comb begin - automatic logic [0:0] next_c = field_storage.intr_block_rf.error0_intr_count_incr_r.pulse.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.intr_block_rf.error0_intr_count_incr_r.pulse.value; + load_next_c = '0; if(field_storage.intr_block_rf.error_intr_trig_r.error0_trig.value) begin // HW Write - we next_c = field_storage.intr_block_rf.error_intr_trig_r.error0_trig.value; load_next_c = '1; @@ -1312,8 +1380,10 @@ module sha256_reg ( end // Field: sha256_reg.intr_block_rf.error1_intr_count_incr_r.pulse always_comb begin - automatic logic [0:0] next_c = field_storage.intr_block_rf.error1_intr_count_incr_r.pulse.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.intr_block_rf.error1_intr_count_incr_r.pulse.value; + load_next_c = '0; if(field_storage.intr_block_rf.error_intr_trig_r.error1_trig.value) begin // HW Write - we next_c = field_storage.intr_block_rf.error_intr_trig_r.error1_trig.value; load_next_c = '1; @@ -1341,8 +1411,10 @@ module sha256_reg ( end // Field: sha256_reg.intr_block_rf.error2_intr_count_incr_r.pulse always_comb begin - automatic logic [0:0] next_c = field_storage.intr_block_rf.error2_intr_count_incr_r.pulse.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.intr_block_rf.error2_intr_count_incr_r.pulse.value; + load_next_c = '0; if(field_storage.intr_block_rf.error_intr_trig_r.error2_trig.value) begin // HW Write - we next_c = field_storage.intr_block_rf.error_intr_trig_r.error2_trig.value; load_next_c = '1; @@ -1370,8 +1442,10 @@ module sha256_reg ( end // Field: sha256_reg.intr_block_rf.error3_intr_count_incr_r.pulse always_comb begin - automatic logic [0:0] next_c = field_storage.intr_block_rf.error3_intr_count_incr_r.pulse.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.intr_block_rf.error3_intr_count_incr_r.pulse.value; + load_next_c = '0; if(field_storage.intr_block_rf.error_intr_trig_r.error3_trig.value) begin // HW Write - we next_c = field_storage.intr_block_rf.error_intr_trig_r.error3_trig.value; load_next_c = '1; @@ -1399,8 +1473,10 @@ module sha256_reg ( end // Field: sha256_reg.intr_block_rf.notif_cmd_done_intr_count_incr_r.pulse always_comb begin - automatic logic [0:0] next_c = field_storage.intr_block_rf.notif_cmd_done_intr_count_incr_r.pulse.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.intr_block_rf.notif_cmd_done_intr_count_incr_r.pulse.value; + load_next_c = '0; if(field_storage.intr_block_rf.notif_intr_trig_r.notif_cmd_done_trig.value) begin // HW Write - we next_c = field_storage.intr_block_rf.notif_intr_trig_r.notif_cmd_done_trig.value; load_next_c = '1; @@ -1441,7 +1517,7 @@ module sha256_reg ( logic readback_err; logic readback_done; logic [31:0] readback_data; - + // Assign readback values to a flattened array logic [32-1:0][31:0] readback_array; for(genvar i0=0; i0<2; i0++) begin @@ -1517,4 +1593,4 @@ module sha256_reg ( `CALIPTRA_ASSERT_KNOWN(ERR_HWIF_IN, hwif_in, clk, !hwif_in.error_reset_b) -endmodule \ No newline at end of file +endmodule diff --git a/src/sha512/rtl/sha512_reg.sv b/src/sha512/rtl/sha512_reg.sv index 696944628..3f893839f 100644 --- a/src/sha512/rtl/sha512_reg.sv +++ b/src/sha512/rtl/sha512_reg.sv @@ -697,8 +697,10 @@ module sha512_reg ( // Field: sha512_reg.SHA512_CTRL.INIT always_comb begin - automatic logic [0:0] next_c = field_storage.SHA512_CTRL.INIT.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.SHA512_CTRL.INIT.value; + load_next_c = '0; if(decoded_reg_strb.SHA512_CTRL && decoded_req_is_wr) begin // SW write next_c = (field_storage.SHA512_CTRL.INIT.value & ~decoded_wr_biten[0:0]) | (decoded_wr_data[0:0] & decoded_wr_biten[0:0]); load_next_c = '1; @@ -719,8 +721,10 @@ module sha512_reg ( assign hwif_out.SHA512_CTRL.INIT.value = field_storage.SHA512_CTRL.INIT.value; // Field: sha512_reg.SHA512_CTRL.NEXT always_comb begin - automatic logic [0:0] next_c = field_storage.SHA512_CTRL.NEXT.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.SHA512_CTRL.NEXT.value; + load_next_c = '0; if(decoded_reg_strb.SHA512_CTRL && decoded_req_is_wr) begin // SW write next_c = (field_storage.SHA512_CTRL.NEXT.value & ~decoded_wr_biten[1:1]) | (decoded_wr_data[1:1] & decoded_wr_biten[1:1]); load_next_c = '1; @@ -741,8 +745,10 @@ module sha512_reg ( assign hwif_out.SHA512_CTRL.NEXT.value = field_storage.SHA512_CTRL.NEXT.value; // Field: sha512_reg.SHA512_CTRL.MODE always_comb begin - automatic logic [1:0] next_c = field_storage.SHA512_CTRL.MODE.value; - automatic logic load_next_c = '0; + automatic logic [1:0] next_c; + automatic logic load_next_c; + next_c = field_storage.SHA512_CTRL.MODE.value; + load_next_c = '0; if(decoded_reg_strb.SHA512_CTRL && decoded_req_is_wr) begin // SW write next_c = (field_storage.SHA512_CTRL.MODE.value & ~decoded_wr_biten[3:2]) | (decoded_wr_data[3:2] & decoded_wr_biten[3:2]); load_next_c = '1; @@ -760,8 +766,10 @@ module sha512_reg ( assign hwif_out.SHA512_CTRL.MODE.value = field_storage.SHA512_CTRL.MODE.value; // Field: sha512_reg.SHA512_CTRL.ZEROIZE always_comb begin - automatic logic [0:0] next_c = field_storage.SHA512_CTRL.ZEROIZE.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.SHA512_CTRL.ZEROIZE.value; + load_next_c = '0; if(decoded_reg_strb.SHA512_CTRL && decoded_req_is_wr) begin // SW write next_c = (field_storage.SHA512_CTRL.ZEROIZE.value & ~decoded_wr_biten[4:4]) | (decoded_wr_data[4:4] & decoded_wr_biten[4:4]); load_next_c = '1; @@ -782,8 +790,10 @@ module sha512_reg ( assign hwif_out.SHA512_CTRL.ZEROIZE.value = field_storage.SHA512_CTRL.ZEROIZE.value; // Field: sha512_reg.SHA512_CTRL.LAST always_comb begin - automatic logic [0:0] next_c = field_storage.SHA512_CTRL.LAST.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.SHA512_CTRL.LAST.value; + load_next_c = '0; if(decoded_reg_strb.SHA512_CTRL && decoded_req_is_wr) begin // SW write next_c = (field_storage.SHA512_CTRL.LAST.value & ~decoded_wr_biten[5:5]) | (decoded_wr_data[5:5] & decoded_wr_biten[5:5]); load_next_c = '1; @@ -805,8 +815,10 @@ module sha512_reg ( for(genvar i0=0; i0<32; i0++) begin // Field: sha512_reg.SHA512_BLOCK[].BLOCK always_comb begin - automatic logic [31:0] next_c = field_storage.SHA512_BLOCK[i0].BLOCK.value; - automatic logic load_next_c = '0; + automatic logic [31:0] next_c; + automatic logic load_next_c; + next_c = field_storage.SHA512_BLOCK[i0].BLOCK.value; + load_next_c = '0; if(decoded_reg_strb.SHA512_BLOCK[i0] && decoded_req_is_wr && !(hwif_in.SHA512_BLOCK[i0].BLOCK.swwel)) begin // SW write next_c = (field_storage.SHA512_BLOCK[i0].BLOCK.value & ~decoded_wr_biten[31:0]) | (decoded_wr_data[31:0] & decoded_wr_biten[31:0]); load_next_c = '1; @@ -832,8 +844,10 @@ module sha512_reg ( for(genvar i0=0; i0<16; i0++) begin // Field: sha512_reg.SHA512_DIGEST[].DIGEST always_comb begin - automatic logic [31:0] next_c = field_storage.SHA512_DIGEST[i0].DIGEST.value; - automatic logic load_next_c = '0; + automatic logic [31:0] next_c; + automatic logic load_next_c; + next_c = field_storage.SHA512_DIGEST[i0].DIGEST.value; + load_next_c = '0; if(hwif_in.SHA512_DIGEST[i0].DIGEST.hwclr) begin // HW Clear next_c = '0; load_next_c = '1; @@ -854,8 +868,10 @@ module sha512_reg ( end // Field: sha512_reg.SHA512_VAULT_RD_CTRL.read_en always_comb begin - automatic logic [0:0] next_c = field_storage.SHA512_VAULT_RD_CTRL.read_en.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.SHA512_VAULT_RD_CTRL.read_en.value; + load_next_c = '0; if(decoded_reg_strb.SHA512_VAULT_RD_CTRL && decoded_req_is_wr) begin // SW write next_c = (field_storage.SHA512_VAULT_RD_CTRL.read_en.value & ~decoded_wr_biten[0:0]) | (decoded_wr_data[0:0] & decoded_wr_biten[0:0]); load_next_c = '1; @@ -876,8 +892,10 @@ module sha512_reg ( assign hwif_out.SHA512_VAULT_RD_CTRL.read_en.value = field_storage.SHA512_VAULT_RD_CTRL.read_en.value; // Field: sha512_reg.SHA512_VAULT_RD_CTRL.read_entry always_comb begin - automatic logic [4:0] next_c = field_storage.SHA512_VAULT_RD_CTRL.read_entry.value; - automatic logic load_next_c = '0; + automatic logic [4:0] next_c; + automatic logic load_next_c; + next_c = field_storage.SHA512_VAULT_RD_CTRL.read_entry.value; + load_next_c = '0; if(decoded_reg_strb.SHA512_VAULT_RD_CTRL && decoded_req_is_wr) begin // SW write next_c = (field_storage.SHA512_VAULT_RD_CTRL.read_entry.value & ~decoded_wr_biten[5:1]) | (decoded_wr_data[5:1] & decoded_wr_biten[5:1]); load_next_c = '1; @@ -895,8 +913,10 @@ module sha512_reg ( assign hwif_out.SHA512_VAULT_RD_CTRL.read_entry.value = field_storage.SHA512_VAULT_RD_CTRL.read_entry.value; // Field: sha512_reg.SHA512_VAULT_RD_CTRL.pcr_hash_extend always_comb begin - automatic logic [0:0] next_c = field_storage.SHA512_VAULT_RD_CTRL.pcr_hash_extend.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.SHA512_VAULT_RD_CTRL.pcr_hash_extend.value; + load_next_c = '0; if(decoded_reg_strb.SHA512_VAULT_RD_CTRL && decoded_req_is_wr) begin // SW write next_c = (field_storage.SHA512_VAULT_RD_CTRL.pcr_hash_extend.value & ~decoded_wr_biten[6:6]) | (decoded_wr_data[6:6] & decoded_wr_biten[6:6]); load_next_c = '1; @@ -914,8 +934,10 @@ module sha512_reg ( assign hwif_out.SHA512_VAULT_RD_CTRL.pcr_hash_extend.value = field_storage.SHA512_VAULT_RD_CTRL.pcr_hash_extend.value; // Field: sha512_reg.SHA512_VAULT_RD_CTRL.rsvd always_comb begin - automatic logic [24:0] next_c = field_storage.SHA512_VAULT_RD_CTRL.rsvd.value; - automatic logic load_next_c = '0; + automatic logic [24:0] next_c; + automatic logic load_next_c; + next_c = field_storage.SHA512_VAULT_RD_CTRL.rsvd.value; + load_next_c = '0; if(decoded_reg_strb.SHA512_VAULT_RD_CTRL && decoded_req_is_wr) begin // SW write next_c = (field_storage.SHA512_VAULT_RD_CTRL.rsvd.value & ~decoded_wr_biten[31:7]) | (decoded_wr_data[31:7] & decoded_wr_biten[31:7]); load_next_c = '1; @@ -933,8 +955,10 @@ module sha512_reg ( assign hwif_out.SHA512_VAULT_RD_CTRL.rsvd.value = field_storage.SHA512_VAULT_RD_CTRL.rsvd.value; // Field: sha512_reg.SHA512_VAULT_RD_STATUS.VALID always_comb begin - automatic logic [0:0] next_c = field_storage.SHA512_VAULT_RD_STATUS.VALID.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.SHA512_VAULT_RD_STATUS.VALID.value; + load_next_c = '0; if(hwif_in.SHA512_VAULT_RD_STATUS.VALID.hwset) begin // HW Set next_c = '1; load_next_c = '1; @@ -954,8 +978,10 @@ module sha512_reg ( end // Field: sha512_reg.SHA512_KV_WR_CTRL.write_en always_comb begin - automatic logic [0:0] next_c = field_storage.SHA512_KV_WR_CTRL.write_en.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.SHA512_KV_WR_CTRL.write_en.value; + load_next_c = '0; if(decoded_reg_strb.SHA512_KV_WR_CTRL && decoded_req_is_wr) begin // SW write next_c = (field_storage.SHA512_KV_WR_CTRL.write_en.value & ~decoded_wr_biten[0:0]) | (decoded_wr_data[0:0] & decoded_wr_biten[0:0]); load_next_c = '1; @@ -976,8 +1002,10 @@ module sha512_reg ( assign hwif_out.SHA512_KV_WR_CTRL.write_en.value = field_storage.SHA512_KV_WR_CTRL.write_en.value; // Field: sha512_reg.SHA512_KV_WR_CTRL.write_entry always_comb begin - automatic logic [4:0] next_c = field_storage.SHA512_KV_WR_CTRL.write_entry.value; - automatic logic load_next_c = '0; + automatic logic [4:0] next_c; + automatic logic load_next_c; + next_c = field_storage.SHA512_KV_WR_CTRL.write_entry.value; + load_next_c = '0; if(decoded_reg_strb.SHA512_KV_WR_CTRL && decoded_req_is_wr) begin // SW write next_c = (field_storage.SHA512_KV_WR_CTRL.write_entry.value & ~decoded_wr_biten[5:1]) | (decoded_wr_data[5:1] & decoded_wr_biten[5:1]); load_next_c = '1; @@ -995,8 +1023,10 @@ module sha512_reg ( assign hwif_out.SHA512_KV_WR_CTRL.write_entry.value = field_storage.SHA512_KV_WR_CTRL.write_entry.value; // Field: sha512_reg.SHA512_KV_WR_CTRL.hmac_key_dest_valid always_comb begin - automatic logic [0:0] next_c = field_storage.SHA512_KV_WR_CTRL.hmac_key_dest_valid.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.SHA512_KV_WR_CTRL.hmac_key_dest_valid.value; + load_next_c = '0; if(decoded_reg_strb.SHA512_KV_WR_CTRL && decoded_req_is_wr) begin // SW write next_c = (field_storage.SHA512_KV_WR_CTRL.hmac_key_dest_valid.value & ~decoded_wr_biten[6:6]) | (decoded_wr_data[6:6] & decoded_wr_biten[6:6]); load_next_c = '1; @@ -1014,8 +1044,10 @@ module sha512_reg ( assign hwif_out.SHA512_KV_WR_CTRL.hmac_key_dest_valid.value = field_storage.SHA512_KV_WR_CTRL.hmac_key_dest_valid.value; // Field: sha512_reg.SHA512_KV_WR_CTRL.hmac_block_dest_valid always_comb begin - automatic logic [0:0] next_c = field_storage.SHA512_KV_WR_CTRL.hmac_block_dest_valid.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.SHA512_KV_WR_CTRL.hmac_block_dest_valid.value; + load_next_c = '0; if(decoded_reg_strb.SHA512_KV_WR_CTRL && decoded_req_is_wr) begin // SW write next_c = (field_storage.SHA512_KV_WR_CTRL.hmac_block_dest_valid.value & ~decoded_wr_biten[7:7]) | (decoded_wr_data[7:7] & decoded_wr_biten[7:7]); load_next_c = '1; @@ -1033,8 +1065,10 @@ module sha512_reg ( assign hwif_out.SHA512_KV_WR_CTRL.hmac_block_dest_valid.value = field_storage.SHA512_KV_WR_CTRL.hmac_block_dest_valid.value; // Field: sha512_reg.SHA512_KV_WR_CTRL.sha_block_dest_valid always_comb begin - automatic logic [0:0] next_c = field_storage.SHA512_KV_WR_CTRL.sha_block_dest_valid.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.SHA512_KV_WR_CTRL.sha_block_dest_valid.value; + load_next_c = '0; if(decoded_reg_strb.SHA512_KV_WR_CTRL && decoded_req_is_wr) begin // SW write next_c = (field_storage.SHA512_KV_WR_CTRL.sha_block_dest_valid.value & ~decoded_wr_biten[8:8]) | (decoded_wr_data[8:8] & decoded_wr_biten[8:8]); load_next_c = '1; @@ -1052,8 +1086,10 @@ module sha512_reg ( assign hwif_out.SHA512_KV_WR_CTRL.sha_block_dest_valid.value = field_storage.SHA512_KV_WR_CTRL.sha_block_dest_valid.value; // Field: sha512_reg.SHA512_KV_WR_CTRL.ecc_pkey_dest_valid always_comb begin - automatic logic [0:0] next_c = field_storage.SHA512_KV_WR_CTRL.ecc_pkey_dest_valid.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.SHA512_KV_WR_CTRL.ecc_pkey_dest_valid.value; + load_next_c = '0; if(decoded_reg_strb.SHA512_KV_WR_CTRL && decoded_req_is_wr) begin // SW write next_c = (field_storage.SHA512_KV_WR_CTRL.ecc_pkey_dest_valid.value & ~decoded_wr_biten[9:9]) | (decoded_wr_data[9:9] & decoded_wr_biten[9:9]); load_next_c = '1; @@ -1071,8 +1107,10 @@ module sha512_reg ( assign hwif_out.SHA512_KV_WR_CTRL.ecc_pkey_dest_valid.value = field_storage.SHA512_KV_WR_CTRL.ecc_pkey_dest_valid.value; // Field: sha512_reg.SHA512_KV_WR_CTRL.ecc_seed_dest_valid always_comb begin - automatic logic [0:0] next_c = field_storage.SHA512_KV_WR_CTRL.ecc_seed_dest_valid.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.SHA512_KV_WR_CTRL.ecc_seed_dest_valid.value; + load_next_c = '0; if(decoded_reg_strb.SHA512_KV_WR_CTRL && decoded_req_is_wr) begin // SW write next_c = (field_storage.SHA512_KV_WR_CTRL.ecc_seed_dest_valid.value & ~decoded_wr_biten[10:10]) | (decoded_wr_data[10:10] & decoded_wr_biten[10:10]); load_next_c = '1; @@ -1090,8 +1128,10 @@ module sha512_reg ( assign hwif_out.SHA512_KV_WR_CTRL.ecc_seed_dest_valid.value = field_storage.SHA512_KV_WR_CTRL.ecc_seed_dest_valid.value; // Field: sha512_reg.SHA512_KV_WR_CTRL.rsvd always_comb begin - automatic logic [20:0] next_c = field_storage.SHA512_KV_WR_CTRL.rsvd.value; - automatic logic load_next_c = '0; + automatic logic [20:0] next_c; + automatic logic load_next_c; + next_c = field_storage.SHA512_KV_WR_CTRL.rsvd.value; + load_next_c = '0; if(decoded_reg_strb.SHA512_KV_WR_CTRL && decoded_req_is_wr) begin // SW write next_c = (field_storage.SHA512_KV_WR_CTRL.rsvd.value & ~decoded_wr_biten[31:11]) | (decoded_wr_data[31:11] & decoded_wr_biten[31:11]); load_next_c = '1; @@ -1109,8 +1149,10 @@ module sha512_reg ( assign hwif_out.SHA512_KV_WR_CTRL.rsvd.value = field_storage.SHA512_KV_WR_CTRL.rsvd.value; // Field: sha512_reg.SHA512_KV_WR_STATUS.VALID always_comb begin - automatic logic [0:0] next_c = field_storage.SHA512_KV_WR_STATUS.VALID.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.SHA512_KV_WR_STATUS.VALID.value; + load_next_c = '0; if(hwif_in.SHA512_KV_WR_STATUS.VALID.hwset) begin // HW Set next_c = '1; load_next_c = '1; @@ -1131,8 +1173,10 @@ module sha512_reg ( for(genvar i0=0; i0<8; i0++) begin // Field: sha512_reg.SHA512_GEN_PCR_HASH_NONCE[].NONCE always_comb begin - automatic logic [31:0] next_c = field_storage.SHA512_GEN_PCR_HASH_NONCE[i0].NONCE.value; - automatic logic load_next_c = '0; + automatic logic [31:0] next_c; + automatic logic load_next_c; + next_c = field_storage.SHA512_GEN_PCR_HASH_NONCE[i0].NONCE.value; + load_next_c = '0; if(decoded_reg_strb.SHA512_GEN_PCR_HASH_NONCE[i0] && decoded_req_is_wr) begin // SW write next_c = (field_storage.SHA512_GEN_PCR_HASH_NONCE[i0].NONCE.value & ~decoded_wr_biten[31:0]) | (decoded_wr_data[31:0] & decoded_wr_biten[31:0]); load_next_c = '1; @@ -1151,8 +1195,10 @@ module sha512_reg ( end // Field: sha512_reg.SHA512_GEN_PCR_HASH_CTRL.START always_comb begin - automatic logic [0:0] next_c = field_storage.SHA512_GEN_PCR_HASH_CTRL.START.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.SHA512_GEN_PCR_HASH_CTRL.START.value; + load_next_c = '0; if(decoded_reg_strb.SHA512_GEN_PCR_HASH_CTRL && decoded_req_is_wr) begin // SW write next_c = (field_storage.SHA512_GEN_PCR_HASH_CTRL.START.value & ~decoded_wr_biten[0:0]) | (decoded_wr_data[0:0] & decoded_wr_biten[0:0]); load_next_c = '1; @@ -1173,8 +1219,10 @@ module sha512_reg ( assign hwif_out.SHA512_GEN_PCR_HASH_CTRL.START.value = field_storage.SHA512_GEN_PCR_HASH_CTRL.START.value; // Field: sha512_reg.SHA512_GEN_PCR_HASH_STATUS.VALID always_comb begin - automatic logic [0:0] next_c = field_storage.SHA512_GEN_PCR_HASH_STATUS.VALID.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.SHA512_GEN_PCR_HASH_STATUS.VALID.value; + load_next_c = '0; if(hwif_in.SHA512_GEN_PCR_HASH_STATUS.VALID.hwset) begin // HW Set next_c = '1; load_next_c = '1; @@ -1195,8 +1243,10 @@ module sha512_reg ( for(genvar i0=0; i0<12; i0++) begin // Field: sha512_reg.SHA512_GEN_PCR_HASH_DIGEST[].DIGEST always_comb begin - automatic logic [31:0] next_c = field_storage.SHA512_GEN_PCR_HASH_DIGEST[i0].DIGEST.value; - automatic logic load_next_c = '0; + automatic logic [31:0] next_c; + automatic logic load_next_c; + next_c = field_storage.SHA512_GEN_PCR_HASH_DIGEST[i0].DIGEST.value; + load_next_c = '0; if(hwif_in.SHA512_GEN_PCR_HASH_DIGEST[i0].DIGEST.hwclr) begin // HW Clear next_c = '0; load_next_c = '1; @@ -1217,8 +1267,10 @@ module sha512_reg ( end // Field: sha512_reg.intr_block_rf.global_intr_en_r.error_en always_comb begin - automatic logic [0:0] next_c = field_storage.intr_block_rf.global_intr_en_r.error_en.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.intr_block_rf.global_intr_en_r.error_en.value; + load_next_c = '0; if(decoded_reg_strb.intr_block_rf.global_intr_en_r && decoded_req_is_wr) begin // SW write next_c = (field_storage.intr_block_rf.global_intr_en_r.error_en.value & ~decoded_wr_biten[0:0]) | (decoded_wr_data[0:0] & decoded_wr_biten[0:0]); load_next_c = '1; @@ -1235,8 +1287,10 @@ module sha512_reg ( end // Field: sha512_reg.intr_block_rf.global_intr_en_r.notif_en always_comb begin - automatic logic [0:0] next_c = field_storage.intr_block_rf.global_intr_en_r.notif_en.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.intr_block_rf.global_intr_en_r.notif_en.value; + load_next_c = '0; if(decoded_reg_strb.intr_block_rf.global_intr_en_r && decoded_req_is_wr) begin // SW write next_c = (field_storage.intr_block_rf.global_intr_en_r.notif_en.value & ~decoded_wr_biten[1:1]) | (decoded_wr_data[1:1] & decoded_wr_biten[1:1]); load_next_c = '1; @@ -1253,8 +1307,10 @@ module sha512_reg ( end // Field: sha512_reg.intr_block_rf.error_intr_en_r.error0_en always_comb begin - automatic logic [0:0] next_c = field_storage.intr_block_rf.error_intr_en_r.error0_en.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.intr_block_rf.error_intr_en_r.error0_en.value; + load_next_c = '0; if(decoded_reg_strb.intr_block_rf.error_intr_en_r && decoded_req_is_wr) begin // SW write next_c = (field_storage.intr_block_rf.error_intr_en_r.error0_en.value & ~decoded_wr_biten[0:0]) | (decoded_wr_data[0:0] & decoded_wr_biten[0:0]); load_next_c = '1; @@ -1271,8 +1327,10 @@ module sha512_reg ( end // Field: sha512_reg.intr_block_rf.error_intr_en_r.error1_en always_comb begin - automatic logic [0:0] next_c = field_storage.intr_block_rf.error_intr_en_r.error1_en.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.intr_block_rf.error_intr_en_r.error1_en.value; + load_next_c = '0; if(decoded_reg_strb.intr_block_rf.error_intr_en_r && decoded_req_is_wr) begin // SW write next_c = (field_storage.intr_block_rf.error_intr_en_r.error1_en.value & ~decoded_wr_biten[1:1]) | (decoded_wr_data[1:1] & decoded_wr_biten[1:1]); load_next_c = '1; @@ -1289,8 +1347,10 @@ module sha512_reg ( end // Field: sha512_reg.intr_block_rf.error_intr_en_r.error2_en always_comb begin - automatic logic [0:0] next_c = field_storage.intr_block_rf.error_intr_en_r.error2_en.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.intr_block_rf.error_intr_en_r.error2_en.value; + load_next_c = '0; if(decoded_reg_strb.intr_block_rf.error_intr_en_r && decoded_req_is_wr) begin // SW write next_c = (field_storage.intr_block_rf.error_intr_en_r.error2_en.value & ~decoded_wr_biten[2:2]) | (decoded_wr_data[2:2] & decoded_wr_biten[2:2]); load_next_c = '1; @@ -1307,8 +1367,10 @@ module sha512_reg ( end // Field: sha512_reg.intr_block_rf.error_intr_en_r.error3_en always_comb begin - automatic logic [0:0] next_c = field_storage.intr_block_rf.error_intr_en_r.error3_en.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.intr_block_rf.error_intr_en_r.error3_en.value; + load_next_c = '0; if(decoded_reg_strb.intr_block_rf.error_intr_en_r && decoded_req_is_wr) begin // SW write next_c = (field_storage.intr_block_rf.error_intr_en_r.error3_en.value & ~decoded_wr_biten[3:3]) | (decoded_wr_data[3:3] & decoded_wr_biten[3:3]); load_next_c = '1; @@ -1325,8 +1387,10 @@ module sha512_reg ( end // Field: sha512_reg.intr_block_rf.notif_intr_en_r.notif_cmd_done_en always_comb begin - automatic logic [0:0] next_c = field_storage.intr_block_rf.notif_intr_en_r.notif_cmd_done_en.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.intr_block_rf.notif_intr_en_r.notif_cmd_done_en.value; + load_next_c = '0; if(decoded_reg_strb.intr_block_rf.notif_intr_en_r && decoded_req_is_wr) begin // SW write next_c = (field_storage.intr_block_rf.notif_intr_en_r.notif_cmd_done_en.value & ~decoded_wr_biten[0:0]) | (decoded_wr_data[0:0] & decoded_wr_biten[0:0]); load_next_c = '1; @@ -1343,8 +1407,10 @@ module sha512_reg ( end // Field: sha512_reg.intr_block_rf.error_global_intr_r.agg_sts always_comb begin - automatic logic [0:0] next_c = field_storage.intr_block_rf.error_global_intr_r.agg_sts.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.intr_block_rf.error_global_intr_r.agg_sts.value; + load_next_c = '0; // HW Write next_c = hwif_out.intr_block_rf.error_internal_intr_r.intr; @@ -1363,8 +1429,10 @@ module sha512_reg ( |(field_storage.intr_block_rf.error_global_intr_r.agg_sts.value & field_storage.intr_block_rf.global_intr_en_r.error_en.value); // Field: sha512_reg.intr_block_rf.notif_global_intr_r.agg_sts always_comb begin - automatic logic [0:0] next_c = field_storage.intr_block_rf.notif_global_intr_r.agg_sts.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.intr_block_rf.notif_global_intr_r.agg_sts.value; + load_next_c = '0; // HW Write next_c = hwif_out.intr_block_rf.notif_internal_intr_r.intr; @@ -1383,8 +1451,10 @@ module sha512_reg ( |(field_storage.intr_block_rf.notif_global_intr_r.agg_sts.value & field_storage.intr_block_rf.global_intr_en_r.notif_en.value); // Field: sha512_reg.intr_block_rf.error_internal_intr_r.error0_sts always_comb begin - automatic logic [0:0] next_c = field_storage.intr_block_rf.error_internal_intr_r.error0_sts.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.intr_block_rf.error_internal_intr_r.error0_sts.value; + load_next_c = '0; if(field_storage.intr_block_rf.error_intr_trig_r.error0_trig.value != '0) begin // stickybit next_c = field_storage.intr_block_rf.error_internal_intr_r.error0_sts.value | field_storage.intr_block_rf.error_intr_trig_r.error0_trig.value; load_next_c = '1; @@ -1407,8 +1477,10 @@ module sha512_reg ( end // Field: sha512_reg.intr_block_rf.error_internal_intr_r.error1_sts always_comb begin - automatic logic [0:0] next_c = field_storage.intr_block_rf.error_internal_intr_r.error1_sts.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.intr_block_rf.error_internal_intr_r.error1_sts.value; + load_next_c = '0; if(field_storage.intr_block_rf.error_intr_trig_r.error1_trig.value != '0) begin // stickybit next_c = field_storage.intr_block_rf.error_internal_intr_r.error1_sts.value | field_storage.intr_block_rf.error_intr_trig_r.error1_trig.value; load_next_c = '1; @@ -1431,8 +1503,10 @@ module sha512_reg ( end // Field: sha512_reg.intr_block_rf.error_internal_intr_r.error2_sts always_comb begin - automatic logic [0:0] next_c = field_storage.intr_block_rf.error_internal_intr_r.error2_sts.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.intr_block_rf.error_internal_intr_r.error2_sts.value; + load_next_c = '0; if(field_storage.intr_block_rf.error_intr_trig_r.error2_trig.value != '0) begin // stickybit next_c = field_storage.intr_block_rf.error_internal_intr_r.error2_sts.value | field_storage.intr_block_rf.error_intr_trig_r.error2_trig.value; load_next_c = '1; @@ -1455,8 +1529,10 @@ module sha512_reg ( end // Field: sha512_reg.intr_block_rf.error_internal_intr_r.error3_sts always_comb begin - automatic logic [0:0] next_c = field_storage.intr_block_rf.error_internal_intr_r.error3_sts.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.intr_block_rf.error_internal_intr_r.error3_sts.value; + load_next_c = '0; if(field_storage.intr_block_rf.error_intr_trig_r.error3_trig.value != '0) begin // stickybit next_c = field_storage.intr_block_rf.error_internal_intr_r.error3_sts.value | field_storage.intr_block_rf.error_intr_trig_r.error3_trig.value; load_next_c = '1; @@ -1484,8 +1560,10 @@ module sha512_reg ( || |(field_storage.intr_block_rf.error_internal_intr_r.error3_sts.value & field_storage.intr_block_rf.error_intr_en_r.error3_en.value); // Field: sha512_reg.intr_block_rf.notif_internal_intr_r.notif_cmd_done_sts always_comb begin - automatic logic [0:0] next_c = field_storage.intr_block_rf.notif_internal_intr_r.notif_cmd_done_sts.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.intr_block_rf.notif_internal_intr_r.notif_cmd_done_sts.value; + load_next_c = '0; if(field_storage.intr_block_rf.notif_intr_trig_r.notif_cmd_done_trig.value != '0) begin // stickybit next_c = field_storage.intr_block_rf.notif_internal_intr_r.notif_cmd_done_sts.value | field_storage.intr_block_rf.notif_intr_trig_r.notif_cmd_done_trig.value; load_next_c = '1; @@ -1510,8 +1588,10 @@ module sha512_reg ( |(field_storage.intr_block_rf.notif_internal_intr_r.notif_cmd_done_sts.value & field_storage.intr_block_rf.notif_intr_en_r.notif_cmd_done_en.value); // Field: sha512_reg.intr_block_rf.error_intr_trig_r.error0_trig always_comb begin - automatic logic [0:0] next_c = field_storage.intr_block_rf.error_intr_trig_r.error0_trig.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.intr_block_rf.error_intr_trig_r.error0_trig.value; + load_next_c = '0; if(decoded_reg_strb.intr_block_rf.error_intr_trig_r && decoded_req_is_wr) begin // SW write 1 set next_c = field_storage.intr_block_rf.error_intr_trig_r.error0_trig.value | (decoded_wr_data[0:0] & decoded_wr_biten[0:0]); load_next_c = '1; @@ -1531,8 +1611,10 @@ module sha512_reg ( end // Field: sha512_reg.intr_block_rf.error_intr_trig_r.error1_trig always_comb begin - automatic logic [0:0] next_c = field_storage.intr_block_rf.error_intr_trig_r.error1_trig.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.intr_block_rf.error_intr_trig_r.error1_trig.value; + load_next_c = '0; if(decoded_reg_strb.intr_block_rf.error_intr_trig_r && decoded_req_is_wr) begin // SW write 1 set next_c = field_storage.intr_block_rf.error_intr_trig_r.error1_trig.value | (decoded_wr_data[1:1] & decoded_wr_biten[1:1]); load_next_c = '1; @@ -1552,8 +1634,10 @@ module sha512_reg ( end // Field: sha512_reg.intr_block_rf.error_intr_trig_r.error2_trig always_comb begin - automatic logic [0:0] next_c = field_storage.intr_block_rf.error_intr_trig_r.error2_trig.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.intr_block_rf.error_intr_trig_r.error2_trig.value; + load_next_c = '0; if(decoded_reg_strb.intr_block_rf.error_intr_trig_r && decoded_req_is_wr) begin // SW write 1 set next_c = field_storage.intr_block_rf.error_intr_trig_r.error2_trig.value | (decoded_wr_data[2:2] & decoded_wr_biten[2:2]); load_next_c = '1; @@ -1573,8 +1657,10 @@ module sha512_reg ( end // Field: sha512_reg.intr_block_rf.error_intr_trig_r.error3_trig always_comb begin - automatic logic [0:0] next_c = field_storage.intr_block_rf.error_intr_trig_r.error3_trig.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.intr_block_rf.error_intr_trig_r.error3_trig.value; + load_next_c = '0; if(decoded_reg_strb.intr_block_rf.error_intr_trig_r && decoded_req_is_wr) begin // SW write 1 set next_c = field_storage.intr_block_rf.error_intr_trig_r.error3_trig.value | (decoded_wr_data[3:3] & decoded_wr_biten[3:3]); load_next_c = '1; @@ -1594,8 +1680,10 @@ module sha512_reg ( end // Field: sha512_reg.intr_block_rf.notif_intr_trig_r.notif_cmd_done_trig always_comb begin - automatic logic [0:0] next_c = field_storage.intr_block_rf.notif_intr_trig_r.notif_cmd_done_trig.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.intr_block_rf.notif_intr_trig_r.notif_cmd_done_trig.value; + load_next_c = '0; if(decoded_reg_strb.intr_block_rf.notif_intr_trig_r && decoded_req_is_wr) begin // SW write 1 set next_c = field_storage.intr_block_rf.notif_intr_trig_r.notif_cmd_done_trig.value | (decoded_wr_data[0:0] & decoded_wr_biten[0:0]); load_next_c = '1; @@ -1615,8 +1703,10 @@ module sha512_reg ( end // Field: sha512_reg.intr_block_rf.error0_intr_count_r.cnt always_comb begin - automatic logic [31:0] next_c = field_storage.intr_block_rf.error0_intr_count_r.cnt.value; - automatic logic load_next_c = '0; + automatic logic [31:0] next_c; + automatic logic load_next_c; + next_c = field_storage.intr_block_rf.error0_intr_count_r.cnt.value; + load_next_c = '0; if(decoded_reg_strb.intr_block_rf.error0_intr_count_r && decoded_req_is_wr) begin // SW write next_c = (field_storage.intr_block_rf.error0_intr_count_r.cnt.value & ~decoded_wr_biten[31:0]) | (decoded_wr_data[31:0] & decoded_wr_biten[31:0]); load_next_c = '1; @@ -1647,8 +1737,10 @@ module sha512_reg ( end // Field: sha512_reg.intr_block_rf.error1_intr_count_r.cnt always_comb begin - automatic logic [31:0] next_c = field_storage.intr_block_rf.error1_intr_count_r.cnt.value; - automatic logic load_next_c = '0; + automatic logic [31:0] next_c; + automatic logic load_next_c; + next_c = field_storage.intr_block_rf.error1_intr_count_r.cnt.value; + load_next_c = '0; if(decoded_reg_strb.intr_block_rf.error1_intr_count_r && decoded_req_is_wr) begin // SW write next_c = (field_storage.intr_block_rf.error1_intr_count_r.cnt.value & ~decoded_wr_biten[31:0]) | (decoded_wr_data[31:0] & decoded_wr_biten[31:0]); load_next_c = '1; @@ -1679,8 +1771,10 @@ module sha512_reg ( end // Field: sha512_reg.intr_block_rf.error2_intr_count_r.cnt always_comb begin - automatic logic [31:0] next_c = field_storage.intr_block_rf.error2_intr_count_r.cnt.value; - automatic logic load_next_c = '0; + automatic logic [31:0] next_c; + automatic logic load_next_c; + next_c = field_storage.intr_block_rf.error2_intr_count_r.cnt.value; + load_next_c = '0; if(decoded_reg_strb.intr_block_rf.error2_intr_count_r && decoded_req_is_wr) begin // SW write next_c = (field_storage.intr_block_rf.error2_intr_count_r.cnt.value & ~decoded_wr_biten[31:0]) | (decoded_wr_data[31:0] & decoded_wr_biten[31:0]); load_next_c = '1; @@ -1711,8 +1805,10 @@ module sha512_reg ( end // Field: sha512_reg.intr_block_rf.error3_intr_count_r.cnt always_comb begin - automatic logic [31:0] next_c = field_storage.intr_block_rf.error3_intr_count_r.cnt.value; - automatic logic load_next_c = '0; + automatic logic [31:0] next_c; + automatic logic load_next_c; + next_c = field_storage.intr_block_rf.error3_intr_count_r.cnt.value; + load_next_c = '0; if(decoded_reg_strb.intr_block_rf.error3_intr_count_r && decoded_req_is_wr) begin // SW write next_c = (field_storage.intr_block_rf.error3_intr_count_r.cnt.value & ~decoded_wr_biten[31:0]) | (decoded_wr_data[31:0] & decoded_wr_biten[31:0]); load_next_c = '1; @@ -1743,8 +1839,10 @@ module sha512_reg ( end // Field: sha512_reg.intr_block_rf.notif_cmd_done_intr_count_r.cnt always_comb begin - automatic logic [31:0] next_c = field_storage.intr_block_rf.notif_cmd_done_intr_count_r.cnt.value; - automatic logic load_next_c = '0; + automatic logic [31:0] next_c; + automatic logic load_next_c; + next_c = field_storage.intr_block_rf.notif_cmd_done_intr_count_r.cnt.value; + load_next_c = '0; if(decoded_reg_strb.intr_block_rf.notif_cmd_done_intr_count_r && decoded_req_is_wr) begin // SW write next_c = (field_storage.intr_block_rf.notif_cmd_done_intr_count_r.cnt.value & ~decoded_wr_biten[31:0]) | (decoded_wr_data[31:0] & decoded_wr_biten[31:0]); load_next_c = '1; @@ -1775,8 +1873,10 @@ module sha512_reg ( end // Field: sha512_reg.intr_block_rf.error0_intr_count_incr_r.pulse always_comb begin - automatic logic [0:0] next_c = field_storage.intr_block_rf.error0_intr_count_incr_r.pulse.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.intr_block_rf.error0_intr_count_incr_r.pulse.value; + load_next_c = '0; if(field_storage.intr_block_rf.error_intr_trig_r.error0_trig.value) begin // HW Write - we next_c = field_storage.intr_block_rf.error_intr_trig_r.error0_trig.value; load_next_c = '1; @@ -1804,8 +1904,10 @@ module sha512_reg ( end // Field: sha512_reg.intr_block_rf.error1_intr_count_incr_r.pulse always_comb begin - automatic logic [0:0] next_c = field_storage.intr_block_rf.error1_intr_count_incr_r.pulse.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.intr_block_rf.error1_intr_count_incr_r.pulse.value; + load_next_c = '0; if(field_storage.intr_block_rf.error_intr_trig_r.error1_trig.value) begin // HW Write - we next_c = field_storage.intr_block_rf.error_intr_trig_r.error1_trig.value; load_next_c = '1; @@ -1833,8 +1935,10 @@ module sha512_reg ( end // Field: sha512_reg.intr_block_rf.error2_intr_count_incr_r.pulse always_comb begin - automatic logic [0:0] next_c = field_storage.intr_block_rf.error2_intr_count_incr_r.pulse.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.intr_block_rf.error2_intr_count_incr_r.pulse.value; + load_next_c = '0; if(field_storage.intr_block_rf.error_intr_trig_r.error2_trig.value) begin // HW Write - we next_c = field_storage.intr_block_rf.error_intr_trig_r.error2_trig.value; load_next_c = '1; @@ -1862,8 +1966,10 @@ module sha512_reg ( end // Field: sha512_reg.intr_block_rf.error3_intr_count_incr_r.pulse always_comb begin - automatic logic [0:0] next_c = field_storage.intr_block_rf.error3_intr_count_incr_r.pulse.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.intr_block_rf.error3_intr_count_incr_r.pulse.value; + load_next_c = '0; if(field_storage.intr_block_rf.error_intr_trig_r.error3_trig.value) begin // HW Write - we next_c = field_storage.intr_block_rf.error_intr_trig_r.error3_trig.value; load_next_c = '1; @@ -1891,8 +1997,10 @@ module sha512_reg ( end // Field: sha512_reg.intr_block_rf.notif_cmd_done_intr_count_incr_r.pulse always_comb begin - automatic logic [0:0] next_c = field_storage.intr_block_rf.notif_cmd_done_intr_count_incr_r.pulse.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.intr_block_rf.notif_cmd_done_intr_count_incr_r.pulse.value; + load_next_c = '0; if(field_storage.intr_block_rf.notif_intr_trig_r.notif_cmd_done_trig.value) begin // HW Write - we next_c = field_storage.intr_block_rf.notif_intr_trig_r.notif_cmd_done_trig.value; load_next_c = '1; @@ -1933,7 +2041,7 @@ module sha512_reg ( logic readback_err; logic readback_done; logic [31:0] readback_data; - + // Assign readback values to a flattened array logic [57-1:0][31:0] readback_array; for(genvar i0=0; i0<2; i0++) begin @@ -2034,4 +2142,4 @@ module sha512_reg ( `CALIPTRA_ASSERT_KNOWN(ERR_HWIF_IN, hwif_in, clk, !hwif_in.error_reset_b) -endmodule \ No newline at end of file +endmodule diff --git a/src/soc_ifc/rtl/mbox_csr.sv b/src/soc_ifc/rtl/mbox_csr.sv index 329cb0008..c58f79ea2 100644 --- a/src/soc_ifc/rtl/mbox_csr.sv +++ b/src/soc_ifc/rtl/mbox_csr.sv @@ -247,8 +247,10 @@ module mbox_csr ( // Field: mbox_csr.mbox_lock.lock always_comb begin - automatic logic [0:0] next_c = field_storage.mbox_lock.lock.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.mbox_lock.lock.value; + load_next_c = '0; if(hwif_in.mbox_lock.lock.hwclr) begin // HW Clear next_c = '0; load_next_c = '1; @@ -270,8 +272,10 @@ module mbox_csr ( assign hwif_out.mbox_lock.lock.swmod = decoded_reg_strb.mbox_lock && !decoded_req_is_wr; // Field: mbox_csr.mbox_user.user always_comb begin - automatic logic [31:0] next_c = field_storage.mbox_user.user.value; - automatic logic load_next_c = '0; + automatic logic [31:0] next_c; + automatic logic load_next_c; + next_c = field_storage.mbox_user.user.value; + load_next_c = '0; if(hwif_in.lock_set) begin // HW Write - we next_c = hwif_in.mbox_user.user.next; load_next_c = '1; @@ -289,8 +293,10 @@ module mbox_csr ( assign hwif_out.mbox_user.user.value = field_storage.mbox_user.user.value; // Field: mbox_csr.mbox_cmd.command always_comb begin - automatic logic [31:0] next_c = field_storage.mbox_cmd.command.value; - automatic logic load_next_c = '0; + automatic logic [31:0] next_c; + automatic logic load_next_c; + next_c = field_storage.mbox_cmd.command.value; + load_next_c = '0; if(decoded_reg_strb.mbox_cmd && decoded_req_is_wr && hwif_in.valid_requester) begin // SW write next_c = (field_storage.mbox_cmd.command.value & ~decoded_wr_biten[31:0]) | (decoded_wr_data[31:0] & decoded_wr_biten[31:0]); load_next_c = '1; @@ -308,8 +314,10 @@ module mbox_csr ( assign hwif_out.mbox_cmd.command.swmod = decoded_reg_strb.mbox_cmd && decoded_req_is_wr; // Field: mbox_csr.mbox_dlen.length always_comb begin - automatic logic [31:0] next_c = field_storage.mbox_dlen.length.value; - automatic logic load_next_c = '0; + automatic logic [31:0] next_c; + automatic logic load_next_c; + next_c = field_storage.mbox_dlen.length.value; + load_next_c = '0; if(decoded_reg_strb.mbox_dlen && decoded_req_is_wr && hwif_in.valid_requester) begin // SW write next_c = (field_storage.mbox_dlen.length.value & ~decoded_wr_biten[31:0]) | (decoded_wr_data[31:0] & decoded_wr_biten[31:0]); load_next_c = '1; @@ -328,8 +336,10 @@ module mbox_csr ( assign hwif_out.mbox_dlen.length.swmod = decoded_reg_strb.mbox_dlen && decoded_req_is_wr; // Field: mbox_csr.mbox_datain.datain always_comb begin - automatic logic [31:0] next_c = field_storage.mbox_datain.datain.value; - automatic logic load_next_c = '0; + automatic logic [31:0] next_c; + automatic logic load_next_c; + next_c = field_storage.mbox_datain.datain.value; + load_next_c = '0; if(decoded_reg_strb.mbox_datain && decoded_req_is_wr && hwif_in.valid_requester) begin // SW write next_c = (field_storage.mbox_datain.datain.value & ~decoded_wr_biten[31:0]) | (decoded_wr_data[31:0] & decoded_wr_biten[31:0]); load_next_c = '1; @@ -347,8 +357,10 @@ module mbox_csr ( assign hwif_out.mbox_datain.datain.swmod = decoded_reg_strb.mbox_datain && decoded_req_is_wr; // Field: mbox_csr.mbox_dataout.dataout always_comb begin - automatic logic [31:0] next_c = field_storage.mbox_dataout.dataout.value; - automatic logic load_next_c = '0; + automatic logic [31:0] next_c; + automatic logic load_next_c; + next_c = field_storage.mbox_dataout.dataout.value; + load_next_c = '0; if(decoded_reg_strb.mbox_dataout && decoded_req_is_wr && hwif_in.mbox_dataout.dataout.swwe) begin // SW write next_c = (field_storage.mbox_dataout.dataout.value & ~decoded_wr_biten[31:0]) | (decoded_wr_data[31:0] & decoded_wr_biten[31:0]); load_next_c = '1; @@ -370,8 +382,10 @@ module mbox_csr ( assign hwif_out.mbox_dataout.dataout.swacc = decoded_reg_strb.mbox_dataout; // Field: mbox_csr.mbox_execute.execute always_comb begin - automatic logic [0:0] next_c = field_storage.mbox_execute.execute.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.mbox_execute.execute.value; + load_next_c = '0; if(hwif_in.mbox_execute.execute.hwclr) begin // HW Clear next_c = '0; load_next_c = '1; @@ -393,8 +407,10 @@ module mbox_csr ( assign hwif_out.mbox_execute.execute.swmod = decoded_reg_strb.mbox_execute && decoded_req_is_wr; // Field: mbox_csr.mbox_status.status always_comb begin - automatic logic [3:0] next_c = field_storage.mbox_status.status.value; - automatic logic load_next_c = '0; + automatic logic [3:0] next_c; + automatic logic load_next_c; + next_c = field_storage.mbox_status.status.value; + load_next_c = '0; if(hwif_in.mbox_status.status.hwclr) begin // HW Clear next_c = '0; load_next_c = '1; @@ -416,8 +432,10 @@ module mbox_csr ( assign hwif_out.mbox_status.status.swmod = decoded_reg_strb.mbox_status && decoded_req_is_wr; // Field: mbox_csr.mbox_status.ecc_single_error always_comb begin - automatic logic [0:0] next_c = field_storage.mbox_status.ecc_single_error.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.mbox_status.ecc_single_error.value; + load_next_c = '0; if(!field_storage.mbox_execute.execute.value) begin // HW Write - wel next_c = field_storage.mbox_execute.execute.value; load_next_c = '1; @@ -438,8 +456,10 @@ module mbox_csr ( assign hwif_out.mbox_status.ecc_single_error.value = field_storage.mbox_status.ecc_single_error.value; // Field: mbox_csr.mbox_status.ecc_double_error always_comb begin - automatic logic [0:0] next_c = field_storage.mbox_status.ecc_double_error.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.mbox_status.ecc_double_error.value; + load_next_c = '0; if(!field_storage.mbox_execute.execute.value) begin // HW Write - wel next_c = field_storage.mbox_execute.execute.value; load_next_c = '1; @@ -460,8 +480,10 @@ module mbox_csr ( assign hwif_out.mbox_status.ecc_double_error.value = field_storage.mbox_status.ecc_double_error.value; // Field: mbox_csr.mbox_status.mbox_fsm_ps always_comb begin - automatic logic [2:0] next_c = field_storage.mbox_status.mbox_fsm_ps.value; - automatic logic load_next_c = '0; + automatic logic [2:0] next_c; + automatic logic load_next_c; + next_c = field_storage.mbox_status.mbox_fsm_ps.value; + load_next_c = '0; // HW Write next_c = hwif_in.mbox_status.mbox_fsm_ps.next; @@ -479,8 +501,10 @@ module mbox_csr ( assign hwif_out.mbox_status.mbox_fsm_ps.value = field_storage.mbox_status.mbox_fsm_ps.value; // Field: mbox_csr.mbox_status.soc_has_lock always_comb begin - automatic logic [0:0] next_c = field_storage.mbox_status.soc_has_lock.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.mbox_status.soc_has_lock.value; + load_next_c = '0; // HW Write next_c = hwif_in.mbox_status.soc_has_lock.next; @@ -498,8 +522,10 @@ module mbox_csr ( assign hwif_out.mbox_status.soc_has_lock.value = field_storage.mbox_status.soc_has_lock.value; // Field: mbox_csr.mbox_status.mbox_rdptr always_comb begin - automatic logic [14:0] next_c = field_storage.mbox_status.mbox_rdptr.value; - automatic logic load_next_c = '0; + automatic logic [14:0] next_c; + automatic logic load_next_c; + next_c = field_storage.mbox_status.mbox_rdptr.value; + load_next_c = '0; // HW Write next_c = hwif_in.mbox_status.mbox_rdptr.next; @@ -517,8 +543,10 @@ module mbox_csr ( assign hwif_out.mbox_status.mbox_rdptr.value = field_storage.mbox_status.mbox_rdptr.value; // Field: mbox_csr.mbox_unlock.unlock always_comb begin - automatic logic [0:0] next_c = field_storage.mbox_unlock.unlock.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.mbox_unlock.unlock.value; + load_next_c = '0; if(decoded_reg_strb.mbox_unlock && decoded_req_is_wr && !(hwif_in.soc_req)) begin // SW write next_c = (field_storage.mbox_unlock.unlock.value & ~decoded_wr_biten[0:0]) | (decoded_wr_data[0:0] & decoded_wr_biten[0:0]); load_next_c = '1; @@ -552,7 +580,7 @@ module mbox_csr ( logic readback_err; logic readback_done; logic [31:0] readback_data; - + // Assign readback values to a flattened array logic [9-1:0][31:0] readback_array; assign readback_array[0][0:0] = (decoded_reg_strb.mbox_lock && !decoded_req_is_wr) ? field_storage.mbox_lock.lock.value : '0; @@ -590,4 +618,4 @@ module mbox_csr ( `CALIPTRA_ASSERT_KNOWN(ERR_HWIF_IN, hwif_in, clk, !hwif_in.cptra_rst_b) -endmodule \ No newline at end of file +endmodule diff --git a/src/soc_ifc/rtl/sha512_acc_csr.sv b/src/soc_ifc/rtl/sha512_acc_csr.sv index 196c2f538..ba52bf8ec 100644 --- a/src/soc_ifc/rtl/sha512_acc_csr.sv +++ b/src/soc_ifc/rtl/sha512_acc_csr.sv @@ -584,8 +584,10 @@ module sha512_acc_csr ( // Field: sha512_acc_csr.LOCK.LOCK always_comb begin - automatic logic [0:0] next_c = field_storage.LOCK.LOCK.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.LOCK.LOCK.value; + load_next_c = '0; if(decoded_reg_strb.LOCK && !decoded_req_is_wr) begin // SW set on read next_c = '1; load_next_c = '1; @@ -607,8 +609,10 @@ module sha512_acc_csr ( assign hwif_out.LOCK.LOCK.swmod = decoded_reg_strb.LOCK; // Field: sha512_acc_csr.USER.USER always_comb begin - automatic logic [31:0] next_c = field_storage.USER.USER.value; - automatic logic load_next_c = '0; + automatic logic [31:0] next_c; + automatic logic load_next_c; + next_c = field_storage.USER.USER.value; + load_next_c = '0; if(hwif_in.lock_set) begin // HW Write - we next_c = hwif_in.USER.USER.next; load_next_c = '1; @@ -626,8 +630,10 @@ module sha512_acc_csr ( assign hwif_out.USER.USER.value = field_storage.USER.USER.value; // Field: sha512_acc_csr.MODE.MODE always_comb begin - automatic logic [1:0] next_c = field_storage.MODE.MODE.value; - automatic logic load_next_c = '0; + automatic logic [1:0] next_c; + automatic logic load_next_c; + next_c = field_storage.MODE.MODE.value; + load_next_c = '0; if(decoded_reg_strb.MODE && decoded_req_is_wr && hwif_in.valid_user) begin // SW write next_c = (field_storage.MODE.MODE.value & ~decoded_wr_biten[1:0]) | (decoded_wr_data[1:0] & decoded_wr_biten[1:0]); load_next_c = '1; @@ -646,8 +652,10 @@ module sha512_acc_csr ( assign hwif_out.MODE.MODE.swmod = decoded_reg_strb.MODE && decoded_req_is_wr; // Field: sha512_acc_csr.MODE.ENDIAN_TOGGLE always_comb begin - automatic logic [0:0] next_c = field_storage.MODE.ENDIAN_TOGGLE.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.MODE.ENDIAN_TOGGLE.value; + load_next_c = '0; if(decoded_reg_strb.MODE && decoded_req_is_wr && hwif_in.valid_user) begin // SW write next_c = (field_storage.MODE.ENDIAN_TOGGLE.value & ~decoded_wr_biten[2:2]) | (decoded_wr_data[2:2] & decoded_wr_biten[2:2]); load_next_c = '1; @@ -665,8 +673,10 @@ module sha512_acc_csr ( assign hwif_out.MODE.ENDIAN_TOGGLE.value = field_storage.MODE.ENDIAN_TOGGLE.value; // Field: sha512_acc_csr.START_ADDRESS.ADDR always_comb begin - automatic logic [31:0] next_c = field_storage.START_ADDRESS.ADDR.value; - automatic logic load_next_c = '0; + automatic logic [31:0] next_c; + automatic logic load_next_c; + next_c = field_storage.START_ADDRESS.ADDR.value; + load_next_c = '0; if(decoded_reg_strb.START_ADDRESS && decoded_req_is_wr && hwif_in.valid_user) begin // SW write next_c = (field_storage.START_ADDRESS.ADDR.value & ~decoded_wr_biten[31:0]) | (decoded_wr_data[31:0] & decoded_wr_biten[31:0]); load_next_c = '1; @@ -684,8 +694,10 @@ module sha512_acc_csr ( assign hwif_out.START_ADDRESS.ADDR.value = field_storage.START_ADDRESS.ADDR.value; // Field: sha512_acc_csr.DLEN.LENGTH always_comb begin - automatic logic [31:0] next_c = field_storage.DLEN.LENGTH.value; - automatic logic load_next_c = '0; + automatic logic [31:0] next_c; + automatic logic load_next_c; + next_c = field_storage.DLEN.LENGTH.value; + load_next_c = '0; if(decoded_reg_strb.DLEN && decoded_req_is_wr && hwif_in.valid_user) begin // SW write next_c = (field_storage.DLEN.LENGTH.value & ~decoded_wr_biten[31:0]) | (decoded_wr_data[31:0] & decoded_wr_biten[31:0]); load_next_c = '1; @@ -703,8 +715,10 @@ module sha512_acc_csr ( assign hwif_out.DLEN.LENGTH.value = field_storage.DLEN.LENGTH.value; // Field: sha512_acc_csr.DATAIN.DATAIN always_comb begin - automatic logic [31:0] next_c = field_storage.DATAIN.DATAIN.value; - automatic logic load_next_c = '0; + automatic logic [31:0] next_c; + automatic logic load_next_c; + next_c = field_storage.DATAIN.DATAIN.value; + load_next_c = '0; if(decoded_reg_strb.DATAIN && decoded_req_is_wr && hwif_in.valid_user) begin // SW write next_c = (field_storage.DATAIN.DATAIN.value & ~decoded_wr_biten[31:0]) | (decoded_wr_data[31:0] & decoded_wr_biten[31:0]); load_next_c = '1; @@ -722,8 +736,10 @@ module sha512_acc_csr ( assign hwif_out.DATAIN.DATAIN.swmod = decoded_reg_strb.DATAIN && decoded_req_is_wr; // Field: sha512_acc_csr.EXECUTE.EXECUTE always_comb begin - automatic logic [0:0] next_c = field_storage.EXECUTE.EXECUTE.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.EXECUTE.EXECUTE.value; + load_next_c = '0; if(decoded_reg_strb.EXECUTE && decoded_req_is_wr && hwif_in.valid_user) begin // SW write next_c = (field_storage.EXECUTE.EXECUTE.value & ~decoded_wr_biten[0:0]) | (decoded_wr_data[0:0] & decoded_wr_biten[0:0]); load_next_c = '1; @@ -744,8 +760,10 @@ module sha512_acc_csr ( assign hwif_out.EXECUTE.EXECUTE.value = field_storage.EXECUTE.EXECUTE.value; // Field: sha512_acc_csr.STATUS.VALID always_comb begin - automatic logic [0:0] next_c = field_storage.STATUS.VALID.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.STATUS.VALID.value; + load_next_c = '0; // HW Write next_c = hwif_in.STATUS.VALID.next; @@ -763,8 +781,10 @@ module sha512_acc_csr ( assign hwif_out.STATUS.VALID.value = field_storage.STATUS.VALID.value; // Field: sha512_acc_csr.STATUS.SOC_HAS_LOCK always_comb begin - automatic logic [0:0] next_c = field_storage.STATUS.SOC_HAS_LOCK.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.STATUS.SOC_HAS_LOCK.value; + load_next_c = '0; // HW Write next_c = hwif_in.STATUS.SOC_HAS_LOCK.next; @@ -783,8 +803,10 @@ module sha512_acc_csr ( for(genvar i0=0; i0<16; i0++) begin // Field: sha512_acc_csr.DIGEST[].DIGEST always_comb begin - automatic logic [31:0] next_c = field_storage.DIGEST[i0].DIGEST.value; - automatic logic load_next_c = '0; + automatic logic [31:0] next_c; + automatic logic load_next_c; + next_c = field_storage.DIGEST[i0].DIGEST.value; + load_next_c = '0; if(hwif_in.DIGEST[i0].DIGEST.hwclr) begin // HW Clear next_c = '0; load_next_c = '1; @@ -805,8 +827,10 @@ module sha512_acc_csr ( end // Field: sha512_acc_csr.CONTROL.ZEROIZE always_comb begin - automatic logic [0:0] next_c = field_storage.CONTROL.ZEROIZE.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.CONTROL.ZEROIZE.value; + load_next_c = '0; if(decoded_reg_strb.CONTROL && decoded_req_is_wr) begin // SW write next_c = (field_storage.CONTROL.ZEROIZE.value & ~decoded_wr_biten[0:0]) | (decoded_wr_data[0:0] & decoded_wr_biten[0:0]); load_next_c = '1; @@ -827,8 +851,10 @@ module sha512_acc_csr ( assign hwif_out.CONTROL.ZEROIZE.value = field_storage.CONTROL.ZEROIZE.value; // Field: sha512_acc_csr.intr_block_rf.global_intr_en_r.error_en always_comb begin - automatic logic [0:0] next_c = field_storage.intr_block_rf.global_intr_en_r.error_en.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.intr_block_rf.global_intr_en_r.error_en.value; + load_next_c = '0; if(decoded_reg_strb.intr_block_rf.global_intr_en_r && decoded_req_is_wr && !(hwif_in.soc_req)) begin // SW write next_c = (field_storage.intr_block_rf.global_intr_en_r.error_en.value & ~decoded_wr_biten[0:0]) | (decoded_wr_data[0:0] & decoded_wr_biten[0:0]); load_next_c = '1; @@ -845,8 +871,10 @@ module sha512_acc_csr ( end // Field: sha512_acc_csr.intr_block_rf.global_intr_en_r.notif_en always_comb begin - automatic logic [0:0] next_c = field_storage.intr_block_rf.global_intr_en_r.notif_en.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.intr_block_rf.global_intr_en_r.notif_en.value; + load_next_c = '0; if(decoded_reg_strb.intr_block_rf.global_intr_en_r && decoded_req_is_wr && !(hwif_in.soc_req)) begin // SW write next_c = (field_storage.intr_block_rf.global_intr_en_r.notif_en.value & ~decoded_wr_biten[1:1]) | (decoded_wr_data[1:1] & decoded_wr_biten[1:1]); load_next_c = '1; @@ -863,8 +891,10 @@ module sha512_acc_csr ( end // Field: sha512_acc_csr.intr_block_rf.error_intr_en_r.error0_en always_comb begin - automatic logic [0:0] next_c = field_storage.intr_block_rf.error_intr_en_r.error0_en.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.intr_block_rf.error_intr_en_r.error0_en.value; + load_next_c = '0; if(decoded_reg_strb.intr_block_rf.error_intr_en_r && decoded_req_is_wr && !(hwif_in.soc_req)) begin // SW write next_c = (field_storage.intr_block_rf.error_intr_en_r.error0_en.value & ~decoded_wr_biten[0:0]) | (decoded_wr_data[0:0] & decoded_wr_biten[0:0]); load_next_c = '1; @@ -881,8 +911,10 @@ module sha512_acc_csr ( end // Field: sha512_acc_csr.intr_block_rf.error_intr_en_r.error1_en always_comb begin - automatic logic [0:0] next_c = field_storage.intr_block_rf.error_intr_en_r.error1_en.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.intr_block_rf.error_intr_en_r.error1_en.value; + load_next_c = '0; if(decoded_reg_strb.intr_block_rf.error_intr_en_r && decoded_req_is_wr && !(hwif_in.soc_req)) begin // SW write next_c = (field_storage.intr_block_rf.error_intr_en_r.error1_en.value & ~decoded_wr_biten[1:1]) | (decoded_wr_data[1:1] & decoded_wr_biten[1:1]); load_next_c = '1; @@ -899,8 +931,10 @@ module sha512_acc_csr ( end // Field: sha512_acc_csr.intr_block_rf.error_intr_en_r.error2_en always_comb begin - automatic logic [0:0] next_c = field_storage.intr_block_rf.error_intr_en_r.error2_en.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.intr_block_rf.error_intr_en_r.error2_en.value; + load_next_c = '0; if(decoded_reg_strb.intr_block_rf.error_intr_en_r && decoded_req_is_wr && !(hwif_in.soc_req)) begin // SW write next_c = (field_storage.intr_block_rf.error_intr_en_r.error2_en.value & ~decoded_wr_biten[2:2]) | (decoded_wr_data[2:2] & decoded_wr_biten[2:2]); load_next_c = '1; @@ -917,8 +951,10 @@ module sha512_acc_csr ( end // Field: sha512_acc_csr.intr_block_rf.error_intr_en_r.error3_en always_comb begin - automatic logic [0:0] next_c = field_storage.intr_block_rf.error_intr_en_r.error3_en.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.intr_block_rf.error_intr_en_r.error3_en.value; + load_next_c = '0; if(decoded_reg_strb.intr_block_rf.error_intr_en_r && decoded_req_is_wr && !(hwif_in.soc_req)) begin // SW write next_c = (field_storage.intr_block_rf.error_intr_en_r.error3_en.value & ~decoded_wr_biten[3:3]) | (decoded_wr_data[3:3] & decoded_wr_biten[3:3]); load_next_c = '1; @@ -935,8 +971,10 @@ module sha512_acc_csr ( end // Field: sha512_acc_csr.intr_block_rf.notif_intr_en_r.notif_cmd_done_en always_comb begin - automatic logic [0:0] next_c = field_storage.intr_block_rf.notif_intr_en_r.notif_cmd_done_en.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.intr_block_rf.notif_intr_en_r.notif_cmd_done_en.value; + load_next_c = '0; if(decoded_reg_strb.intr_block_rf.notif_intr_en_r && decoded_req_is_wr && !(hwif_in.soc_req)) begin // SW write next_c = (field_storage.intr_block_rf.notif_intr_en_r.notif_cmd_done_en.value & ~decoded_wr_biten[0:0]) | (decoded_wr_data[0:0] & decoded_wr_biten[0:0]); load_next_c = '1; @@ -953,8 +991,10 @@ module sha512_acc_csr ( end // Field: sha512_acc_csr.intr_block_rf.error_global_intr_r.agg_sts always_comb begin - automatic logic [0:0] next_c = field_storage.intr_block_rf.error_global_intr_r.agg_sts.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.intr_block_rf.error_global_intr_r.agg_sts.value; + load_next_c = '0; // HW Write next_c = hwif_out.intr_block_rf.error_internal_intr_r.intr; @@ -973,8 +1013,10 @@ module sha512_acc_csr ( |(field_storage.intr_block_rf.error_global_intr_r.agg_sts.value & field_storage.intr_block_rf.global_intr_en_r.error_en.value); // Field: sha512_acc_csr.intr_block_rf.notif_global_intr_r.agg_sts always_comb begin - automatic logic [0:0] next_c = field_storage.intr_block_rf.notif_global_intr_r.agg_sts.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.intr_block_rf.notif_global_intr_r.agg_sts.value; + load_next_c = '0; // HW Write next_c = hwif_out.intr_block_rf.notif_internal_intr_r.intr; @@ -993,8 +1035,10 @@ module sha512_acc_csr ( |(field_storage.intr_block_rf.notif_global_intr_r.agg_sts.value & field_storage.intr_block_rf.global_intr_en_r.notif_en.value); // Field: sha512_acc_csr.intr_block_rf.error_internal_intr_r.error0_sts always_comb begin - automatic logic [0:0] next_c = field_storage.intr_block_rf.error_internal_intr_r.error0_sts.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.intr_block_rf.error_internal_intr_r.error0_sts.value; + load_next_c = '0; if(field_storage.intr_block_rf.error_intr_trig_r.error0_trig.value != '0) begin // stickybit next_c = field_storage.intr_block_rf.error_internal_intr_r.error0_sts.value | field_storage.intr_block_rf.error_intr_trig_r.error0_trig.value; load_next_c = '1; @@ -1017,8 +1061,10 @@ module sha512_acc_csr ( end // Field: sha512_acc_csr.intr_block_rf.error_internal_intr_r.error1_sts always_comb begin - automatic logic [0:0] next_c = field_storage.intr_block_rf.error_internal_intr_r.error1_sts.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.intr_block_rf.error_internal_intr_r.error1_sts.value; + load_next_c = '0; if(field_storage.intr_block_rf.error_intr_trig_r.error1_trig.value != '0) begin // stickybit next_c = field_storage.intr_block_rf.error_internal_intr_r.error1_sts.value | field_storage.intr_block_rf.error_intr_trig_r.error1_trig.value; load_next_c = '1; @@ -1041,8 +1087,10 @@ module sha512_acc_csr ( end // Field: sha512_acc_csr.intr_block_rf.error_internal_intr_r.error2_sts always_comb begin - automatic logic [0:0] next_c = field_storage.intr_block_rf.error_internal_intr_r.error2_sts.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.intr_block_rf.error_internal_intr_r.error2_sts.value; + load_next_c = '0; if(field_storage.intr_block_rf.error_intr_trig_r.error2_trig.value != '0) begin // stickybit next_c = field_storage.intr_block_rf.error_internal_intr_r.error2_sts.value | field_storage.intr_block_rf.error_intr_trig_r.error2_trig.value; load_next_c = '1; @@ -1065,8 +1113,10 @@ module sha512_acc_csr ( end // Field: sha512_acc_csr.intr_block_rf.error_internal_intr_r.error3_sts always_comb begin - automatic logic [0:0] next_c = field_storage.intr_block_rf.error_internal_intr_r.error3_sts.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.intr_block_rf.error_internal_intr_r.error3_sts.value; + load_next_c = '0; if(field_storage.intr_block_rf.error_intr_trig_r.error3_trig.value != '0) begin // stickybit next_c = field_storage.intr_block_rf.error_internal_intr_r.error3_sts.value | field_storage.intr_block_rf.error_intr_trig_r.error3_trig.value; load_next_c = '1; @@ -1094,8 +1144,10 @@ module sha512_acc_csr ( || |(field_storage.intr_block_rf.error_internal_intr_r.error3_sts.value & field_storage.intr_block_rf.error_intr_en_r.error3_en.value); // Field: sha512_acc_csr.intr_block_rf.notif_internal_intr_r.notif_cmd_done_sts always_comb begin - automatic logic [0:0] next_c = field_storage.intr_block_rf.notif_internal_intr_r.notif_cmd_done_sts.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.intr_block_rf.notif_internal_intr_r.notif_cmd_done_sts.value; + load_next_c = '0; if(field_storage.intr_block_rf.notif_intr_trig_r.notif_cmd_done_trig.value != '0) begin // stickybit next_c = field_storage.intr_block_rf.notif_internal_intr_r.notif_cmd_done_sts.value | field_storage.intr_block_rf.notif_intr_trig_r.notif_cmd_done_trig.value; load_next_c = '1; @@ -1120,8 +1172,10 @@ module sha512_acc_csr ( |(field_storage.intr_block_rf.notif_internal_intr_r.notif_cmd_done_sts.value & field_storage.intr_block_rf.notif_intr_en_r.notif_cmd_done_en.value); // Field: sha512_acc_csr.intr_block_rf.error_intr_trig_r.error0_trig always_comb begin - automatic logic [0:0] next_c = field_storage.intr_block_rf.error_intr_trig_r.error0_trig.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.intr_block_rf.error_intr_trig_r.error0_trig.value; + load_next_c = '0; if(decoded_reg_strb.intr_block_rf.error_intr_trig_r && decoded_req_is_wr && !(hwif_in.soc_req)) begin // SW write 1 set next_c = field_storage.intr_block_rf.error_intr_trig_r.error0_trig.value | (decoded_wr_data[0:0] & decoded_wr_biten[0:0]); load_next_c = '1; @@ -1141,8 +1195,10 @@ module sha512_acc_csr ( end // Field: sha512_acc_csr.intr_block_rf.error_intr_trig_r.error1_trig always_comb begin - automatic logic [0:0] next_c = field_storage.intr_block_rf.error_intr_trig_r.error1_trig.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.intr_block_rf.error_intr_trig_r.error1_trig.value; + load_next_c = '0; if(decoded_reg_strb.intr_block_rf.error_intr_trig_r && decoded_req_is_wr && !(hwif_in.soc_req)) begin // SW write 1 set next_c = field_storage.intr_block_rf.error_intr_trig_r.error1_trig.value | (decoded_wr_data[1:1] & decoded_wr_biten[1:1]); load_next_c = '1; @@ -1162,8 +1218,10 @@ module sha512_acc_csr ( end // Field: sha512_acc_csr.intr_block_rf.error_intr_trig_r.error2_trig always_comb begin - automatic logic [0:0] next_c = field_storage.intr_block_rf.error_intr_trig_r.error2_trig.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.intr_block_rf.error_intr_trig_r.error2_trig.value; + load_next_c = '0; if(decoded_reg_strb.intr_block_rf.error_intr_trig_r && decoded_req_is_wr && !(hwif_in.soc_req)) begin // SW write 1 set next_c = field_storage.intr_block_rf.error_intr_trig_r.error2_trig.value | (decoded_wr_data[2:2] & decoded_wr_biten[2:2]); load_next_c = '1; @@ -1183,8 +1241,10 @@ module sha512_acc_csr ( end // Field: sha512_acc_csr.intr_block_rf.error_intr_trig_r.error3_trig always_comb begin - automatic logic [0:0] next_c = field_storage.intr_block_rf.error_intr_trig_r.error3_trig.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.intr_block_rf.error_intr_trig_r.error3_trig.value; + load_next_c = '0; if(decoded_reg_strb.intr_block_rf.error_intr_trig_r && decoded_req_is_wr && !(hwif_in.soc_req)) begin // SW write 1 set next_c = field_storage.intr_block_rf.error_intr_trig_r.error3_trig.value | (decoded_wr_data[3:3] & decoded_wr_biten[3:3]); load_next_c = '1; @@ -1204,8 +1264,10 @@ module sha512_acc_csr ( end // Field: sha512_acc_csr.intr_block_rf.notif_intr_trig_r.notif_cmd_done_trig always_comb begin - automatic logic [0:0] next_c = field_storage.intr_block_rf.notif_intr_trig_r.notif_cmd_done_trig.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.intr_block_rf.notif_intr_trig_r.notif_cmd_done_trig.value; + load_next_c = '0; if(decoded_reg_strb.intr_block_rf.notif_intr_trig_r && decoded_req_is_wr && !(hwif_in.soc_req)) begin // SW write 1 set next_c = field_storage.intr_block_rf.notif_intr_trig_r.notif_cmd_done_trig.value | (decoded_wr_data[0:0] & decoded_wr_biten[0:0]); load_next_c = '1; @@ -1225,8 +1287,10 @@ module sha512_acc_csr ( end // Field: sha512_acc_csr.intr_block_rf.error0_intr_count_r.cnt always_comb begin - automatic logic [31:0] next_c = field_storage.intr_block_rf.error0_intr_count_r.cnt.value; - automatic logic load_next_c = '0; + automatic logic [31:0] next_c; + automatic logic load_next_c; + next_c = field_storage.intr_block_rf.error0_intr_count_r.cnt.value; + load_next_c = '0; if(decoded_reg_strb.intr_block_rf.error0_intr_count_r && decoded_req_is_wr && !(hwif_in.soc_req)) begin // SW write next_c = (field_storage.intr_block_rf.error0_intr_count_r.cnt.value & ~decoded_wr_biten[31:0]) | (decoded_wr_data[31:0] & decoded_wr_biten[31:0]); load_next_c = '1; @@ -1257,8 +1321,10 @@ module sha512_acc_csr ( end // Field: sha512_acc_csr.intr_block_rf.error1_intr_count_r.cnt always_comb begin - automatic logic [31:0] next_c = field_storage.intr_block_rf.error1_intr_count_r.cnt.value; - automatic logic load_next_c = '0; + automatic logic [31:0] next_c; + automatic logic load_next_c; + next_c = field_storage.intr_block_rf.error1_intr_count_r.cnt.value; + load_next_c = '0; if(decoded_reg_strb.intr_block_rf.error1_intr_count_r && decoded_req_is_wr && !(hwif_in.soc_req)) begin // SW write next_c = (field_storage.intr_block_rf.error1_intr_count_r.cnt.value & ~decoded_wr_biten[31:0]) | (decoded_wr_data[31:0] & decoded_wr_biten[31:0]); load_next_c = '1; @@ -1289,8 +1355,10 @@ module sha512_acc_csr ( end // Field: sha512_acc_csr.intr_block_rf.error2_intr_count_r.cnt always_comb begin - automatic logic [31:0] next_c = field_storage.intr_block_rf.error2_intr_count_r.cnt.value; - automatic logic load_next_c = '0; + automatic logic [31:0] next_c; + automatic logic load_next_c; + next_c = field_storage.intr_block_rf.error2_intr_count_r.cnt.value; + load_next_c = '0; if(decoded_reg_strb.intr_block_rf.error2_intr_count_r && decoded_req_is_wr && !(hwif_in.soc_req)) begin // SW write next_c = (field_storage.intr_block_rf.error2_intr_count_r.cnt.value & ~decoded_wr_biten[31:0]) | (decoded_wr_data[31:0] & decoded_wr_biten[31:0]); load_next_c = '1; @@ -1321,8 +1389,10 @@ module sha512_acc_csr ( end // Field: sha512_acc_csr.intr_block_rf.error3_intr_count_r.cnt always_comb begin - automatic logic [31:0] next_c = field_storage.intr_block_rf.error3_intr_count_r.cnt.value; - automatic logic load_next_c = '0; + automatic logic [31:0] next_c; + automatic logic load_next_c; + next_c = field_storage.intr_block_rf.error3_intr_count_r.cnt.value; + load_next_c = '0; if(decoded_reg_strb.intr_block_rf.error3_intr_count_r && decoded_req_is_wr && !(hwif_in.soc_req)) begin // SW write next_c = (field_storage.intr_block_rf.error3_intr_count_r.cnt.value & ~decoded_wr_biten[31:0]) | (decoded_wr_data[31:0] & decoded_wr_biten[31:0]); load_next_c = '1; @@ -1353,8 +1423,10 @@ module sha512_acc_csr ( end // Field: sha512_acc_csr.intr_block_rf.notif_cmd_done_intr_count_r.cnt always_comb begin - automatic logic [31:0] next_c = field_storage.intr_block_rf.notif_cmd_done_intr_count_r.cnt.value; - automatic logic load_next_c = '0; + automatic logic [31:0] next_c; + automatic logic load_next_c; + next_c = field_storage.intr_block_rf.notif_cmd_done_intr_count_r.cnt.value; + load_next_c = '0; if(decoded_reg_strb.intr_block_rf.notif_cmd_done_intr_count_r && decoded_req_is_wr && !(hwif_in.soc_req)) begin // SW write next_c = (field_storage.intr_block_rf.notif_cmd_done_intr_count_r.cnt.value & ~decoded_wr_biten[31:0]) | (decoded_wr_data[31:0] & decoded_wr_biten[31:0]); load_next_c = '1; @@ -1385,8 +1457,10 @@ module sha512_acc_csr ( end // Field: sha512_acc_csr.intr_block_rf.error0_intr_count_incr_r.pulse always_comb begin - automatic logic [0:0] next_c = field_storage.intr_block_rf.error0_intr_count_incr_r.pulse.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.intr_block_rf.error0_intr_count_incr_r.pulse.value; + load_next_c = '0; if(field_storage.intr_block_rf.error_intr_trig_r.error0_trig.value) begin // HW Write - we next_c = field_storage.intr_block_rf.error_intr_trig_r.error0_trig.value; load_next_c = '1; @@ -1414,8 +1488,10 @@ module sha512_acc_csr ( end // Field: sha512_acc_csr.intr_block_rf.error1_intr_count_incr_r.pulse always_comb begin - automatic logic [0:0] next_c = field_storage.intr_block_rf.error1_intr_count_incr_r.pulse.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.intr_block_rf.error1_intr_count_incr_r.pulse.value; + load_next_c = '0; if(field_storage.intr_block_rf.error_intr_trig_r.error1_trig.value) begin // HW Write - we next_c = field_storage.intr_block_rf.error_intr_trig_r.error1_trig.value; load_next_c = '1; @@ -1443,8 +1519,10 @@ module sha512_acc_csr ( end // Field: sha512_acc_csr.intr_block_rf.error2_intr_count_incr_r.pulse always_comb begin - automatic logic [0:0] next_c = field_storage.intr_block_rf.error2_intr_count_incr_r.pulse.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.intr_block_rf.error2_intr_count_incr_r.pulse.value; + load_next_c = '0; if(field_storage.intr_block_rf.error_intr_trig_r.error2_trig.value) begin // HW Write - we next_c = field_storage.intr_block_rf.error_intr_trig_r.error2_trig.value; load_next_c = '1; @@ -1472,8 +1550,10 @@ module sha512_acc_csr ( end // Field: sha512_acc_csr.intr_block_rf.error3_intr_count_incr_r.pulse always_comb begin - automatic logic [0:0] next_c = field_storage.intr_block_rf.error3_intr_count_incr_r.pulse.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.intr_block_rf.error3_intr_count_incr_r.pulse.value; + load_next_c = '0; if(field_storage.intr_block_rf.error_intr_trig_r.error3_trig.value) begin // HW Write - we next_c = field_storage.intr_block_rf.error_intr_trig_r.error3_trig.value; load_next_c = '1; @@ -1501,8 +1581,10 @@ module sha512_acc_csr ( end // Field: sha512_acc_csr.intr_block_rf.notif_cmd_done_intr_count_incr_r.pulse always_comb begin - automatic logic [0:0] next_c = field_storage.intr_block_rf.notif_cmd_done_intr_count_incr_r.pulse.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.intr_block_rf.notif_cmd_done_intr_count_incr_r.pulse.value; + load_next_c = '0; if(field_storage.intr_block_rf.notif_intr_trig_r.notif_cmd_done_trig.value) begin // HW Write - we next_c = field_storage.intr_block_rf.notif_intr_trig_r.notif_cmd_done_trig.value; load_next_c = '1; @@ -1543,7 +1625,7 @@ module sha512_acc_csr ( logic readback_err; logic readback_done; logic [31:0] readback_data; - + // Assign readback values to a flattened array logic [44-1:0][31:0] readback_array; assign readback_array[0][0:0] = (decoded_reg_strb.LOCK && !decoded_req_is_wr) ? field_storage.LOCK.LOCK.value : '0; @@ -1625,4 +1707,4 @@ module sha512_acc_csr ( `CALIPTRA_ASSERT_KNOWN(ERR_HWIF_IN, hwif_in, clk, !hwif_in.cptra_pwrgood) -endmodule \ No newline at end of file +endmodule diff --git a/src/soc_ifc/rtl/soc_ifc_reg.sv b/src/soc_ifc/rtl/soc_ifc_reg.sv index b2fa45d40..60e85ee1f 100644 --- a/src/soc_ifc/rtl/soc_ifc_reg.sv +++ b/src/soc_ifc/rtl/soc_ifc_reg.sv @@ -1916,8 +1916,10 @@ module soc_ifc_reg ( // Field: soc_ifc_reg.CPTRA_HW_ERROR_FATAL.iccm_ecc_unc always_comb begin - automatic logic [0:0] next_c = field_storage.CPTRA_HW_ERROR_FATAL.iccm_ecc_unc.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.CPTRA_HW_ERROR_FATAL.iccm_ecc_unc.value; + load_next_c = '0; if(decoded_reg_strb.CPTRA_HW_ERROR_FATAL && decoded_req_is_wr) begin // SW write 1 clear next_c = field_storage.CPTRA_HW_ERROR_FATAL.iccm_ecc_unc.value & ~(decoded_wr_data[0:0] & decoded_wr_biten[0:0]); load_next_c = '1; @@ -1938,8 +1940,10 @@ module soc_ifc_reg ( assign hwif_out.CPTRA_HW_ERROR_FATAL.iccm_ecc_unc.value = field_storage.CPTRA_HW_ERROR_FATAL.iccm_ecc_unc.value; // Field: soc_ifc_reg.CPTRA_HW_ERROR_FATAL.dccm_ecc_unc always_comb begin - automatic logic [0:0] next_c = field_storage.CPTRA_HW_ERROR_FATAL.dccm_ecc_unc.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.CPTRA_HW_ERROR_FATAL.dccm_ecc_unc.value; + load_next_c = '0; if(decoded_reg_strb.CPTRA_HW_ERROR_FATAL && decoded_req_is_wr) begin // SW write 1 clear next_c = field_storage.CPTRA_HW_ERROR_FATAL.dccm_ecc_unc.value & ~(decoded_wr_data[1:1] & decoded_wr_biten[1:1]); load_next_c = '1; @@ -1960,8 +1964,10 @@ module soc_ifc_reg ( assign hwif_out.CPTRA_HW_ERROR_FATAL.dccm_ecc_unc.value = field_storage.CPTRA_HW_ERROR_FATAL.dccm_ecc_unc.value; // Field: soc_ifc_reg.CPTRA_HW_ERROR_FATAL.nmi_pin always_comb begin - automatic logic [0:0] next_c = field_storage.CPTRA_HW_ERROR_FATAL.nmi_pin.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.CPTRA_HW_ERROR_FATAL.nmi_pin.value; + load_next_c = '0; if(decoded_reg_strb.CPTRA_HW_ERROR_FATAL && decoded_req_is_wr) begin // SW write 1 clear next_c = field_storage.CPTRA_HW_ERROR_FATAL.nmi_pin.value & ~(decoded_wr_data[2:2] & decoded_wr_biten[2:2]); load_next_c = '1; @@ -1982,8 +1988,10 @@ module soc_ifc_reg ( assign hwif_out.CPTRA_HW_ERROR_FATAL.nmi_pin.value = field_storage.CPTRA_HW_ERROR_FATAL.nmi_pin.value; // Field: soc_ifc_reg.CPTRA_HW_ERROR_FATAL.crypto_err always_comb begin - automatic logic [0:0] next_c = field_storage.CPTRA_HW_ERROR_FATAL.crypto_err.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.CPTRA_HW_ERROR_FATAL.crypto_err.value; + load_next_c = '0; if(decoded_reg_strb.CPTRA_HW_ERROR_FATAL && decoded_req_is_wr) begin // SW write 1 clear next_c = field_storage.CPTRA_HW_ERROR_FATAL.crypto_err.value & ~(decoded_wr_data[3:3] & decoded_wr_biten[3:3]); load_next_c = '1; @@ -2004,8 +2012,10 @@ module soc_ifc_reg ( assign hwif_out.CPTRA_HW_ERROR_FATAL.crypto_err.value = field_storage.CPTRA_HW_ERROR_FATAL.crypto_err.value; // Field: soc_ifc_reg.CPTRA_HW_ERROR_NON_FATAL.mbox_prot_no_lock always_comb begin - automatic logic [0:0] next_c = field_storage.CPTRA_HW_ERROR_NON_FATAL.mbox_prot_no_lock.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.CPTRA_HW_ERROR_NON_FATAL.mbox_prot_no_lock.value; + load_next_c = '0; if(decoded_reg_strb.CPTRA_HW_ERROR_NON_FATAL && decoded_req_is_wr) begin // SW write 1 clear next_c = field_storage.CPTRA_HW_ERROR_NON_FATAL.mbox_prot_no_lock.value & ~(decoded_wr_data[0:0] & decoded_wr_biten[0:0]); load_next_c = '1; @@ -2026,8 +2036,10 @@ module soc_ifc_reg ( assign hwif_out.CPTRA_HW_ERROR_NON_FATAL.mbox_prot_no_lock.value = field_storage.CPTRA_HW_ERROR_NON_FATAL.mbox_prot_no_lock.value; // Field: soc_ifc_reg.CPTRA_HW_ERROR_NON_FATAL.mbox_prot_ooo always_comb begin - automatic logic [0:0] next_c = field_storage.CPTRA_HW_ERROR_NON_FATAL.mbox_prot_ooo.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.CPTRA_HW_ERROR_NON_FATAL.mbox_prot_ooo.value; + load_next_c = '0; if(decoded_reg_strb.CPTRA_HW_ERROR_NON_FATAL && decoded_req_is_wr) begin // SW write 1 clear next_c = field_storage.CPTRA_HW_ERROR_NON_FATAL.mbox_prot_ooo.value & ~(decoded_wr_data[1:1] & decoded_wr_biten[1:1]); load_next_c = '1; @@ -2048,8 +2060,10 @@ module soc_ifc_reg ( assign hwif_out.CPTRA_HW_ERROR_NON_FATAL.mbox_prot_ooo.value = field_storage.CPTRA_HW_ERROR_NON_FATAL.mbox_prot_ooo.value; // Field: soc_ifc_reg.CPTRA_HW_ERROR_NON_FATAL.mbox_ecc_unc always_comb begin - automatic logic [0:0] next_c = field_storage.CPTRA_HW_ERROR_NON_FATAL.mbox_ecc_unc.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.CPTRA_HW_ERROR_NON_FATAL.mbox_ecc_unc.value; + load_next_c = '0; if(decoded_reg_strb.CPTRA_HW_ERROR_NON_FATAL && decoded_req_is_wr) begin // SW write 1 clear next_c = field_storage.CPTRA_HW_ERROR_NON_FATAL.mbox_ecc_unc.value & ~(decoded_wr_data[2:2] & decoded_wr_biten[2:2]); load_next_c = '1; @@ -2070,8 +2084,10 @@ module soc_ifc_reg ( assign hwif_out.CPTRA_HW_ERROR_NON_FATAL.mbox_ecc_unc.value = field_storage.CPTRA_HW_ERROR_NON_FATAL.mbox_ecc_unc.value; // Field: soc_ifc_reg.CPTRA_FW_ERROR_FATAL.error_code always_comb begin - automatic logic [31:0] next_c = field_storage.CPTRA_FW_ERROR_FATAL.error_code.value; - automatic logic load_next_c = '0; + automatic logic [31:0] next_c; + automatic logic load_next_c; + next_c = field_storage.CPTRA_FW_ERROR_FATAL.error_code.value; + load_next_c = '0; if(decoded_reg_strb.CPTRA_FW_ERROR_FATAL && decoded_req_is_wr) begin // SW write next_c = (field_storage.CPTRA_FW_ERROR_FATAL.error_code.value & ~decoded_wr_biten[31:0]) | (decoded_wr_data[31:0] & decoded_wr_biten[31:0]); load_next_c = '1; @@ -2093,8 +2109,10 @@ module soc_ifc_reg ( assign hwif_out.CPTRA_FW_ERROR_FATAL.error_code.swmod = decoded_reg_strb.CPTRA_FW_ERROR_FATAL && decoded_req_is_wr; // Field: soc_ifc_reg.CPTRA_FW_ERROR_NON_FATAL.error_code always_comb begin - automatic logic [31:0] next_c = field_storage.CPTRA_FW_ERROR_NON_FATAL.error_code.value; - automatic logic load_next_c = '0; + automatic logic [31:0] next_c; + automatic logic load_next_c; + next_c = field_storage.CPTRA_FW_ERROR_NON_FATAL.error_code.value; + load_next_c = '0; if(decoded_reg_strb.CPTRA_FW_ERROR_NON_FATAL && decoded_req_is_wr) begin // SW write next_c = (field_storage.CPTRA_FW_ERROR_NON_FATAL.error_code.value & ~decoded_wr_biten[31:0]) | (decoded_wr_data[31:0] & decoded_wr_biten[31:0]); load_next_c = '1; @@ -2116,8 +2134,10 @@ module soc_ifc_reg ( assign hwif_out.CPTRA_FW_ERROR_NON_FATAL.error_code.swmod = decoded_reg_strb.CPTRA_FW_ERROR_NON_FATAL && decoded_req_is_wr; // Field: soc_ifc_reg.CPTRA_HW_ERROR_ENC.error_code always_comb begin - automatic logic [31:0] next_c = field_storage.CPTRA_HW_ERROR_ENC.error_code.value; - automatic logic load_next_c = '0; + automatic logic [31:0] next_c; + automatic logic load_next_c; + next_c = field_storage.CPTRA_HW_ERROR_ENC.error_code.value; + load_next_c = '0; if(decoded_reg_strb.CPTRA_HW_ERROR_ENC && decoded_req_is_wr) begin // SW write next_c = (field_storage.CPTRA_HW_ERROR_ENC.error_code.value & ~decoded_wr_biten[31:0]) | (decoded_wr_data[31:0] & decoded_wr_biten[31:0]); load_next_c = '1; @@ -2135,8 +2155,10 @@ module soc_ifc_reg ( assign hwif_out.CPTRA_HW_ERROR_ENC.error_code.value = field_storage.CPTRA_HW_ERROR_ENC.error_code.value; // Field: soc_ifc_reg.CPTRA_FW_ERROR_ENC.error_code always_comb begin - automatic logic [31:0] next_c = field_storage.CPTRA_FW_ERROR_ENC.error_code.value; - automatic logic load_next_c = '0; + automatic logic [31:0] next_c; + automatic logic load_next_c; + next_c = field_storage.CPTRA_FW_ERROR_ENC.error_code.value; + load_next_c = '0; if(decoded_reg_strb.CPTRA_FW_ERROR_ENC && decoded_req_is_wr) begin // SW write next_c = (field_storage.CPTRA_FW_ERROR_ENC.error_code.value & ~decoded_wr_biten[31:0]) | (decoded_wr_data[31:0] & decoded_wr_biten[31:0]); load_next_c = '1; @@ -2155,8 +2177,10 @@ module soc_ifc_reg ( for(genvar i0=0; i0<8; i0++) begin // Field: soc_ifc_reg.CPTRA_FW_EXTENDED_ERROR_INFO[].error_info always_comb begin - automatic logic [31:0] next_c = field_storage.CPTRA_FW_EXTENDED_ERROR_INFO[i0].error_info.value; - automatic logic load_next_c = '0; + automatic logic [31:0] next_c; + automatic logic load_next_c; + next_c = field_storage.CPTRA_FW_EXTENDED_ERROR_INFO[i0].error_info.value; + load_next_c = '0; if(decoded_reg_strb.CPTRA_FW_EXTENDED_ERROR_INFO[i0] && decoded_req_is_wr) begin // SW write next_c = (field_storage.CPTRA_FW_EXTENDED_ERROR_INFO[i0].error_info.value & ~decoded_wr_biten[31:0]) | (decoded_wr_data[31:0] & decoded_wr_biten[31:0]); load_next_c = '1; @@ -2175,8 +2199,10 @@ module soc_ifc_reg ( end // Field: soc_ifc_reg.CPTRA_BOOT_STATUS.status always_comb begin - automatic logic [31:0] next_c = field_storage.CPTRA_BOOT_STATUS.status.value; - automatic logic load_next_c = '0; + automatic logic [31:0] next_c; + automatic logic load_next_c; + next_c = field_storage.CPTRA_BOOT_STATUS.status.value; + load_next_c = '0; if(decoded_reg_strb.CPTRA_BOOT_STATUS && decoded_req_is_wr && !(hwif_in.soc_req)) begin // SW write next_c = (field_storage.CPTRA_BOOT_STATUS.status.value & ~decoded_wr_biten[31:0]) | (decoded_wr_data[31:0] & decoded_wr_biten[31:0]); load_next_c = '1; @@ -2194,8 +2220,10 @@ module soc_ifc_reg ( assign hwif_out.CPTRA_BOOT_STATUS.status.value = field_storage.CPTRA_BOOT_STATUS.status.value; // Field: soc_ifc_reg.CPTRA_FLOW_STATUS.status always_comb begin - automatic logic [23:0] next_c = field_storage.CPTRA_FLOW_STATUS.status.value; - automatic logic load_next_c = '0; + automatic logic [23:0] next_c; + automatic logic load_next_c; + next_c = field_storage.CPTRA_FLOW_STATUS.status.value; + load_next_c = '0; if(decoded_reg_strb.CPTRA_FLOW_STATUS && decoded_req_is_wr && !(hwif_in.soc_req)) begin // SW write next_c = (field_storage.CPTRA_FLOW_STATUS.status.value & ~decoded_wr_biten[23:0]) | (decoded_wr_data[23:0] & decoded_wr_biten[23:0]); load_next_c = '1; @@ -2212,8 +2240,10 @@ module soc_ifc_reg ( end // Field: soc_ifc_reg.CPTRA_FLOW_STATUS.idevid_csr_ready always_comb begin - automatic logic [0:0] next_c = field_storage.CPTRA_FLOW_STATUS.idevid_csr_ready.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.CPTRA_FLOW_STATUS.idevid_csr_ready.value; + load_next_c = '0; if(decoded_reg_strb.CPTRA_FLOW_STATUS && decoded_req_is_wr && !(hwif_in.soc_req)) begin // SW write next_c = (field_storage.CPTRA_FLOW_STATUS.idevid_csr_ready.value & ~decoded_wr_biten[24:24]) | (decoded_wr_data[24:24] & decoded_wr_biten[24:24]); load_next_c = '1; @@ -2231,8 +2261,10 @@ module soc_ifc_reg ( assign hwif_out.CPTRA_FLOW_STATUS.idevid_csr_ready.value = field_storage.CPTRA_FLOW_STATUS.idevid_csr_ready.value; // Field: soc_ifc_reg.CPTRA_FLOW_STATUS.ready_for_fw always_comb begin - automatic logic [0:0] next_c = field_storage.CPTRA_FLOW_STATUS.ready_for_fw.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.CPTRA_FLOW_STATUS.ready_for_fw.value; + load_next_c = '0; if(decoded_reg_strb.CPTRA_FLOW_STATUS && decoded_req_is_wr && !(hwif_in.soc_req)) begin // SW write next_c = (field_storage.CPTRA_FLOW_STATUS.ready_for_fw.value & ~decoded_wr_biten[28:28]) | (decoded_wr_data[28:28] & decoded_wr_biten[28:28]); load_next_c = '1; @@ -2250,8 +2282,10 @@ module soc_ifc_reg ( assign hwif_out.CPTRA_FLOW_STATUS.ready_for_fw.value = field_storage.CPTRA_FLOW_STATUS.ready_for_fw.value; // Field: soc_ifc_reg.CPTRA_FLOW_STATUS.ready_for_runtime always_comb begin - automatic logic [0:0] next_c = field_storage.CPTRA_FLOW_STATUS.ready_for_runtime.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.CPTRA_FLOW_STATUS.ready_for_runtime.value; + load_next_c = '0; if(decoded_reg_strb.CPTRA_FLOW_STATUS && decoded_req_is_wr && !(hwif_in.soc_req)) begin // SW write next_c = (field_storage.CPTRA_FLOW_STATUS.ready_for_runtime.value & ~decoded_wr_biten[29:29]) | (decoded_wr_data[29:29] & decoded_wr_biten[29:29]); load_next_c = '1; @@ -2269,8 +2303,10 @@ module soc_ifc_reg ( assign hwif_out.CPTRA_FLOW_STATUS.ready_for_runtime.value = field_storage.CPTRA_FLOW_STATUS.ready_for_runtime.value; // Field: soc_ifc_reg.CPTRA_FLOW_STATUS.mailbox_flow_done always_comb begin - automatic logic [0:0] next_c = field_storage.CPTRA_FLOW_STATUS.mailbox_flow_done.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.CPTRA_FLOW_STATUS.mailbox_flow_done.value; + load_next_c = '0; if(decoded_reg_strb.CPTRA_FLOW_STATUS && decoded_req_is_wr && !(hwif_in.soc_req)) begin // SW write next_c = (field_storage.CPTRA_FLOW_STATUS.mailbox_flow_done.value & ~decoded_wr_biten[31:31]) | (decoded_wr_data[31:31] & decoded_wr_biten[31:31]); load_next_c = '1; @@ -2288,8 +2324,10 @@ module soc_ifc_reg ( assign hwif_out.CPTRA_FLOW_STATUS.mailbox_flow_done.value = field_storage.CPTRA_FLOW_STATUS.mailbox_flow_done.value; // Field: soc_ifc_reg.CPTRA_RESET_REASON.FW_UPD_RESET always_comb begin - automatic logic [0:0] next_c = field_storage.CPTRA_RESET_REASON.FW_UPD_RESET.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.CPTRA_RESET_REASON.FW_UPD_RESET.value; + load_next_c = '0; if(hwif_in.CPTRA_RESET_REASON.FW_UPD_RESET.we) begin // HW Write - we next_c = hwif_in.CPTRA_RESET_REASON.FW_UPD_RESET.next; load_next_c = '1; @@ -2307,8 +2345,10 @@ module soc_ifc_reg ( assign hwif_out.CPTRA_RESET_REASON.FW_UPD_RESET.value = field_storage.CPTRA_RESET_REASON.FW_UPD_RESET.value; // Field: soc_ifc_reg.CPTRA_RESET_REASON.WARM_RESET always_comb begin - automatic logic [0:0] next_c = field_storage.CPTRA_RESET_REASON.WARM_RESET.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.CPTRA_RESET_REASON.WARM_RESET.value; + load_next_c = '0; // HW Write next_c = hwif_in.CPTRA_RESET_REASON.WARM_RESET.next; @@ -2327,8 +2367,10 @@ module soc_ifc_reg ( for(genvar i0=0; i0<5; i0++) begin // Field: soc_ifc_reg.CPTRA_MBOX_VALID_PAUSER[].PAUSER always_comb begin - automatic logic [31:0] next_c = field_storage.CPTRA_MBOX_VALID_PAUSER[i0].PAUSER.value; - automatic logic load_next_c = '0; + automatic logic [31:0] next_c; + automatic logic load_next_c; + next_c = field_storage.CPTRA_MBOX_VALID_PAUSER[i0].PAUSER.value; + load_next_c = '0; if(decoded_reg_strb.CPTRA_MBOX_VALID_PAUSER[i0] && decoded_req_is_wr && !(hwif_in.CPTRA_MBOX_VALID_PAUSER[i0].PAUSER.swwel)) begin // SW write next_c = (field_storage.CPTRA_MBOX_VALID_PAUSER[i0].PAUSER.value & ~decoded_wr_biten[31:0]) | (decoded_wr_data[31:0] & decoded_wr_biten[31:0]); load_next_c = '1; @@ -2348,8 +2390,10 @@ module soc_ifc_reg ( for(genvar i0=0; i0<5; i0++) begin // Field: soc_ifc_reg.CPTRA_MBOX_PAUSER_LOCK[].LOCK always_comb begin - automatic logic [0:0] next_c = field_storage.CPTRA_MBOX_PAUSER_LOCK[i0].LOCK.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.CPTRA_MBOX_PAUSER_LOCK[i0].LOCK.value; + load_next_c = '0; if(decoded_reg_strb.CPTRA_MBOX_PAUSER_LOCK[i0] && decoded_req_is_wr && !(hwif_in.CPTRA_MBOX_PAUSER_LOCK[i0].LOCK.swwel)) begin // SW write next_c = (field_storage.CPTRA_MBOX_PAUSER_LOCK[i0].LOCK.value & ~decoded_wr_biten[0:0]) | (decoded_wr_data[0:0] & decoded_wr_biten[0:0]); load_next_c = '1; @@ -2368,8 +2412,10 @@ module soc_ifc_reg ( end // Field: soc_ifc_reg.CPTRA_TRNG_VALID_PAUSER.PAUSER always_comb begin - automatic logic [31:0] next_c = field_storage.CPTRA_TRNG_VALID_PAUSER.PAUSER.value; - automatic logic load_next_c = '0; + automatic logic [31:0] next_c; + automatic logic load_next_c; + next_c = field_storage.CPTRA_TRNG_VALID_PAUSER.PAUSER.value; + load_next_c = '0; if(decoded_reg_strb.CPTRA_TRNG_VALID_PAUSER && decoded_req_is_wr && !(hwif_in.CPTRA_TRNG_VALID_PAUSER.PAUSER.swwel)) begin // SW write next_c = (field_storage.CPTRA_TRNG_VALID_PAUSER.PAUSER.value & ~decoded_wr_biten[31:0]) | (decoded_wr_data[31:0] & decoded_wr_biten[31:0]); load_next_c = '1; @@ -2387,8 +2433,10 @@ module soc_ifc_reg ( assign hwif_out.CPTRA_TRNG_VALID_PAUSER.PAUSER.value = field_storage.CPTRA_TRNG_VALID_PAUSER.PAUSER.value; // Field: soc_ifc_reg.CPTRA_TRNG_PAUSER_LOCK.LOCK always_comb begin - automatic logic [0:0] next_c = field_storage.CPTRA_TRNG_PAUSER_LOCK.LOCK.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.CPTRA_TRNG_PAUSER_LOCK.LOCK.value; + load_next_c = '0; if(decoded_reg_strb.CPTRA_TRNG_PAUSER_LOCK && decoded_req_is_wr && !(hwif_in.CPTRA_TRNG_PAUSER_LOCK.LOCK.swwel)) begin // SW write next_c = (field_storage.CPTRA_TRNG_PAUSER_LOCK.LOCK.value & ~decoded_wr_biten[0:0]) | (decoded_wr_data[0:0] & decoded_wr_biten[0:0]); load_next_c = '1; @@ -2407,8 +2455,10 @@ module soc_ifc_reg ( for(genvar i0=0; i0<12; i0++) begin // Field: soc_ifc_reg.CPTRA_TRNG_DATA[].DATA always_comb begin - automatic logic [31:0] next_c = field_storage.CPTRA_TRNG_DATA[i0].DATA.value; - automatic logic load_next_c = '0; + automatic logic [31:0] next_c; + automatic logic load_next_c; + next_c = field_storage.CPTRA_TRNG_DATA[i0].DATA.value; + load_next_c = '0; if(decoded_reg_strb.CPTRA_TRNG_DATA[i0] && decoded_req_is_wr && hwif_in.CPTRA_TRNG_DATA[i0].DATA.swwe) begin // SW write next_c = (field_storage.CPTRA_TRNG_DATA[i0].DATA.value & ~decoded_wr_biten[31:0]) | (decoded_wr_data[31:0] & decoded_wr_biten[31:0]); load_next_c = '1; @@ -2430,8 +2480,10 @@ module soc_ifc_reg ( end // Field: soc_ifc_reg.CPTRA_TRNG_CTRL.clear always_comb begin - automatic logic [0:0] next_c = field_storage.CPTRA_TRNG_CTRL.clear.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.CPTRA_TRNG_CTRL.clear.value; + load_next_c = '0; if(decoded_reg_strb.CPTRA_TRNG_CTRL && decoded_req_is_wr && !(hwif_in.soc_req)) begin // SW write next_c = (field_storage.CPTRA_TRNG_CTRL.clear.value & ~decoded_wr_biten[0:0]) | (decoded_wr_data[0:0] & decoded_wr_biten[0:0]); load_next_c = '1; @@ -2452,8 +2504,10 @@ module soc_ifc_reg ( assign hwif_out.CPTRA_TRNG_CTRL.clear.value = field_storage.CPTRA_TRNG_CTRL.clear.value; // Field: soc_ifc_reg.CPTRA_TRNG_STATUS.DATA_REQ always_comb begin - automatic logic [0:0] next_c = field_storage.CPTRA_TRNG_STATUS.DATA_REQ.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.CPTRA_TRNG_STATUS.DATA_REQ.value; + load_next_c = '0; if(decoded_reg_strb.CPTRA_TRNG_STATUS && decoded_req_is_wr && !(hwif_in.soc_req)) begin // SW write next_c = (field_storage.CPTRA_TRNG_STATUS.DATA_REQ.value & ~decoded_wr_biten[0:0]) | (decoded_wr_data[0:0] & decoded_wr_biten[0:0]); load_next_c = '1; @@ -2471,8 +2525,10 @@ module soc_ifc_reg ( assign hwif_out.CPTRA_TRNG_STATUS.DATA_REQ.value = field_storage.CPTRA_TRNG_STATUS.DATA_REQ.value; // Field: soc_ifc_reg.CPTRA_TRNG_STATUS.DATA_WR_DONE always_comb begin - automatic logic [0:0] next_c = field_storage.CPTRA_TRNG_STATUS.DATA_WR_DONE.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.CPTRA_TRNG_STATUS.DATA_WR_DONE.value; + load_next_c = '0; if(decoded_reg_strb.CPTRA_TRNG_STATUS && decoded_req_is_wr && hwif_in.CPTRA_TRNG_STATUS.DATA_WR_DONE.swwe) begin // SW write next_c = (field_storage.CPTRA_TRNG_STATUS.DATA_WR_DONE.value & ~decoded_wr_biten[1:1]) | (decoded_wr_data[1:1] & decoded_wr_biten[1:1]); load_next_c = '1; @@ -2492,8 +2548,10 @@ module soc_ifc_reg ( end // Field: soc_ifc_reg.CPTRA_FUSE_WR_DONE.done always_comb begin - automatic logic [0:0] next_c = field_storage.CPTRA_FUSE_WR_DONE.done.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.CPTRA_FUSE_WR_DONE.done.value; + load_next_c = '0; if(decoded_reg_strb.CPTRA_FUSE_WR_DONE && decoded_req_is_wr && hwif_in.CPTRA_FUSE_WR_DONE.done.swwe) begin // SW write next_c = (field_storage.CPTRA_FUSE_WR_DONE.done.value & ~decoded_wr_biten[0:0]) | (decoded_wr_data[0:0] & decoded_wr_biten[0:0]); load_next_c = '1; @@ -2512,8 +2570,10 @@ module soc_ifc_reg ( assign hwif_out.CPTRA_FUSE_WR_DONE.done.swmod = decoded_reg_strb.CPTRA_FUSE_WR_DONE && decoded_req_is_wr; // Field: soc_ifc_reg.CPTRA_TIMER_CONFIG.clk_period always_comb begin - automatic logic [31:0] next_c = field_storage.CPTRA_TIMER_CONFIG.clk_period.value; - automatic logic load_next_c = '0; + automatic logic [31:0] next_c; + automatic logic load_next_c; + next_c = field_storage.CPTRA_TIMER_CONFIG.clk_period.value; + load_next_c = '0; if(decoded_reg_strb.CPTRA_TIMER_CONFIG && decoded_req_is_wr) begin // SW write next_c = (field_storage.CPTRA_TIMER_CONFIG.clk_period.value & ~decoded_wr_biten[31:0]) | (decoded_wr_data[31:0] & decoded_wr_biten[31:0]); load_next_c = '1; @@ -2530,8 +2590,10 @@ module soc_ifc_reg ( end // Field: soc_ifc_reg.CPTRA_BOOTFSM_GO.GO always_comb begin - automatic logic [0:0] next_c = field_storage.CPTRA_BOOTFSM_GO.GO.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.CPTRA_BOOTFSM_GO.GO.value; + load_next_c = '0; if(decoded_reg_strb.CPTRA_BOOTFSM_GO && decoded_req_is_wr && hwif_in.soc_req) begin // SW write next_c = (field_storage.CPTRA_BOOTFSM_GO.GO.value & ~decoded_wr_biten[0:0]) | (decoded_wr_data[0:0] & decoded_wr_biten[0:0]); load_next_c = '1; @@ -2552,8 +2614,10 @@ module soc_ifc_reg ( assign hwif_out.CPTRA_BOOTFSM_GO.GO.value = field_storage.CPTRA_BOOTFSM_GO.GO.value; // Field: soc_ifc_reg.CPTRA_DBG_MANUF_SERVICE_REG.DATA always_comb begin - automatic logic [31:0] next_c = field_storage.CPTRA_DBG_MANUF_SERVICE_REG.DATA.value; - automatic logic load_next_c = '0; + automatic logic [31:0] next_c; + automatic logic load_next_c; + next_c = field_storage.CPTRA_DBG_MANUF_SERVICE_REG.DATA.value; + load_next_c = '0; if(decoded_reg_strb.CPTRA_DBG_MANUF_SERVICE_REG && decoded_req_is_wr) begin // SW write next_c = (field_storage.CPTRA_DBG_MANUF_SERVICE_REG.DATA.value & ~decoded_wr_biten[31:0]) | (decoded_wr_data[31:0] & decoded_wr_biten[31:0]); load_next_c = '1; @@ -2574,8 +2638,10 @@ module soc_ifc_reg ( assign hwif_out.CPTRA_DBG_MANUF_SERVICE_REG.DATA.value = field_storage.CPTRA_DBG_MANUF_SERVICE_REG.DATA.value; // Field: soc_ifc_reg.CPTRA_CLK_GATING_EN.clk_gating_en always_comb begin - automatic logic [0:0] next_c = field_storage.CPTRA_CLK_GATING_EN.clk_gating_en.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.CPTRA_CLK_GATING_EN.clk_gating_en.value; + load_next_c = '0; if(decoded_reg_strb.CPTRA_CLK_GATING_EN && decoded_req_is_wr && hwif_in.soc_req) begin // SW write next_c = (field_storage.CPTRA_CLK_GATING_EN.clk_gating_en.value & ~decoded_wr_biten[0:0]) | (decoded_wr_data[0:0] & decoded_wr_biten[0:0]); load_next_c = '1; @@ -2594,8 +2660,10 @@ module soc_ifc_reg ( for(genvar i0=0; i0<2; i0++) begin // Field: soc_ifc_reg.CPTRA_GENERIC_INPUT_WIRES[].generic_wires always_comb begin - automatic logic [31:0] next_c = field_storage.CPTRA_GENERIC_INPUT_WIRES[i0].generic_wires.value; - automatic logic load_next_c = '0; + automatic logic [31:0] next_c; + automatic logic load_next_c; + next_c = field_storage.CPTRA_GENERIC_INPUT_WIRES[i0].generic_wires.value; + load_next_c = '0; // HW Write next_c = hwif_in.CPTRA_GENERIC_INPUT_WIRES[i0].generic_wires.next; @@ -2615,8 +2683,10 @@ module soc_ifc_reg ( for(genvar i0=0; i0<2; i0++) begin // Field: soc_ifc_reg.CPTRA_GENERIC_OUTPUT_WIRES[].generic_wires always_comb begin - automatic logic [31:0] next_c = field_storage.CPTRA_GENERIC_OUTPUT_WIRES[i0].generic_wires.value; - automatic logic load_next_c = '0; + automatic logic [31:0] next_c; + automatic logic load_next_c; + next_c = field_storage.CPTRA_GENERIC_OUTPUT_WIRES[i0].generic_wires.value; + load_next_c = '0; if(decoded_reg_strb.CPTRA_GENERIC_OUTPUT_WIRES[i0] && decoded_req_is_wr && !(hwif_in.soc_req)) begin // SW write next_c = (field_storage.CPTRA_GENERIC_OUTPUT_WIRES[i0].generic_wires.value & ~decoded_wr_biten[31:0]) | (decoded_wr_data[31:0] & decoded_wr_biten[31:0]); load_next_c = '1; @@ -2636,8 +2706,10 @@ module soc_ifc_reg ( for(genvar i0=0; i0<2; i0++) begin // Field: soc_ifc_reg.CPTRA_FW_REV_ID[].REV_ID always_comb begin - automatic logic [31:0] next_c = field_storage.CPTRA_FW_REV_ID[i0].REV_ID.value; - automatic logic load_next_c = '0; + automatic logic [31:0] next_c; + automatic logic load_next_c; + next_c = field_storage.CPTRA_FW_REV_ID[i0].REV_ID.value; + load_next_c = '0; if(decoded_reg_strb.CPTRA_FW_REV_ID[i0] && decoded_req_is_wr && !(hwif_in.soc_req)) begin // SW write next_c = (field_storage.CPTRA_FW_REV_ID[i0].REV_ID.value & ~decoded_wr_biten[31:0]) | (decoded_wr_data[31:0] & decoded_wr_biten[31:0]); load_next_c = '1; @@ -2656,8 +2728,10 @@ module soc_ifc_reg ( end // Field: soc_ifc_reg.CPTRA_WDT_TIMER1_EN.timer1_en always_comb begin - automatic logic [0:0] next_c = field_storage.CPTRA_WDT_TIMER1_EN.timer1_en.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.CPTRA_WDT_TIMER1_EN.timer1_en.value; + load_next_c = '0; if(decoded_reg_strb.CPTRA_WDT_TIMER1_EN && decoded_req_is_wr && !(hwif_in.soc_req)) begin // SW write next_c = (field_storage.CPTRA_WDT_TIMER1_EN.timer1_en.value & ~decoded_wr_biten[0:0]) | (decoded_wr_data[0:0] & decoded_wr_biten[0:0]); load_next_c = '1; @@ -2675,8 +2749,10 @@ module soc_ifc_reg ( assign hwif_out.CPTRA_WDT_TIMER1_EN.timer1_en.value = field_storage.CPTRA_WDT_TIMER1_EN.timer1_en.value; // Field: soc_ifc_reg.CPTRA_WDT_TIMER1_CTRL.timer1_restart always_comb begin - automatic logic [0:0] next_c = field_storage.CPTRA_WDT_TIMER1_CTRL.timer1_restart.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.CPTRA_WDT_TIMER1_CTRL.timer1_restart.value; + load_next_c = '0; if(decoded_reg_strb.CPTRA_WDT_TIMER1_CTRL && decoded_req_is_wr && !(hwif_in.soc_req)) begin // SW write next_c = (field_storage.CPTRA_WDT_TIMER1_CTRL.timer1_restart.value & ~decoded_wr_biten[0:0]) | (decoded_wr_data[0:0] & decoded_wr_biten[0:0]); load_next_c = '1; @@ -2698,8 +2774,10 @@ module soc_ifc_reg ( for(genvar i0=0; i0<2; i0++) begin // Field: soc_ifc_reg.CPTRA_WDT_TIMER1_TIMEOUT_PERIOD[].timer1_timeout_period always_comb begin - automatic logic [31:0] next_c = field_storage.CPTRA_WDT_TIMER1_TIMEOUT_PERIOD[i0].timer1_timeout_period.value; - automatic logic load_next_c = '0; + automatic logic [31:0] next_c; + automatic logic load_next_c; + next_c = field_storage.CPTRA_WDT_TIMER1_TIMEOUT_PERIOD[i0].timer1_timeout_period.value; + load_next_c = '0; if(decoded_reg_strb.CPTRA_WDT_TIMER1_TIMEOUT_PERIOD[i0] && decoded_req_is_wr && !(hwif_in.soc_req)) begin // SW write next_c = (field_storage.CPTRA_WDT_TIMER1_TIMEOUT_PERIOD[i0].timer1_timeout_period.value & ~decoded_wr_biten[31:0]) | (decoded_wr_data[31:0] & decoded_wr_biten[31:0]); load_next_c = '1; @@ -2718,8 +2796,10 @@ module soc_ifc_reg ( end // Field: soc_ifc_reg.CPTRA_WDT_TIMER2_EN.timer2_en always_comb begin - automatic logic [0:0] next_c = field_storage.CPTRA_WDT_TIMER2_EN.timer2_en.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.CPTRA_WDT_TIMER2_EN.timer2_en.value; + load_next_c = '0; if(decoded_reg_strb.CPTRA_WDT_TIMER2_EN && decoded_req_is_wr && !(hwif_in.soc_req)) begin // SW write next_c = (field_storage.CPTRA_WDT_TIMER2_EN.timer2_en.value & ~decoded_wr_biten[0:0]) | (decoded_wr_data[0:0] & decoded_wr_biten[0:0]); load_next_c = '1; @@ -2737,8 +2817,10 @@ module soc_ifc_reg ( assign hwif_out.CPTRA_WDT_TIMER2_EN.timer2_en.value = field_storage.CPTRA_WDT_TIMER2_EN.timer2_en.value; // Field: soc_ifc_reg.CPTRA_WDT_TIMER2_CTRL.timer2_restart always_comb begin - automatic logic [0:0] next_c = field_storage.CPTRA_WDT_TIMER2_CTRL.timer2_restart.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.CPTRA_WDT_TIMER2_CTRL.timer2_restart.value; + load_next_c = '0; if(decoded_reg_strb.CPTRA_WDT_TIMER2_CTRL && decoded_req_is_wr && !(hwif_in.soc_req)) begin // SW write next_c = (field_storage.CPTRA_WDT_TIMER2_CTRL.timer2_restart.value & ~decoded_wr_biten[0:0]) | (decoded_wr_data[0:0] & decoded_wr_biten[0:0]); load_next_c = '1; @@ -2760,8 +2842,10 @@ module soc_ifc_reg ( for(genvar i0=0; i0<2; i0++) begin // Field: soc_ifc_reg.CPTRA_WDT_TIMER2_TIMEOUT_PERIOD[].timer2_timeout_period always_comb begin - automatic logic [31:0] next_c = field_storage.CPTRA_WDT_TIMER2_TIMEOUT_PERIOD[i0].timer2_timeout_period.value; - automatic logic load_next_c = '0; + automatic logic [31:0] next_c; + automatic logic load_next_c; + next_c = field_storage.CPTRA_WDT_TIMER2_TIMEOUT_PERIOD[i0].timer2_timeout_period.value; + load_next_c = '0; if(decoded_reg_strb.CPTRA_WDT_TIMER2_TIMEOUT_PERIOD[i0] && decoded_req_is_wr && !(hwif_in.soc_req)) begin // SW write next_c = (field_storage.CPTRA_WDT_TIMER2_TIMEOUT_PERIOD[i0].timer2_timeout_period.value & ~decoded_wr_biten[31:0]) | (decoded_wr_data[31:0] & decoded_wr_biten[31:0]); load_next_c = '1; @@ -2780,8 +2864,10 @@ module soc_ifc_reg ( end // Field: soc_ifc_reg.CPTRA_WDT_STATUS.t1_timeout always_comb begin - automatic logic [0:0] next_c = field_storage.CPTRA_WDT_STATUS.t1_timeout.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.CPTRA_WDT_STATUS.t1_timeout.value; + load_next_c = '0; if(decoded_reg_strb.CPTRA_WDT_STATUS && decoded_req_is_wr && !(hwif_in.soc_req)) begin // SW write next_c = (field_storage.CPTRA_WDT_STATUS.t1_timeout.value & ~decoded_wr_biten[0:0]) | (decoded_wr_data[0:0] & decoded_wr_biten[0:0]); load_next_c = '1; @@ -2802,8 +2888,10 @@ module soc_ifc_reg ( assign hwif_out.CPTRA_WDT_STATUS.t1_timeout.value = field_storage.CPTRA_WDT_STATUS.t1_timeout.value; // Field: soc_ifc_reg.CPTRA_WDT_STATUS.t2_timeout always_comb begin - automatic logic [0:0] next_c = field_storage.CPTRA_WDT_STATUS.t2_timeout.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.CPTRA_WDT_STATUS.t2_timeout.value; + load_next_c = '0; if(decoded_reg_strb.CPTRA_WDT_STATUS && decoded_req_is_wr && !(hwif_in.soc_req)) begin // SW write next_c = (field_storage.CPTRA_WDT_STATUS.t2_timeout.value & ~decoded_wr_biten[1:1]) | (decoded_wr_data[1:1] & decoded_wr_biten[1:1]); load_next_c = '1; @@ -2824,8 +2912,10 @@ module soc_ifc_reg ( assign hwif_out.CPTRA_WDT_STATUS.t2_timeout.value = field_storage.CPTRA_WDT_STATUS.t2_timeout.value; // Field: soc_ifc_reg.CPTRA_FUSE_VALID_PAUSER.PAUSER always_comb begin - automatic logic [31:0] next_c = field_storage.CPTRA_FUSE_VALID_PAUSER.PAUSER.value; - automatic logic load_next_c = '0; + automatic logic [31:0] next_c; + automatic logic load_next_c; + next_c = field_storage.CPTRA_FUSE_VALID_PAUSER.PAUSER.value; + load_next_c = '0; if(decoded_reg_strb.CPTRA_FUSE_VALID_PAUSER && decoded_req_is_wr && !(hwif_in.CPTRA_FUSE_VALID_PAUSER.PAUSER.swwel)) begin // SW write next_c = (field_storage.CPTRA_FUSE_VALID_PAUSER.PAUSER.value & ~decoded_wr_biten[31:0]) | (decoded_wr_data[31:0] & decoded_wr_biten[31:0]); load_next_c = '1; @@ -2843,8 +2933,10 @@ module soc_ifc_reg ( assign hwif_out.CPTRA_FUSE_VALID_PAUSER.PAUSER.value = field_storage.CPTRA_FUSE_VALID_PAUSER.PAUSER.value; // Field: soc_ifc_reg.CPTRA_FUSE_PAUSER_LOCK.LOCK always_comb begin - automatic logic [0:0] next_c = field_storage.CPTRA_FUSE_PAUSER_LOCK.LOCK.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.CPTRA_FUSE_PAUSER_LOCK.LOCK.value; + load_next_c = '0; if(decoded_reg_strb.CPTRA_FUSE_PAUSER_LOCK && decoded_req_is_wr && !(hwif_in.CPTRA_FUSE_PAUSER_LOCK.LOCK.swwel)) begin // SW write next_c = (field_storage.CPTRA_FUSE_PAUSER_LOCK.LOCK.value & ~decoded_wr_biten[0:0]) | (decoded_wr_data[0:0] & decoded_wr_biten[0:0]); load_next_c = '1; @@ -2863,8 +2955,10 @@ module soc_ifc_reg ( for(genvar i0=0; i0<2; i0++) begin // Field: soc_ifc_reg.CPTRA_WDT_CFG[].TIMEOUT always_comb begin - automatic logic [31:0] next_c = field_storage.CPTRA_WDT_CFG[i0].TIMEOUT.value; - automatic logic load_next_c = '0; + automatic logic [31:0] next_c; + automatic logic load_next_c; + next_c = field_storage.CPTRA_WDT_CFG[i0].TIMEOUT.value; + load_next_c = '0; if(decoded_reg_strb.CPTRA_WDT_CFG[i0] && decoded_req_is_wr) begin // SW write next_c = (field_storage.CPTRA_WDT_CFG[i0].TIMEOUT.value & ~decoded_wr_biten[31:0]) | (decoded_wr_data[31:0] & decoded_wr_biten[31:0]); load_next_c = '1; @@ -2882,8 +2976,10 @@ module soc_ifc_reg ( end // Field: soc_ifc_reg.CPTRA_iTRNG_ENTROPY_CONFIG_0.low_threshold always_comb begin - automatic logic [15:0] next_c = field_storage.CPTRA_iTRNG_ENTROPY_CONFIG_0.low_threshold.value; - automatic logic load_next_c = '0; + automatic logic [15:0] next_c; + automatic logic load_next_c; + next_c = field_storage.CPTRA_iTRNG_ENTROPY_CONFIG_0.low_threshold.value; + load_next_c = '0; if(decoded_reg_strb.CPTRA_iTRNG_ENTROPY_CONFIG_0 && decoded_req_is_wr) begin // SW write next_c = (field_storage.CPTRA_iTRNG_ENTROPY_CONFIG_0.low_threshold.value & ~decoded_wr_biten[15:0]) | (decoded_wr_data[15:0] & decoded_wr_biten[15:0]); load_next_c = '1; @@ -2900,8 +2996,10 @@ module soc_ifc_reg ( end // Field: soc_ifc_reg.CPTRA_iTRNG_ENTROPY_CONFIG_0.high_threshold always_comb begin - automatic logic [15:0] next_c = field_storage.CPTRA_iTRNG_ENTROPY_CONFIG_0.high_threshold.value; - automatic logic load_next_c = '0; + automatic logic [15:0] next_c; + automatic logic load_next_c; + next_c = field_storage.CPTRA_iTRNG_ENTROPY_CONFIG_0.high_threshold.value; + load_next_c = '0; if(decoded_reg_strb.CPTRA_iTRNG_ENTROPY_CONFIG_0 && decoded_req_is_wr) begin // SW write next_c = (field_storage.CPTRA_iTRNG_ENTROPY_CONFIG_0.high_threshold.value & ~decoded_wr_biten[31:16]) | (decoded_wr_data[31:16] & decoded_wr_biten[31:16]); load_next_c = '1; @@ -2918,8 +3016,10 @@ module soc_ifc_reg ( end // Field: soc_ifc_reg.CPTRA_iTRNG_ENTROPY_CONFIG_1.repetition_count always_comb begin - automatic logic [15:0] next_c = field_storage.CPTRA_iTRNG_ENTROPY_CONFIG_1.repetition_count.value; - automatic logic load_next_c = '0; + automatic logic [15:0] next_c; + automatic logic load_next_c; + next_c = field_storage.CPTRA_iTRNG_ENTROPY_CONFIG_1.repetition_count.value; + load_next_c = '0; if(decoded_reg_strb.CPTRA_iTRNG_ENTROPY_CONFIG_1 && decoded_req_is_wr) begin // SW write next_c = (field_storage.CPTRA_iTRNG_ENTROPY_CONFIG_1.repetition_count.value & ~decoded_wr_biten[15:0]) | (decoded_wr_data[15:0] & decoded_wr_biten[15:0]); load_next_c = '1; @@ -2936,8 +3036,10 @@ module soc_ifc_reg ( end // Field: soc_ifc_reg.CPTRA_iTRNG_ENTROPY_CONFIG_1.RSVD always_comb begin - automatic logic [15:0] next_c = field_storage.CPTRA_iTRNG_ENTROPY_CONFIG_1.RSVD.value; - automatic logic load_next_c = '0; + automatic logic [15:0] next_c; + automatic logic load_next_c; + next_c = field_storage.CPTRA_iTRNG_ENTROPY_CONFIG_1.RSVD.value; + load_next_c = '0; if(decoded_reg_strb.CPTRA_iTRNG_ENTROPY_CONFIG_1 && decoded_req_is_wr) begin // SW write next_c = (field_storage.CPTRA_iTRNG_ENTROPY_CONFIG_1.RSVD.value & ~decoded_wr_biten[31:16]) | (decoded_wr_data[31:16] & decoded_wr_biten[31:16]); load_next_c = '1; @@ -2955,8 +3057,10 @@ module soc_ifc_reg ( for(genvar i0=0; i0<2; i0++) begin // Field: soc_ifc_reg.CPTRA_RSVD_REG[].RSVD always_comb begin - automatic logic [31:0] next_c = field_storage.CPTRA_RSVD_REG[i0].RSVD.value; - automatic logic load_next_c = '0; + automatic logic [31:0] next_c; + automatic logic load_next_c; + next_c = field_storage.CPTRA_RSVD_REG[i0].RSVD.value; + load_next_c = '0; if(decoded_reg_strb.CPTRA_RSVD_REG[i0] && decoded_req_is_wr) begin // SW write next_c = (field_storage.CPTRA_RSVD_REG[i0].RSVD.value & ~decoded_wr_biten[31:0]) | (decoded_wr_data[31:0] & decoded_wr_biten[31:0]); load_next_c = '1; @@ -2975,8 +3079,10 @@ module soc_ifc_reg ( for(genvar i0=0; i0<12; i0++) begin // Field: soc_ifc_reg.fuse_uds_seed[].seed always_comb begin - automatic logic [31:0] next_c = field_storage.fuse_uds_seed[i0].seed.value; - automatic logic load_next_c = '0; + automatic logic [31:0] next_c; + automatic logic load_next_c; + next_c = field_storage.fuse_uds_seed[i0].seed.value; + load_next_c = '0; if(decoded_reg_strb.fuse_uds_seed[i0] && decoded_req_is_wr && !(hwif_in.fuse_uds_seed[i0].seed.swwel)) begin // SW write next_c = (field_storage.fuse_uds_seed[i0].seed.value & ~decoded_wr_biten[31:0]) | (decoded_wr_data[31:0] & decoded_wr_biten[31:0]); load_next_c = '1; @@ -2999,8 +3105,10 @@ module soc_ifc_reg ( for(genvar i0=0; i0<8; i0++) begin // Field: soc_ifc_reg.fuse_field_entropy[].seed always_comb begin - automatic logic [31:0] next_c = field_storage.fuse_field_entropy[i0].seed.value; - automatic logic load_next_c = '0; + automatic logic [31:0] next_c; + automatic logic load_next_c; + next_c = field_storage.fuse_field_entropy[i0].seed.value; + load_next_c = '0; if(decoded_reg_strb.fuse_field_entropy[i0] && decoded_req_is_wr && !(hwif_in.fuse_field_entropy[i0].seed.swwel)) begin // SW write next_c = (field_storage.fuse_field_entropy[i0].seed.value & ~decoded_wr_biten[31:0]) | (decoded_wr_data[31:0] & decoded_wr_biten[31:0]); load_next_c = '1; @@ -3023,8 +3131,10 @@ module soc_ifc_reg ( for(genvar i0=0; i0<12; i0++) begin // Field: soc_ifc_reg.fuse_key_manifest_pk_hash[].hash always_comb begin - automatic logic [31:0] next_c = field_storage.fuse_key_manifest_pk_hash[i0].hash.value; - automatic logic load_next_c = '0; + automatic logic [31:0] next_c; + automatic logic load_next_c; + next_c = field_storage.fuse_key_manifest_pk_hash[i0].hash.value; + load_next_c = '0; if(decoded_reg_strb.fuse_key_manifest_pk_hash[i0] && decoded_req_is_wr && !(hwif_in.fuse_key_manifest_pk_hash[i0].hash.swwel)) begin // SW write next_c = (field_storage.fuse_key_manifest_pk_hash[i0].hash.value & ~decoded_wr_biten[31:0]) | (decoded_wr_data[31:0] & decoded_wr_biten[31:0]); load_next_c = '1; @@ -3043,8 +3153,10 @@ module soc_ifc_reg ( end // Field: soc_ifc_reg.fuse_key_manifest_pk_hash_mask.mask always_comb begin - automatic logic [3:0] next_c = field_storage.fuse_key_manifest_pk_hash_mask.mask.value; - automatic logic load_next_c = '0; + automatic logic [3:0] next_c; + automatic logic load_next_c; + next_c = field_storage.fuse_key_manifest_pk_hash_mask.mask.value; + load_next_c = '0; if(decoded_reg_strb.fuse_key_manifest_pk_hash_mask && decoded_req_is_wr && !(hwif_in.fuse_key_manifest_pk_hash_mask.mask.swwel)) begin // SW write next_c = (field_storage.fuse_key_manifest_pk_hash_mask.mask.value & ~decoded_wr_biten[3:0]) | (decoded_wr_data[3:0] & decoded_wr_biten[3:0]); load_next_c = '1; @@ -3063,8 +3175,10 @@ module soc_ifc_reg ( for(genvar i0=0; i0<12; i0++) begin // Field: soc_ifc_reg.fuse_owner_pk_hash[].hash always_comb begin - automatic logic [31:0] next_c = field_storage.fuse_owner_pk_hash[i0].hash.value; - automatic logic load_next_c = '0; + automatic logic [31:0] next_c; + automatic logic load_next_c; + next_c = field_storage.fuse_owner_pk_hash[i0].hash.value; + load_next_c = '0; if(decoded_reg_strb.fuse_owner_pk_hash[i0] && decoded_req_is_wr && !(hwif_in.fuse_owner_pk_hash[i0].hash.swwel)) begin // SW write next_c = (field_storage.fuse_owner_pk_hash[i0].hash.value & ~decoded_wr_biten[31:0]) | (decoded_wr_data[31:0] & decoded_wr_biten[31:0]); load_next_c = '1; @@ -3083,8 +3197,10 @@ module soc_ifc_reg ( end // Field: soc_ifc_reg.fuse_fmc_key_manifest_svn.svn always_comb begin - automatic logic [31:0] next_c = field_storage.fuse_fmc_key_manifest_svn.svn.value; - automatic logic load_next_c = '0; + automatic logic [31:0] next_c; + automatic logic load_next_c; + next_c = field_storage.fuse_fmc_key_manifest_svn.svn.value; + load_next_c = '0; if(decoded_reg_strb.fuse_fmc_key_manifest_svn && decoded_req_is_wr && !(hwif_in.fuse_fmc_key_manifest_svn.svn.swwel)) begin // SW write next_c = (field_storage.fuse_fmc_key_manifest_svn.svn.value & ~decoded_wr_biten[31:0]) | (decoded_wr_data[31:0] & decoded_wr_biten[31:0]); load_next_c = '1; @@ -3103,8 +3219,10 @@ module soc_ifc_reg ( for(genvar i0=0; i0<4; i0++) begin // Field: soc_ifc_reg.fuse_runtime_svn[].svn always_comb begin - automatic logic [31:0] next_c = field_storage.fuse_runtime_svn[i0].svn.value; - automatic logic load_next_c = '0; + automatic logic [31:0] next_c; + automatic logic load_next_c; + next_c = field_storage.fuse_runtime_svn[i0].svn.value; + load_next_c = '0; if(decoded_reg_strb.fuse_runtime_svn[i0] && decoded_req_is_wr && !(hwif_in.fuse_runtime_svn[i0].svn.swwel)) begin // SW write next_c = (field_storage.fuse_runtime_svn[i0].svn.value & ~decoded_wr_biten[31:0]) | (decoded_wr_data[31:0] & decoded_wr_biten[31:0]); load_next_c = '1; @@ -3123,8 +3241,10 @@ module soc_ifc_reg ( end // Field: soc_ifc_reg.fuse_anti_rollback_disable.dis always_comb begin - automatic logic [0:0] next_c = field_storage.fuse_anti_rollback_disable.dis.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.fuse_anti_rollback_disable.dis.value; + load_next_c = '0; if(decoded_reg_strb.fuse_anti_rollback_disable && decoded_req_is_wr && !(hwif_in.fuse_anti_rollback_disable.dis.swwel)) begin // SW write next_c = (field_storage.fuse_anti_rollback_disable.dis.value & ~decoded_wr_biten[0:0]) | (decoded_wr_data[0:0] & decoded_wr_biten[0:0]); load_next_c = '1; @@ -3143,8 +3263,10 @@ module soc_ifc_reg ( for(genvar i0=0; i0<24; i0++) begin // Field: soc_ifc_reg.fuse_idevid_cert_attr[].cert always_comb begin - automatic logic [31:0] next_c = field_storage.fuse_idevid_cert_attr[i0].cert.value; - automatic logic load_next_c = '0; + automatic logic [31:0] next_c; + automatic logic load_next_c; + next_c = field_storage.fuse_idevid_cert_attr[i0].cert.value; + load_next_c = '0; if(decoded_reg_strb.fuse_idevid_cert_attr[i0] && decoded_req_is_wr && !(hwif_in.fuse_idevid_cert_attr[i0].cert.swwel)) begin // SW write next_c = (field_storage.fuse_idevid_cert_attr[i0].cert.value & ~decoded_wr_biten[31:0]) | (decoded_wr_data[31:0] & decoded_wr_biten[31:0]); load_next_c = '1; @@ -3164,8 +3286,10 @@ module soc_ifc_reg ( for(genvar i0=0; i0<4; i0++) begin // Field: soc_ifc_reg.fuse_idevid_manuf_hsm_id[].hsm_id always_comb begin - automatic logic [31:0] next_c = field_storage.fuse_idevid_manuf_hsm_id[i0].hsm_id.value; - automatic logic load_next_c = '0; + automatic logic [31:0] next_c; + automatic logic load_next_c; + next_c = field_storage.fuse_idevid_manuf_hsm_id[i0].hsm_id.value; + load_next_c = '0; if(decoded_reg_strb.fuse_idevid_manuf_hsm_id[i0] && decoded_req_is_wr && !(hwif_in.fuse_idevid_manuf_hsm_id[i0].hsm_id.swwel)) begin // SW write next_c = (field_storage.fuse_idevid_manuf_hsm_id[i0].hsm_id.value & ~decoded_wr_biten[31:0]) | (decoded_wr_data[31:0] & decoded_wr_biten[31:0]); load_next_c = '1; @@ -3184,8 +3308,10 @@ module soc_ifc_reg ( end // Field: soc_ifc_reg.fuse_life_cycle.life_cycle always_comb begin - automatic logic [1:0] next_c = field_storage.fuse_life_cycle.life_cycle.value; - automatic logic load_next_c = '0; + automatic logic [1:0] next_c; + automatic logic load_next_c; + next_c = field_storage.fuse_life_cycle.life_cycle.value; + load_next_c = '0; if(decoded_reg_strb.fuse_life_cycle && decoded_req_is_wr && !(hwif_in.fuse_life_cycle.life_cycle.swwel)) begin // SW write next_c = (field_storage.fuse_life_cycle.life_cycle.value & ~decoded_wr_biten[1:0]) | (decoded_wr_data[1:0] & decoded_wr_biten[1:0]); load_next_c = '1; @@ -3203,8 +3329,10 @@ module soc_ifc_reg ( assign hwif_out.fuse_life_cycle.life_cycle.value = field_storage.fuse_life_cycle.life_cycle.value; // Field: soc_ifc_reg.fuse_lms_verify.lms_verify always_comb begin - automatic logic [0:0] next_c = field_storage.fuse_lms_verify.lms_verify.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.fuse_lms_verify.lms_verify.value; + load_next_c = '0; if(decoded_reg_strb.fuse_lms_verify && decoded_req_is_wr && !(hwif_in.fuse_lms_verify.lms_verify.swwel)) begin // SW write next_c = (field_storage.fuse_lms_verify.lms_verify.value & ~decoded_wr_biten[0:0]) | (decoded_wr_data[0:0] & decoded_wr_biten[0:0]); load_next_c = '1; @@ -3222,8 +3350,10 @@ module soc_ifc_reg ( assign hwif_out.fuse_lms_verify.lms_verify.value = field_storage.fuse_lms_verify.lms_verify.value; // Field: soc_ifc_reg.fuse_lms_revocation.lms_revocation always_comb begin - automatic logic [31:0] next_c = field_storage.fuse_lms_revocation.lms_revocation.value; - automatic logic load_next_c = '0; + automatic logic [31:0] next_c; + automatic logic load_next_c; + next_c = field_storage.fuse_lms_revocation.lms_revocation.value; + load_next_c = '0; if(decoded_reg_strb.fuse_lms_revocation && decoded_req_is_wr && !(hwif_in.fuse_lms_revocation.lms_revocation.swwel)) begin // SW write next_c = (field_storage.fuse_lms_revocation.lms_revocation.value & ~decoded_wr_biten[31:0]) | (decoded_wr_data[31:0] & decoded_wr_biten[31:0]); load_next_c = '1; @@ -3241,8 +3371,10 @@ module soc_ifc_reg ( assign hwif_out.fuse_lms_revocation.lms_revocation.value = field_storage.fuse_lms_revocation.lms_revocation.value; // Field: soc_ifc_reg.fuse_soc_stepping_id.soc_stepping_id always_comb begin - automatic logic [15:0] next_c = field_storage.fuse_soc_stepping_id.soc_stepping_id.value; - automatic logic load_next_c = '0; + automatic logic [15:0] next_c; + automatic logic load_next_c; + next_c = field_storage.fuse_soc_stepping_id.soc_stepping_id.value; + load_next_c = '0; if(decoded_reg_strb.fuse_soc_stepping_id && decoded_req_is_wr && !(hwif_in.fuse_soc_stepping_id.soc_stepping_id.swwel)) begin // SW write next_c = (field_storage.fuse_soc_stepping_id.soc_stepping_id.value & ~decoded_wr_biten[15:0]) | (decoded_wr_data[15:0] & decoded_wr_biten[15:0]); load_next_c = '1; @@ -3261,8 +3393,10 @@ module soc_ifc_reg ( for(genvar i0=0; i0<8; i0++) begin // Field: soc_ifc_reg.internal_obf_key[].key always_comb begin - automatic logic [31:0] next_c = field_storage.internal_obf_key[i0].key.value; - automatic logic load_next_c = '0; + automatic logic [31:0] next_c; + automatic logic load_next_c; + next_c = field_storage.internal_obf_key[i0].key.value; + load_next_c = '0; if(decoded_reg_strb.internal_obf_key[i0] && decoded_req_is_wr && hwif_in.internal_obf_key[i0].key.swwe) begin // SW write next_c = (field_storage.internal_obf_key[i0].key.value & ~decoded_wr_biten[31:0]) | (decoded_wr_data[31:0] & decoded_wr_biten[31:0]); load_next_c = '1; @@ -3286,8 +3420,10 @@ module soc_ifc_reg ( end // Field: soc_ifc_reg.internal_iccm_lock.lock always_comb begin - automatic logic [0:0] next_c = field_storage.internal_iccm_lock.lock.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.internal_iccm_lock.lock.value; + load_next_c = '0; if(hwif_in.internal_iccm_lock.lock.hwclr) begin // HW Clear next_c = '0; load_next_c = '1; @@ -3308,8 +3444,10 @@ module soc_ifc_reg ( assign hwif_out.internal_iccm_lock.lock.value = field_storage.internal_iccm_lock.lock.value; // Field: soc_ifc_reg.internal_fw_update_reset.core_rst always_comb begin - automatic logic [0:0] next_c = field_storage.internal_fw_update_reset.core_rst.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.internal_fw_update_reset.core_rst.value; + load_next_c = '0; if(decoded_reg_strb.internal_fw_update_reset && decoded_req_is_wr && !(hwif_in.soc_req)) begin // SW write next_c = (field_storage.internal_fw_update_reset.core_rst.value & ~decoded_wr_biten[0:0]) | (decoded_wr_data[0:0] & decoded_wr_biten[0:0]); load_next_c = '1; @@ -3330,8 +3468,10 @@ module soc_ifc_reg ( assign hwif_out.internal_fw_update_reset.core_rst.value = field_storage.internal_fw_update_reset.core_rst.value; // Field: soc_ifc_reg.internal_fw_update_reset_wait_cycles.wait_cycles always_comb begin - automatic logic [7:0] next_c = field_storage.internal_fw_update_reset_wait_cycles.wait_cycles.value; - automatic logic load_next_c = '0; + automatic logic [7:0] next_c; + automatic logic load_next_c; + next_c = field_storage.internal_fw_update_reset_wait_cycles.wait_cycles.value; + load_next_c = '0; if(decoded_reg_strb.internal_fw_update_reset_wait_cycles && decoded_req_is_wr && !(hwif_in.soc_req)) begin // SW write next_c = (field_storage.internal_fw_update_reset_wait_cycles.wait_cycles.value & ~decoded_wr_biten[7:0]) | (decoded_wr_data[7:0] & decoded_wr_biten[7:0]); load_next_c = '1; @@ -3349,8 +3489,10 @@ module soc_ifc_reg ( assign hwif_out.internal_fw_update_reset_wait_cycles.wait_cycles.value = field_storage.internal_fw_update_reset_wait_cycles.wait_cycles.value; // Field: soc_ifc_reg.internal_nmi_vector.vec always_comb begin - automatic logic [31:0] next_c = field_storage.internal_nmi_vector.vec.value; - automatic logic load_next_c = '0; + automatic logic [31:0] next_c; + automatic logic load_next_c; + next_c = field_storage.internal_nmi_vector.vec.value; + load_next_c = '0; if(decoded_reg_strb.internal_nmi_vector && decoded_req_is_wr && !(hwif_in.soc_req)) begin // SW write next_c = (field_storage.internal_nmi_vector.vec.value & ~decoded_wr_biten[31:0]) | (decoded_wr_data[31:0] & decoded_wr_biten[31:0]); load_next_c = '1; @@ -3368,8 +3510,10 @@ module soc_ifc_reg ( assign hwif_out.internal_nmi_vector.vec.value = field_storage.internal_nmi_vector.vec.value; // Field: soc_ifc_reg.internal_hw_error_fatal_mask.mask_iccm_ecc_unc always_comb begin - automatic logic [0:0] next_c = field_storage.internal_hw_error_fatal_mask.mask_iccm_ecc_unc.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.internal_hw_error_fatal_mask.mask_iccm_ecc_unc.value; + load_next_c = '0; if(decoded_reg_strb.internal_hw_error_fatal_mask && decoded_req_is_wr && !(hwif_in.soc_req)) begin // SW write next_c = (field_storage.internal_hw_error_fatal_mask.mask_iccm_ecc_unc.value & ~decoded_wr_biten[0:0]) | (decoded_wr_data[0:0] & decoded_wr_biten[0:0]); load_next_c = '1; @@ -3387,8 +3531,10 @@ module soc_ifc_reg ( assign hwif_out.internal_hw_error_fatal_mask.mask_iccm_ecc_unc.value = field_storage.internal_hw_error_fatal_mask.mask_iccm_ecc_unc.value; // Field: soc_ifc_reg.internal_hw_error_fatal_mask.mask_dccm_ecc_unc always_comb begin - automatic logic [0:0] next_c = field_storage.internal_hw_error_fatal_mask.mask_dccm_ecc_unc.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.internal_hw_error_fatal_mask.mask_dccm_ecc_unc.value; + load_next_c = '0; if(decoded_reg_strb.internal_hw_error_fatal_mask && decoded_req_is_wr && !(hwif_in.soc_req)) begin // SW write next_c = (field_storage.internal_hw_error_fatal_mask.mask_dccm_ecc_unc.value & ~decoded_wr_biten[1:1]) | (decoded_wr_data[1:1] & decoded_wr_biten[1:1]); load_next_c = '1; @@ -3406,8 +3552,10 @@ module soc_ifc_reg ( assign hwif_out.internal_hw_error_fatal_mask.mask_dccm_ecc_unc.value = field_storage.internal_hw_error_fatal_mask.mask_dccm_ecc_unc.value; // Field: soc_ifc_reg.internal_hw_error_fatal_mask.mask_nmi_pin always_comb begin - automatic logic [0:0] next_c = field_storage.internal_hw_error_fatal_mask.mask_nmi_pin.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.internal_hw_error_fatal_mask.mask_nmi_pin.value; + load_next_c = '0; if(decoded_reg_strb.internal_hw_error_fatal_mask && decoded_req_is_wr && !(hwif_in.soc_req)) begin // SW write next_c = (field_storage.internal_hw_error_fatal_mask.mask_nmi_pin.value & ~decoded_wr_biten[2:2]) | (decoded_wr_data[2:2] & decoded_wr_biten[2:2]); load_next_c = '1; @@ -3425,8 +3573,10 @@ module soc_ifc_reg ( assign hwif_out.internal_hw_error_fatal_mask.mask_nmi_pin.value = field_storage.internal_hw_error_fatal_mask.mask_nmi_pin.value; // Field: soc_ifc_reg.internal_hw_error_non_fatal_mask.mask_mbox_prot_no_lock always_comb begin - automatic logic [0:0] next_c = field_storage.internal_hw_error_non_fatal_mask.mask_mbox_prot_no_lock.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.internal_hw_error_non_fatal_mask.mask_mbox_prot_no_lock.value; + load_next_c = '0; if(decoded_reg_strb.internal_hw_error_non_fatal_mask && decoded_req_is_wr && !(hwif_in.soc_req)) begin // SW write next_c = (field_storage.internal_hw_error_non_fatal_mask.mask_mbox_prot_no_lock.value & ~decoded_wr_biten[0:0]) | (decoded_wr_data[0:0] & decoded_wr_biten[0:0]); load_next_c = '1; @@ -3444,8 +3594,10 @@ module soc_ifc_reg ( assign hwif_out.internal_hw_error_non_fatal_mask.mask_mbox_prot_no_lock.value = field_storage.internal_hw_error_non_fatal_mask.mask_mbox_prot_no_lock.value; // Field: soc_ifc_reg.internal_hw_error_non_fatal_mask.mask_mbox_prot_ooo always_comb begin - automatic logic [0:0] next_c = field_storage.internal_hw_error_non_fatal_mask.mask_mbox_prot_ooo.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.internal_hw_error_non_fatal_mask.mask_mbox_prot_ooo.value; + load_next_c = '0; if(decoded_reg_strb.internal_hw_error_non_fatal_mask && decoded_req_is_wr && !(hwif_in.soc_req)) begin // SW write next_c = (field_storage.internal_hw_error_non_fatal_mask.mask_mbox_prot_ooo.value & ~decoded_wr_biten[1:1]) | (decoded_wr_data[1:1] & decoded_wr_biten[1:1]); load_next_c = '1; @@ -3463,8 +3615,10 @@ module soc_ifc_reg ( assign hwif_out.internal_hw_error_non_fatal_mask.mask_mbox_prot_ooo.value = field_storage.internal_hw_error_non_fatal_mask.mask_mbox_prot_ooo.value; // Field: soc_ifc_reg.internal_hw_error_non_fatal_mask.mask_mbox_ecc_unc always_comb begin - automatic logic [0:0] next_c = field_storage.internal_hw_error_non_fatal_mask.mask_mbox_ecc_unc.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.internal_hw_error_non_fatal_mask.mask_mbox_ecc_unc.value; + load_next_c = '0; if(decoded_reg_strb.internal_hw_error_non_fatal_mask && decoded_req_is_wr && !(hwif_in.soc_req)) begin // SW write next_c = (field_storage.internal_hw_error_non_fatal_mask.mask_mbox_ecc_unc.value & ~decoded_wr_biten[2:2]) | (decoded_wr_data[2:2] & decoded_wr_biten[2:2]); load_next_c = '1; @@ -3482,8 +3636,10 @@ module soc_ifc_reg ( assign hwif_out.internal_hw_error_non_fatal_mask.mask_mbox_ecc_unc.value = field_storage.internal_hw_error_non_fatal_mask.mask_mbox_ecc_unc.value; // Field: soc_ifc_reg.internal_fw_error_fatal_mask.mask always_comb begin - automatic logic [31:0] next_c = field_storage.internal_fw_error_fatal_mask.mask.value; - automatic logic load_next_c = '0; + automatic logic [31:0] next_c; + automatic logic load_next_c; + next_c = field_storage.internal_fw_error_fatal_mask.mask.value; + load_next_c = '0; if(decoded_reg_strb.internal_fw_error_fatal_mask && decoded_req_is_wr && !(hwif_in.soc_req)) begin // SW write next_c = (field_storage.internal_fw_error_fatal_mask.mask.value & ~decoded_wr_biten[31:0]) | (decoded_wr_data[31:0] & decoded_wr_biten[31:0]); load_next_c = '1; @@ -3501,8 +3657,10 @@ module soc_ifc_reg ( assign hwif_out.internal_fw_error_fatal_mask.mask.value = field_storage.internal_fw_error_fatal_mask.mask.value; // Field: soc_ifc_reg.internal_fw_error_non_fatal_mask.mask always_comb begin - automatic logic [31:0] next_c = field_storage.internal_fw_error_non_fatal_mask.mask.value; - automatic logic load_next_c = '0; + automatic logic [31:0] next_c; + automatic logic load_next_c; + next_c = field_storage.internal_fw_error_non_fatal_mask.mask.value; + load_next_c = '0; if(decoded_reg_strb.internal_fw_error_non_fatal_mask && decoded_req_is_wr && !(hwif_in.soc_req)) begin // SW write next_c = (field_storage.internal_fw_error_non_fatal_mask.mask.value & ~decoded_wr_biten[31:0]) | (decoded_wr_data[31:0] & decoded_wr_biten[31:0]); load_next_c = '1; @@ -3520,8 +3678,10 @@ module soc_ifc_reg ( assign hwif_out.internal_fw_error_non_fatal_mask.mask.value = field_storage.internal_fw_error_non_fatal_mask.mask.value; // Field: soc_ifc_reg.internal_rv_mtime_l.count_l always_comb begin - automatic logic [31:0] next_c = field_storage.internal_rv_mtime_l.count_l.value; - automatic logic load_next_c = '0; + automatic logic [31:0] next_c; + automatic logic load_next_c; + next_c = field_storage.internal_rv_mtime_l.count_l.value; + load_next_c = '0; if(decoded_reg_strb.internal_rv_mtime_l && decoded_req_is_wr && !(hwif_in.soc_req)) begin // SW write next_c = (field_storage.internal_rv_mtime_l.count_l.value & ~decoded_wr_biten[31:0]) | (decoded_wr_data[31:0] & decoded_wr_biten[31:0]); load_next_c = '1; @@ -3549,8 +3709,10 @@ module soc_ifc_reg ( assign hwif_out.internal_rv_mtime_l.count_l.overflow = field_combo.internal_rv_mtime_l.count_l.overflow; // Field: soc_ifc_reg.internal_rv_mtime_h.count_h always_comb begin - automatic logic [31:0] next_c = field_storage.internal_rv_mtime_h.count_h.value; - automatic logic load_next_c = '0; + automatic logic [31:0] next_c; + automatic logic load_next_c; + next_c = field_storage.internal_rv_mtime_h.count_h.value; + load_next_c = '0; if(decoded_reg_strb.internal_rv_mtime_h && decoded_req_is_wr && !(hwif_in.soc_req)) begin // SW write next_c = (field_storage.internal_rv_mtime_h.count_h.value & ~decoded_wr_biten[31:0]) | (decoded_wr_data[31:0] & decoded_wr_biten[31:0]); load_next_c = '1; @@ -3577,8 +3739,10 @@ module soc_ifc_reg ( assign hwif_out.internal_rv_mtime_h.count_h.swmod = decoded_reg_strb.internal_rv_mtime_h && decoded_req_is_wr; // Field: soc_ifc_reg.internal_rv_mtimecmp_l.compare_l always_comb begin - automatic logic [31:0] next_c = field_storage.internal_rv_mtimecmp_l.compare_l.value; - automatic logic load_next_c = '0; + automatic logic [31:0] next_c; + automatic logic load_next_c; + next_c = field_storage.internal_rv_mtimecmp_l.compare_l.value; + load_next_c = '0; if(decoded_reg_strb.internal_rv_mtimecmp_l && decoded_req_is_wr && !(hwif_in.soc_req)) begin // SW write next_c = (field_storage.internal_rv_mtimecmp_l.compare_l.value & ~decoded_wr_biten[31:0]) | (decoded_wr_data[31:0] & decoded_wr_biten[31:0]); load_next_c = '1; @@ -3596,8 +3760,10 @@ module soc_ifc_reg ( assign hwif_out.internal_rv_mtimecmp_l.compare_l.value = field_storage.internal_rv_mtimecmp_l.compare_l.value; // Field: soc_ifc_reg.internal_rv_mtimecmp_h.compare_h always_comb begin - automatic logic [31:0] next_c = field_storage.internal_rv_mtimecmp_h.compare_h.value; - automatic logic load_next_c = '0; + automatic logic [31:0] next_c; + automatic logic load_next_c; + next_c = field_storage.internal_rv_mtimecmp_h.compare_h.value; + load_next_c = '0; if(decoded_reg_strb.internal_rv_mtimecmp_h && decoded_req_is_wr && !(hwif_in.soc_req)) begin // SW write next_c = (field_storage.internal_rv_mtimecmp_h.compare_h.value & ~decoded_wr_biten[31:0]) | (decoded_wr_data[31:0] & decoded_wr_biten[31:0]); load_next_c = '1; @@ -3615,8 +3781,10 @@ module soc_ifc_reg ( assign hwif_out.internal_rv_mtimecmp_h.compare_h.value = field_storage.internal_rv_mtimecmp_h.compare_h.value; // Field: soc_ifc_reg.intr_block_rf.global_intr_en_r.error_en always_comb begin - automatic logic [0:0] next_c = field_storage.intr_block_rf.global_intr_en_r.error_en.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.intr_block_rf.global_intr_en_r.error_en.value; + load_next_c = '0; if(decoded_reg_strb.intr_block_rf.global_intr_en_r && decoded_req_is_wr && !(hwif_in.soc_req)) begin // SW write next_c = (field_storage.intr_block_rf.global_intr_en_r.error_en.value & ~decoded_wr_biten[0:0]) | (decoded_wr_data[0:0] & decoded_wr_biten[0:0]); load_next_c = '1; @@ -3633,8 +3801,10 @@ module soc_ifc_reg ( end // Field: soc_ifc_reg.intr_block_rf.global_intr_en_r.notif_en always_comb begin - automatic logic [0:0] next_c = field_storage.intr_block_rf.global_intr_en_r.notif_en.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.intr_block_rf.global_intr_en_r.notif_en.value; + load_next_c = '0; if(decoded_reg_strb.intr_block_rf.global_intr_en_r && decoded_req_is_wr && !(hwif_in.soc_req)) begin // SW write next_c = (field_storage.intr_block_rf.global_intr_en_r.notif_en.value & ~decoded_wr_biten[1:1]) | (decoded_wr_data[1:1] & decoded_wr_biten[1:1]); load_next_c = '1; @@ -3651,8 +3821,10 @@ module soc_ifc_reg ( end // Field: soc_ifc_reg.intr_block_rf.error_intr_en_r.error_internal_en always_comb begin - automatic logic [0:0] next_c = field_storage.intr_block_rf.error_intr_en_r.error_internal_en.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.intr_block_rf.error_intr_en_r.error_internal_en.value; + load_next_c = '0; if(decoded_reg_strb.intr_block_rf.error_intr_en_r && decoded_req_is_wr && !(hwif_in.soc_req)) begin // SW write next_c = (field_storage.intr_block_rf.error_intr_en_r.error_internal_en.value & ~decoded_wr_biten[0:0]) | (decoded_wr_data[0:0] & decoded_wr_biten[0:0]); load_next_c = '1; @@ -3669,8 +3841,10 @@ module soc_ifc_reg ( end // Field: soc_ifc_reg.intr_block_rf.error_intr_en_r.error_inv_dev_en always_comb begin - automatic logic [0:0] next_c = field_storage.intr_block_rf.error_intr_en_r.error_inv_dev_en.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.intr_block_rf.error_intr_en_r.error_inv_dev_en.value; + load_next_c = '0; if(decoded_reg_strb.intr_block_rf.error_intr_en_r && decoded_req_is_wr && !(hwif_in.soc_req)) begin // SW write next_c = (field_storage.intr_block_rf.error_intr_en_r.error_inv_dev_en.value & ~decoded_wr_biten[1:1]) | (decoded_wr_data[1:1] & decoded_wr_biten[1:1]); load_next_c = '1; @@ -3687,8 +3861,10 @@ module soc_ifc_reg ( end // Field: soc_ifc_reg.intr_block_rf.error_intr_en_r.error_cmd_fail_en always_comb begin - automatic logic [0:0] next_c = field_storage.intr_block_rf.error_intr_en_r.error_cmd_fail_en.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.intr_block_rf.error_intr_en_r.error_cmd_fail_en.value; + load_next_c = '0; if(decoded_reg_strb.intr_block_rf.error_intr_en_r && decoded_req_is_wr && !(hwif_in.soc_req)) begin // SW write next_c = (field_storage.intr_block_rf.error_intr_en_r.error_cmd_fail_en.value & ~decoded_wr_biten[2:2]) | (decoded_wr_data[2:2] & decoded_wr_biten[2:2]); load_next_c = '1; @@ -3705,8 +3881,10 @@ module soc_ifc_reg ( end // Field: soc_ifc_reg.intr_block_rf.error_intr_en_r.error_bad_fuse_en always_comb begin - automatic logic [0:0] next_c = field_storage.intr_block_rf.error_intr_en_r.error_bad_fuse_en.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.intr_block_rf.error_intr_en_r.error_bad_fuse_en.value; + load_next_c = '0; if(decoded_reg_strb.intr_block_rf.error_intr_en_r && decoded_req_is_wr && !(hwif_in.soc_req)) begin // SW write next_c = (field_storage.intr_block_rf.error_intr_en_r.error_bad_fuse_en.value & ~decoded_wr_biten[3:3]) | (decoded_wr_data[3:3] & decoded_wr_biten[3:3]); load_next_c = '1; @@ -3723,8 +3901,10 @@ module soc_ifc_reg ( end // Field: soc_ifc_reg.intr_block_rf.error_intr_en_r.error_iccm_blocked_en always_comb begin - automatic logic [0:0] next_c = field_storage.intr_block_rf.error_intr_en_r.error_iccm_blocked_en.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.intr_block_rf.error_intr_en_r.error_iccm_blocked_en.value; + load_next_c = '0; if(decoded_reg_strb.intr_block_rf.error_intr_en_r && decoded_req_is_wr && !(hwif_in.soc_req)) begin // SW write next_c = (field_storage.intr_block_rf.error_intr_en_r.error_iccm_blocked_en.value & ~decoded_wr_biten[4:4]) | (decoded_wr_data[4:4] & decoded_wr_biten[4:4]); load_next_c = '1; @@ -3741,8 +3921,10 @@ module soc_ifc_reg ( end // Field: soc_ifc_reg.intr_block_rf.error_intr_en_r.error_mbox_ecc_unc_en always_comb begin - automatic logic [0:0] next_c = field_storage.intr_block_rf.error_intr_en_r.error_mbox_ecc_unc_en.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.intr_block_rf.error_intr_en_r.error_mbox_ecc_unc_en.value; + load_next_c = '0; if(decoded_reg_strb.intr_block_rf.error_intr_en_r && decoded_req_is_wr && !(hwif_in.soc_req)) begin // SW write next_c = (field_storage.intr_block_rf.error_intr_en_r.error_mbox_ecc_unc_en.value & ~decoded_wr_biten[5:5]) | (decoded_wr_data[5:5] & decoded_wr_biten[5:5]); load_next_c = '1; @@ -3759,8 +3941,10 @@ module soc_ifc_reg ( end // Field: soc_ifc_reg.intr_block_rf.error_intr_en_r.error_wdt_timer1_timeout_en always_comb begin - automatic logic [0:0] next_c = field_storage.intr_block_rf.error_intr_en_r.error_wdt_timer1_timeout_en.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.intr_block_rf.error_intr_en_r.error_wdt_timer1_timeout_en.value; + load_next_c = '0; if(decoded_reg_strb.intr_block_rf.error_intr_en_r && decoded_req_is_wr && !(hwif_in.soc_req)) begin // SW write next_c = (field_storage.intr_block_rf.error_intr_en_r.error_wdt_timer1_timeout_en.value & ~decoded_wr_biten[6:6]) | (decoded_wr_data[6:6] & decoded_wr_biten[6:6]); load_next_c = '1; @@ -3777,8 +3961,10 @@ module soc_ifc_reg ( end // Field: soc_ifc_reg.intr_block_rf.error_intr_en_r.error_wdt_timer2_timeout_en always_comb begin - automatic logic [0:0] next_c = field_storage.intr_block_rf.error_intr_en_r.error_wdt_timer2_timeout_en.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.intr_block_rf.error_intr_en_r.error_wdt_timer2_timeout_en.value; + load_next_c = '0; if(decoded_reg_strb.intr_block_rf.error_intr_en_r && decoded_req_is_wr && !(hwif_in.soc_req)) begin // SW write next_c = (field_storage.intr_block_rf.error_intr_en_r.error_wdt_timer2_timeout_en.value & ~decoded_wr_biten[7:7]) | (decoded_wr_data[7:7] & decoded_wr_biten[7:7]); load_next_c = '1; @@ -3795,8 +3981,10 @@ module soc_ifc_reg ( end // Field: soc_ifc_reg.intr_block_rf.notif_intr_en_r.notif_cmd_avail_en always_comb begin - automatic logic [0:0] next_c = field_storage.intr_block_rf.notif_intr_en_r.notif_cmd_avail_en.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.intr_block_rf.notif_intr_en_r.notif_cmd_avail_en.value; + load_next_c = '0; if(decoded_reg_strb.intr_block_rf.notif_intr_en_r && decoded_req_is_wr && !(hwif_in.soc_req)) begin // SW write next_c = (field_storage.intr_block_rf.notif_intr_en_r.notif_cmd_avail_en.value & ~decoded_wr_biten[0:0]) | (decoded_wr_data[0:0] & decoded_wr_biten[0:0]); load_next_c = '1; @@ -3813,8 +4001,10 @@ module soc_ifc_reg ( end // Field: soc_ifc_reg.intr_block_rf.notif_intr_en_r.notif_mbox_ecc_cor_en always_comb begin - automatic logic [0:0] next_c = field_storage.intr_block_rf.notif_intr_en_r.notif_mbox_ecc_cor_en.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.intr_block_rf.notif_intr_en_r.notif_mbox_ecc_cor_en.value; + load_next_c = '0; if(decoded_reg_strb.intr_block_rf.notif_intr_en_r && decoded_req_is_wr && !(hwif_in.soc_req)) begin // SW write next_c = (field_storage.intr_block_rf.notif_intr_en_r.notif_mbox_ecc_cor_en.value & ~decoded_wr_biten[1:1]) | (decoded_wr_data[1:1] & decoded_wr_biten[1:1]); load_next_c = '1; @@ -3831,8 +4021,10 @@ module soc_ifc_reg ( end // Field: soc_ifc_reg.intr_block_rf.notif_intr_en_r.notif_debug_locked_en always_comb begin - automatic logic [0:0] next_c = field_storage.intr_block_rf.notif_intr_en_r.notif_debug_locked_en.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.intr_block_rf.notif_intr_en_r.notif_debug_locked_en.value; + load_next_c = '0; if(decoded_reg_strb.intr_block_rf.notif_intr_en_r && decoded_req_is_wr && !(hwif_in.soc_req)) begin // SW write next_c = (field_storage.intr_block_rf.notif_intr_en_r.notif_debug_locked_en.value & ~decoded_wr_biten[2:2]) | (decoded_wr_data[2:2] & decoded_wr_biten[2:2]); load_next_c = '1; @@ -3849,8 +4041,10 @@ module soc_ifc_reg ( end // Field: soc_ifc_reg.intr_block_rf.notif_intr_en_r.notif_scan_mode_en always_comb begin - automatic logic [0:0] next_c = field_storage.intr_block_rf.notif_intr_en_r.notif_scan_mode_en.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.intr_block_rf.notif_intr_en_r.notif_scan_mode_en.value; + load_next_c = '0; if(decoded_reg_strb.intr_block_rf.notif_intr_en_r && decoded_req_is_wr && !(hwif_in.soc_req)) begin // SW write next_c = (field_storage.intr_block_rf.notif_intr_en_r.notif_scan_mode_en.value & ~decoded_wr_biten[3:3]) | (decoded_wr_data[3:3] & decoded_wr_biten[3:3]); load_next_c = '1; @@ -3867,8 +4061,10 @@ module soc_ifc_reg ( end // Field: soc_ifc_reg.intr_block_rf.notif_intr_en_r.notif_soc_req_lock_en always_comb begin - automatic logic [0:0] next_c = field_storage.intr_block_rf.notif_intr_en_r.notif_soc_req_lock_en.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.intr_block_rf.notif_intr_en_r.notif_soc_req_lock_en.value; + load_next_c = '0; if(decoded_reg_strb.intr_block_rf.notif_intr_en_r && decoded_req_is_wr && !(hwif_in.soc_req)) begin // SW write next_c = (field_storage.intr_block_rf.notif_intr_en_r.notif_soc_req_lock_en.value & ~decoded_wr_biten[4:4]) | (decoded_wr_data[4:4] & decoded_wr_biten[4:4]); load_next_c = '1; @@ -3885,8 +4081,10 @@ module soc_ifc_reg ( end // Field: soc_ifc_reg.intr_block_rf.notif_intr_en_r.notif_gen_in_toggle_en always_comb begin - automatic logic [0:0] next_c = field_storage.intr_block_rf.notif_intr_en_r.notif_gen_in_toggle_en.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.intr_block_rf.notif_intr_en_r.notif_gen_in_toggle_en.value; + load_next_c = '0; if(decoded_reg_strb.intr_block_rf.notif_intr_en_r && decoded_req_is_wr && !(hwif_in.soc_req)) begin // SW write next_c = (field_storage.intr_block_rf.notif_intr_en_r.notif_gen_in_toggle_en.value & ~decoded_wr_biten[5:5]) | (decoded_wr_data[5:5] & decoded_wr_biten[5:5]); load_next_c = '1; @@ -3903,8 +4101,10 @@ module soc_ifc_reg ( end // Field: soc_ifc_reg.intr_block_rf.error_global_intr_r.agg_sts always_comb begin - automatic logic [0:0] next_c = field_storage.intr_block_rf.error_global_intr_r.agg_sts.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.intr_block_rf.error_global_intr_r.agg_sts.value; + load_next_c = '0; // HW Write next_c = hwif_out.intr_block_rf.error_internal_intr_r.intr; @@ -3923,8 +4123,10 @@ module soc_ifc_reg ( |(field_storage.intr_block_rf.error_global_intr_r.agg_sts.value & field_storage.intr_block_rf.global_intr_en_r.error_en.value); // Field: soc_ifc_reg.intr_block_rf.notif_global_intr_r.agg_sts always_comb begin - automatic logic [0:0] next_c = field_storage.intr_block_rf.notif_global_intr_r.agg_sts.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.intr_block_rf.notif_global_intr_r.agg_sts.value; + load_next_c = '0; // HW Write next_c = hwif_out.intr_block_rf.notif_internal_intr_r.intr; @@ -3943,8 +4145,10 @@ module soc_ifc_reg ( |(field_storage.intr_block_rf.notif_global_intr_r.agg_sts.value & field_storage.intr_block_rf.global_intr_en_r.notif_en.value); // Field: soc_ifc_reg.intr_block_rf.error_internal_intr_r.error_internal_sts always_comb begin - automatic logic [0:0] next_c = field_storage.intr_block_rf.error_internal_intr_r.error_internal_sts.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.intr_block_rf.error_internal_intr_r.error_internal_sts.value; + load_next_c = '0; if(field_storage.intr_block_rf.error_intr_trig_r.error_internal_trig.value != '0) begin // stickybit next_c = field_storage.intr_block_rf.error_internal_intr_r.error_internal_sts.value | field_storage.intr_block_rf.error_intr_trig_r.error_internal_trig.value; load_next_c = '1; @@ -3967,8 +4171,10 @@ module soc_ifc_reg ( end // Field: soc_ifc_reg.intr_block_rf.error_internal_intr_r.error_inv_dev_sts always_comb begin - automatic logic [0:0] next_c = field_storage.intr_block_rf.error_internal_intr_r.error_inv_dev_sts.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.intr_block_rf.error_internal_intr_r.error_inv_dev_sts.value; + load_next_c = '0; if(field_storage.intr_block_rf.error_intr_trig_r.error_inv_dev_trig.value != '0) begin // stickybit next_c = field_storage.intr_block_rf.error_internal_intr_r.error_inv_dev_sts.value | field_storage.intr_block_rf.error_intr_trig_r.error_inv_dev_trig.value; load_next_c = '1; @@ -3991,8 +4197,10 @@ module soc_ifc_reg ( end // Field: soc_ifc_reg.intr_block_rf.error_internal_intr_r.error_cmd_fail_sts always_comb begin - automatic logic [0:0] next_c = field_storage.intr_block_rf.error_internal_intr_r.error_cmd_fail_sts.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.intr_block_rf.error_internal_intr_r.error_cmd_fail_sts.value; + load_next_c = '0; if(field_storage.intr_block_rf.error_intr_trig_r.error_cmd_fail_trig.value != '0) begin // stickybit next_c = field_storage.intr_block_rf.error_internal_intr_r.error_cmd_fail_sts.value | field_storage.intr_block_rf.error_intr_trig_r.error_cmd_fail_trig.value; load_next_c = '1; @@ -4015,8 +4223,10 @@ module soc_ifc_reg ( end // Field: soc_ifc_reg.intr_block_rf.error_internal_intr_r.error_bad_fuse_sts always_comb begin - automatic logic [0:0] next_c = field_storage.intr_block_rf.error_internal_intr_r.error_bad_fuse_sts.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.intr_block_rf.error_internal_intr_r.error_bad_fuse_sts.value; + load_next_c = '0; if(field_storage.intr_block_rf.error_intr_trig_r.error_bad_fuse_trig.value != '0) begin // stickybit next_c = field_storage.intr_block_rf.error_internal_intr_r.error_bad_fuse_sts.value | field_storage.intr_block_rf.error_intr_trig_r.error_bad_fuse_trig.value; load_next_c = '1; @@ -4039,8 +4249,10 @@ module soc_ifc_reg ( end // Field: soc_ifc_reg.intr_block_rf.error_internal_intr_r.error_iccm_blocked_sts always_comb begin - automatic logic [0:0] next_c = field_storage.intr_block_rf.error_internal_intr_r.error_iccm_blocked_sts.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.intr_block_rf.error_internal_intr_r.error_iccm_blocked_sts.value; + load_next_c = '0; if(field_storage.intr_block_rf.error_intr_trig_r.error_iccm_blocked_trig.value != '0) begin // stickybit next_c = field_storage.intr_block_rf.error_internal_intr_r.error_iccm_blocked_sts.value | field_storage.intr_block_rf.error_intr_trig_r.error_iccm_blocked_trig.value; load_next_c = '1; @@ -4063,8 +4275,10 @@ module soc_ifc_reg ( end // Field: soc_ifc_reg.intr_block_rf.error_internal_intr_r.error_mbox_ecc_unc_sts always_comb begin - automatic logic [0:0] next_c = field_storage.intr_block_rf.error_internal_intr_r.error_mbox_ecc_unc_sts.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.intr_block_rf.error_internal_intr_r.error_mbox_ecc_unc_sts.value; + load_next_c = '0; if(field_storage.intr_block_rf.error_intr_trig_r.error_mbox_ecc_unc_trig.value != '0) begin // stickybit next_c = field_storage.intr_block_rf.error_internal_intr_r.error_mbox_ecc_unc_sts.value | field_storage.intr_block_rf.error_intr_trig_r.error_mbox_ecc_unc_trig.value; load_next_c = '1; @@ -4087,8 +4301,10 @@ module soc_ifc_reg ( end // Field: soc_ifc_reg.intr_block_rf.error_internal_intr_r.error_wdt_timer1_timeout_sts always_comb begin - automatic logic [0:0] next_c = field_storage.intr_block_rf.error_internal_intr_r.error_wdt_timer1_timeout_sts.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.intr_block_rf.error_internal_intr_r.error_wdt_timer1_timeout_sts.value; + load_next_c = '0; if(field_storage.intr_block_rf.error_intr_trig_r.error_wdt_timer1_timeout_trig.value != '0) begin // stickybit next_c = field_storage.intr_block_rf.error_internal_intr_r.error_wdt_timer1_timeout_sts.value | field_storage.intr_block_rf.error_intr_trig_r.error_wdt_timer1_timeout_trig.value; load_next_c = '1; @@ -4111,8 +4327,10 @@ module soc_ifc_reg ( end // Field: soc_ifc_reg.intr_block_rf.error_internal_intr_r.error_wdt_timer2_timeout_sts always_comb begin - automatic logic [0:0] next_c = field_storage.intr_block_rf.error_internal_intr_r.error_wdt_timer2_timeout_sts.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.intr_block_rf.error_internal_intr_r.error_wdt_timer2_timeout_sts.value; + load_next_c = '0; if(field_storage.intr_block_rf.error_intr_trig_r.error_wdt_timer2_timeout_trig.value != '0) begin // stickybit next_c = field_storage.intr_block_rf.error_internal_intr_r.error_wdt_timer2_timeout_sts.value | field_storage.intr_block_rf.error_intr_trig_r.error_wdt_timer2_timeout_trig.value; load_next_c = '1; @@ -4144,8 +4362,10 @@ module soc_ifc_reg ( || |(field_storage.intr_block_rf.error_internal_intr_r.error_wdt_timer2_timeout_sts.value & field_storage.intr_block_rf.error_intr_en_r.error_wdt_timer2_timeout_en.value); // Field: soc_ifc_reg.intr_block_rf.notif_internal_intr_r.notif_cmd_avail_sts always_comb begin - automatic logic [0:0] next_c = field_storage.intr_block_rf.notif_internal_intr_r.notif_cmd_avail_sts.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.intr_block_rf.notif_internal_intr_r.notif_cmd_avail_sts.value; + load_next_c = '0; if(field_storage.intr_block_rf.notif_intr_trig_r.notif_cmd_avail_trig.value != '0) begin // stickybit next_c = field_storage.intr_block_rf.notif_internal_intr_r.notif_cmd_avail_sts.value | field_storage.intr_block_rf.notif_intr_trig_r.notif_cmd_avail_trig.value; load_next_c = '1; @@ -4168,8 +4388,10 @@ module soc_ifc_reg ( end // Field: soc_ifc_reg.intr_block_rf.notif_internal_intr_r.notif_mbox_ecc_cor_sts always_comb begin - automatic logic [0:0] next_c = field_storage.intr_block_rf.notif_internal_intr_r.notif_mbox_ecc_cor_sts.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.intr_block_rf.notif_internal_intr_r.notif_mbox_ecc_cor_sts.value; + load_next_c = '0; if(field_storage.intr_block_rf.notif_intr_trig_r.notif_mbox_ecc_cor_trig.value != '0) begin // stickybit next_c = field_storage.intr_block_rf.notif_internal_intr_r.notif_mbox_ecc_cor_sts.value | field_storage.intr_block_rf.notif_intr_trig_r.notif_mbox_ecc_cor_trig.value; load_next_c = '1; @@ -4192,8 +4414,10 @@ module soc_ifc_reg ( end // Field: soc_ifc_reg.intr_block_rf.notif_internal_intr_r.notif_debug_locked_sts always_comb begin - automatic logic [0:0] next_c = field_storage.intr_block_rf.notif_internal_intr_r.notif_debug_locked_sts.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.intr_block_rf.notif_internal_intr_r.notif_debug_locked_sts.value; + load_next_c = '0; if(field_storage.intr_block_rf.notif_intr_trig_r.notif_debug_locked_trig.value != '0) begin // stickybit next_c = field_storage.intr_block_rf.notif_internal_intr_r.notif_debug_locked_sts.value | field_storage.intr_block_rf.notif_intr_trig_r.notif_debug_locked_trig.value; load_next_c = '1; @@ -4216,8 +4440,10 @@ module soc_ifc_reg ( end // Field: soc_ifc_reg.intr_block_rf.notif_internal_intr_r.notif_scan_mode_sts always_comb begin - automatic logic [0:0] next_c = field_storage.intr_block_rf.notif_internal_intr_r.notif_scan_mode_sts.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.intr_block_rf.notif_internal_intr_r.notif_scan_mode_sts.value; + load_next_c = '0; if(field_storage.intr_block_rf.notif_intr_trig_r.notif_scan_mode_trig.value != '0) begin // stickybit next_c = field_storage.intr_block_rf.notif_internal_intr_r.notif_scan_mode_sts.value | field_storage.intr_block_rf.notif_intr_trig_r.notif_scan_mode_trig.value; load_next_c = '1; @@ -4240,8 +4466,10 @@ module soc_ifc_reg ( end // Field: soc_ifc_reg.intr_block_rf.notif_internal_intr_r.notif_soc_req_lock_sts always_comb begin - automatic logic [0:0] next_c = field_storage.intr_block_rf.notif_internal_intr_r.notif_soc_req_lock_sts.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.intr_block_rf.notif_internal_intr_r.notif_soc_req_lock_sts.value; + load_next_c = '0; if(field_storage.intr_block_rf.notif_intr_trig_r.notif_soc_req_lock_trig.value != '0) begin // stickybit next_c = field_storage.intr_block_rf.notif_internal_intr_r.notif_soc_req_lock_sts.value | field_storage.intr_block_rf.notif_intr_trig_r.notif_soc_req_lock_trig.value; load_next_c = '1; @@ -4264,8 +4492,10 @@ module soc_ifc_reg ( end // Field: soc_ifc_reg.intr_block_rf.notif_internal_intr_r.notif_gen_in_toggle_sts always_comb begin - automatic logic [0:0] next_c = field_storage.intr_block_rf.notif_internal_intr_r.notif_gen_in_toggle_sts.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.intr_block_rf.notif_internal_intr_r.notif_gen_in_toggle_sts.value; + load_next_c = '0; if(field_storage.intr_block_rf.notif_intr_trig_r.notif_gen_in_toggle_trig.value != '0) begin // stickybit next_c = field_storage.intr_block_rf.notif_internal_intr_r.notif_gen_in_toggle_sts.value | field_storage.intr_block_rf.notif_intr_trig_r.notif_gen_in_toggle_trig.value; load_next_c = '1; @@ -4295,8 +4525,10 @@ module soc_ifc_reg ( || |(field_storage.intr_block_rf.notif_internal_intr_r.notif_gen_in_toggle_sts.value & field_storage.intr_block_rf.notif_intr_en_r.notif_gen_in_toggle_en.value); // Field: soc_ifc_reg.intr_block_rf.error_intr_trig_r.error_internal_trig always_comb begin - automatic logic [0:0] next_c = field_storage.intr_block_rf.error_intr_trig_r.error_internal_trig.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.intr_block_rf.error_intr_trig_r.error_internal_trig.value; + load_next_c = '0; if(decoded_reg_strb.intr_block_rf.error_intr_trig_r && decoded_req_is_wr && !(hwif_in.soc_req)) begin // SW write 1 set next_c = field_storage.intr_block_rf.error_intr_trig_r.error_internal_trig.value | (decoded_wr_data[0:0] & decoded_wr_biten[0:0]); load_next_c = '1; @@ -4316,8 +4548,10 @@ module soc_ifc_reg ( end // Field: soc_ifc_reg.intr_block_rf.error_intr_trig_r.error_inv_dev_trig always_comb begin - automatic logic [0:0] next_c = field_storage.intr_block_rf.error_intr_trig_r.error_inv_dev_trig.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.intr_block_rf.error_intr_trig_r.error_inv_dev_trig.value; + load_next_c = '0; if(decoded_reg_strb.intr_block_rf.error_intr_trig_r && decoded_req_is_wr && !(hwif_in.soc_req)) begin // SW write 1 set next_c = field_storage.intr_block_rf.error_intr_trig_r.error_inv_dev_trig.value | (decoded_wr_data[1:1] & decoded_wr_biten[1:1]); load_next_c = '1; @@ -4337,8 +4571,10 @@ module soc_ifc_reg ( end // Field: soc_ifc_reg.intr_block_rf.error_intr_trig_r.error_cmd_fail_trig always_comb begin - automatic logic [0:0] next_c = field_storage.intr_block_rf.error_intr_trig_r.error_cmd_fail_trig.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.intr_block_rf.error_intr_trig_r.error_cmd_fail_trig.value; + load_next_c = '0; if(decoded_reg_strb.intr_block_rf.error_intr_trig_r && decoded_req_is_wr && !(hwif_in.soc_req)) begin // SW write 1 set next_c = field_storage.intr_block_rf.error_intr_trig_r.error_cmd_fail_trig.value | (decoded_wr_data[2:2] & decoded_wr_biten[2:2]); load_next_c = '1; @@ -4358,8 +4594,10 @@ module soc_ifc_reg ( end // Field: soc_ifc_reg.intr_block_rf.error_intr_trig_r.error_bad_fuse_trig always_comb begin - automatic logic [0:0] next_c = field_storage.intr_block_rf.error_intr_trig_r.error_bad_fuse_trig.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.intr_block_rf.error_intr_trig_r.error_bad_fuse_trig.value; + load_next_c = '0; if(decoded_reg_strb.intr_block_rf.error_intr_trig_r && decoded_req_is_wr && !(hwif_in.soc_req)) begin // SW write 1 set next_c = field_storage.intr_block_rf.error_intr_trig_r.error_bad_fuse_trig.value | (decoded_wr_data[3:3] & decoded_wr_biten[3:3]); load_next_c = '1; @@ -4379,8 +4617,10 @@ module soc_ifc_reg ( end // Field: soc_ifc_reg.intr_block_rf.error_intr_trig_r.error_iccm_blocked_trig always_comb begin - automatic logic [0:0] next_c = field_storage.intr_block_rf.error_intr_trig_r.error_iccm_blocked_trig.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.intr_block_rf.error_intr_trig_r.error_iccm_blocked_trig.value; + load_next_c = '0; if(decoded_reg_strb.intr_block_rf.error_intr_trig_r && decoded_req_is_wr && !(hwif_in.soc_req)) begin // SW write 1 set next_c = field_storage.intr_block_rf.error_intr_trig_r.error_iccm_blocked_trig.value | (decoded_wr_data[4:4] & decoded_wr_biten[4:4]); load_next_c = '1; @@ -4400,8 +4640,10 @@ module soc_ifc_reg ( end // Field: soc_ifc_reg.intr_block_rf.error_intr_trig_r.error_mbox_ecc_unc_trig always_comb begin - automatic logic [0:0] next_c = field_storage.intr_block_rf.error_intr_trig_r.error_mbox_ecc_unc_trig.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.intr_block_rf.error_intr_trig_r.error_mbox_ecc_unc_trig.value; + load_next_c = '0; if(decoded_reg_strb.intr_block_rf.error_intr_trig_r && decoded_req_is_wr && !(hwif_in.soc_req)) begin // SW write 1 set next_c = field_storage.intr_block_rf.error_intr_trig_r.error_mbox_ecc_unc_trig.value | (decoded_wr_data[5:5] & decoded_wr_biten[5:5]); load_next_c = '1; @@ -4421,8 +4663,10 @@ module soc_ifc_reg ( end // Field: soc_ifc_reg.intr_block_rf.error_intr_trig_r.error_wdt_timer1_timeout_trig always_comb begin - automatic logic [0:0] next_c = field_storage.intr_block_rf.error_intr_trig_r.error_wdt_timer1_timeout_trig.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.intr_block_rf.error_intr_trig_r.error_wdt_timer1_timeout_trig.value; + load_next_c = '0; if(decoded_reg_strb.intr_block_rf.error_intr_trig_r && decoded_req_is_wr && !(hwif_in.soc_req)) begin // SW write 1 set next_c = field_storage.intr_block_rf.error_intr_trig_r.error_wdt_timer1_timeout_trig.value | (decoded_wr_data[6:6] & decoded_wr_biten[6:6]); load_next_c = '1; @@ -4442,8 +4686,10 @@ module soc_ifc_reg ( end // Field: soc_ifc_reg.intr_block_rf.error_intr_trig_r.error_wdt_timer2_timeout_trig always_comb begin - automatic logic [0:0] next_c = field_storage.intr_block_rf.error_intr_trig_r.error_wdt_timer2_timeout_trig.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.intr_block_rf.error_intr_trig_r.error_wdt_timer2_timeout_trig.value; + load_next_c = '0; if(decoded_reg_strb.intr_block_rf.error_intr_trig_r && decoded_req_is_wr && !(hwif_in.soc_req)) begin // SW write 1 set next_c = field_storage.intr_block_rf.error_intr_trig_r.error_wdt_timer2_timeout_trig.value | (decoded_wr_data[7:7] & decoded_wr_biten[7:7]); load_next_c = '1; @@ -4463,8 +4709,10 @@ module soc_ifc_reg ( end // Field: soc_ifc_reg.intr_block_rf.notif_intr_trig_r.notif_cmd_avail_trig always_comb begin - automatic logic [0:0] next_c = field_storage.intr_block_rf.notif_intr_trig_r.notif_cmd_avail_trig.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.intr_block_rf.notif_intr_trig_r.notif_cmd_avail_trig.value; + load_next_c = '0; if(decoded_reg_strb.intr_block_rf.notif_intr_trig_r && decoded_req_is_wr && !(hwif_in.soc_req)) begin // SW write 1 set next_c = field_storage.intr_block_rf.notif_intr_trig_r.notif_cmd_avail_trig.value | (decoded_wr_data[0:0] & decoded_wr_biten[0:0]); load_next_c = '1; @@ -4484,8 +4732,10 @@ module soc_ifc_reg ( end // Field: soc_ifc_reg.intr_block_rf.notif_intr_trig_r.notif_mbox_ecc_cor_trig always_comb begin - automatic logic [0:0] next_c = field_storage.intr_block_rf.notif_intr_trig_r.notif_mbox_ecc_cor_trig.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.intr_block_rf.notif_intr_trig_r.notif_mbox_ecc_cor_trig.value; + load_next_c = '0; if(decoded_reg_strb.intr_block_rf.notif_intr_trig_r && decoded_req_is_wr && !(hwif_in.soc_req)) begin // SW write 1 set next_c = field_storage.intr_block_rf.notif_intr_trig_r.notif_mbox_ecc_cor_trig.value | (decoded_wr_data[1:1] & decoded_wr_biten[1:1]); load_next_c = '1; @@ -4505,8 +4755,10 @@ module soc_ifc_reg ( end // Field: soc_ifc_reg.intr_block_rf.notif_intr_trig_r.notif_debug_locked_trig always_comb begin - automatic logic [0:0] next_c = field_storage.intr_block_rf.notif_intr_trig_r.notif_debug_locked_trig.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.intr_block_rf.notif_intr_trig_r.notif_debug_locked_trig.value; + load_next_c = '0; if(decoded_reg_strb.intr_block_rf.notif_intr_trig_r && decoded_req_is_wr && !(hwif_in.soc_req)) begin // SW write 1 set next_c = field_storage.intr_block_rf.notif_intr_trig_r.notif_debug_locked_trig.value | (decoded_wr_data[2:2] & decoded_wr_biten[2:2]); load_next_c = '1; @@ -4526,8 +4778,10 @@ module soc_ifc_reg ( end // Field: soc_ifc_reg.intr_block_rf.notif_intr_trig_r.notif_scan_mode_trig always_comb begin - automatic logic [0:0] next_c = field_storage.intr_block_rf.notif_intr_trig_r.notif_scan_mode_trig.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.intr_block_rf.notif_intr_trig_r.notif_scan_mode_trig.value; + load_next_c = '0; if(decoded_reg_strb.intr_block_rf.notif_intr_trig_r && decoded_req_is_wr && !(hwif_in.soc_req)) begin // SW write 1 set next_c = field_storage.intr_block_rf.notif_intr_trig_r.notif_scan_mode_trig.value | (decoded_wr_data[3:3] & decoded_wr_biten[3:3]); load_next_c = '1; @@ -4547,8 +4801,10 @@ module soc_ifc_reg ( end // Field: soc_ifc_reg.intr_block_rf.notif_intr_trig_r.notif_soc_req_lock_trig always_comb begin - automatic logic [0:0] next_c = field_storage.intr_block_rf.notif_intr_trig_r.notif_soc_req_lock_trig.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.intr_block_rf.notif_intr_trig_r.notif_soc_req_lock_trig.value; + load_next_c = '0; if(decoded_reg_strb.intr_block_rf.notif_intr_trig_r && decoded_req_is_wr && !(hwif_in.soc_req)) begin // SW write 1 set next_c = field_storage.intr_block_rf.notif_intr_trig_r.notif_soc_req_lock_trig.value | (decoded_wr_data[4:4] & decoded_wr_biten[4:4]); load_next_c = '1; @@ -4568,8 +4824,10 @@ module soc_ifc_reg ( end // Field: soc_ifc_reg.intr_block_rf.notif_intr_trig_r.notif_gen_in_toggle_trig always_comb begin - automatic logic [0:0] next_c = field_storage.intr_block_rf.notif_intr_trig_r.notif_gen_in_toggle_trig.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.intr_block_rf.notif_intr_trig_r.notif_gen_in_toggle_trig.value; + load_next_c = '0; if(decoded_reg_strb.intr_block_rf.notif_intr_trig_r && decoded_req_is_wr && !(hwif_in.soc_req)) begin // SW write 1 set next_c = field_storage.intr_block_rf.notif_intr_trig_r.notif_gen_in_toggle_trig.value | (decoded_wr_data[5:5] & decoded_wr_biten[5:5]); load_next_c = '1; @@ -4589,8 +4847,10 @@ module soc_ifc_reg ( end // Field: soc_ifc_reg.intr_block_rf.error_internal_intr_count_r.cnt always_comb begin - automatic logic [31:0] next_c = field_storage.intr_block_rf.error_internal_intr_count_r.cnt.value; - automatic logic load_next_c = '0; + automatic logic [31:0] next_c; + automatic logic load_next_c; + next_c = field_storage.intr_block_rf.error_internal_intr_count_r.cnt.value; + load_next_c = '0; if(decoded_reg_strb.intr_block_rf.error_internal_intr_count_r && decoded_req_is_wr && !(hwif_in.soc_req)) begin // SW write next_c = (field_storage.intr_block_rf.error_internal_intr_count_r.cnt.value & ~decoded_wr_biten[31:0]) | (decoded_wr_data[31:0] & decoded_wr_biten[31:0]); load_next_c = '1; @@ -4621,8 +4881,10 @@ module soc_ifc_reg ( end // Field: soc_ifc_reg.intr_block_rf.error_inv_dev_intr_count_r.cnt always_comb begin - automatic logic [31:0] next_c = field_storage.intr_block_rf.error_inv_dev_intr_count_r.cnt.value; - automatic logic load_next_c = '0; + automatic logic [31:0] next_c; + automatic logic load_next_c; + next_c = field_storage.intr_block_rf.error_inv_dev_intr_count_r.cnt.value; + load_next_c = '0; if(decoded_reg_strb.intr_block_rf.error_inv_dev_intr_count_r && decoded_req_is_wr && !(hwif_in.soc_req)) begin // SW write next_c = (field_storage.intr_block_rf.error_inv_dev_intr_count_r.cnt.value & ~decoded_wr_biten[31:0]) | (decoded_wr_data[31:0] & decoded_wr_biten[31:0]); load_next_c = '1; @@ -4653,8 +4915,10 @@ module soc_ifc_reg ( end // Field: soc_ifc_reg.intr_block_rf.error_cmd_fail_intr_count_r.cnt always_comb begin - automatic logic [31:0] next_c = field_storage.intr_block_rf.error_cmd_fail_intr_count_r.cnt.value; - automatic logic load_next_c = '0; + automatic logic [31:0] next_c; + automatic logic load_next_c; + next_c = field_storage.intr_block_rf.error_cmd_fail_intr_count_r.cnt.value; + load_next_c = '0; if(decoded_reg_strb.intr_block_rf.error_cmd_fail_intr_count_r && decoded_req_is_wr && !(hwif_in.soc_req)) begin // SW write next_c = (field_storage.intr_block_rf.error_cmd_fail_intr_count_r.cnt.value & ~decoded_wr_biten[31:0]) | (decoded_wr_data[31:0] & decoded_wr_biten[31:0]); load_next_c = '1; @@ -4685,8 +4949,10 @@ module soc_ifc_reg ( end // Field: soc_ifc_reg.intr_block_rf.error_bad_fuse_intr_count_r.cnt always_comb begin - automatic logic [31:0] next_c = field_storage.intr_block_rf.error_bad_fuse_intr_count_r.cnt.value; - automatic logic load_next_c = '0; + automatic logic [31:0] next_c; + automatic logic load_next_c; + next_c = field_storage.intr_block_rf.error_bad_fuse_intr_count_r.cnt.value; + load_next_c = '0; if(decoded_reg_strb.intr_block_rf.error_bad_fuse_intr_count_r && decoded_req_is_wr && !(hwif_in.soc_req)) begin // SW write next_c = (field_storage.intr_block_rf.error_bad_fuse_intr_count_r.cnt.value & ~decoded_wr_biten[31:0]) | (decoded_wr_data[31:0] & decoded_wr_biten[31:0]); load_next_c = '1; @@ -4717,8 +4983,10 @@ module soc_ifc_reg ( end // Field: soc_ifc_reg.intr_block_rf.error_iccm_blocked_intr_count_r.cnt always_comb begin - automatic logic [31:0] next_c = field_storage.intr_block_rf.error_iccm_blocked_intr_count_r.cnt.value; - automatic logic load_next_c = '0; + automatic logic [31:0] next_c; + automatic logic load_next_c; + next_c = field_storage.intr_block_rf.error_iccm_blocked_intr_count_r.cnt.value; + load_next_c = '0; if(decoded_reg_strb.intr_block_rf.error_iccm_blocked_intr_count_r && decoded_req_is_wr && !(hwif_in.soc_req)) begin // SW write next_c = (field_storage.intr_block_rf.error_iccm_blocked_intr_count_r.cnt.value & ~decoded_wr_biten[31:0]) | (decoded_wr_data[31:0] & decoded_wr_biten[31:0]); load_next_c = '1; @@ -4749,8 +5017,10 @@ module soc_ifc_reg ( end // Field: soc_ifc_reg.intr_block_rf.error_mbox_ecc_unc_intr_count_r.cnt always_comb begin - automatic logic [31:0] next_c = field_storage.intr_block_rf.error_mbox_ecc_unc_intr_count_r.cnt.value; - automatic logic load_next_c = '0; + automatic logic [31:0] next_c; + automatic logic load_next_c; + next_c = field_storage.intr_block_rf.error_mbox_ecc_unc_intr_count_r.cnt.value; + load_next_c = '0; if(decoded_reg_strb.intr_block_rf.error_mbox_ecc_unc_intr_count_r && decoded_req_is_wr && !(hwif_in.soc_req)) begin // SW write next_c = (field_storage.intr_block_rf.error_mbox_ecc_unc_intr_count_r.cnt.value & ~decoded_wr_biten[31:0]) | (decoded_wr_data[31:0] & decoded_wr_biten[31:0]); load_next_c = '1; @@ -4781,8 +5051,10 @@ module soc_ifc_reg ( end // Field: soc_ifc_reg.intr_block_rf.error_wdt_timer1_timeout_intr_count_r.cnt always_comb begin - automatic logic [31:0] next_c = field_storage.intr_block_rf.error_wdt_timer1_timeout_intr_count_r.cnt.value; - automatic logic load_next_c = '0; + automatic logic [31:0] next_c; + automatic logic load_next_c; + next_c = field_storage.intr_block_rf.error_wdt_timer1_timeout_intr_count_r.cnt.value; + load_next_c = '0; if(decoded_reg_strb.intr_block_rf.error_wdt_timer1_timeout_intr_count_r && decoded_req_is_wr && !(hwif_in.soc_req)) begin // SW write next_c = (field_storage.intr_block_rf.error_wdt_timer1_timeout_intr_count_r.cnt.value & ~decoded_wr_biten[31:0]) | (decoded_wr_data[31:0] & decoded_wr_biten[31:0]); load_next_c = '1; @@ -4813,8 +5085,10 @@ module soc_ifc_reg ( end // Field: soc_ifc_reg.intr_block_rf.error_wdt_timer2_timeout_intr_count_r.cnt always_comb begin - automatic logic [31:0] next_c = field_storage.intr_block_rf.error_wdt_timer2_timeout_intr_count_r.cnt.value; - automatic logic load_next_c = '0; + automatic logic [31:0] next_c; + automatic logic load_next_c; + next_c = field_storage.intr_block_rf.error_wdt_timer2_timeout_intr_count_r.cnt.value; + load_next_c = '0; if(decoded_reg_strb.intr_block_rf.error_wdt_timer2_timeout_intr_count_r && decoded_req_is_wr && !(hwif_in.soc_req)) begin // SW write next_c = (field_storage.intr_block_rf.error_wdt_timer2_timeout_intr_count_r.cnt.value & ~decoded_wr_biten[31:0]) | (decoded_wr_data[31:0] & decoded_wr_biten[31:0]); load_next_c = '1; @@ -4845,8 +5119,10 @@ module soc_ifc_reg ( end // Field: soc_ifc_reg.intr_block_rf.notif_cmd_avail_intr_count_r.cnt always_comb begin - automatic logic [31:0] next_c = field_storage.intr_block_rf.notif_cmd_avail_intr_count_r.cnt.value; - automatic logic load_next_c = '0; + automatic logic [31:0] next_c; + automatic logic load_next_c; + next_c = field_storage.intr_block_rf.notif_cmd_avail_intr_count_r.cnt.value; + load_next_c = '0; if(decoded_reg_strb.intr_block_rf.notif_cmd_avail_intr_count_r && decoded_req_is_wr && !(hwif_in.soc_req)) begin // SW write next_c = (field_storage.intr_block_rf.notif_cmd_avail_intr_count_r.cnt.value & ~decoded_wr_biten[31:0]) | (decoded_wr_data[31:0] & decoded_wr_biten[31:0]); load_next_c = '1; @@ -4877,8 +5153,10 @@ module soc_ifc_reg ( end // Field: soc_ifc_reg.intr_block_rf.notif_mbox_ecc_cor_intr_count_r.cnt always_comb begin - automatic logic [31:0] next_c = field_storage.intr_block_rf.notif_mbox_ecc_cor_intr_count_r.cnt.value; - automatic logic load_next_c = '0; + automatic logic [31:0] next_c; + automatic logic load_next_c; + next_c = field_storage.intr_block_rf.notif_mbox_ecc_cor_intr_count_r.cnt.value; + load_next_c = '0; if(decoded_reg_strb.intr_block_rf.notif_mbox_ecc_cor_intr_count_r && decoded_req_is_wr && !(hwif_in.soc_req)) begin // SW write next_c = (field_storage.intr_block_rf.notif_mbox_ecc_cor_intr_count_r.cnt.value & ~decoded_wr_biten[31:0]) | (decoded_wr_data[31:0] & decoded_wr_biten[31:0]); load_next_c = '1; @@ -4909,8 +5187,10 @@ module soc_ifc_reg ( end // Field: soc_ifc_reg.intr_block_rf.notif_debug_locked_intr_count_r.cnt always_comb begin - automatic logic [31:0] next_c = field_storage.intr_block_rf.notif_debug_locked_intr_count_r.cnt.value; - automatic logic load_next_c = '0; + automatic logic [31:0] next_c; + automatic logic load_next_c; + next_c = field_storage.intr_block_rf.notif_debug_locked_intr_count_r.cnt.value; + load_next_c = '0; if(decoded_reg_strb.intr_block_rf.notif_debug_locked_intr_count_r && decoded_req_is_wr && !(hwif_in.soc_req)) begin // SW write next_c = (field_storage.intr_block_rf.notif_debug_locked_intr_count_r.cnt.value & ~decoded_wr_biten[31:0]) | (decoded_wr_data[31:0] & decoded_wr_biten[31:0]); load_next_c = '1; @@ -4941,8 +5221,10 @@ module soc_ifc_reg ( end // Field: soc_ifc_reg.intr_block_rf.notif_scan_mode_intr_count_r.cnt always_comb begin - automatic logic [31:0] next_c = field_storage.intr_block_rf.notif_scan_mode_intr_count_r.cnt.value; - automatic logic load_next_c = '0; + automatic logic [31:0] next_c; + automatic logic load_next_c; + next_c = field_storage.intr_block_rf.notif_scan_mode_intr_count_r.cnt.value; + load_next_c = '0; if(decoded_reg_strb.intr_block_rf.notif_scan_mode_intr_count_r && decoded_req_is_wr && !(hwif_in.soc_req)) begin // SW write next_c = (field_storage.intr_block_rf.notif_scan_mode_intr_count_r.cnt.value & ~decoded_wr_biten[31:0]) | (decoded_wr_data[31:0] & decoded_wr_biten[31:0]); load_next_c = '1; @@ -4973,8 +5255,10 @@ module soc_ifc_reg ( end // Field: soc_ifc_reg.intr_block_rf.notif_soc_req_lock_intr_count_r.cnt always_comb begin - automatic logic [31:0] next_c = field_storage.intr_block_rf.notif_soc_req_lock_intr_count_r.cnt.value; - automatic logic load_next_c = '0; + automatic logic [31:0] next_c; + automatic logic load_next_c; + next_c = field_storage.intr_block_rf.notif_soc_req_lock_intr_count_r.cnt.value; + load_next_c = '0; if(decoded_reg_strb.intr_block_rf.notif_soc_req_lock_intr_count_r && decoded_req_is_wr && !(hwif_in.soc_req)) begin // SW write next_c = (field_storage.intr_block_rf.notif_soc_req_lock_intr_count_r.cnt.value & ~decoded_wr_biten[31:0]) | (decoded_wr_data[31:0] & decoded_wr_biten[31:0]); load_next_c = '1; @@ -5005,8 +5289,10 @@ module soc_ifc_reg ( end // Field: soc_ifc_reg.intr_block_rf.notif_gen_in_toggle_intr_count_r.cnt always_comb begin - automatic logic [31:0] next_c = field_storage.intr_block_rf.notif_gen_in_toggle_intr_count_r.cnt.value; - automatic logic load_next_c = '0; + automatic logic [31:0] next_c; + automatic logic load_next_c; + next_c = field_storage.intr_block_rf.notif_gen_in_toggle_intr_count_r.cnt.value; + load_next_c = '0; if(decoded_reg_strb.intr_block_rf.notif_gen_in_toggle_intr_count_r && decoded_req_is_wr && !(hwif_in.soc_req)) begin // SW write next_c = (field_storage.intr_block_rf.notif_gen_in_toggle_intr_count_r.cnt.value & ~decoded_wr_biten[31:0]) | (decoded_wr_data[31:0] & decoded_wr_biten[31:0]); load_next_c = '1; @@ -5037,8 +5323,10 @@ module soc_ifc_reg ( end // Field: soc_ifc_reg.intr_block_rf.error_internal_intr_count_incr_r.pulse always_comb begin - automatic logic [0:0] next_c = field_storage.intr_block_rf.error_internal_intr_count_incr_r.pulse.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.intr_block_rf.error_internal_intr_count_incr_r.pulse.value; + load_next_c = '0; if(field_storage.intr_block_rf.error_intr_trig_r.error_internal_trig.value) begin // HW Write - we next_c = field_storage.intr_block_rf.error_intr_trig_r.error_internal_trig.value; load_next_c = '1; @@ -5066,8 +5354,10 @@ module soc_ifc_reg ( end // Field: soc_ifc_reg.intr_block_rf.error_inv_dev_intr_count_incr_r.pulse always_comb begin - automatic logic [0:0] next_c = field_storage.intr_block_rf.error_inv_dev_intr_count_incr_r.pulse.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.intr_block_rf.error_inv_dev_intr_count_incr_r.pulse.value; + load_next_c = '0; if(field_storage.intr_block_rf.error_intr_trig_r.error_inv_dev_trig.value) begin // HW Write - we next_c = field_storage.intr_block_rf.error_intr_trig_r.error_inv_dev_trig.value; load_next_c = '1; @@ -5095,8 +5385,10 @@ module soc_ifc_reg ( end // Field: soc_ifc_reg.intr_block_rf.error_cmd_fail_intr_count_incr_r.pulse always_comb begin - automatic logic [0:0] next_c = field_storage.intr_block_rf.error_cmd_fail_intr_count_incr_r.pulse.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.intr_block_rf.error_cmd_fail_intr_count_incr_r.pulse.value; + load_next_c = '0; if(field_storage.intr_block_rf.error_intr_trig_r.error_cmd_fail_trig.value) begin // HW Write - we next_c = field_storage.intr_block_rf.error_intr_trig_r.error_cmd_fail_trig.value; load_next_c = '1; @@ -5124,8 +5416,10 @@ module soc_ifc_reg ( end // Field: soc_ifc_reg.intr_block_rf.error_bad_fuse_intr_count_incr_r.pulse always_comb begin - automatic logic [0:0] next_c = field_storage.intr_block_rf.error_bad_fuse_intr_count_incr_r.pulse.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.intr_block_rf.error_bad_fuse_intr_count_incr_r.pulse.value; + load_next_c = '0; if(field_storage.intr_block_rf.error_intr_trig_r.error_bad_fuse_trig.value) begin // HW Write - we next_c = field_storage.intr_block_rf.error_intr_trig_r.error_bad_fuse_trig.value; load_next_c = '1; @@ -5153,8 +5447,10 @@ module soc_ifc_reg ( end // Field: soc_ifc_reg.intr_block_rf.error_iccm_blocked_intr_count_incr_r.pulse always_comb begin - automatic logic [0:0] next_c = field_storage.intr_block_rf.error_iccm_blocked_intr_count_incr_r.pulse.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.intr_block_rf.error_iccm_blocked_intr_count_incr_r.pulse.value; + load_next_c = '0; if(field_storage.intr_block_rf.error_intr_trig_r.error_iccm_blocked_trig.value) begin // HW Write - we next_c = field_storage.intr_block_rf.error_intr_trig_r.error_iccm_blocked_trig.value; load_next_c = '1; @@ -5182,8 +5478,10 @@ module soc_ifc_reg ( end // Field: soc_ifc_reg.intr_block_rf.error_mbox_ecc_unc_intr_count_incr_r.pulse always_comb begin - automatic logic [0:0] next_c = field_storage.intr_block_rf.error_mbox_ecc_unc_intr_count_incr_r.pulse.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.intr_block_rf.error_mbox_ecc_unc_intr_count_incr_r.pulse.value; + load_next_c = '0; if(field_storage.intr_block_rf.error_intr_trig_r.error_mbox_ecc_unc_trig.value) begin // HW Write - we next_c = field_storage.intr_block_rf.error_intr_trig_r.error_mbox_ecc_unc_trig.value; load_next_c = '1; @@ -5211,8 +5509,10 @@ module soc_ifc_reg ( end // Field: soc_ifc_reg.intr_block_rf.error_wdt_timer1_timeout_intr_count_incr_r.pulse always_comb begin - automatic logic [0:0] next_c = field_storage.intr_block_rf.error_wdt_timer1_timeout_intr_count_incr_r.pulse.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.intr_block_rf.error_wdt_timer1_timeout_intr_count_incr_r.pulse.value; + load_next_c = '0; if(field_storage.intr_block_rf.error_intr_trig_r.error_wdt_timer1_timeout_trig.value) begin // HW Write - we next_c = field_storage.intr_block_rf.error_intr_trig_r.error_wdt_timer1_timeout_trig.value; load_next_c = '1; @@ -5240,8 +5540,10 @@ module soc_ifc_reg ( end // Field: soc_ifc_reg.intr_block_rf.error_wdt_timer2_timeout_intr_count_incr_r.pulse always_comb begin - automatic logic [0:0] next_c = field_storage.intr_block_rf.error_wdt_timer2_timeout_intr_count_incr_r.pulse.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.intr_block_rf.error_wdt_timer2_timeout_intr_count_incr_r.pulse.value; + load_next_c = '0; if(field_storage.intr_block_rf.error_intr_trig_r.error_wdt_timer2_timeout_trig.value) begin // HW Write - we next_c = field_storage.intr_block_rf.error_intr_trig_r.error_wdt_timer2_timeout_trig.value; load_next_c = '1; @@ -5269,8 +5571,10 @@ module soc_ifc_reg ( end // Field: soc_ifc_reg.intr_block_rf.notif_cmd_avail_intr_count_incr_r.pulse always_comb begin - automatic logic [0:0] next_c = field_storage.intr_block_rf.notif_cmd_avail_intr_count_incr_r.pulse.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.intr_block_rf.notif_cmd_avail_intr_count_incr_r.pulse.value; + load_next_c = '0; if(field_storage.intr_block_rf.notif_intr_trig_r.notif_cmd_avail_trig.value) begin // HW Write - we next_c = field_storage.intr_block_rf.notif_intr_trig_r.notif_cmd_avail_trig.value; load_next_c = '1; @@ -5298,8 +5602,10 @@ module soc_ifc_reg ( end // Field: soc_ifc_reg.intr_block_rf.notif_mbox_ecc_cor_intr_count_incr_r.pulse always_comb begin - automatic logic [0:0] next_c = field_storage.intr_block_rf.notif_mbox_ecc_cor_intr_count_incr_r.pulse.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.intr_block_rf.notif_mbox_ecc_cor_intr_count_incr_r.pulse.value; + load_next_c = '0; if(field_storage.intr_block_rf.notif_intr_trig_r.notif_mbox_ecc_cor_trig.value) begin // HW Write - we next_c = field_storage.intr_block_rf.notif_intr_trig_r.notif_mbox_ecc_cor_trig.value; load_next_c = '1; @@ -5327,8 +5633,10 @@ module soc_ifc_reg ( end // Field: soc_ifc_reg.intr_block_rf.notif_debug_locked_intr_count_incr_r.pulse always_comb begin - automatic logic [0:0] next_c = field_storage.intr_block_rf.notif_debug_locked_intr_count_incr_r.pulse.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.intr_block_rf.notif_debug_locked_intr_count_incr_r.pulse.value; + load_next_c = '0; if(field_storage.intr_block_rf.notif_intr_trig_r.notif_debug_locked_trig.value) begin // HW Write - we next_c = field_storage.intr_block_rf.notif_intr_trig_r.notif_debug_locked_trig.value; load_next_c = '1; @@ -5356,8 +5664,10 @@ module soc_ifc_reg ( end // Field: soc_ifc_reg.intr_block_rf.notif_scan_mode_intr_count_incr_r.pulse always_comb begin - automatic logic [0:0] next_c = field_storage.intr_block_rf.notif_scan_mode_intr_count_incr_r.pulse.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.intr_block_rf.notif_scan_mode_intr_count_incr_r.pulse.value; + load_next_c = '0; if(field_storage.intr_block_rf.notif_intr_trig_r.notif_scan_mode_trig.value) begin // HW Write - we next_c = field_storage.intr_block_rf.notif_intr_trig_r.notif_scan_mode_trig.value; load_next_c = '1; @@ -5385,8 +5695,10 @@ module soc_ifc_reg ( end // Field: soc_ifc_reg.intr_block_rf.notif_soc_req_lock_intr_count_incr_r.pulse always_comb begin - automatic logic [0:0] next_c = field_storage.intr_block_rf.notif_soc_req_lock_intr_count_incr_r.pulse.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.intr_block_rf.notif_soc_req_lock_intr_count_incr_r.pulse.value; + load_next_c = '0; if(field_storage.intr_block_rf.notif_intr_trig_r.notif_soc_req_lock_trig.value) begin // HW Write - we next_c = field_storage.intr_block_rf.notif_intr_trig_r.notif_soc_req_lock_trig.value; load_next_c = '1; @@ -5414,8 +5726,10 @@ module soc_ifc_reg ( end // Field: soc_ifc_reg.intr_block_rf.notif_gen_in_toggle_intr_count_incr_r.pulse always_comb begin - automatic logic [0:0] next_c = field_storage.intr_block_rf.notif_gen_in_toggle_intr_count_incr_r.pulse.value; - automatic logic load_next_c = '0; + automatic logic [0:0] next_c; + automatic logic load_next_c; + next_c = field_storage.intr_block_rf.notif_gen_in_toggle_intr_count_incr_r.pulse.value; + load_next_c = '0; if(field_storage.intr_block_rf.notif_intr_trig_r.notif_gen_in_toggle_trig.value) begin // HW Write - we next_c = field_storage.intr_block_rf.notif_intr_trig_r.notif_gen_in_toggle_trig.value; load_next_c = '1; @@ -5456,7 +5770,7 @@ module soc_ifc_reg ( logic readback_err; logic readback_done; logic [31:0] readback_data; - + // Assign readback values to a flattened array logic [186-1:0][31:0] readback_array; assign readback_array[0][0:0] = (decoded_reg_strb.CPTRA_HW_ERROR_FATAL && !decoded_req_is_wr) ? field_storage.CPTRA_HW_ERROR_FATAL.iccm_ecc_unc.value : '0; @@ -5489,7 +5803,7 @@ module soc_ifc_reg ( assign readback_array[17][1:0] = (decoded_reg_strb.CPTRA_SECURITY_STATE && !decoded_req_is_wr) ? hwif_in.CPTRA_SECURITY_STATE.device_lifecycle.next : '0; assign readback_array[17][2:2] = (decoded_reg_strb.CPTRA_SECURITY_STATE && !decoded_req_is_wr) ? hwif_in.CPTRA_SECURITY_STATE.debug_locked.next : '0; assign readback_array[17][3:3] = (decoded_reg_strb.CPTRA_SECURITY_STATE && !decoded_req_is_wr) ? hwif_in.CPTRA_SECURITY_STATE.scan_mode.next : '0; - assign readback_array[17][31:4] = (decoded_reg_strb.CPTRA_SECURITY_STATE && !decoded_req_is_wr) ? 'h0 : '0; + assign readback_array[17][31:4] = (decoded_reg_strb.CPTRA_SECURITY_STATE && !decoded_req_is_wr) ? 28'h0 : '0; for(genvar i0=0; i0<5; i0++) begin assign readback_array[i0*1 + 18][31:0] = (decoded_reg_strb.CPTRA_MBOX_VALID_PAUSER[i0] && !decoded_req_is_wr) ? field_storage.CPTRA_MBOX_VALID_PAUSER[i0].PAUSER.value : '0; end @@ -5522,7 +5836,7 @@ module soc_ifc_reg ( for(genvar i0=0; i0<2; i0++) begin assign readback_array[i0*1 + 51][31:0] = (decoded_reg_strb.CPTRA_GENERIC_OUTPUT_WIRES[i0] && !decoded_req_is_wr) ? field_storage.CPTRA_GENERIC_OUTPUT_WIRES[i0].generic_wires.value : '0; end - assign readback_array[53][15:0] = (decoded_reg_strb.CPTRA_HW_REV_ID && !decoded_req_is_wr) ? 'h11 : '0; + assign readback_array[53][15:0] = (decoded_reg_strb.CPTRA_HW_REV_ID && !decoded_req_is_wr) ? 16'h11 : '0; assign readback_array[53][31:16] = (decoded_reg_strb.CPTRA_HW_REV_ID && !decoded_req_is_wr) ? hwif_in.CPTRA_HW_REV_ID.SOC_STEPPING_ID.next : '0; for(genvar i0=0; i0<2; i0++) begin assign readback_array[i0*1 + 54][31:0] = (decoded_reg_strb.CPTRA_FW_REV_ID[i0] && !decoded_req_is_wr) ? field_storage.CPTRA_FW_REV_ID[i0].REV_ID.value : '0; @@ -5600,7 +5914,7 @@ module soc_ifc_reg ( assign readback_array[141][0:0] = (decoded_reg_strb.internal_hw_error_fatal_mask && !decoded_req_is_wr) ? field_storage.internal_hw_error_fatal_mask.mask_iccm_ecc_unc.value : '0; assign readback_array[141][1:1] = (decoded_reg_strb.internal_hw_error_fatal_mask && !decoded_req_is_wr) ? field_storage.internal_hw_error_fatal_mask.mask_dccm_ecc_unc.value : '0; assign readback_array[141][2:2] = (decoded_reg_strb.internal_hw_error_fatal_mask && !decoded_req_is_wr) ? field_storage.internal_hw_error_fatal_mask.mask_nmi_pin.value : '0; - assign readback_array[141][3:3] = (decoded_reg_strb.internal_hw_error_fatal_mask && !decoded_req_is_wr) ? 'h0 : '0; + assign readback_array[141][3:3] = (decoded_reg_strb.internal_hw_error_fatal_mask && !decoded_req_is_wr) ? 1'h0 : '0; assign readback_array[141][31:4] = '0; assign readback_array[142][0:0] = (decoded_reg_strb.internal_hw_error_non_fatal_mask && !decoded_req_is_wr) ? field_storage.internal_hw_error_non_fatal_mask.mask_mbox_prot_no_lock.value : '0; assign readback_array[142][1:1] = (decoded_reg_strb.internal_hw_error_non_fatal_mask && !decoded_req_is_wr) ? field_storage.internal_hw_error_non_fatal_mask.mask_mbox_prot_ooo.value : '0; @@ -5726,4 +6040,4 @@ module soc_ifc_reg ( `CALIPTRA_ASSERT_KNOWN(ERR_HWIF_IN, hwif_in, clk, !hwif_in.cptra_pwrgood) -endmodule \ No newline at end of file +endmodule diff --git a/tools/scripts/Makefile b/tools/scripts/Makefile index 82c05a7ed..401e47f83 100644 --- a/tools/scripts/Makefile +++ b/tools/scripts/Makefile @@ -86,7 +86,7 @@ HEADER_FILES := $(INCLUDES_DIR)/caliptra_defines.h \ TEST_GEN_FILES := $(CALIPTRA_ROOT)/src/ecc/tb/ecdsa_secp384r1.exe \ $(CALIPTRA_ROOT)/src/doe/tb/doe_test_gen.py \ $(CALIPTRA_ROOT)/src/sha256/tb/sha256_wntz_test_gen.py \ - $(ADAMS_BRIDGE_ROOT)/sec/mldsa_top/uvmf/Dilithium_ref/dilithium/ref/test/test_dilithium5.exe + $(ADAMS_BRIDGE_ROOT)/sec/mldsa_top/uvmf/Dilithium_ref/dilithium/ref/test/test_dilithium5 # Separate OFILE variable since this is not used to build remote images # (i.e. FMC or RunTime)