From 8cfdb1fbf57f879d4611586dbb843896c2b9a12d Mon Sep 17 00:00:00 2001 From: Steven Bellock Date: Sun, 5 Nov 2023 13:10:55 -0800 Subject: [PATCH 01/48] Extend new APB defines in integration document Follow-up to #279. Signed-off-by: Steven Bellock --- docs/CaliptraIntegrationSpecification.md | 16 ++++++++-------- 1 file changed, 8 insertions(+), 8 deletions(-) diff --git a/docs/CaliptraIntegrationSpecification.md b/docs/CaliptraIntegrationSpecification.md index fc8233216..ca106b2a8 100644 --- a/docs/CaliptraIntegrationSpecification.md +++ b/docs/CaliptraIntegrationSpecification.md @@ -93,15 +93,15 @@ The following tables describe the interface signals. | Signal name | Width | Driver | Synchronous (as viewed from Caliptra’s boundary) | Description | | :--------- | :--------- | :--------- | :--------- | :--------- | -| PADDR | 32 | Input | Synchronous to clk | Address bus | +| PADDR | CALIPTRA_APB_ADDR_WIDTH | Input | Synchronous to clk | Address bus | | PPROT | 3 | Input | Synchronous to clk | Protection level | | PSEL | 1 | Input | Synchronous to clk | Select line | | PENABLE | 1 | Input | Synchronous to clk | Indicates the second and subsequent cycles. | | PWRITE | 1 | Input | Synchronous to clk | Indicates transfer is a write when high or a read when low. | -| PWDATA | 32 | Input | Synchronous to clk | Write data bus | -| PAUSER | APB_USER_REQ_WIDTH | Input | Synchronous to clk | Sideband signal indicating requestor ID for transfer. | +| PWDATA | CALIPTRA_APB_DATA_WIDTH | Input | Synchronous to clk | Write data bus | +| PAUSER | CALIPTRA_APB_USER_WIDTH | Input | Synchronous to clk | Sideband signal indicating requestor ID for transfer. | | PREADY | 1 | Output | Synchronous to clk | Used to extend an APB transfer by completer. | -| PRDATA | 32 | Output | Synchronous to clk | Read data bus | +| PRDATA | CALIPTRA_APB_DATA_WIDTH | Output | Synchronous to clk | Read data bus | | PSLVERR | 1 | Output | Synchronous to clk | Transfer error | *Table 6: QSPI signals* @@ -448,9 +448,9 @@ SHA\_LOCK register is set on read. A read of 0 indicates the SHA was unlocked an SHA\_MODE register sets the mode of operation for the SHA. See the Hardware specification for additional details. -* 2’b00 - SHA384 streaming mode -* 2’b01 - SHA512 streaming mode -* 2’b10 - SHA384 mailbox mode (Caliptra only, invalid for SoC requests) +* 2’b00 - SHA384 streaming mode +* 2’b01 - SHA512 streaming mode +* 2’b10 - SHA384 mailbox mode (Caliptra only, invalid for SoC requests) * 2’b11 - SHA512 mailbox mode (Caliptra only, invalid for SoC requests) ## SoC Sender Protocol @@ -593,7 +593,7 @@ Note that the example assumes that data and ECC codes are in non-deterministic b 2. SoC can look at the Caliptra fatal error register for error source. 3. Assume Caliptra can report a fatal error at any time. 4. Fatal errors are generally hardware in nature. SoC may attempt to recover by full reset of the entire SoC, or can move on and know that Caliptra will be unavailable for the remainder of the current boot. - 5. We cannot assume that uncorrectable errors will be correctly detected by Caliptra, ECC fatal errors shall be reported by SOC MCRIP. + 5. We cannot assume that uncorrectable errors will be correctly detected by Caliptra, ECC fatal errors shall be reported by SOC MCRIP. # SoC integration requirements From 7a499fab7f3c8d3a1c4ad88c3abfdfbe9f4715be Mon Sep 17 00:00:00 2001 From: Kiran Upadhyayula Date: Fri, 10 Nov 2023 12:11:17 -0800 Subject: [PATCH 02/48] Spec update with synthesis warnings and jtag tck requirement --- docs/CaliptraIntegrationSpecification.md | 16 ++++++++++++++-- 1 file changed, 14 insertions(+), 2 deletions(-) diff --git a/docs/CaliptraIntegrationSpecification.md b/docs/CaliptraIntegrationSpecification.md index 2663e73a3..c15e29f7d 100644 --- a/docs/CaliptraIntegrationSpecification.md +++ b/docs/CaliptraIntegrationSpecification.md @@ -649,6 +649,17 @@ The following table describes SoC integration requirements. | FUSE PAUSER programming rules | 1 PAUSER attribute register is implemented at SoC interface: CPTRA_FUSE_VALID_PAUSER. | | | | FUSE PAUSER programming rules | CPTRA_FUSE_PAUSER_LOCK locks the programmable valid pauser register, and marks the programmed value as valid. | | | | FUSE PAUSER programming rules | Integrators can choose to harden the valid pauser for fuse access by setting the integration parameter, CPTRA_FUSE_VALID_PAUSER, to the desired value in RTL, and by setting CPTRA_SET_FUSE_PAUSER_INTEG to 1. | | | +| GLS FEV | GLS FEV must be run to make sure netlist and RTL match and none of the countermeasures are optimized away. Check table 18 for example warnings from synthesis runs to resolve through FEV | GLS simulations pass | Functional requirement | + +*Table 18: Caliptra synthesis warnings for FEV evaluation* + +| Module | Warning | Line No. | +| :--------- | :--------- | :--------- | +| sha512_acc_top | Empty netlist for always_comb | 417 | +| ecc_scalar_blinding | Netlist for always_ff block does not contain flip flop | 301 | +| sha512_masked_core | "masked_carry" is read before being assigned. Synthesized result may not match simulation | 295, 312 | +| ecc_montgomerymultiplier | Netlist for always_ff block does not contain flip flop | 274, 326 | +| Multiple modules | Signed to unsigned conversion occurs | | # CDC analysis and constraints @@ -679,6 +690,7 @@ The following code snippet and schematic diagram illustrate JTAG originating CDC * Pseudo-static: wr\_data, wr\_addr * cdc signal reg\_wr\_data -module dmi\_wrapper -stable * cdc signal reg\_wr\_addr -module dmi\_wrapper -stable +* The core clock frequency must be at least twice the TCK clock frequency for the JTAG data to pass correctly through the synchronizers. ## CDC constraints * cdc report scheme two\_dff -severity violation @@ -701,7 +713,7 @@ The area is expressed in units of square microns. The target foundry technology node is an industry standard, moderately advanced technology node as of 2023 September. -*Table 18: Netlist synthesis data* +*Table 19: Netlist synthesis data* | **IP Name** | **Date** | **Path Group** | **Target Freq** | **QoR WNS** | **QoR Achieveable Freq** | | :--------- | :--------- | :--------- | :--------- | :--------- | :--------- | @@ -868,7 +880,7 @@ Fatal: The 'default' or 'others' must be last case in a case statement The following terminology is used in this document. -*Table 19: Terminology* +*Table 20: Terminology* | Abbreviation | Description | From 869c44fa1516449d7e40267138efcdd4e8edc8e1 Mon Sep 17 00:00:00 2001 From: Kiran Upadhyayula Date: Mon, 13 Nov 2023 10:56:53 -0800 Subject: [PATCH 03/48] Added some more description --- docs/CaliptraIntegrationSpecification.md | 19 +++++++++---------- 1 file changed, 9 insertions(+), 10 deletions(-) diff --git a/docs/CaliptraIntegrationSpecification.md b/docs/CaliptraIntegrationSpecification.md index c15e29f7d..538c1215d 100644 --- a/docs/CaliptraIntegrationSpecification.md +++ b/docs/CaliptraIntegrationSpecification.md @@ -608,9 +608,6 @@ The following table describes SoC integration requirements. | Deobfuscation Key | Rotation of the deobfuscation key (if not driven through PUF) between silicon steppings of a given product (for example, A0 vs. B0 vs. PRQ stepping) is dependent on company-specific policies. | Statement of conformance | Required by UDS and Field Entropy threat model | | Deobfuscation Key | SoC backend flows should not insert deobfuscation key flops into the scan chain. | Synthesis report | Required by UDS and Field Entropy threat model | | Deobfuscation Key | For defense in depth, it is strongly recommended that debofuscation key flops are not on the scan chain.
Remove the following signals from the scan chain:
cptra_scan_mode_Latched_d
cptra_scan_mode_Latched_f
field_storage.internal_obf_key | Statement of conformance | Caliptra HW threat model | -| CSR Signing Key | SoC backend flows shall generate CSR signing key with appropriate NIST compliance as dictated in the Caliptra RoT specification. | Statement of conformance | Required by IDevID threat model | -| CSR Signing Key | Rotation of the CSR private key between silicon steppings of a given product (for example, A0 vs. B0 vs. PRQ stepping) is dependent on company-specific policies. | Statement of conformance | | -| CSR Signing Key | SoC backend flows should not insert CSR signing key flops into the scan chain. | Synthesis report | Required by IDevID threat model | | DFT | Before scan is enabled (separate signal that SoC implements on scan insertion), SoC shall set Caliptra's scan_mode indication to '1 to allow secrets/assets to be flushed. | Statement of conformance | Required by Caliptra threat model | | DFT | Caliptra’s TAP should be a TAP endpoint. | Statement of conformance | Functional requirement | | Mailbox | SoC shall provide an access path between the mailbox and the application CPU complex on SoCs with such complexes (for example, Host CPUs and Smart NICs). See the [Sender Protocol](#sender-protocol) section for details about error conditions. | Statement of conformance | Required for Project Kirkland and TDISP TSM | @@ -653,13 +650,13 @@ The following table describes SoC integration requirements. *Table 18: Caliptra synthesis warnings for FEV evaluation* -| Module | Warning | Line No. | -| :--------- | :--------- | :--------- | -| sha512_acc_top | Empty netlist for always_comb | 417 | -| ecc_scalar_blinding | Netlist for always_ff block does not contain flip flop | 301 | -| sha512_masked_core | "masked_carry" is read before being assigned. Synthesized result may not match simulation | 295, 312 | -| ecc_montgomerymultiplier | Netlist for always_ff block does not contain flip flop | 274, 326 | -| Multiple modules | Signed to unsigned conversion occurs | | +| Module | Warning | Line No. | Description | +| :--------- | :--------- | :--------- | :--------- | +| sha512_acc_top | Empty netlist for always_comb | 417 |Unused logic (no load)| +| ecc_scalar_blinding | Netlist for always_ff block does not contain flip flop | 301 |Output width is smaller than internal signals, synthesis optimizes away the extra internal flops with no loads| +| sha512_masked_core | "masked_carry" is read before being assigned. Synthesized result may not match simulation | 295, 312 || +| ecc_montgomerymultiplier | Netlist for always_ff block does not contain flip flop | 274, 326 |Output width is smaller than internal signals, synthesis optimizes away the extra internal flops with no loads| +| Multiple modules | Signed to unsigned conversion occurs | || # CDC analysis and constraints @@ -703,6 +700,8 @@ Synthesis experiments have so far found the following: * Design converges at 400MHz 0.72V using a cutting edge TSMC process. * Design converges at 100MHz using TSMC 40nm process. +Note: Any synthesis warnings of logic optimization must be reviewed and accounted for. + # Netlist synthesis data The following table illustrates representative netlist synthesis results using industry standard EDA synthesis tools and tool configurations. From 5bbdd260ea349478c6136fe2ce4ba795ec5af1da Mon Sep 17 00:00:00 2001 From: Kiran Upadhyayula Date: Mon, 13 Nov 2023 12:56:47 -0800 Subject: [PATCH 04/48] Apply suggestion from review --- docs/CaliptraIntegrationSpecification.md | 4 ++-- 1 file changed, 2 insertions(+), 2 deletions(-) diff --git a/docs/CaliptraIntegrationSpecification.md b/docs/CaliptraIntegrationSpecification.md index 538c1215d..a60bc18af 100644 --- a/docs/CaliptraIntegrationSpecification.md +++ b/docs/CaliptraIntegrationSpecification.md @@ -646,7 +646,7 @@ The following table describes SoC integration requirements. | FUSE PAUSER programming rules | 1 PAUSER attribute register is implemented at SoC interface: CPTRA_FUSE_VALID_PAUSER. | | | | FUSE PAUSER programming rules | CPTRA_FUSE_PAUSER_LOCK locks the programmable valid pauser register, and marks the programmed value as valid. | | | | FUSE PAUSER programming rules | Integrators can choose to harden the valid pauser for fuse access by setting the integration parameter, CPTRA_FUSE_VALID_PAUSER, to the desired value in RTL, and by setting CPTRA_SET_FUSE_PAUSER_INTEG to 1. | | | -| GLS FEV | GLS FEV must be run to make sure netlist and RTL match and none of the countermeasures are optimized away. Check table 18 for example warnings from synthesis runs to resolve through FEV | GLS simulations pass | Functional requirement | +| GLS FEV | GLS FEV must be run to make sure netlist and RTL match and none of the countermeasures are optimized away. See the following table for example warnings from synthesis runs to resolve through FEV | GLS simulations pass | Functional requirement | *Table 18: Caliptra synthesis warnings for FEV evaluation* @@ -654,7 +654,7 @@ The following table describes SoC integration requirements. | :--------- | :--------- | :--------- | :--------- | | sha512_acc_top | Empty netlist for always_comb | 417 |Unused logic (no load)| | ecc_scalar_blinding | Netlist for always_ff block does not contain flip flop | 301 |Output width is smaller than internal signals, synthesis optimizes away the extra internal flops with no loads| -| sha512_masked_core | "masked_carry" is read before being assigned. Synthesized result may not match simulation | 295, 312 || +| sha512_masked_core | "masked_carry" is read before being assigned. Synthesized result may not match simulation | 295, 312 |Output width is smaller than internal signals, synthesis optimizes away the extra internal flops with no loads| | ecc_montgomerymultiplier | Netlist for always_ff block does not contain flip flop | 274, 326 |Output width is smaller than internal signals, synthesis optimizes away the extra internal flops with no loads| | Multiple modules | Signed to unsigned conversion occurs | || From fd928d25a3daf4ba909fb8d39dfdb3ad6437b1ea Mon Sep 17 00:00:00 2001 From: Kiran Upadhyayula Date: Mon, 13 Nov 2023 13:04:16 -0800 Subject: [PATCH 05/48] Remove accidentally placed description --- docs/CaliptraIntegrationSpecification.md | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/docs/CaliptraIntegrationSpecification.md b/docs/CaliptraIntegrationSpecification.md index a60bc18af..e270db4e3 100644 --- a/docs/CaliptraIntegrationSpecification.md +++ b/docs/CaliptraIntegrationSpecification.md @@ -654,7 +654,7 @@ The following table describes SoC integration requirements. | :--------- | :--------- | :--------- | :--------- | | sha512_acc_top | Empty netlist for always_comb | 417 |Unused logic (no load)| | ecc_scalar_blinding | Netlist for always_ff block does not contain flip flop | 301 |Output width is smaller than internal signals, synthesis optimizes away the extra internal flops with no loads| -| sha512_masked_core | "masked_carry" is read before being assigned. Synthesized result may not match simulation | 295, 312 |Output width is smaller than internal signals, synthesis optimizes away the extra internal flops with no loads| +| sha512_masked_core | "masked_carry" is read before being assigned. Synthesized result may not match simulation | 295, 312 || | ecc_montgomerymultiplier | Netlist for always_ff block does not contain flip flop | 274, 326 |Output width is smaller than internal signals, synthesis optimizes away the extra internal flops with no loads| | Multiple modules | Signed to unsigned conversion occurs | || From 0a512a7e922552255eddbab3a301e7a3126679ab Mon Sep 17 00:00:00 2001 From: steph-morton <143441730+steph-morton@users.noreply.github.com> Date: Tue, 21 Nov 2023 15:31:17 -0800 Subject: [PATCH 06/48] initial markdown conversion of hardware spec --- docs/CaliptraHardwareSpecification.md | 1536 ++++++++++++++++++++ docs/images/Caliptra_eq_CLKDIV.png | Bin 0 -> 12508 bytes docs/images/Caliptra_eq_NCO.png | Bin 0 -> 11540 bytes docs/images/Caliptra_eq_SPI_clk_period.png | Bin 0 -> 11100 bytes docs/images/Caliptra_eq_UART.png | Bin 0 -> 16363 bytes docs/images/Caliptra_eq_UART2.png | Bin 0 -> 12410 bytes 6 files changed, 1536 insertions(+) create mode 100644 docs/CaliptraHardwareSpecification.md create mode 100644 docs/images/Caliptra_eq_CLKDIV.png create mode 100644 docs/images/Caliptra_eq_NCO.png create mode 100644 docs/images/Caliptra_eq_SPI_clk_period.png create mode 100644 docs/images/Caliptra_eq_UART.png create mode 100644 docs/images/Caliptra_eq_UART2.png diff --git a/docs/CaliptraHardwareSpecification.md b/docs/CaliptraHardwareSpecification.md new file mode 100644 index 000000000..55f9068bc --- /dev/null +++ b/docs/CaliptraHardwareSpecification.md @@ -0,0 +1,1536 @@ +![OCP Logo](./images/OCP_logo.png) + +

Caliptra Hardware Specification

+ +

Version 0.5

+ +
+ +# Scope + +This document defines technical specifications for a Caliptra RoT for Measurement (RTM)[1] cryptographic subsystem used in the Open Compute Project (OCP). This document, along with [Caliptra: A Datacenter System on a Chip (SoC) Root of Trust (RoT)](https://chipsalliance.github.io/Caliptra/doc/Caliptra.html), shall comprise the Caliptra technical specification. + +# Overview + +This document provides definitions and requirements for a Caliptra cryptographic subsystem. The document then relates these definitions to existing technologies, enabling device and platform vendors to better understand those technologies in trusted computing terms. + +# Caliptra Core + +The following figure shows the Caliptra Core. + +*Figure 1: Caliptra Block Diagram* + +TODO: add figures + +## Boot FSM + +The Boot FSM detects that the SoC is bringing Caliptra out of reset. Part of this flow involves signaling to the SoC that Caliptra is awake and ready for fuses. After fuses are populated and the SoC indicates that it is done downloading fuses, Caliptra can wake up the rest of the IP by de-asserting the internal reset. + +The following figure shows the initial power-on arc of the Mailbox Boot FSM. + +*Figure 2: Mailbox Boot FSM state diagram* + +The Boot FSM first waits for the SoC to assert cptra\_pwrgood and de-assert cptra\_rst\_b. In the BOOT\_FUSE state, Caliptra signals to the SoC that it is ready for fuses. After the SoC is done writing fuses, it sets the fuse done register and the FSM advances to BOOT\_DONE. + +BOOT\_DONE enables Caliptra reset de-assertion through a two flip-flop synchronizer. + +## FW update reset (Impactless FW update) + +Runtime FW updates write to fw\_update\_reset register to trigger the FW update reset. When this register is written, only the RISC-V core is reset using cptra\_uc\_fw\_rst\_b pin and all AHB slaves are still active. All registers within the slaves and ICCM/DCCM memories are intact after the reset. Since ICCM is locked during runtime, it must be unlocked after the RISC-V reset is asserted. Reset is deasserted synchronously after a programmable number of cycles (currently set to 5 clocks) and normal boot flow updates the ICCM with the new FW from the mailbox SRAM. Reset de-assertion is done through a two flip-flop synchronizer. The boot flow is modified as shown in the following figure. + +*Figure 3: Mailbox Boot FSM state diagram for FW update reset* + +After Caliptra comes out of global reset and enters the BOOT\_DONE state, a write to the fw\_update\_reset register triggers the FW update reset flow. In the BOOT\_FWRST state, only the reset to the VeeR core is asserted, ICCM is unlocked and the timer is initialized. After the timer expires, the FSM advances from the BOOT\_WAIT to BOOT\_DONE state where the reset is deasserted. + +| Control register | Start address | Description | +| :------- | :---------- | :--------- | +| FW_UPDATE_RESET | 0x30030418 | Register to trigger the FW update reset flow. Setting it to 1 starts the Boot FSM. The field auto-clears to 0. | +| FW_UPDATE_RESET_WAIT_CYCLES | 0x3003041C | Programmable wait time to keep the microcontroller reset asserted. | + +## RISC-V core + +The RISC-V core is VeeR EL2 from CHIPS Alliance. It is a 32-bit CPU core that contains a 4-stage, scalar, in-order pipeline. The core supports RISC-V’s integer(I), compressed instruction(C), multiplication and division (M), instruction-fetch fence, CSR, and subset of bit manipulation instructions (Z) extensions. A link to the RISC-V VeeR EL2 Programmer’s Reference Manual is provided in the [References](#references) section. + +### Configuration + +The RISC-V core is highly configurable and has the following settings. + +| Parameter | Configuration | +| :---------------------- | :------------ | +| Interface | AHB-Lite | +| DCCM | 128 KiB | +| ICCM | 128 KiB | +| I-Cache | Disabled | +| Reset Vector | 0x00000000 | +| Fast Interrupt Redirect | Enabled | +| External Interrupts | 31 | + +### Embedded memory export + +Internal RISC-V SRAM memory components are exported from the Caliptra subsystem to support adaptation to various fabrication processes. For more information, see the [Caliptra Integration Specification](https://github.com/chipsalliance/caliptra-rtl/blob/main/docs/CaliptraIntegrationSpecification.md). + +#### Memory map address regions + +The 32-bit address region is subdivided into 16 fixed-sized, contiguous 256 MB regions. The following table describes the address mapping for each of the AHB devices that the RISC-V core interfaces with. + +| Subsystem | Address size | Start address | End address | +| :------------------ | :----------- | :------------ | :---------- | +| ROM | 48 KiB | 0x0000_0000 | 0x0000_BFFF | +| Cryptographic | 512 KiB | 0x1000_0000 | 0x1007_FFFF | +| Peripherals | 32 KiB | 0x2000_0000 | 0x2000_7FFF | +| SoC IFC | 256 KiB | 0x3000_0000 | 0x3003_FFFF | +| RISC-V Core ICCM | 128 KiB | 0x4000_0000 | 0x4001_FFFF | +| RISC-V Core DCCM | 128 KiB | 0x5000_0000 | 0x5001_FFFF | +| RISC-V MM CSR (PIC) | 256 MiB | 0x6000_0000 | 0x6FFF_FFFF | + +##### Cryptographic subsystem + +The following table shows the memory map address ranges for each of the IP blocks in the cryptographic subsystem. + +| IP/Peripheral | Slave \# | Address size | Start address | End address | +| :---------------------------------- | :------- | :----------- | :------------ | :---------- | +| Cryptographic Initialization Engine | 0 | 32 KiB | 0x1000_0000 | 0x1000_7FFF | +| ECC Secp384 | 1 | 32 KiB | 0x1000_8000 | 0x1000_FFFF | +| HMAC384 | 2 | 4 KiB | 0x1001_0000 | 0x1001_0FFF | +| Key Vault | 3 | 8 KiB | 0x1001_8000 | 0x1001_9FFF | +| PCR Vault | 4 | 8 KiB | 0x1001_A000 | 0x1001_BFFF | +| Data Vault | 5 | 8 KiB | 0x1001_C000 | 0x1001_DFFF | +| SHA512 | 6 | 32 KiB | 0x1002_0000 | 0x1002_7FFF | +| SHA256 | 13 | 32 KiB | 0x1002_8000 | 0x1002_FFFF | + +##### Peripherals subsystem + +The following table shows the memory map address ranges for each of the IP blocks in the peripherals’ subsystem. + +| IP/Peripheral | Slave \# | Address size | Start address | End address | +| :------------ | :------- | :----------- | :------------ | :---------- | +| QSPI | 7 | 4 KiB | 0x2000_0000 | 0x2000_0FFF | +| UART | 8 | 4 KiB | 0x2000_1000 | 0x2000_1FFF | +| CSRNG | 15 | 4 KiB | 0x2000_2000 | 0x2000_2FFF | +| ENTROPY SRC | 16 | 4 KiB | 0x2000_3000 | 0x2000_3FFF | + +##### SoC interface subsystem + +The following table shows the memory map address ranges for each of the IP blocks in the SoC interface subsystem. + +| IP/Peripheral | Slave \# | Address size | Start address | End address | +| :------------------------- | :------- | :----------- | :------------ | :---------- | +| Mailbox SRAM Direct Access | 10 | 128 KiB | 0x3000_0000 | 0x3001_FFFF | +| Mailbox CSR | 10 | 4 KiB | 0x3002_0000 | 0x3002_0FFF | +| SHA512 Accelerator CSR | 10 | 4 KiB | 0x3002_1000 | 0x3002_1FFF | +| Mailbox | 10 | 64 KiB | 0x3003_0000 | 0x3003_FFFF | + +##### RISC-V core local memory blocks + +The following table shows the memory map address ranges for each of the local memory blocks that interface with RISC-V core. + +| IP/Peripheral | Slave \# | Address size | Start address | End address | +| :-------------- | :------- | :----------- | :------------ | :---------- | +| ICCM0 (via DMA) | 12 | 128 KiB | 0x4000_0000 | 0x4001_FFFF | +| DCCM | 11 | 128 KiB | 0x5000_0000 | 0x5001_FFFF | + +#### Interrupts + +The VeeR-EL2 processor supports multiple types of interrupts, including non-maskable interrupts (NMI), software interrupts, timer interrupts, external interrupts, and local interrupts. Local interrupts are events not specified by the RISC-V standard, such as auxiliary timers and correctable errors. + +Caliptra uses NMI in conjunction with a watchdog timer to support fatal error recovery and system restart. For more information, see the [Watchdog timer](#watchdog-timer) section. + +Software and local interrupts are not implemented in the first generation of Caliptra. Standard RISC-V timer interrupts are implemented using the mtime and mtimecmp registers defined in the RISC-V Privileged Architecture Specification. Both mtime and mtimecmp are included in the soc\_ifc register bank, and are accessible by the internal microprocessor to facilitate precise timing tasks. Frequency for the timers is configured by the SoC using the dedicated timer configuration register, which satisfies the requirement prescribed in the RISC-V specification for such a mechanism. These timer registers drive the timer\_int pin into the internal microprocessor. + +##### Non-maskable interrupts + + 0p8 describe a register bank that may be used to dynamically configure the NMI reset vector. (i.e., where the PC resets to). + +##### External interrupts + +Caliptra uses the external interrupt feature to support event notification from all attached peripheral components in the subsystem. The RISC-V processor supports multiple priority levels (ranging from 1-15), which allows firmware to configure interrupt priority per component. + +Errors and notifications are allocated as interrupt events for each component, with error interrupts assigned a higher priority and expected to be infrequent. + +Notification interrupts are used to alert the processor of normal operation activity, such as completion of requested operations or arrival of SoC requests through the shared interface. + +Vector 0 is reserved by the RISC-V processor and may not be used, so vector assignment begins with Vector 1. Bit 0 of the interrupt port to the processor corresponds with Vector 1. + +| IP/Peripheral | Interrupt vector | Interrupt priority example
(Increasing, Max 15) | +| :-------------------------------------------------- | :--------------- | :---------------------------------------------- | +| Cryptographic Initialization Engine (Errors) | 1 | 8 | +| Cryptographic Initialization Engine (Notifications) | 2 | 7 | +| ECC (Errors) | 3 | 8 | +| ECC (Notifications) | 4 | 7 | +| HMAC (Errors) | 5 | 8 | +| HMAC (Notifications) | 6 | 7 | +| KeyVault (Errors) | 7 | 8 | +| KeyVault (Notifications) | 8 | 7 | +| SHA512 (Errors) | 9 | 8 | +| SHA512 (Notifications) | 10 | 7 | +| SHA256 (Errors) | 11 | 8 | +| SHA256 (Notifications) | 12 | 7 | +| QSPI (Errors) | 13 | 4 | +| QSPI (Notifications) | 14 | 3 | +| UART (Errors) | 15 | 4 | +| UART (Notifications) | 16 | 3 | +| RESERVED | 17 | 4 | +| RESERVED | 18 | 3 | +| Mailbox (Errors) | 19 | 8 | +| Mailbox (Notifications) | 20 | 7 | + +## Watchdog timer + +The primary function of Caliptra Watchdog Timer (WDT) is to reset the microcontroller (Caliptra), in the event of a software malfunction, by resetting the device if it has not been cleared in software. It is a two-stage timer, independent of the RISCV core. + +### Operation + +The WDT consists of two timers. When enabled in cascade mode (done by enabling Timer 1 alone), the WDT increments Timer 1 until the counter rolls over or times out. Typically, the timer is serviced at regular intervals to prevent it from overflowing or rolling over. If Timer 1 has not timed out, Timer 2 is disabled and held at its initial value. However, when Timer 1 does roll over, it triggers an error interrupt to the RISC-V core. In parallel, Timer 2 is enabled and begins counting. If the interrupt is serviced before Timer 2 times out, the timers are reset and continue to operate normally. If Timer 2 times out, it asserts an SoC fatal error and an NMI. The SoC fatal error is also captured in the CPTRA\_HW\_ERROR\_FATAL register, which can be cleared by the SoC by writing a 1. A warm reset is required by the SoC to reset the timers when Timer 2 times out. + +The WDT timers can be configured to operate independent of each other. When the enable register for Timer 2 is set, the default configuration of cascaded timers is disabled and both timers count independently of each other. In this case, a timeout on Timer 2 causes an error interrupt to the RISC-V core similar to Timer 1. Disabling Timer 2 configures the timers back into the default cascaded mode. + +Each timer has an enable bit, a restart bit, and a 64-bit timeout value register that can be programmed as needed. The restart bit is used to service the timers and restart counting. The timeout period registers can be configured to the desired upper bound of timers. + +If the WDT timers are disabled and then re-enabled with a new timeout period, they must be restarted by setting the appropriate control register (restart bit). If the timers are temporarily disabled and re-enabled with the same timeout period, they resume counting and do not restart from 0. + +For more details regarding the register interface to control the WDT, see the [register documentation](https://chipsalliance.github.io/caliptra-rtl/main/internal-regs/?p=clp.soc_ifc_reg) published in the RTL GitHub repository. + +The following figure shows the two timers. + +*Figure 4: Caliptra Watchdog Timer* + +### Prescale settings + +Assuming a clock source of 500 MHz, a timeout value of 32’hFFFF\_FFFF results in a timeout period of ~8.5 seconds. Two 32-bit registers are provided for each timer, allowing a 64-bit timeout period to be programmed for each timer. This accommodates a maximum timeout value of over 1000 years for the same 500 Mhz clock source. + +### Microcontroller interface + +The Caliptra microcontroller communicates with the mailbox through its internal AHB-Lite fabric. + +#### AHB-lite interface + +AHB-lite is a subset of the full AHB specification. It is primarily used in single master systems. This interface connects VeeR EL2 Core (LSU master) to the slave devices as shown in Figure 1. + +The interface can be customized to support variable address and data widths, and a variable number of slave devices. Each slave device is assigned an address range within the 32-bit address memory map region. The interface includes address decoding logic to route data to the appropriate AHB slave device based on the address specified. + +The integration parameters for Caliptra’s AHB-lite interface are shown in the following table. + +| Parameter | Value | +| :------------ | :---- | +| ADDRESS_WIDTH | 32 | +| DATA_WIDTH | 64 | +| NUM_OF_SLAVES | 17 | + +Each IP component in the Caliptra system uses a native AHB data width of 32-bits (1 dword). The AHB responder logic in each IP component contains width conversion logic that transforms from the fabric data width of 64-bits to this native 32-bit width. The conversion involves calculating the dword offset (either 0 or 1) relative to the native 64-bit width by evaluating bit [2] of the address line. This information is used to extract the correct 32-bits from the native write data line. If there is a data offset, data is shifted down by 32-bits; otherwise, the upper 32-bits are simply truncated. This new dword-address is passed to the internal register interface along with the dword-sized data. A similar conversion works in reverse to correctly place read data in the response data line from the responder. + +As a result of this implementation, 64-bit data transfers are not supported on the Caliptra AHB fabric. Firmware running on the internal microprocessor may only access memory and registers using a 32-bit or smaller request size, as 64-bit transfer requests will be corrupted. + +### Cryptographic subsystem + +For details, see the [Cryptographic subsystem architecture](#cryptographic-subsystem-architecture) section. + +### Peripherals subsystem + +Caliptra includes QSPI and UART peripherals that are used to facilitate alternative operating modes and debug. In the first generation, Caliptra includes code to enable QSPI in the RTL, but does not support the BMI profile. Therefore, QSPI must not be enabled. Similarly, the UART interface exists to facilitate firmware debug in an FPGA prototype, but should be disabled in final silicon. SystemVerilog defines used to disable these peripherals are described in the [Caliptra Integration Specification](https://github.com/chipsalliance/caliptra-rtl/blob/main/docs/CaliptraIntegrationSpecification.md). Operation of these peripherals is described in the following sections. + +#### QSPI Flash Controller + +Caliptra implements a QSPI block that can communicate with 2 QSPI devices. This QSPI block is accessible to FW over the AHB-lite Interface. + +The QSPI block is composed of the spi\_host implementation. For information, see the [SPI\_HOST HWIP Technical Specification](https://opentitan.org/book/hw/ip/spi_host/index.html). The core code (see [spi\_host](https://github.com/lowRISC/opentitan/tree/master/hw/ip/spi_host)) is reused but the interface to the module is changed to AHB-lite and the number of chip select lines supported is increased to 2. The design provides support for Standard SPI, Dual SPI, or Quad SPI commands. The following figure shows the QSPI flash controller. + +*Figure 5: QSPI flash controller* + +#### Operation + +Transactions flow through the QSPI block starting with AHB-lite writes to the TXDATA FIFO. Commands are then written and processed by the control FSM, orchestrating transmissions from the TXDATA FIFO and receiving data into the RXDATA FIFO. + +The structure of a command depends on the device and the command itself. In the case of a standard SPI device, the host IP always transmits data on qspi\_d\_io[0] and always receives data from the target device on qspi\_d\_io[1]. In Dual or Quad modes, all data lines are bi-directional, thus allowing full bandwidth in transferring data across 4 data lines. + +A typical SPI command consists of different segments that are combined as shown in the following example. Each segment can configure the length, speed, and direction. As an example, the following SPI read transaction consists of 2 segments. + +*Figure 6: SPI read transaction segments* + +| Segment \# | Length (Bytes) | Speed | Direction | TXDATA FIFO | RXDATA FIFO | +| :--------- | :------------- | :------- | :---------------- | :----------- | :----------------- | +| 1 | 4 | standard | TX
qspi_d_io\[0\] | \[0\] 0x3 (ReadData)
\[1\] Addr\[23:16\]
\[2\] Addr\[15:8\]
\[3\] Addr\[7:0\] | | +| 2 | 1 | standard | RX
qspi_d_io\[1\] | | \[0\] Data \[7:0\] | + +In this example, the ReadData (0x3) command was written to the TXDATA FIFO, followed by the 3B address. This maps to a total of 4 bytes that are transmitted out across qspi\_d\_io[0] in the first segment. The second segment consists of a read command that receives 1 byte of data from the target device across qspi\_d\_io[1]. + +QSPI consists of up to four command segments in which the host: + +1. Transmits instructions or data at the standard rate +2. Transmits instructions address or data on 2 or 4 data lines +3. Holds the bus in a high-impedance state for some number of dummy cycles where neither side transmits +4. Receives information from the target device at the specified rate (derived from the original command) + +The following example shows the QSPI segments. + +*Figure 7: QSPI segments* + +| Segment \# | Length (Bytes) | Speed | Direction | TXDATA FIFO | RXDATA FIFO | +| :--------- | :------------- | :------- | :------------------ | :----------- | :---------------- | +| 1 | 1 | standard | TX
qspi_d_io\[3:0\] | \[0\] 0x6B (ReadDataQuad) | | +| 2 | 3\* | quad | TX
qspi_d_io\[3:0\] | \[1\] Addr\[23:16\]
\[2\] Addr\[15:8\]
\[3\] Addr\[7:0\] | | +| 3 | 2 | N/A | None (Dummy) | | | +| 4 | 1 | quad | RX
qspi_d_io\[3:0\] | | \[0\] Data\[7:0\] | + +Note: In the preceding figure, segment 2 doesn’t show bytes 2 and 3 for brevity. + +#### Configuration + +The CONFIGOPTS multi-register has one entry per CSB line and holds clock configuration and timing settings that are specific to each peripheral. After the CONFIGOPTS multi-register is programmed for each SPI peripheral device, the values can be left unchanged. + +The most common differences between target devices are the requirements for a specific SPI clock phase or polarity, CPOL and CPHA. These clock parameters can be set via the CONFIGOPTS.CPOL or CONFIGOPTS.CPHA register fields. + +The SPI clock rate depends on the peripheral clock and a 16b clock divider configured by CONFIGOPTS.CLKDIV. The following equation is used to configure the SPI clock period: + +![](./images/Caliptra_eq_SPI_clk_period.png) + +By default, CLKDIV is set to 0, which means that the maximum frequency that can be achieved is at most half the frequency of the peripheral clock (Fsck = Fclk/2). + +We can rearrange the equation to solve for the CLKDIV: + +![](./images/Caliptra_eq_CLKDIV.png) + +Assuming a 400MHz target peripheral, and a SPI clock target of 100MHz: + +CONFIGOPTS.CLKDIV = (400/(2\*100)) -1 = 1 + +The following figure shows CONFIGOPTS. + +*Figure 8: CONFIGOPTS* + +#### Signal descriptions + +The QSPI block architecture inputs and outputs are described in the following table. + +| Name | Input or output | Description | +| :------------------ | :-------------- | :-------------------------------------------------------- | +| clk_i | input | All signal timings are related to the rising edge of clk. | +| rst_ni | input | The reset signal is active LOW and resets the core. | +| cio_sck_o | output | SPI clock | +| cio_sck_en_o | output | SPI clock enable | +| cio_csb_o\[1:0\] | output | Chip select \# (one hot, active low) | +| cio_csb_en_o\[1:0\] | output | Chip select \# enable (one hot, active low) | +| cio_csb_sd_o\[3:0\] | output | SPI data output | +| cio_csb_sd_en_o | output | SPI data output enable | +| cio_csb_sd_i\[3:0\] | input | SPI data input | + +#### SPI\_HOST IP programming guide + +The operation of the SPI\_HOST IP proceeds in seven general steps. + +To initialize the IP: + +1. Program the CONFIGOPTS multi-register with the appropriate timing and polarity settings for each csb line. +2. Set the desired interrupt parameters. +3. Enable the IP. + +Then for each command: + +4. Load the data to be transmitted into the FIFO using the TXDATA memory window. +5. Specify the target device by programming the CSID. +6. Specify the structure of the command by writing each segment into the COMMAND register. + + For multi-segment transactions, assert COMMAND.CSAAT for all but the last command segment. + +7. For transactions that expect to receive a reply, the data can then be read back from the RXDATA window. + +Steps 4-7 are then repeated for each subsequent command. + +#### UART + +Caliptra implements a UART block that can communicate with a serial device that is accessible to FW over the AHB-lite Interface. This is a configuration that the SoC opts-in by defining CALIPTRA\_INTERNAL\_UART. + +The UART block is composed of the uart implementation. For information, see the [UART HWIP Technical Specification](https://opentitan.org/book/hw/ip/uart/). The design provides support for a programmable baud rate. The UART block is shown in the following figure. + +*Figure 9: UART block* + +#### Operation + +Transactions flow through the UART block starting with an AHB-lite write to WDATA, which triggers the transmit module to start a UART TX serial data transfer. The TX module dequeues the byte from the internal FIFO and shifts it out bit by bit at the baud rate. If TX is not enabled, the output is set high and WDATA in the FIFO is queued up. + +The following figure shows the transmit data on the serial lane, starting with the START bit, which is indicated by a high to low transition, followed by the 8 bits of data. + +*Figure 10: Serial transmission frame* + +On the receive side, after the START bit is detected, the data is sampled at the center of each data bit and stored into a FIFO. A user can monitor the FIFO status and read the data out of RDATA. + +#### Configuration + +The baud rate can be configured using the CTRL.NCO register field. This should be set using the following equation: + +![](./images/Caliptra_eq_NCO.png) + +If the desired baud rate is 115,200bps: + +![](./images/Caliptra_eq_UART.png) + +![](./images/Caliptra_eq_UART2.png) + +#### Signal descriptions + +The UART block architecture inputs and outputs are described in the following table. + +| Name | Input or output | Description | +| :------- | :-------------- | :-------------------------------------------------------- | +| clk_i | input | All signal timings are related to the rising edge of clk. | +| rst_ni | input | The reset signal is active LOW and resets the core. | +| cio_rx_i | input | Serial receive bit | +| cio_tx_o | output | Serial transmit bit | + +### SoC mailbox + +For more information on the mailbox protocol, see [Mailbox](https://github.com/chipsalliance/caliptra-rtl/blob/main/docs/Caliptra_rtl.md#mailbox) in the Caliptra Integration Specification. TODO: Fix this! + +The following table describes the mailbox control registers. + +| Control register | Start address | Description | +| :------------------------ | :---------------- | :----------------- | +| MBOX_LOCK | 0x30020000 | Mailbox lock register for mailbox access. Reading 0 sets the lock. | +| MBOX_USER | 0x30020004 | Stores the user that locked the mailbox.| +| MBOX_CMD | 0x30020008 | Command requested for data in mailbox. | +| MBOX_DLEN | 0x3002000c | Data length for mailbox access. | +| MBOX_DATAIN | 0x30020010 | Data in register. Writes the next data to mailbox. | +| MBOX_DATAOUT | 0x30020010 | Data out register. Reads the next data from mailbox.| +| MBOX_EXECUTE | 0x30020018 | Mailbox execute register indicates to the receiver that the sender is done. | +| MBOX_STATUS | 0x3002001c | Status of the mailbox command:
CMD_BUSY - 2’b00 – Indicates the requested command is still in progress
DATA_READY - 2’b01 – Indicates the return data is in the mailbox for the requested command
CMD_COMPLETE- 2’b10 – Indicates the successful completion of the requested command
CMD_FAILURE- 2’b11 – Indicates the requested command failed | +| HW_ERROR_FATAL | 0x30030000 | Indicates fatal hardware error. | +| HW_ERROR_NON_FATAL | 0x30030004 | Indicates non-fatal hardware error. | +| FW_ERROR_FATAL | 0x30030008 | Indicates fatal firmware error. | +| FW_ERROR_NON_FATAL | 0x3003000c | Indicates non-fatal firmware error. | +| HW_ERROR_ENC | 0x30030010 | Encoded error value for hardware errors. | +| FW_ERROR_ENC | 0x30030014 | Encoded error value for firmware errors. | +| BOOT_STATUS | 0x30030018 | Reports the boot status. | +| FLOW_STATUS | 0x3003001c | Reports the status of the firmware flows. | +| GENERIC_INPUT_WIRES | 0x30030024 | Generic input wires connected to the SoC interface. | +| GENERIC_OUTPUT_WIRES | 0x3003002c | Generic output wires connected to the SoC interface. | +| KEY_MANIFEST_PK_HASH | 0x300302b0 | | +| KEY_MANIFEST_PK_HASH_MASK | 0x30030370 | | +| KEY_MANIFEST_SVN | 0x30030374 | | +| BOOT_LOADER_SVN | 0x30030384 | | +| RUNTIME_SVN | 0x30030388 | | +| ANTI_ROLLBACK_DISABLE | 0x3003038c | | +| IEEE_IDEVID_CERT_CHAIN | 0x30030390 | | +| FUSE_DONE | 0x300303f0 | | + +### Security state + +Caliptra uses the MSB of the security state input to determine whether or not Caliptra is in debug mode. + +When Caliptra is in debug mode: + +* Security state MSB is set to 0. + +* Caliptra JTAG is opened for the microcontroller and HW debug. + +* Device secrets (UDS, FE, key vault, and obfuscation key) are programmed to debug values. + +If a transition to debug mode happens during ROM operation, any values computed from the use of device secrets may not match expected values. + +Transitions to debug mode trigger a hardware clear of all device secrets, and also trigger an interrupt to FW to inform of the transition. FW is responsible for initiating another hardware clear of device secrets utilizing the clear secrets register, in case any derivations were in progress and stored after the transition was detected. FW may open the JTAG after all secrets are cleared. + +Debug mode values may be set by integrators in the Caliptra configuration files. The default values are shown in the following table. + +| Name | Default value | +| :-------------------------- | :------------ | +| Obfuscation Key Debug Value | All 0x1 | +| UDS Debug Value | All 0x1 | +| Field Entropy Debug Value | All 0x1 | +| Key Vault Debug Value 0 | All 0xA | +| Key Vault Debug Value 1 | All 0x5 | + +### Clock gating + +Caliptra provides a clock gating feature that turns off clocks when the microcontroller is halted. Clock gating is disabled by default, but can be globally enabled via the following register. + +| Control register | Start address | Description | +| :------------------- | :---------------- | :------------------------ | +| CPTRA_CLK_GATING_EN | 0x300300bc | Register bit to enable or disable the clock gating feature. | + +When enabled, halting the microcontroller turns off clocks to all of the cryptographic subsystem, the vaults (keyvault, PCR vault, and data vault), mailbox SRAM, SoC interface, and peripherals subsystem. The Watchdog timer and SoC registers run on the gated RDC clock. The RV core implements its own clock gating mechanism. Halting the core automatically turns off its clock. + +There are a total of 4 clocks in Caliptra: ungated clock, gated clock, gated RDC clock, and gated SoC IFC clock. The following table shows the different modules and their designated clocks. + +| Module | Clock | +| :-------------------- | :-------------------------------------- | +| RV core | Clk | +| SOC IFC | Clk; clk_cg; rdc_clk_cg; soc_ifc_clk_cg | +| Crypto subsystem | Clk_cg | +| Vaults | Clk_cg | +| Peripherals subsystem | Clk_cg | +| AHB Lite IF, 2to1 Mux | Clk_cg | +| TRNG | Clk_cg | + +#### Wake up conditions + +For details on halting the core and waking up the core from the halt state, see section 5 of the [RISC-V VeeR EL2 Programmer's Reference Manual](https://github.com/chipsalliance/Cores-VeeR-EL2/blob/main/docs/RISC-V_VeeR_EL2_PRM.pdf). + +When the RV core wakes up, all clocks are enabled. However, when the core is halted, it is possible to wake up Caliptra clocks through: + +* A change in generic\_input\_wires + +* Cptra\_fatal\_error assertion + +* Entry to debug or scan modes + +* JTAG accesses + +* APB transactions + +Activity on the APB interface only wakes up the SoC IFC clock. All other clocks remain off until any other condition is met or the core exits the halt state. + +| Cpu_halt_status | PSEL | Generic input wires
\|\| fatal error
\|\| debug/scan mode
\|\|JTAG access | Expected behavior | +| :-------------- | :--- | :---------- | :-------------- | +| 0 | X | X | All gated clocks active | +| 1 | 0 | 0 | All gated clocks inactive | +| 1 | 0 | 1 | All gated clocks active (as long as condition is true) | +| 1 | 1 | 0 | Soc_ifc_clk_cg active (as long as PSEL = 1)
All other clks inactive | +| 1 | 1 | 1 | Soc_ifc_clk_cg active (as long as condition is true OR PSEL = 1)
All other clks active (as long as condition is true) | + +#### Usage + +The following applies to the clock gating feature: + +* The core should only be halted after all pending vault writes are done and cryptographic operations are complete. +* While the core is halted, any APB transaction wakes up the SoC interface clock and leaves all other clocks disabled. If the core is still halted when the APB transactions are done, the SoC interface clock is returned to a disabled state. . +* The RDC clock is similar to an ungated clock and is only disabled when a reset event occurs. This avoids metastability on flops. The RDC clock operates independently of core halt status. + + +#### Timing information + +The following figure shows the timing information for clock gating. + +*Figure 11: Clock gating timing* + +## Integrated TRNG + +Caliptra implements a true random number generator (TRNG) block for local use models. Firmware is able to read a random number from the TRNG core by accessing its register block over the AHB-lite interface. This is a configuration that SoC integrators enable by defining CALIPTRA\_INTERNAL\_TRNG. + +This TRNG block is a combination of entropy source and CSRNG implementations. For information, see the [ENTROPY\_SRC HWIP Technical Specification](https://opentitan.org/book/hw/ip/entropy_src/index.html) and the [CSRNG HWIP Technical Specification](https://opentitan.org/book/hw/ip/csrng/). The core code (see [entropy source](https://github.com/lowRISC/opentitan/tree/master/hw/ip/entropy_src) and [csrng](https://github.com/lowRISC/opentitan/tree/master/hw/ip/csrng)) is reused from here but the interface to the module is changed to AHB-lite. This design provides an interface to an external physical random noise generator. This is also referred to as a physical true random number generator (PTRNG). The PTRNG external source is a physical true random noise source. A noise source and its relation to an entropy source are defined by [SP 800-90B](https://csrc.nist.gov/publications/detail/sp/800-90b/final). + +The block is instantiated based on a design parameter chosen at integration time. This is to provide options for SoC to reuse an existing TRNG to build an optimized SoC design. For the optimized scenarios, SoC needs to follow the TODO: heading link in markdown. + +The following figure shows the integrated TRNG block. + +*Figure 12: Integrated TRNG block* + +The following figure shows the CSRNG block. + +*Figure 13: CSRNG block* + +The following figure shows the entropy source block. + +*Figure 14: Entropy source block* + +### Operation + +Requests for entropy bits start with [command requests](https://opentitan.org/book/hw/ip/csrng/doc/theory_of_operation.html#general-command-format) over the AHB-lite interface to the csrng [CMD\_REQ](https://chipsalliance.github.io/caliptra-rtl/main/internal-regs/?p=clp.csrng_reg.CMD_REQ) register. + +The following describes the fields of the command request header: + +* Application Command: Selects one of five operations to perform. The commands supported are instantiate, reseed, generate, update, and uninstantiate. + +* Command Length: Number of 32-bit words that can optionally be appended to the command. A value of zero will only transfer the command header. A value of 4'hc transfers the header plus an additional twelve 32-bit words of data. + +* Command Flag0: flag0 is associated with the current command. Setting this field to True (4’h6) enables flag0 to be enabled. Note that flag0 is used for the instantiate and reseed commands only; for all other commands, the flag0 value is ignored. + +* Generate Length: Only defined for the generate command, this field is the total number of cryptographic entropy blocks requested. Each unit represents 128 bits of entropy returned. A value of 8 would return a total of 1024 bits. The maximum size supported is 4096. + +First an instantiate command is requested over the SW application interface to initialize an instance in the CSRNG module. Depending on the flag0 and clen fields in the command header, a request to the entropy\_src module over the entropy interface is sent to seed the csrng. This can take a few milliseconds if the seed entropy is not immediately available. + +Example instantiation: + +acmd = 0x1 (Instantiate) + +clen/flag0 = The seed behavior is described in the following table. + +glen = Not used + +| flag0 | clen | Description | +| :---- | :--- | :----------------------------------------------------------- | +| F | 0 | Only entropy source seed is used. | +| F | 1-12 | Entropy source seed is xor'ed with provided additional data. | +| T | 0 | Seed of zero is used (no entropy source seed used). | +| T | 1-12 | Only provided additional data is used as seed. | + +Next a generate command is used to request generation of cryptographic entropy bits. The glen field defines how many 128 bit words are to be returned to the application interface. After the generated bits are ready, they can be read out via the GENBITS register. This register must be read out glen \* 4 times for each request made. + +Example generate command: + +acmd = 0x3 (Generate) + +clen = 0 + +flag0 = false (4’h9) + +glen = 4 (4 \*128 = 512b) + +This requires 16 reads from GENBITS to read out all of the generated entropy. + +### Configuration + +The HW application interfaces are not supported. Only the SW application interface should be used for this design. + +### Physical true random noise source signal descriptions + +These are the top level signals defined in caliptra\_top. + +| Name | Input or output | Description | +| :---------- | :-------------- | :------------ | +| itrng_data | input | Physical true random noise source data | +| itrng_valid | input | Valid is asserted high for one cycle when data is valid. The expected valid output rate is about 50KHz. | + +The following figure shows the top level signals defined in caliptra\_top. + +*Figure 15: caliptra\_top signals* + +### Entropy source signal descriptions + +The following table provides descriptions of the entropy source signals. + +| Name | Input or output | Description | +| :------------------ | :-------------- | :--------------- | +| clk_i | input | All signal timings are related to the rising edge of clk. | +| rst_ni | input | The reset signal is active LOW and resets the core. | +| entropy_src_rng_req | output | Request from the entropy_src module to the physical true random noise source to start generating data. | +| entropy_src_rng_rsp | input | Contains the internal TRNG data and a flag indicating the data is valid. Valid is asserted high for one cycle when data is valid. | +| entropy_src_hw_if_i | input | Downstream block request for entropy bits. | +| entropy_src_hw_if_o | output | 384 bits of entropy data. Valid when es_ack is asserted high. | +| cs_aes_halt_i | input | Response from csrng that all requests to AES block are halted. | +| cs_aes_halt_o | output | Request to csrng to halt requests to the AES block for power leveling purposes. | + +The following figure shows the entropy source signals. + +*Figure 16: Entropy source signals* + +### CSRNG signal descriptions + +The following table provides descriptions for the CSRNG signals. + +| Name | Input or output | Description | +| :------------------------- | :-------------- | :---------------------------------------------------------------------------------------------------- | +| clk_i | input | All signal timings are related to the rising edge of clk. | +| rst_ni | input | The reset signal is active LOW and resets the core. | +| otp_en_csrng_sw_app_read_i | input | Enable firmware to access the ctr_drbg internal state and genbits through registers. | +| lc_hw_debug_en_i | input | Lifecycle that selects which diversification value is used for xoring with the seed from entropy_src. | +| entropy_src_hw_if_i | input | 384 bits of entropy data. Valid when es_ack is asserted high. | +| entropy_src_hw_if_o | output | Downstream block request for entropy bits. | +| cs_aes_halt_i | input | Request from entropy_src to halt requests to the AES block for power leveling purposes. | +| cs_aes_halt_o | output | Response to entropy_src that all requests to AES block are halted. | + +The CSRNG may only be enabled if entropy\_src is enabled. After it is disabled, CSRNG may only be re-enabled after entropy\_src has been disabled and re-enabled. + +## External-TRNG REQ HW API + +For SoCs that choose to not instantiate Caliptra’s integrated TRNG, Caliptra provides a TRNGREQ HW API. + +1. Caliptra asserts TRNG\_REQ wire (FW made the request for a TRNG). +2. SoC writes the TRNG architectural registers. +3. SoC writes a done bit in the TRNG architectural registers. +4. Caliptra desserts TRNG\_REQ. + +The reason to have a separate interface from the SoC mailbox is to ensure that this request is not intercepted by any SoC FW agents that communicate with the SoC mailbox. It is required for FIPS compliance that this TRNG HW API is always handled by a SoC HW gasket logic and not handled by SoC ROM/FW code. + +## SoC-SHA accelerator HW API + +Caliptra provides a SHA accelerator HW API for SoC and Caliptra internal FW to use. It is atomic in nature in that only one of them can use the SHA accelerator HW API at the same time. Details of the SHA accelerator register block may be found in the GitHub repository in [documentation](https://chipsalliance.github.io/caliptra-rtl/main/external-regs/?p=caliptra_top_reg.sha512_acc_csr) generated from the register definition file. + +Using the HW API: + +* A user of the HW API first locks the accelerator by reading the LOCK register. A read that returns the value 0 indicates that the resource was locked for exclusive use by the requesting user. A write of ‘1 clears the lock. +* The USER register captures the APB pauser value of the requestor that locked the SHA accelerator. This is the only user that is allowed to control the SHA accelerator by performing APB register writes. Writes by any other agent on the APB interface are dropped. +* MODE register is written to set the SHA execution mode. + * SHA accelerator supports both SHA384 and SHA512 modes of operation. + * SHA supports **streaming** mode: SHA is computed on a stream of incoming data to the DATAIN register. The EXECUTE register, when set, indicates to the accelerator that streaming is complete. The accelerator can then publish the result into the DIGEST register. When the VALID bit of the STATUS register is set, then the result in the DIGEST register is valid. + * SHA supports **Mailbox** mode: SHA is computed on LENGTH (DLEN) bytes of data stored in the mailbox beginning at START\_ADDRESS. This computation is performed when the EXECUTE register is set by the user. When the operation is completed and the result in the DIGEST register is valid, SHA accelerator sets the VALID bit of the STATUS register. + * The SHA computation engine in the SHA accelerator requires big endian data, but the SHA accelerator can accommodate mailbox input data in either the little endian or big endian format. By default, input data is assumed to be little endian and is swizzled to big endian at the byte level prior to computation. For the big endian format, data is loaded into the SHA engine as-is. Users may configure the SHA accelerator to treat data as big endian by setting the ENDIAN\_TOGGLE bit appropriately. + * See the register definition for the encodings. +* SHA engine also provides a ‘zeroize’ function through its CONTROL register to clear any of the SHA internal state. This can be used when the user wants to conceal previous state for debug or security reasons. + +## JTAG implementation + +For specific debug flows, see the [JTAG/TAP Debug](https://chipsalliance.github.io/Caliptra/doc/Caliptra.html#jtagtap-debug) section in Caliptra: A Datacenter System on a Chip (SoC) Root of Trust (RoT). + +The following figure shows the JTAG implementation within the Caliptra boundary. The output of the existing DMI wrapper is used to find the non-Core (Caliptra uncore) aperture to route the JTAG commands. + +Caliptra’s JTAG/TAP should be implemented as a TAP EP. JTAG is open if the debug mode is set at the time of Caliptra reset deassertion. + +Note: If the debug security state switches to debug mode anytime, the security assets and keys are still flushed even though JTAG is not open. + +*Figure 17: JTAG implementation* + +# Cryptographic subsystem architecture + +The architecture of Caliptra cryptographic subsystem includes the following components: + +* Symmetric cryptographic primitives + * De-obfuscation engine + * SHA512/384 (based on NIST FIPS 180-4 [2]) + * SHA256 (based on NIST FIPS 180-4 [2]) + * HMAC384 (based on [NIST FIPS 198-1](https://nvlpubs.nist.gov/nistpubs/FIPS/NIST.FIPS.198-1.pdf) [5] and [RFC 4868](https://tools.ietf.org/html/rfc4868) [6]) +* Public-key cryptography + * NIST Secp384r1 Deterministic Digital Signature Algorithm (based on FIPS-186-4 [11] and RFC 6979 [7]) +* Key vault + * Key slots + * Key slot management + +The high-level architecture of Caliptra cryptographic subsystem is shown in the following figure. + +*Figure 18: Caliptra cryptographic subsystem TODO: fix this image* + +## SHA512/SHA384 + +SHA512 is a function of cryptographic hash algorithm SHA-2. The hardware implementation is based on [Secworks/SHA512](https://github.com/secworks/sha512) [1]. This implementation complies with the functionality in NIST FIPS 180-4 [2]. The implementation supports the SHA512 variants SHA-512/224, SHA-512/256, SHA384 and SHA512. + +The SHA512 algorithm is described as follows: + +* The message is padded by the host and broken into 1024-bit chunks +* For each chunk: + * The message is fed to the SHA512 core + * The core should be triggered by the host + * The SHA512 core status is changed to ready after hash processing +* The result digest can be read after feeding all message chunks + +### Operation + +#### Padding + +The message should be padded before feeding to the hash core. The input message is taken, and some padding bits are appended to it to get it to the desired length. The bits that are used for padding are simply ‘0’ bits with a leading ‘1’ (100000…000). The appended length of the message (before pre-processing), in bits, is a 128-bit big-endian integer. + +The total size should be equal to 128 bits short of a multiple of 1024 since the goal is to have the formatted message size as a multiple of 1024 bits (N x 1024). The following figure shows the SHA512 input formatting. + +*Figure 19: SHA512 input formatting* + +#### Hashing + +The SHA512 core performs 80 iterative operations to process the hash value of the given message. The algorithm processes each block of 1024 bits from the message using the result from the previous block. For the first block, the initial vectors (IV) are used for starting the chain processing of each 1024-bit block. + +### FSM + +The SHA512 architecture has the finite-state machine as shown in the following figure. + +*Figure 20: SHA512 FSM* + +### Signal descriptions + +The SHA512 architecture inputs and outputs are described in the following table. + +| Name | Inputs and outputs | Description | +|-----------------|--------------------|---------------------------------------------------------------------------------------------------| +| clk | input | All signal timings are related to the rising edge of clk. | +| reset_n | input | The reset signal is active LOW and resets the core. This is the only active LOW signal. | +| init | input | The core is initialized and processes the first block of message. | +| next | input | The core processes the rest of the message blocks using the result from the previous blocks. | +| mode\[1:0\] | input | Indicates the hash type of the function. This can be:
- SHA512/224
- SHA512/256
- SHA384
- SHA512 | +| zeroize | input | The core clears all internal registers to avoid any SCA information leakage. | +| block\[1023:0\] | input | The input padded block of message. | +| ready | output | When HIGH, the signal indicates the core is ready. | +| digest\[511:0\] | output | The hashed value of the given block. | +| digest_valid | output | When HIGH, the signal indicates that the result is ready. | + +### Address map + +The SHA512 address map is shown here: [sha512\_reg — clp Reference (chipsalliance.github.io)](https://chipsalliance.github.io/caliptra-rtl/main/internal-regs/?p=clp.sha512_reg) + +### Pseudocode + +The following pseudocode demonstrates how the SHA512 interface can be implemented. + +*Figure 21: SHA512 pseudocode* + +### SCA countermeasure + +We do not propose any countermeasure to protect the hash functions. Inherently, hash functions do not work like other cryptographic engines. Hash functions target integrity without requiring a secret key. Hence, the attacker can target only messages. Also, the attacker cannot build a CPA or DPA platform on the hash function because the same message ideally gives the same side-channel behavior. + +If the attacker works on the multi-message mechanism, the attacker then needs to work with single trace attacks, which are very unlikely in ASIC/FPGA implementations. Also, our hash implementation is a noisy platform. As a result, we do not propose any SCA implementation countermeasure on the hash functions. + +### Performance + +The SHA512 core performance is reported considering two different architectures: pure hardware architecture, and hardware/software architecture. These are described next. + +#### Pure hardware architecture + +In this architecture, the SHA512 interface and controller are implemented in hardware. The performance specification of the SHA512 architecture is shown in the following table. + +| Operation | Cycle count \[CCs\] | Time \[us\] @ 400 MHz | Throughput \[op/s\] | +| :-------------------- | :------------------ | :-------------------- | :------------------ | +| Data_In transmission | | 0.08 | | +| Process | 87 | 0.22 | | +| Data_Out transmission | 16 | 0.04 | | +| Single block | 136 | 0.34 | 2,941,176 | +| Double block | 224 | 0.56 | 1,785,714 | +| 1 KiB message | 840 | 2.10 | 476,190 | +| 128 KiB message | 17,632 | 44.08 | 22,686 | + +#### Hardware/software architecture + +In this architecture, the SHA512 interface and controller are implemented in RISC-V core. The performance specification of the SHA512 architecture is shown in the following table. + +| Operation | Cycle count \[CCs\] | Time \[us\]\] @ 400 MHz | Throughput \[op/s\] | +| :-------------------- | :------------------ | :---------------------- | :------------------ | +| Data_In transmission | 990 | 2.48 | | +| Process | 139 | 0.35 | | +| Data_Out transmission | 387 | 0.97 | | +| Single block | 1,516 | 3.79 | 263,852 | +| Double block | 2,506 | 6.27 | 159,617 | +| 1 KiB message | 9,436 | 23.59 | 42,391 | +| 128 KiB message | 1,015,276 | 2,538.19 | 394 | + +#### Pure software architecture + +In this architecture, the SHA512 algorithm is implemented fully in software. The implementation is derived from the [OpenSSL](https://www.openssl.org/docs/man3.0/man3/SHA512.html) SHA512 implementation [3]. The performance numbers for this architecture are shown in the following table. + +| Data size | Cycle count | +| :------------ | :-------------- | +| 1 KiB | 147,002 | +| 4 KiB | 532,615 | +| 8 KiB | 1,046,727 | +| 12 KiB | 1,560,839 | +| 128 KiB | 16,470,055 | + +## SHA256 + +SHA256 is a function of the SHA-2 cryptographic hash algorithm. The hardware implementation is based on [Secworks/SHA256](https://github.com/secworks/sha256) [1]. The implementation supports the two variants: SHA256/224 and SHA256. + +The SHA256 algorithm is described as follows: + +* The message is padded by the host and broken into 512-bit chunks +* For each chunk: + * The message is fed to the sha256 core + * The core should be triggered by the host + * The sha256 core status is changed to ready after hash processing +* The result digest can be read after feeding all message chunks + + +### Operation + +#### Padding + +The message should be padded before feeding to the hash core. The input message is taken, and some padding bits are appended to the message to get it to the desired length. The bits that are used for padding are simply ‘0’ bits with a leading ‘1’ (100000…000). The appended length of the message (before pre-processing), in bits, is a 64-bit big-endian integer. + +The total size should be equal to 64 bits, short of a multiple of 512 because the goal is to have the formatted message size as a multiple of 512 bits (N x 512). + +The following figure shows SHA256 input formatting. + +*Figure 22: SHA256 input formatting* + +#### Hashing + +The SHA256 core performs 64 iterative operations to process the hash value of the given message. The algorithm processes each block of 512 bits from the message using the result from the previous block. For the first block, the initial vectors (IV) are used to start the chain processing of each 512-bit block. + +### FSM + +The SHA256 architecture has the finite-state machine as shown in the following figure. + +*Figure 23: SHA256 FSM* + +### Signal descriptions + +The SHA256 architecture inputs and outputs are described as follows. + +| Name | Input or output | Description | +| :-------------- | :-------------- | :------------------------------------------------------------------------------------------- | +| clk | input | All signal timings are related to the rising edge of clk. | +| reset_n | input | The reset signal is active LOW and resets the core. This is the only active LOW signal. | +| init | input | The core is initialized and processes the first block of message. | +| next | input | The core processes the rest of the message blocks using the result from the previous blocks. | +| mode | input | Indicates the hash type of the function. This can be:
- SHA256/224
- SHA256 | +| zeroize | input | The core clears all internal registers to avoid any SCA information leakage. | +| block\[511:0\] | input | The input padded block of message. | +| ready | output | When HIGH, the signal indicates the core is ready. | +| digest\[255:0\] | output | The hashed value of the given block. | +| digest_valid | output | When HIGH, the signal indicates the result is ready. | + +### Address map + +The SHA256 address map is shown here: [sha256\_reg — clp Reference (chipsalliance.github.io)](https://chipsalliance.github.io/caliptra-rtl/main/internal-regs/?p=clp.sha256_reg). + +### Pseudocode + +The following pseudocode demonstrates how the SHA256 interface can be implemented. + +*Figure 24: SHA256 pseudocode* + +### SCA countermeasure + +We do not propose any countermeasure to protect the hash functions. For more information, see SCA countermeasure in the [SHA512/SHA384](#sha512sha384) section. + +### Performance + +The SHA256 core performance is reported considering two different architectures: pure hardware architecture, and hardware/software architecture. These are described next. + +#### Pure hardware architecture + +In this architecture, the SHA256 interface and controller are implemented in hardware. The performance specification of the SHA256 architecture is reported as shown in the following table. + +| Operation | Cycle count \[CCs\] | Time \[us\] @ 400 MHz | Throughput \[op/s\] | +| :-------------------- | :------------------ | :-------------------- | :------------------ | +| Data_In transmission | 17 | 0.04 | | +| Process | 66 | 0.17 | | +| Data_Out transmission | 8 | 0.02 | | +| Single block | 91 | 0.23 | 4,395,604 | +| Double block | 158 | 0.40 | 2,531,646 | +| 1 KiB message | 1163 | 2.91 | 343,938 | + +#### Hardware/software architecture + +In this architecture, the SHA256 interface and controller are implemented in RISC-V core. The performance specification of the SHA256 architecture is reported as shown in the following table. + +| Operation | Cycle count \[CCs\] | Time \[us\] @ 400 MHz | Throughput \[op/s\] | +| :-------------------- | :------------------ | :-------------------- | :------------------ | +| Data_In transmission | 500 | 1.25 | | +| Process | 66 | 0.17 | | +| Data_Out transmission | 195 | 0.49 | | +| Single block | 761 | 1.90 | 525,624 | +| Double block | 1355 | 3.39 | 295,203 | +| 1 KiB message | 8761 | 21.90 | 45,657 | + +## HMAC384 + +Hash-based message authentication code (HMAC) is a cryptographic authentication technique that uses a hash function and a secret key. HMAC involves a cryptographic hash function and a secret cryptographic key. This implementation supports HMAC-SHA-384-192 as specified in [NIST FIPS 198-1](https://nvlpubs.nist.gov/nistpubs/FIPS/NIST.FIPS.198-1.pdf) [5]. The implementation is compatible with the HMAC-SHA-384-192 authentication and integrity functions defined in [RFC 4868](https://tools.ietf.org/html/rfc4868) [6]. + +Caliptra HMAC implementation uses SHA384 as the hash function, accepts a 384-bit key, and generates a 384-bit tag. + +The implementation also supports PRF-HMAC-SHA-384. The PRF-HMAC-SHA-384 algorithm is identical to HMAC-SHA-384-192, except that variable-length keys are permitted, and the truncation step is not performed. + +The HMAC algorithm is described as follows: +* The key is fed to the HMAC core to be padded +* The message is broken into 1024-bit chunks by the host +* For each chunk: + * The message is fed to the HMAC core + * The HMAC core should be triggered by the host + * The HMAC core status is changed to ready after hash processing +* The result digest can be read after feeding all message chunks + + +### Operation + +#### Padding + +The message should be padded before feeding to the HMAC core. Internally, the i\_padded key is concatenated with the message. The input message is taken, and some padding bits are appended to the message to get it to the desired length. The bits that are used for padding are simply ‘0’ bits with a leading ‘1’ (100000…000). + +The total size should be equal to 128 bits, short of a multiple of 1024 because the goal is to have the formatted message size as a multiple of 1024 bits (N x 1024). + +*Figure 25: HMAC input formatting* + +The following figures show examples of input formatting for different message lengths. + +*Figure 26: Message length of 1023 bits* + +When the message is 1023 bits long, padding is given in the next block along with message size. + +*Figure 27: 1 bit padding* + +When the message size is 895 bits, a padding of ‘1’ is also considered valid, followed by the message size. + +*Figure 28: Multi block message* + +Messages with a length greater than 1024 bits are broken down into N 1024-bit blocks. The last block contains padding and the size of the message. + + +#### Hashing + +The HMAC core performs the sha2-384 function to process the hash value of the given message. The algorithm processes each block of the 1024 bits from the message, using the result from the previous block. This data flow is shown in the following figure. + +*Figure 29: HMAC-SHA-384-192 data flow* + +### FSM + +The HMAC architecture has the finite-state machine as shown in the following figure. + +*Figure 30: HMAC FSM* + +### Signal descriptions + +The HMAC architecture inputs and outputs are described in the following table. + +| Name | Input or output | Description | +| :----------------- | :-------------- | :----------- | +| clk | input | All signal timings are related to the rising edge of clk. | +| reset_n | input | The reset signal is active LOW and resets the core. This is the only active LOW signal. | +| init | input | The core is initialized and processes the key and the first block of the message. | +| next | input | The core processes the rest of the message blocks using the result from the previous blocks. | +| zeroize | input | The core clears all internal registers to avoid any SCA information leakage. | +| key\[383:0\] | input | The input key. | +| block\[1023:0\] | input | The input padded block of message. | +| LFSR_seed\[159:0\] | Input | The input to seed PRNG to enable the masking countermeasure for SCA protection. | +| ready | output | When HIGH, the signal indicates the core is ready. | +| tag\[383:0\] | output | The HMAC value of the given key or block. For PRF-HMAC-SHA-384, a 384-bit tag is required. For HMAC-SHA-384-192, the host is responsible for reading 192 bits from the MSB. | +| tag_valid | output | When HIGH, the signal indicates the result is ready. | + +### Address map + +The HMAC address map is shown here: [hmac\_reg — clp Reference (chipsalliance.github.io)](https://chipsalliance.github.io/caliptra-rtl/main/internal-regs/?p=clp.hmac_reg). + +### Pseudocode + +The following pseudocode demonstrates how the HMAC interface can be implemented. + +*Figure 31: HMAC pseudocode* + +### SCA countermeasure + +In an attack model, an attacker can form hypotheses about the secret key value and compute the corresponding output values by using the Hamming Distance model as an appropriate leakage model. An attacker who has knowledge of the implementation for open-source architecture has an advantage. The attacker can capture the power consumption traces to verify their hypotheses, by partitioning the acquisitions or using Pearson’s correlation coefficient. + +To protect the HMAC algorithm from side-channel attacks, a masking countermeasure is applied. This means that random values are added to the intermediate variables during the algorithm’s execution, so that the side-channel signals do not reveal any information about them. + +The embedded countermeasures are based on "Differential Power Analysis of HMAC Based on SHA-2, and Countermeasures" by McEvoy et. al. To provide the required random values for masking intermediate values, a lightweight 74-bit LFSR is implemented. Based on “Spin Me Right Round Rotational Symmetry for FPGA-specific AES” by Wegener et. al., LFSR is sufficient for masking statistical randomness. + +Each round of SHA512 execution needs 6,432 random bits, while one HMAC operation needs at least 4 rounds of SHA512 operations. However, the proposed architecture requires only 160-bit LFSR seed and provides first-order DPA attack protection at the cost of 10% latency overhead with negligible hardware resource overhead. + +### Performance + +The HMAC core performance is reported considering two different architectures: pure hardware architecture, and hardware/software architecture. These are described next. + +#### Pure hardware architecture + +In this architecture, the HMAC interface and controller are implemented in hardware. The performance specification of the HMAC architecture is reported as shown in the following table. + +| Operation | Cycle count \[CCs\] | Time \[us\] @ 400 MHz | Throughput \[op/s\] | +| :-------------------- | :------------------ | :-------------------- | :------------------ | +| Data_In transmission | 44 | 0.11 | - | +| Process | 254 | 0.635 | - | +| Data_Out transmission | 12 | 0.03 | - | +| Single block | 310 | 0.775 | 1,290,322 | +| Double block | 513 | 1.282 | 780,031 | +| 1 KiB message | 1,731 | 4.327 | 231,107 | +| 128 KiB message | 207,979 | 519.947 | 1,923 | + +#### Hardware/software architecture + +In this architecture, the HMAC interface and controller are implemented in RISC-V core. The performance specification of the HMAC architecture is reported as shown in the following table. + +| Operation | Cycle count \[CCs\] | Time \[us\] @ 400 MHz | Throughput \[op/s\] | +| :-------------------- | :------------------ | :-------------------- | :------------------ | +| Data_In transmission | 1389 | 3.473 | - | +| Process | 253 | 0.633 | - | +| Data_Out transmission | 290 | 0.725 | - | +| Single block | 1932 | 4.83 | 207,039 | +| Double block | 3166 | 7.915 | 136,342 | +| 1 KiB message | 10,570 | 26.425 | 37,842 | +| 128 KiB message | 1,264,314 | 3,160.785 | 316 | + +## HMAC_DRBG + +Hash-based message authentication code (HMAC) deterministic random bit generator (DRBG) is a cryptographic random bit generator that uses a HMAC function. HMAC_DRBG involves a cryptographic HMAC function and a seed. This architecture is designed as specified in section 10.1.2. of NIST SP 800-90A [12]. For ECC signing operation, the implementation is compatible with section 3.1. of RFC 6979 [7]. + +Caliptra HMAC_DRBG implementation uses HMAC384 as the HMAC function, accepts a 384-bit seed, and generates a 384-bit random value. + +The HMAC algorithm is described as follows: + +* The seed is fed to HMAC_DRBG core by the host +* For each 384-bit random value + * The core should be triggered by the host + * The HMAC_DRBG core status is changed to ready after HMAC processing + * The result digest can be read + + +### Operation + +HMAC_DRBG uses a loop of HMAC(K, V) to generate the random bits. In this algorithm, two constant values of K_init and V_init are used as follows: + + 1. Set V_init = 0x01 0x01 0x01 ... 0x01 (V has 384-bit) + 2. Set K_init = 0x00 0x00 0x00 ... 0x00 (K has 384-bit) + 3. K_tmp = HMAC(K_init, V_init || 0x00 || entropy || nonce) + 4. V_tmp = HMAC(K_tmp, V_init) + 5. K_new = HMAC(K_tmp, V_tmp || 0x01 || entropy || nonce) + 6. V_new = HMAC(K_new, V_tmp) + 7. Set T = [] + 8. T = T || HMAC(K_new, V_new) + 9. Return T if T is within the [1,q-1] range, otherwise: + 10. K_new = HMAC(K_new, V_new || 0x00) + 11. V_new = HMAC(K_new, V_new) + 12. Jump to 8 + +For ECC KeyGen operation, HMAC_DRBG is used to generate privkey as follows: + + Privkey = HMAC_DRBG(seed, nonce) + +For ECC SIGNING operation, HMAC_DRBG is used to generate k as follows: + + K = HMAC_DRBG(privkey, hashed_msg) + +### Signal descriptions + +The HMAC_DRBG architecture inputs and outputs are described in the following table. + +| Name | Input or output | Description | +| :------------------- | :-------------- | :-------------------------------------------------------------------------------------- | +| clk | input | All signal timings are related to the rising edge of clk. | +| reset_n | input | The reset signal is active LOW and resets the core. This is the only active LOW signal. | +| init | input | The core is initialized with the given seed and generates a 384-bit random value. | +| next | input | The core generates a new 384-bit random value using the result from the previous run. | +| zeroize | input | The core clears all internal registers to avoid any SCA information leakage. | +| entropy \[383:0\] | input | The input entropy. | +| nonce \[383:0\] | input | The input nonce. | +| LFSR_seed \[147 :0\] | input | The input to seed PRNG to enable masking countermeasure for SCA protection. | +| ready | output | When HIGH, the signal indicates the core is ready. | +| drbg \[383:0\] | output | The hmac_drbg value of the given inputs. | +| valid | output | When HIGH, the signal indicates the result is ready. | + +### Address map + +The HMAC_DRBG is embedded into ECC architecture, since there is no address map to access it from FW. + +### SCA countermeasure + +For information, see SCA countermeasure in the [HMAC384](#hmac384) section. + +## ECC + +The ECC unit includes the ECDSA (Elliptic Curve Digital Signature Algorithm) engine, offering a variant of the cryptographically secure Digital Signature Algorithm (DSA), which uses elliptic curve (ECC). A digital signature is an authentication method in which a public key pair and a digital certificate are used as a signature to verify the identity of a recipient or sender of information. + +The hardware implementation supports deterministic ECDSA, 384 Bits (Prime Field), also known as NIST-Secp384r1, described in RFC6979. + +Secp384r1 parameters are shown in the following figure. + +*Figure 32: Secp384r1 parameters* + +### Operation + +The ECDSA consists of three operations, shown in the following figure. + +*Figure 33: ECDSA operations* + +#### KeyGen + +In the deterministic key generation, the paired key of (privKey, pubKey) is generated by KeyGen(seed, nonce), taking a deterministic seed and nonce. The KeyGen algorithm is as follows: + +* Compute privKey = HMAC_DRBG(seed, nonce) to generate a random integer in the interval [1, n-1] where n is the group order of Secp384 curve. +* Generate pubKey(x,y) as a point on ECC calculated by pubKey=privKey × G, while G is the generator point over the curve. + + +#### Signing + +In the signing algorithm, a signature (r, s) is generated by Sign(privKey, h), taking a privKey and hash of message m, h = hash(m), using a cryptographic hash function, SHA384. The signing algorithm includes: + +* Generate a random number k in the range [1..n-1], while k = HMAC\_DRBG(privKey, h) +* Calculate the random point R = k × G +* Take r = Rx mod n, where Rx is x coordinate of R=(Rx, Ry) +* Calculate the signature proof: s = k-1 × (h + r × privKey) mod n +* Return the signature (r, s), each in the range [1..n-1] + +#### Verifying + +The signature (r, s) can be verified by Verify(pubKey ,h ,r, s) considering the public key pubKey and hash of message m, h=hash(m) using the same cryptographic hash function SHA384. The output is r’ value of verifying a signature. The ECDSA verify algorithm includes: + +* Calculate s1 = s−1 mod n +* Compute R' = (h × s1) × G + (r × s1) × pubKey +* Take r’ = R'x mod n, while R'x is x coordinate of R’=(R'x, R'y) +* Verify the signature by comparing whether r' == r + +### Architecture + +The ECC top-level architecture is shown in the following figure. + +*Figure 34: ECDSA architecture* + +### Signal descriptions + +The ECDSA architecture inputs and outputs are described in the following table. + + +| Name | Input or output | Description | +| :------------------------- | :-------------- | :----------- | +| clk | input | All signal timings are related to the rising edge of clk. | +| reset_n | input | The reset signal is active LOW and resets the core. This is the only active LOW signal. | +| ctrl\[1:0\] | input | Indicates the AES type of the function. This can be:
− 0b00: No Operation
− 0b01: KeyGen
− 0b10: Signing
− 0b11: Verifying | +| zeroize | input | The core clears all internal registers to avoid any SCA information leakage. | +| seed \[383:0\] | input | The deterministic seed for HMAC_DRBG in the KeyGen operation. | +| nonce \[383:0\] | input | The deterministic nonce for HMAC_DRBG in the KeyGen operation. | +| privKey_in\[383:0\] | input | The input private key used in the signing operation. | +| pubKey_in\[1:0\]\[383:0\] | input | The input public key(x,y) used in the verifying operation. | +| hashed_msg\[383:0\] | input | The hash of message using SHA384. | +| ready | output | When HIGH, the signal indicates the core is ready. | +| privKey_out\[383:0\] | output | The generated private key in the KeyGen operation. | +| pubKey_out\[1:0\]\[383:0\] | output | The generated public key(x,y) in the KeyGen operation. | +| r\[383:0\] | output | The signature value of the given priveKey/message. | +| s\[383:0\] | output | The signature value of the given priveKey/message. | +| r’\[383:0\] | Output | The signature verification result. | +| valid | output | When HIGH, the signal indicates the result is ready. | + +### Address map + +The ECDSA address map is shown here: [ecc\_reg — clp Reference (chipsalliance.github.io)](https://chipsalliance.github.io/caliptra-rtl/main/internal-regs/?p=clp.ecc_reg). + +### Pseudocode + +The following pseudocode blocks demonstrate example implementations for KeyGen, Signing, and Verifying. + +#### KeyGen + +*Figure 35: KeyGen pseudocode* + +#### Signing + +*Figure 36: Signing pseudocode* + +#### Verifying + +*Figure 37: Verifying pseudocode* + +### SCA countermeasure + +The described ECDSA has three main routines: KeyGen, Signing, and Verifying. Since the Verifying routine requires operation with public values rather than a secret value, our side-channel analysis does not cover this routine. Our evaluation covers the KeyGen and Signing routines where the secret values are processed. + +KeyGen consists of HMAC DRBG and scalar multiplication, while Signing first requires a message hashing and then follows the same operations as KeyGen (HMAC DRBG and scalar multiplication). The last step of Signing is generating “S” as the proof of signature. Since HMAC DRBG and hash operations are evaluated separately in our document, this evaluation covers scalar multiplication and modular arithmetic operations. + +#### Scalar multiplication + +To perform the scalar multiplication, the Montgomery ladder is implemented, which is inherently resistant to timing and single power analysis (SPA) attacks. + +Implementation of complete unified addition formula for the scalar multiplication avoids information leakage and enhances architecture from security and mathematical perspectives. + +To protect the architecture against horizontal power/electromagnetic (EM) and differential power analysis (DPA) attacks, several countermeasures are embedded in the design [9]. Since these countermeasures require random inputs, HMAC-DRBG is fed by IV to generate these random values. + +Since HMAC-DRBG generates random value in a deterministic way, firmware MUST feed different IV to ECC engine for EACH keygen and signing operation. + +#### Base point randomization + +This countermeasure is achieved using the randomized base point in projective coordinates. Hence, the base point G=(Gx, Gy) in affine coordinates is transformed and randomized to projective coordinates as (X, Y, Z) using a random value as follows: + +This approach does not have the performance or area overhead because the architecture is variable-base-point implemented. + +#### Scalar blinding + +This countermeasure is achieved by randomizing the scalar as follows: + +Based on [10], half of the bit size of is required to prevent advanced DPA attacks. Therefore, has 192 bits, and the blinded scalar has 576 bits. Hence, this countermeasure extends the Montgomery ladder iterations due to extended scalar. + +This approach is achieved at the cost of 50% more latency on scalar multiplication and adding one lightweight block, including one 32\*32 multiplier and an accumulator. + +Note: the length of rand is configurable to have a trade-off between the required protection and performance. + +#### Making countermeasures embedded into HMAC\_DRBG + +In the first step of the KeyGen operation, the privkey is generated using HMAC\_DRBG by feeding the following two inputs: seed and nonce. To avoid SCA information leakage during this operation, we embed masking countermeasures into the HMAC\_DRBG architecture. + +Each round of SHA512 execution needs 6,432 random bits, and one HMAC operation needs at least 4 rounds of SHA512 operations. Furthermore, each HMAC\_DRBG round needs at least 5 rounds of HMAC operations. However, the proposed architecture uses a lightweight LFSR and provides first-order DPA attack protection with negligible latency and hardware resource overhead. + +#### ECDSA signing nonce leakage + +Generating “S” as the proof of signature at the steps of the signing operation leaks where the hashed message is signed with private key and ephemeral key as follows: + +Since the given message is known or the signature part r is known, the attacker can perform a known-plaintext attack. The attacker can sign multiple messages with the same key, or the attacker can observe part of the signature that is generated with multiple messages but the same key. + +The evaluation shows that the CPA attack can be performed with a small number of traces, respectively. Thus, an arithmetic masked design for these operations is implemented. + +##### Masking signature + +This countermeasure is achieved by randomizing the privkey as follows: + +Although computation of “S” seems the most vulnerable point in our scheme, the operation does not have a big contribution to overall latency. Hence, masking these operations has low overhead on the cost of the design. + +#### Random number generator for SCA countermeasure + +The ECC countermeasure requires several random vectors to randomize the intermediate values, as described in the preceding section. HMAC\_DRBG is used to take one random vector of 384-bit (i.e., ECC\_IV register) and to generate the required random vectors for different countermeasures. + +The state machine of HMAC\_DRBG utilization is shown in the following figure, including three main states: + +1. SCA random generator: Running HMAC\_DRBG with IV and an internal counter to generate the required random vectors. +2. KEYGEN PRIVKEY: Running HMAC\_DRBG with seed and nonce to generate the privkey in KEYGEN operation. +3. SIGNING NONCE: Running HMAC\_DRBG based on RFC6979 in SIGNING operation with privkey and hashed\_msg. + +*Figure 38: HMAC\_DRBG utilization* + +In SCA random generator state: + +* This state (in KeyGen operation mode) generates 3 384-bit random vectors for the following: LFSR, base point randomization, and scalar blinding randomization. +* This state (in signing operation) generates 4 384-bit random vectors for the following: LFSR, base point randomization, scalar blinding, and masking signature randomization. +* HMAC\_DRBG is initialized with IV and an internal counter. This 64-bit counter enables after reset and zeroization and contains different values depending on when ECC is called. +* HMAC\_DRBG is enabled by the INIT command. To generate all required vectors, HMAC-DRBG is continued by the NEXT command that increments the built-in counter inside the HMAC-DRBG unit. +* To initialize the required seed for LFSR, LFSR\_INIT\_SEED is set as a constant by RTL after reset and zeroization. However, this value is updated before enabling HMAC\_DRBG as follows: + * In the first execution of HMAC\_DRBG after reset and zeroization, hmac\_lfsr\_seed is equal to LFSR\_INIT\_SEED XORed by internal 64-bit counter. + * In the next executions of HMAC\_DRBG, hmac\_lfsr\_seed is equal to HMAC\_DRBG output of the first execution XORed by internal 64-bit counter. + +The data flow of the HMAC\_DRBG operation in keygen operation mode is shown in the following figure. + +*Figure 39: HMAC\_DRBG data flow* + +#### TVLA results + +Test vector leakage assessment (TVLA) provides a robust test using a 𝑡-test. This test evaluates the differences between sets of acquisitions to determine if one set of measurement can be distinguished from the other. This technique can detect different types of leakages, providing a clear indication of leakage or lack thereof. + +In practice, observing a t-value greater than a specific threshold (mainly 4.5) indicates the presence of leakage. However, in ECC, due to its latency, around 5 million samples are required to be captured. This latency leads to many false positives and the TVLA threshold can be considered a higher value than 4.5. Based on the following figure from “Side-Channel Analysis and Countermeasure Design for Implementation of Curve448 on Cortex-M4” by Bisheh-Niasar et. al., the threshold can be considered equal to 7 in our case. + +*Figure 40: TVLA threshold as a function of the number of samples per trace* + +##### Keygen TVLA + +TODO: provide content for this section + + +##### Signing TVLA + +The TVLA results for performing privkey-dependent leakage detection using 20,000 traces is shown in the following figure. Based on this figure, there is no leakage in ECC signing by changing the privkey after 20,000 operations. + +*Figure 41: privkey-dependent leakage detection using TVLA for ECC signing after 20,000 traces* + +The TVLA results for performing message-dependent leakage detection using 64,000 traces is shown in the following figure. Based on this figure, there is no leakage in ECC signing by changing the message after 64,000 operations. + +*Figure 42: Message-dependent leakage detection using TVLA for ECC signing after 64,000 traces* + +The point with t-value equal to -40 is mapped to the Montgomery conversion of the message that is a publicly known value (no secret is there). By ignoring those corresponding samples, there are some sparse samples with a t-value greater than 7, as shown in the following table. + +| Sample | Duration | Cycle | t-value | Operation | +| :-------- | :--------- | :-------- | :------ | :---------------------- | +| 4,746,127 | 214 | 911,381.4 | 11.2 | start of mont_conv(msg) | +| 4,746,341 | | 911,422.5 | -40 | end of mont_conv(msg) | +| 4,757,797 | 1 | 913,622.0 | 7.4 | inv_q | +| 4,768,302 | 1 | 915,639.0 | 7.8 | inv_q | +| 4,779,610 | 1 | 917,810.1 | -9.1 | inv_q | +| 4,788,120 | 1 | 919,444.0 | 7.6 | inv_q | +| 4,813,995 | 1 | 924,412.0 | 7.3 | inv_q | +| 4,822,693 | 1 | 926,082.1 | 7.5 | inv_q | +| 4,858,671 | to the end | 932,989.8 | -7.6 | Ended ECC signing | + +### Performance + +The ECC core performance is reported in the next section. + +### Pure hardware architecture + +In this architecture, the ECC interface and controller are implemented in hardware. The performance specification of the ECC architecture is reported as shown in the following table. + +| Operation | Cycle count \[CCs\] | Time \[ms\] @ 400 MHz | Throughput \[op/s\] | +| :-------- | :------------------ | :-------------------- | :------------------ | +| Keygen | 909,648 | 2.274 | 439 | +| Signing | 932,990 | 2.332 | 428 | +| Verifying | 1,223,938 | 3.060 | 326 | +## PCR vault + +* Platform Configuration Register (PCR) vault is a register file that stores measurements to be used by the microcontroller. +* PCR entries are read-only registers of 384 bits each. +* Control bits allow for entries to be cleared by FW, which sets their values back to 0. +* A lock bit can be set by FW to prevent the entry from being cleared. The lock bit is sticky and only resets on a powergood cycle. + +| PCRV register | Address Offset | Description | +| :---------------------------------| :------------- | :----------------------------- | +| PCR Control\[31:0\] | 0x1001a000 | 32 Control registers, 32 bits each | +| PCR Entry\[31:0\]\[11:0\]\[31:0\] | 0x1001a600 | 32 PCR entries, 384 bits each | + +### PCR vault functional block + +PCR entries are hash extended using a hash extension function. The hash extension function takes the data currently in the PCR entry specified, concatenates data provided by the FW, and performs a SHA384 function on that data, storing the result back into the same PCR entry. + +### PCR hash extend function + +FW provides the PCR entry to use as source and destination of the hash extend. HW copies the PCR into the start of the SHA block and locks those dwords from FW access. FW then provides the new data, and runs the SHA function as usual. After initialization, the locked dwords are unlocked. + +FW must set a last cycle flag before running the last iteration of the SHA engine. This could be the first “init” cycle, or the Nth “next” cycle. This flag allows HW to copy the final resulting hash output back to the source PCR. + +### PCR signing + +* PCR signing uses the key in key slot index 7 for PCR signing +* HW implements a HW function called GEN\_PCR\_HASH + * HW reads all the PCRs from all the PCR slots and hash extends them along with the NONCE that Caliptra FW provides + * PCR Hash = Hash(PCR[0], …, PCR[31], Nonce) +* HW also implements a HW function called SIGN\_PCR. This function takes the PCR digest that was generated by the previous routine and signs it using the key in key slot 7, following the same ECC sign flow defined in the [ECC](#ecc) section. + * The resulting PCR DIGEST is used only once for signing by the HW. If a new PCR signing is required, GEN\_PCR\_HASH needs to be redone. + +## Key vault + +Key Vault (KV) is a register file that stores the keys to be used by the microcontroller, but this register file is not observed by the microcontroller. Each cryptographic function has a control register and functional block designed to read from and write to the KV.  + +| KV register | Description | +| :------------------------------- | :------------------------------------------------------- | +| Key Control\[7:0\] | 8 Control registers, 32 bits each | +| Key Entry\[7:0\]\[15:0\]\[31:0\] | 8 Key entries, 512 bits each
No read or write access | + +### Key vault functional block + +Keys and measurements are stored in 512b register files. These have no read or write path from the microcontroller. The entries are read through a passive read mux driven by each cryptographic block. Locked entries return zeroes.  + +Entries in the KV must be cleared via control register, or by de-assertion of pwrgood.   + +Each entry has a control register that is writable by the microcontroller.  + +The destination valid field is programmed by FW in the cryptographic block generating the key, and it is passed here at generation time. This field cannot be modified after the key is generated and stored in the KV.  + +| KV Entry Ctrl Fields  | Reset  | Description  | +|---------------------------|-------------------|------------------------| +| Lock wr\[0\]  | core_only_rst_b   | Setting the lock wr field prevents the entry from being written by the microcontroller. Keys are always locked. After a lock is set, it cannot be reset until cptra_rst_b is de-asserted.  | +| Lock use\[1\]  | core_only_rst_b   | Setting the lock use field prevents the entry from being used in any cryptographic blocks. After the lock is set, it cannot be reset until cptra_rst_b is de-asserted. | +| Clear\[2\]  | cptra_rst_b  | If unlocked, setting the clear bit causes KV to clear the associated entry. The clear bit is reset after entry is cleared.  | +| Copy\[3\]  | cptra_rst_b  | ENHANCEMENT: Setting the copy bit causes KV to copy the key to the entry written to Copy Dest field.  | +| Copy Dest\[8:4\]  | cptra_rst_b  | ENHANCEMENT: Destination entry for the copy function.  | +| Dest_valid\[16:9\]  | hard_reset_b | KV entry can be used with the associated cryptographic block if the appropriate index is set.
\[0\] - HMAC KEY
\[1\] - HMAC BLOCK
\[2\] - SHA BLOCK
\[2\] - ECC PRIVKEY
\[3\] - ECC SEED
\[7:5\] - RSVD | +| last_dword\[20:19\] | hard_reset_b | Store the offset of the last valid dword, used to indicate the last cycle for read operations. | + +### Key vault cryptographic functional block  + +A generic block is instantiated in each cryptographic block to enable access to KV.  + +Each input to a cryptographic engine can have a key vault read block associated with it. The KV read block takes in a keyvault read control register that drives an FSM to copy an entry from the keyvault into the appropriate input register of the cryptographic engine. + +Each output generated by a cryptographic engine can have its result copied to a slot in the keyvault. The KV write block takes in a keyvault write control register. This register drives an FSM to copy the result from the cryptographic engine into the appropriate keyvault entry. It also programs a control field for that entry to indicate where that entry can be used. + +After programming the key vault read control, FW needs to query the associated key vault read status to confirm that the requested key was copied successfully. After valid is set and the error field reports success, the key is ready to be used. + +Similarly, after programming the key vault write control and initiating the cryptographic function that generates the key to be written, FW needs to query the associated key vault write status to confirm that the requested key was generated and written successfully. + +The following tables describe read, write, and status values for key vault blocks. + +| KV Read Ctrl Reg | Description | +| :------------------- | :------------------------------------------------------------------------------------------------------------------------------------- | +| read_en\[0\] | Indicates that the read data is to come from the key vault. Setting this bit to 1 initiates copying of data from the key vault. | +| read_entry\[5:1\] | Key vault entry to retrieve the read data from the engine. | +| pcr_hash_extend\[6\] | Requested entry is a PCR. This is used only for the SHA engine to hash extend. It is not functional in any other cryptographic engine. | +| rsvd\[31:7\] | Reserved field | + +| KV Write Ctrl Reg | Description | +| :------------------------- | :------------------------------------------------------------------------------------------------------------------------------------- | +| write_en\[0\] | Indicates that the result is to be stored in the key vault. Setting this bit to 1 copies the result to the key vault when it is ready. | +| write_entry\[5:1\] | Key vault entry to store the result. | +| hmac_key_dest_valid\[6\] | HMAC KEY is a valid destination. | +| hmac_block_dest_valid\[7\] | HMAC BLOCK is a valid destination. | +| sha_block_dest_valid\[8\] | SHA BLOCK is a valid destination. | +| ecc_pkey_dest_valid\[9\] | ECC PKEY is a valid destination. | +| ecc_seed_dest_valid\[10\] | ECC SEED is a valid destination. | +| rsvd\[31:11\] | Reserved field | + +| KV Status Reg | Description | +| :------------ | :---------------------------------------------------------------------------------------------------------------------------------------------- | +| ready\[0\] | Key vault control is idle and ready for a command. | +| valid\[1\] | Requested flow is done. | +| error\[9:2\] | SUCCESS - 0x0 - Key Vault flow was successful
KV_READ_FAIL - 0x1 - Key Vault Read flow failed
KV_WRITE_FAIL - 0x2 - Key Vault Write flow failed | + +### De-obfuscation engine + +To protect software intellectual property from different attacks and, particularly, for thwarting an array of supply chain threats, code obfuscation is employed. Hence, the de-obfuscation engine is implemented to decrypt the code. + +Advanced Encryption Standard (AES) is used as a de-obfuscation function to encrypt and decrypt data [4]. The hardware implementation is based on[ Secworks/aes](https://github.com/secworks/aes) [1]. The implementation supports the two variants: 128- and 256-bit keys with a block/chunk size of 128 bits. + +The AES algorithm is described as follows: + +* The key is fed to an AES core to compute and initialize the round key +* The message is broken into 128-bit chunks by the host +* For each chunk: + * The message is fed to the AES core + * The AES core and its working mode (enc/dec) are triggered by the host + * The AES core status is changed to ready after encryption or decryption processing +* The result digest can be read before processing the next message chunks + + +### Key vault de-obfuscation block operation + +A de-obfuscation engine (DOE) is used in conjunction with AES cryptography to de-obfuscate the UDS and field entropy.   + +1. The obfuscation key is driven to the AES key. The data to be decrypted (either obfuscated UDS or obfuscated field entropy) is fed into the AES data.  +2. An FSM manually drives the AES engine and writes the decrypted data back to the key vault.  +3. FW programs the DOE with the requested function (UDS or field entropy de-obfuscation), and the destination for the result.  +4. After de-obfuscation is complete, FW can clear out the UDS and field entropy values from any flops until cptra\_pwrgood de-assertion.   + +The following tables describe DOE register and control fields. + +| DOE Register | Address | Description  | +| :----------- | :--------- | :----------------------------------------------------------------------------------------------------------------------------- | +| IV | 0x10000000 | 128 bit IV for DOE flow. Stored in big-endian representation. | +| CTRL | 0x10000010 | Controls for DOE flows. | +| STATUS | 0x10000014 | Valid indicates the command is done and results are stored in keyvault. Ready indicates the core is ready for another command. | + +| DOE Ctrl Fields  | Reset  | Description  | +| :--------------- | :----------- | :------------------------------------------------------------------------------------------------------------------------------------------- | +| COMMAND\[1:0\]  | Cptra_rst_b  | 2’b00 Idle 
2’b01 Run UDS flow 
2’b10 Run FE flow 
2’b11 Clear Obf Secrets | +| DEST\[4:2\]  | Cptra_rst_b  | Destination register for the result of the de-obfuscation flow. Field entropy writes into DEST and DEST+1 
Key entry only, can’t go to PCR . | + +### Key vault de-obfuscation flow  + +1. ROM loads IV into DOE. ROM writes to the DOE control register the destination for the de-obfuscated result and sets the appropriate bit to run UDS and/or the field entropy flow.  +2. DOE state machine takes over and loads the Caliptra obfuscation key into the key register.  +3. Next, either the obfuscated UDS or field entropy are loaded into the block register 4 DWORDS at a time.  +4. Results are written to the KV entry specified in the DEST field of the DOE control register.  +5. State machine resets the appropriate RUN bit when the de-obfuscated key is written to KV. FW can poll this register to know when the flow is complete. +6. The clear obf secrets command flushes the obfuscation key, the obfuscated UDS, and the field entropy from the internal flops. This should be done by ROM after both de-obfuscation flows are complete. + +## Data vault + +Data vault is a set of generic scratch pad registers with specific lock functionality and clearable on cold and warm resets. + +* 48B scratchpad registers that are lockable but cleared on cold reset (10 registers) +* 48B scratchpad registers that are lockable but cleared on warm reset (10 registers) +* 4B scratchpad registers that are lockable but cleared on cold reset (8 registers) +* 4B scratchpad registers that are lockable but cleared on warm reset (10 registers) +* 4B scratchpad registers that are cleared on warm reset (8 registers) + +## Cryptographic blocks fatal and non-fatal errors + +The following table describes cryptographic errors. + +| Errors | Error type | Description | +| :--------- | :----------------- | :-------------------------------------------------------------------------------------------------------------------------------------------------------- | +| ECC_R_ZERO | HW_ERROR_NON_FATAL | Indicates a non-fatal error in ECC signing if the computed signature R is equal to 0. FW should change the message or privkey to perform a valid signing. | + +# Terminology + +The following terminology is used in this document. + +| Abbreviation | Description | +| :----------- | :--------------------------------------------- | +| AES | Advanced Encryption Standard | +| BMC | Baseboard Management Controller | +| CA | Certificate Authority | +| CDI | Composite Device Identifier | +| CPU | Central Processing Unit | +| CRL | Certificate Revocation List | +| CSR | Certificate Signing Request | +| CSP | Critical Security Parameter | +| DICE | Device Identifier Composition Engine | +| DME | Device Manufacturer Endorsement | +| DPA | Differential Power Analysis | +| DRBG | Deterministic Random Bit Generator | +| DWORD | 32-bit (4-byte) data element | +| ECDSA | Elliptic Curve Digital Signature Algorithm | +| FMC | FW First Mutable Code | +| FSM | Finite State Machine | +| GPU | Graphics Processing Unit | +| HMAC | Hash-based message authentication code | +| IDevId | Initial Device Identifier | +| iRoT | Internal RoT | +| IV | Initial Vector | +| KAT | Known Answer Test | +| KDF | Key Derivation Function | +| LDevId | Locally Significant Device Identifier | +| MCTP | Management Component Transport Protocol | +| NIC | Network Interface Card | +| NIST | National Institute of Standards and technology | +| OCP | Open Compute Project | +| OTP | One-time programmable | +| PCR | Platform Configuration Register | +| PKI | Public Key infrastructure | +| PUF | Physically unclonable function | +| RNG | Random Number Generator | +| RoT | Root of Trust | +| RTI | RoT for Identity | +| RTM | RoT for Measurement | +| RTR | RoT for Reporting | +| SCA | Side-Channel Analysis | +| SHA | Secure Hash Algorithm | +| SoC | System on Chip | +| SPA | Simple Power Analysis | +| SPDM | Security Protocol and Data Model | +| SSD | Solid State Drive | +| TCB | Trusted Computing Base | +| TCI | TCB Component Identifier | +| TCG | Trusted Computing Group | +| TEE | Trusted Execution Environment | +| TRNG | True Random Number Generator | +| UECC | Uncorrectable Error Correction Code | + +# References + +1. J. Strömbergson, "Secworks," \[Online\]. Available at https://github.com/secworks. +2. NIST, Federal Information Processing Standards Publication (FIPS PUB) 180-4 Secure Hash Standard (SHS). +3. OpenSSL \[Online\]. Available at https://www.openssl.org/docs/man3.0/man3/SHA512.html. +4. N. W. Group, RFC 3394, Advanced Encryption Standard (AES) Key Wrap Algorithm, 2002. +5. NIST, Federal Information Processing Standards Publication (FIPS) 198-1, The Keyed-Hash Message Authentication Code, 2008. +6. N. W. Group, RFC 4868, Using HMAC-SHA256, HMAC-SHA384, and HMAC-SHA512 with IPsec, 2007. +7. RFC 6979, Deterministic Usage of the Digital Signature Algorithm (DSA) and Elliptic Curve Digital Signature Algorithm (ECDSA), 2013. +8. TCG, Hardware Requirements for a Device Identifier Composition Engine, 2018. +9. Coron, J.-S.: Resistance against differential power analysis for elliptic curve cryptosystems. In: Ko¸c, C¸ .K., Paar, C. (eds.) CHES 1999. LNCS, vol. 1717, pp. 292–302. +10. Schindler, W., Wiemers, A.: Efficient side-channel attacks on scalar blinding on elliptic curves with special structure. In: NISTWorkshop on ECC Standards (2015). +11. National Institute of Standards and Technology, "Digital Signature Standard (DSS)", Federal Information Processing Standards Publication (FIPS PUB) 186-4, July 2013. +12. NIST SP 800-90A, Rev 1: "Recommendation for Random Number Generation Using Deterministic Random Bit Generators", 2012. | +13. CHIPS Alliance, “RISC-V VeeR EL2 Programmer’s Reference Manual” \[Online\] Available at https://github.com/chipsalliance/Cores-VeeR-EL2/blob/main/docs/RISC-V_VeeR_EL2_PRM.pdf. +14. “The RISC-V Instruction Set Manual, Volume I: User-Level ISA, Document Version 20191213”, Editors Andrew Waterman and Krste Asanovi ́c, RISC-V Foundation, December 2019. Available at https://riscv.org/technical/specifications/. +15. “The RISC-V Instruction Set Manual, Volume II: Privileged Architecture, Document Version 20211203”, Editors Andrew Waterman, Krste Asanovi ́c, and John Hauser, RISC-V International, December 2021. Available at https://riscv.org/technical/specifications/. + +[1] _Caliptra.** **Spanish for “root cap” and describes the deepest part of the root_ diff --git a/docs/images/Caliptra_eq_CLKDIV.png b/docs/images/Caliptra_eq_CLKDIV.png new file mode 100644 index 0000000000000000000000000000000000000000..275ece4b5d03eac6363a7e51b70debbc6bac3472 GIT binary patch literal 12508 zcmeHtXHb*v)-E7T1QA395ztqW8c{=s01Aj05Ty5}(nBv2NB|oaib|7?^d4I1p(!A} zh5(_8w1f^xAO#NloBi(DXTEoT@82_Xz8}v$_dJsooQ|5%HI?J^r39UX`E zLk$Bux>E%w_ebZLPtIjzZYUicTZxOhy1ur$`c-`&Pe&K`=X7)r-^VAi7#Xf{haCrx zs^15{^Skf)(vRWc>2Ggt31|r4pud0m&N+Seckd+XE*fd*bNMl8v?1v#C(d=WXBABN z-@8cv+*&FGm;P$6uSS-UnP8Z-eV+UeY?|N& z0rf5phChZF80qNVfA2qc=hZ737jqSHYj;N_EN|Vqs?h5Ah!T2%Nl^9G89JUwFJ-A$ zDQ~x3G;F;!f9cTavv9R|1mrn?z;fk%_{_ism+yEFRKDA>GaAV}ddL2n4UX^Iv=0*%(_TOS(oFMrpI}}NMcbTLh*!FoVb+f- zEutOL?v#|$_95xHVAprO23eV*(1i1hHtsIzIsp@sdq8D%C-YRnKV~HE^*IdO)l#lS z+z__H>HVt8J@oz3{)dwS_#BsfFQ3>qxbGdwLR-nxpT`F;K^q4*@WLA)z@ik5ZDItO zq;0Po-=V1L;FPA3KQR{|8Q5mz#gL;GnGO+S~t{d?4B_9t+ z`96EBLUUUcyV+HNoIk{w{pMqogXlhW+kRF+vr1T38%RFX>B#|p=I_j}dSkVB=qGVT z!i_F(6W@p5PM3voUYm+iu$=|crDltWh*++K9Q_PR6=VpLzqL1FUo*z z^U!A&Z@s2}D*9Dy=IQE};Cb=7(;lw`w;A_e(r;gmd>LcM@bc;vjklto*m9WG)bl=F z_z`AxRqv+|TX@ztc~LIz``pel)%4;oKYY7ez+LnP{&wJgVkTZ+Zl4wR>g~6{p-a3> zv3BAm^!%-7?3nvr)8=o#IGxHW72!J{@ivk-*q^+ zn5mb5###8IbUVx1J=!+AWbLFJ8Y^fMcdnexe+leRSbXVq#p-4mUG1en_CWeT7P&CW z>%=ytjpd2lhap4VW? zx;C7|`#|SrnMeXR{=Mltz4u$spFQ(?cIDZ{Zq{c$&mTR1{L?CSE%s2y;6~CXvmCQ8 zudCyYbQ>N*HK2MoV>y0${O0w!86;7k5RybqrD`Yq;dZMxVX3<;7LW*uLtUplh`yRH z)fHzco_O8#kHANp3DfVC@6D>E3lDy_m9V`PYH}1#{mP)(W^nD{HC_9U?N8p|+0;MC zYo5~7(@b}0{&lUR_XCZGM>DZK%S()3G(yZ&^pue#`cqHdO~a1`U4_CK5=KgeL^A;sxhI@YY;)lcQHC%b zb;IwL>NyprirazL(tsT`uqLHv_ z;i>p3>#6gKZxt65gA_Rw2mRhRu+K2gST{f#vY{4GdT22Lw=al4zt2b3CI{~;?RRmo zbNs;}%O}mp&L_@a$>Gb6XV19&Im#+3f!~;K2H1HS7-<+u;-8ZZ*LBzZqSK@6k<^|S zkjF4e%bVG|vnQ~8X2rBW)b7FEr^(Ng&`E@((LP%LUSCSzoaB(@xhlJ^m(fgf0C#`^ z;H;%`g;DiBvaia$BE;#rLkCj4w!ulahFEFiG~-Si?;LyU>D#*e4bUOm8W0Of*^pBT zR;sW@&6K0kVW~6~3JbgV^{*9gy!+`F=Qqm-zM&VYveTaCEoyhEruC&7q(&=p0Hm#c z+P-*U-%=F~?5ZEB$Gq9(Uhx^q#TaAb7cG{^?Yfw#m4F|RPcD5rj)G5{y=%QLHD-7V zIVn_*m*0YBDmQ`HKn=V${E3HFNC4!peQI7ir8&leYLZ*H@UDER@$~)={A|& zOl)=w!O|YWzrmlwrKq~pjw1lQSD0t(Kv;e_IlR3!@9Mc9XQ%k~B`0pb2-=qVSpMs!)RQw=;~F!O zCQ%nP;H%gDR)*W?c6msY*xrr@SqI=|;7&#zm3Qp=n-~n3p85S(T>hy1Y|ncIutr+N~Z#3H?y|HrwR6?${7sU{gF8F9O)r(KY^SmyM@L! z!nQD#IhE-?q}{hL97T4Ee{K*Px)O#BC$mL;$oe3~!o(tE0yUL2;WLWA(k|GexWDW5 zV<9?&R~0Sz1Z3d%+Y(vcPjIgLo6Tghez5iv5a-uPhL5ftJS3ZRNUAY_R7pOY@Fn7`O&a9$+j=F z&;#uOP|f%Azy|#~r0&ID^0^gh&0~F~KJGu*KIBD)2K@8*YBLK*mhznar5tx=aWK{mb+eLK7kKfbB^C;OQKH zwp{+PAEnAy+SPBq^kCz6BVfyT)-<&Ia7gx|GLiaps_XquyPkN4s|rvhFyuY;uKZ`( z1rl;UWv4b_3qF_eI8XQS2YH8qebKP{JdYpJ&0EXd7121QRv*>J`O#^4%_ZEinLh6{ zRU|#BKELB^81#oulxE3Cx>U8XtCzZ?l=Y%C>^q?+}z0(&D9TZmYYJz&2 zthPCmk`|5)NUDIZJ+7yYT#lJ8?y=C{@rX)2p|A4K&9ohLb?L-U?yPk55iWEm?2(TC zc%n;eT*R0y5I4WZd>wmVKqDtN`RIDW%`Rk=aDXBfr4kG9n zSg(ftKYrZ)$s{||$6SX#xTm83_U}!Www$kPLcV}aj^s-u5Q!GhhXV#STxxXmjOYG` zBmA1gZRGc}kW!XMF>BRSe5VCevwH-P^xpMc(;t5WQR~ooUWJk9wSmf9&;_tor1MsV zN1c5GTxOOS9XNVU=SP3o4&-kBnIJj4&#>ZhdeKf2@};9Pw&&zwO|{xZ0ICHLWThkobq!Gk{IejZwBZDX~=($VsUXH&&e zZHjZDV>29e4x(2dvy{D**I!Ou7W``cYoj>r&2#h(&Hvzh2S&f^`-H{Pu!QxGVm72@ zuInX52~@-8P3FQ0Zu+$2QW3MgY^~aylu+p+V*Jrl7EgoIt09o33|qkSq@rC#(Xq0v z6n`&gzxS=5&JU5g**M*2pE%7w8N6#uxA*E$6g`}l&{ALoYs3jGFJadH>^Um3V` zkdB=SRlbrAq6XePFGldExE=>>k#5eZBC~7YWd!J;NlE&MlkzchMm;yC$YZt0=4-l1 zWU&i&Zd1+?ZEMytPVLCW(S!+m)k0fJK4^Rw1Rd3l;3@s_YIZ;Zm4FE{Am?ml*jCbt zJnMgBb_C}skK1}wmN(YOhU5azjKw8a)G#X3eM96>b%~}tzc%>D#M4t1vB0I9o?14O z179Qxah>NKlJ`?TOku)S7PuEWy00m(QP)DoDTl@_guGI6v$kT(lr6pvPfLXW+n^%^ zo@>>f#lNZ!ybcVO(0SZIrNCE@*SNPwjkLGuogi!|Vy@1h z{&)xKV($0Kig2NT_O4bn_q&CG0^oFkUm&NEFd@G+*<+$uHP_l&8!&NnhQ^$R6U!(I zxVa~`rA3GrTr7)VUVD<>qU5E5l=q6sh+JDi&Ca$WDMayd|Bo4_Q6!&0`4KFBzgkI@ zwDJKNAHZCZU`LI`j7DwO&(5g`mQTw$ZM-`d5jmFI zgYVqEr+`K5h^u|`sf~w(HriOyVCMtj$Cwzy@MUOB?d;%W;>Ypq>3Ddf9ae6~Nu@JN zVzZ8?)hNt*E@fe5x5LKmur9=k6J6Uy;9n`+n%PzyU1`Y9hN?~#IT_eIsRa0pu(#C- z-7ZjZ31-??zn&&SQ@yq!O6)b)Mjxk!+UAua@cN;u?ND;_Fd=`dJ3`!K_q3i&tZa!M ze1>gxEB#0XulPi9utj8iLv&5xFRHrT&(qG@vyD{dERTn<;@jKb;F+Vu;wA>VQ<$D- zj{y(xVy)75>~F4;Xv|4u!`7>XsvDTd^Jr73o4GLaV;MtD!t6!H0p_x_ZmQetqk?9J zKy8A`hTIq9t+44}Ma<$sxIQvJEccPvx!feQIB6jDk;Z7pY{tM- zKTq3DaGU>KRbEqD?ciF&aRWlA&Hcl2IO;GUbnf+vY4|#{3jsyK2V@DtH!qYwv9k*I zL8i!Z#7Nw-%N<0epDx@hRr~F6hyJk6`!(%m5bj{ibHx7&k^ji++6Jdhd%(|H6E%9t zG^hR_dRTnKo{0g0v)dR^C^Y4aVAvqv>(#t7_mq4uatgy4+Abt3z&nZ{Ux&nmIo@y+ zej|e#-@1MQe2fc9)1%Em23J>^{tEpGRx9Hgb##5M;8YB`+-_Zjb>N9PM8~)&{0ra5g%$WXPBcw!xUoC!=1fRWZ1bmESD+&sYoWpHHw{rO1?R zPlnqE!J1ci-1QnA_upVli<>=v4Q!=v3PHz`^(YFXIv6~a+BEG3a>;|0(mDk&Wv9dS z`&nT*4j`Q3u=kCq>t%B{^Z>}hqw2U92BDt&LSv%0i7ce2Z|%NJH%uag7}v-}{yd&N z797?h00t=@bxzEYDb9APv78cSF z7}IYf+d@b2AINOF(jK?vbrAfz3ASYwc+eieBZ`h>0ci6jfO{l{@{S&VSd%JD%c0q; z9;a7kXbXltQF~IzP^V2BZ?KhXUHayi_*zYWLhprOtYc5nQJX?=K0IR&e=l4!{>Z*OiRo0Y8yB@b$ojVH$F42#*d71E@`ey?!v1Pc9K`h+0_!HjD8HSAGFNC`A z!08!?=aFBFr?Wv;Yj>mXFmvecqO*!vt*@+w1JVgo^4^2f7nrY6J6%7q+mhLcUp&jzGF>BMeE(QcCqNt0|c) zbYy*VtuzH*Q~(rG9foX2s(8Mq`=?9Wcvf!?M?k(VJWRge&QDo;=n0 z71GqyHD!2S?-WW(zQv-wrox8e8qsAeO56bNJqG5`%I-OOoX##a^B zO@UO~ZTyR1giwN;iOnE+yv`yX_aa$l(|=|__PjeI`C4y-ASaDeirz8vEMl*J_@6{y zO@i@3P1chsjX!zucxbp-@Z=r$i&q{MJ6?9ZncL7O@-2k|3({uv1JN4K~Lt^<`*|SqA$9s0__X7fj0?pRjUh|b$?0Q3GEqqr5 zBttERM+88}WvNRSewt4}4zpno7I5eUJ?M;2Q~ZQ5KcHT8iG9Jj^r$|V3RUTIvgnsG zPuejDhpr#i9(boo=ZEr_PK=z?n7X~jg3dw1RLuj0(I0h)gGk&)<#4jpgDU?OhnV8V znwx}I9B7NF>=TRY;GVi`^>>%|z9ltCp`OtMzf>6wrczwsUpl7xE;VY$ha6< z9$@PM*@K)^ojBkkR^_(h75%Fz$Qd&INZ1?xiTZJTjqCD@Q|j5`f5GPI7OdIe*t1nAdDm9$<7U^)sRC!wE_G z9v-~WLR!gnrl{^WF}OlIm(1JbrH_sPCUe|G-)52_%5QEwW@Q5vef;^+T(j$zwC}pT z6veCl=6z6Fgswcc}3Smb;Mh{JulJ6A@3oYVw)7^uO^iG;WU?QX?eYkj0zIwDq z1Sy2svbV9Nm98Scu<3W8ivuY{^WvaJp%}99R7ny-dod-gmK#@#o|E%lF$HGCcBWLT zQd84RD=#J}C-m53--77^6z5EcC9bx6q_o zoY;&<)<5#_`)3Kz%n=(%8RAsOSr!c9LTr)y)PjQvKCDW!K z6CU@QH#D+L&88x$!h4dX1euV4d0jd4GYh~ej~zbiG*Hd)Y@-`qY<dhLj&uOYPW8p7E_l1;FMCFxym>>8HU7 za%)L%7gpRqhYtA7q%B}*7ZmU$FTE=BUedmq1>Wt2Rf9){<>#{8>rUqN8&$)*i3cQG zKR4T3C*^>6oH}AC!QuQzk%Y*8i$fo5$Lg| zIDtZ&o?krtjtpdbjj8X=IA)2%-#yhbI0=$L&sHwkBLCuFMlgVz)kz;9TAP<$mjs?l zuff44MV`EqG9ae>7=#BF z)imS6Ez_NEh*YmS; zdlhm*;ytpX)@jp17G$@KApbSx-UvhtNYJB#S}=^Rjj$xFcdPr>Xpu4o$pL1SP=xeK!cGpB)0GC%`VjD}HRKP& zk^4BIkmcQ_Gg%uE_3>?ynZXU;xl6mH@b7D|MJL^eJAJM$X+<2tXEi4^neZHx06(?@ z6OxI(c}WGnE9ScW?ip#dAq(tax3nE0!9>Sq3%4Ca#zrM<)F+{ACo2OqJa^*;jZDA; zzFD-)ByYeGte?))~n0Y-NV;+IBy@6wRV9 z{KYtt>3>o#$7>uPNEVvegbVf(LTMJmHHEWUyR=>-Y?f0*(X12oEgV^SQq!t-+WEk2 z8b8pZM99qvB?4(qkazf~&Tr(mGYE~Tk|C5d*O3>9z9?Jd9@fV!OX09Q1Mj--6foQQ z&0EE+B4i|r())6;QRR6{7ZVM%Koe8*M3F+#hZuPR`*<}_kI-ihQZm?Ze&BX2m{Ro| zan?>z`HfzXZr5 zZM!ki-u)L>)#Wnb3vxNtaZ4V8`pS)VODALGp*8GSRmPf7%5bNMR80ylU~#?)&0Lsh zGPYf&TzGNdXNq=wDwqCy2|X8j=-pw$8bGz3ls%b@AKt5&SkF6hZ@Eo3y`BqRB-c-M z2`RPOX-yL{=?c@s>zoUgc-M>_tilZv_Hx1s7;G(yjFv72qfQHo zZei~Ay&Yu2yqUsQUC+B8E1R*>m3tJ|?BNa*xbme6>Z(j+%TY5K z`7*w+vg(N0Y&&#u77Z6jWVvnx%ZfCcy5FSg&|GDo%Jhe7o7fvT-`dr74cKfAJTto?*WMoRYd7O3R*;EztjwU_F0vT0kai=Svm`v#F&w&p}-iVTJP~9MS#R%E*PAJP?f=G$wC$;Z^O-_^-@KO$b zC%O`H9MCq>-({XmB)o2lk8Hf<2NMA`%0NQJ^M&pGmFm?STR!|vryV}@M8(T#omln@21BlLyINaMN2C8B@RMm;i zu8Tl<8QjTKIVzZ_B1g7CS7}_JL&&HljcwV&rja$pE&RLklL#q=|5B{KwZ1tD1kdp! z^T>^tYQTbjk?C~d$&Av5IxYJ`rcX1A@&=At$`k&WnRKcgzx=5bsoI0NdCrE@J6sT8 zY+;F~fhD|h@!!VPT#heBbR~Sgz9E0%6TB)ky_ykkXUvK~n1s%;n?x+!Et5NVbgGd; zNUDBD^77_$?NXRcys0XRnfUCo95_9f)@dOWf)t^wIBY2<{uB(+`tj~>^m^y)FnHaU zUh{l5vvI|2)bKSt%au8CGjNQlB>KZS7c8c#f*=X$AHqbc15jx-C_-s!LT59E<{^pY za<^P$W5Q#-fi`~;HvbL@j{AkvK+)Rduv;+TSc$V5v=A-_Sfd`w0zQ`rn-JV>`(Nt? ze=<}m$%$V1`nVU*H*6XwsXE~_mJgcE@v(Tnz^&>5+Do~>_vQD*pB?HyOim??`#KJw z-k>?F{cR*8(T6jqYz;|EzIGWf^I}t<)6ezoYW3yBbadT*$x|jf^PahZsD#_&z!HMa zM(5xaz`w1Jwv$^~dH*|l;RH*y!HY1I>eKyZO0m#j|8s1!-oOZ;rrfWC4g4K5oDJ!X z$CyK@!wI)+1rs8d5j*e@`6NCT4N})q^nNQ|Va4n;$QL9Ts#Oa~N*F3*I1$~SFOkw6 z)&k7ILN=~zu#W)LH|$7!c!XnbLjlR+X5 zs$gnXCufC}O@y=7WM*fDE^5_g7*tbTn83Bz=@H{%$)&$)L;qJTEn;0YPmg6~kEOzeEXJ_MWKTP*79_M3 z-lqv~nnI}U7{aL%P)H2Juz0>7yn7agEC3AqCDrbg=MSTjA{oXlEDk3^%(9?1DSphH?k8#%YuI|H5UFq?7B0$HNEALG&^%LlA^Ap?P3b#DPKhd( z6&sWsWmS7mw#eh3C-Qi#*8k6WR$x6xB+ z=WiO#wL}cg8>u}Rlor?;{559KlDt-A(w>yDMS@qWiW#@C$-@zCVVMjWE5S;xf&Pf7 z->p`D8jXzL<6DwiBup~Jqs_Hou3qIV0g(&q$_dGHn>g;|*TP~9TJ077gUa%+H7L78 zI12W5tyhq@34JQmcKt4MZho+u9(b`CVDDJOP#`p5siYm=3;?wjIr+f5DSE+oRW@m& z^DmlKM#uHU^ZCB9vEumb&>G{@jV zA%njumE#iOV3=bzgNw`PgT&}4b#fGo*9EmmiAx@sk?)sV7+%}g*EKm#Hv|8nKjJ6L z292_o&Td0-$I5|G3AkRdd1xJr4N_~+9j!C}X7d{@mLQ)cYX8I|pQg>QlaM8|$$=|N+?NHqMR}I1Q9*aN`JcoOkDa%}|9ciDh zjIwgs`E}7>x4eIa@xS%1|9$trSp8jc|1U!S|02|S`{IF8U-_*(A&W-UfBbbzTl29- J*?qg0{|E8CGA;lB literal 0 HcmV?d00001 diff --git a/docs/images/Caliptra_eq_NCO.png b/docs/images/Caliptra_eq_NCO.png new file mode 100644 index 0000000000000000000000000000000000000000..601d4ad3d5f729a69942a3779c17a3428e1405dd GIT binary patch literal 11540 zcmeHtXIPWlwk}{nR77AQB2A?!2rNWuC@LsMy7b=ENC$xg0wEL|Er3XefPf$!X%PYh zrAb#20tp=fAt4b$CmBi|9a`v!Z9O z^|MU}?p>1thJ6HOW`Bsyd?3=(Vce{&^ckMUd)U#-9ccg_zd}*e&;i-xh+LeKxiR26 zbX89SRV^-IziK>R_hvWX<;RO4HT~1vs{I0&Uxx=MTq$+B!jU{S%nwBmuMs5HG-Vg$ z8OO)JHe>=_w7yQO1yCtZ2byvOOuyoS!?=cX@;Caqc4Z(_| zgd41v*zg~GOpZ#oUobg@h)&7psE^X0m446R6D{)d@MaY2&vWrniOy_M=Y@2iT}nD$ zbYw-RIEk+_!v4JRC(+}P1+P^var4~dd8Aa&DjgO3`s!PrvZvwChHj?k6HHV#kF7>O zdky)_fA&aO;@$?H!&lUQ%S}9QNng z2XU*B}#@oh8>!#+HnNZ)JF=t&ElKrXPzx8h*(BxEjdW%squ@izDeI7GT^yoehe=+|Aw5t?R<0FpEzP! zVs^!d-4|W1?$$aLnq%yO35MNOAALTMdX$~zUD59t;|fBjN}?3I)E1)rgzP1&SWx_s zlMq%2yGjK8N%{xRxyql8J7-%?=RKGIAljAJGxLFKUh3G!VOW&dg%|B&72;lELX!2T zeYlSv;cm*ddl^uE%sKW$fkS0 zY89j3Mw~B^?|Ee>oi1i|5ptK5Hu*y1#`JZh#Bj2cjFTMPk{P*|!FKDzy$g3P7`nuL zG<`}qt`n(DLZTS(Wo`3qhBv5en-yOsX2!0)o)rRSHeE*&zzGMdy^ENK?^wx`tL zo2kSt3BfnV-tgUByu17g>V7GUHNjB-jdx*g(Nqa6vqT|LEm7N-(n1S?EG5X4Uq)Z1 zq@G9>d=>f%^Y*iahQ&Q|@Y`#p8fLf3v);b8(E2b6AE3tOs~ za+x~43BS{71~bqx>#)-)dS|sz+x>kxHvT3H21@ zUHLbt5xs`d23WlWE*0m1<5hpAKBpd{&Z#~e7}I=m>hP39vv+eL)E3GLEvK$-iV%1= z1!zE8=q6yZhw~)oMNVabYXT<)qy=j^15Of7=ABDUuun)6v=Eq5>^`R$Zx+8TIHMeC z=wd(HlnQ*f{grVgRl)AY3o`;^49{P^=5tOY)G!FG}M(GZ)k4DR$!avv~w zDB{-UkMiS3=lP3*)N03SQ)EtF|}J2d+>@qS>YXT0o|eM{*2^$KsV8$^c0Gkwb|O>O2u?yFw| z`oE|7r{%_Wbl&O~PN4U3%$xgn&rht==k=PdkVHtHNI_qI-wg7A{1TU>s?={%CneOS zNCrYij}4`P=eTPQKZrv0YW4Euv2`%eA)`nsF9Tw-f99TZ`=pr}vg z=I2)(Nbke8H%#BYaF@T1xE6+qpbqy|;l*Y5JBSVmynH%@p1YJ|}a?-|TfSYf5E<6UZ948S3guH3Uv&Y3X+#dE5s<>C*zTt(Y zCShaSUUqS2{h3Y!@KT>qA6e~^Kp%X_{O%qlh5xZ!yu)t}LQSuNOEKOy_>_LrbaI2d z2f2A3vWT;&E>8z!2=iB|IeE5{f*C~4?u6oOu!woqBVfJvVf z$8i`FLCDpIXp$UTd)gp%QZ*&?@Eyi;E?3b_t?!yb&{wn{YYP=UyvC37T#;wAQs9;w z_Kc8G-)@Z3CXR|EP<{}?xM}l1ZPY%MEP#Ove}V(UZLYpmvIg8&`le1)3ZswCTOF#t zRV{VBOkW07IW-LAv2pwx=uwvI}H+URm8F6T>o~Szc)d{I6>FNho!MGZIws_XqFgr zoY{3%`*HP_W?zf_Pp-_&x&3?F+Oipa9*3Cj`$x`D*jW{P5^@ggtLg)5;6p=07U_fd z7z^ujca{V9$ijN?oI7|}SlIH}{yB2!ZT_Ku#w-UWn1!YJcG+VVmJ2gL-5ch?tZP#t zId3dNq<*pqo;#gosSv?>CH@AFiEW^e$w{l87j{J)aw!H^OWzN??;QW~zB9h`szCH@ zDOaJZ>dC)JM#p9yyC``B*x>eC!Nu&0as63q177@eqsBK?~L83g&|~p zF_At=K~foGUqx4&mRsE1m}1u>SXkK(A3J^i|4k4XdV4mKKH5?}S@V9^s?ydT)4E+1 z{v2Ut-`YHn*k~qH0s8JX4y%*Ax6v^3!JEHU*Z%eRl@0jybTIerKP);jRoX9){w-G+ zV&4^$ZncMwlMY{rg!G-xbYOsk%xiceIg(gUaTc^(4hjfvPfwh9I+K_^=hF@8|d!Sn{JTvtn9x$!5|z zbo)D%FHx;*e(AWIlP7`plTP?(Rpr5#>vywSPJ_7<%bsY}^1U`zR1G~p8o)QK+B!eT z*FC*sM_tPD#2<Wb+IiXS{s=5}#@p)}u0;2Z=dCug)@7 z8qE}ATKG}xBAbNyHEWECg(sxF5iY7&B zw1W?e0`mK8ddzUp+Ky{$DK!ZlzV-AUqHCl#+F4beK)>!eE=ltAR$jF@>t1dn)2E#3 z4Fh(D-!HZmf1SCt?b{}>{1#ycJ_^byLHUnpug_IFjpaV^TjdR45F3&9{2YHn{)$VH zGsrUh?w7DG@w4)06->9HVph`+PhL*3XahI8g0&oH`hZ1CnI)p0l%jod19LRgi})ff zzqN&Gj3y>A0ttB;>nSf)KyxH?1u~jmxtb_<=QMZ4!4(LHo6o$ z5j*i^0+!|peiEKvDJ3R#BTM-8L90Ahc}nT ze8O7rH#7f^QO8f;Dewa~`bfs08pgq_)d)u*-ow z>Cxc3%&?LvMO(Fnz=bZwpv9asW*9n&N|MJlZ+ijU0z|O`#fxKZJcU3Fj-f}SFd-6mL6e)2p&&_EYRcYY3P{`-aYrLtrQpUObWRG zyqH3x*<$V~m-T1eZyYUVVin}u^yGCy7wrK(EyPb#`C1uY+qOyU5Z?LG(|i=HQucc!!7@q zkKei>cHORSbVGDmX6e!V4F)A1I}nQ7%ufqq>^H1au3#%sZOa}hv*9E6 zD=z?^Qi5!%KtHAfAYKpuQfjaB?v#Q%J;CQBxdVgITGHk`#nstmBEVykUtWT)Bh;rK zqv%|%Sr_#1(_eTV=4F)`9u zduDZibdTp=%hH`G4V`OPv;s$se0@+50lg@09dO@gX`em@U&Ap))YKv0I-N-}LyW?N zgvB3|JKt^UcA>;~dhkT;?5f9OyE~3>M$J9MykXRC?SzYF8FO=}MXGWJiZLEAXY9K( z8mDQ<@Zo#nTLG_r zTOjrGMI2GINa?iaDR>J$Ne7!j8^mEq0Ho~Yxu|u09;mtFA+q5Il|qd z-rKo!VmHy;$Q9~QhA+V69Dck6qX)ua2iH-axWz+kYO&zY-2Lngh1rTjqNsI6Bg7BG zo5UxM4K1~5i-!&+dc?I7Wm^uzAps}Q`b@?3}qnk-(X=&SNj z$`3MiId7u7mmDn=lS4~ALkXbdZZS?*cZpnR{TepO^#@7#h2VJUky3@B2d#882Dhb76s@DgS6;aBz&OAw$Jkw$+Ss0eH6N$K*J^2H2^^j0`}> z0$cmBgtT305XhY;LG8F|48D565?ycXdNt;AeBNO{94GXdkIGy+U3fEoGA1VL0)hF| za;Rl0qmxp(#+=W3GM&2yRe7E3KcCbY3>I0=eA|Q(kEJlunbGs6vLCXlM_dkpgO|g# zH5|lRy>z-J;mFABY8{^GkxGWEc6$xhQOUS1QPC-!OPqCz(F`H0#4NpUtv=wR&zsZ( zV?(;+vm5d8F4}lo=a+N(LvtWmu$?f>Z!1n2Z-O?y-xwI_6#q>wvy^twqWQM1B+DM~ zcne6y^pG1vQqCK&d3{?)uf06qmt3#?Xd#hiFizrbn_4$(o54ZTpP2EOpP&Nwg$8rh z*}H_4a&i&TxHrt%Mjb`DXk3XFK*9;vrhT-*iA1m&*6H>v?i4?+m#YoXNR6=i;>Z}_10P*Gaz+^9^s0tbqxASL9pxXDYvexna1LvAg#EE{iU*GOI9=UE-b- zz+&P1ow*Ya%W?`+6q&ngv^LLjX0@%Fk$XD1-y;Z1umTZaS{eRUhWlt!EG0%64)>M# z^X~EqpJcc}rPB(li2;*0h@14|ils zd3Jg}lxZtXJ*bB7cg8iMe~-bF)Q_g7{2ZvWPf>+UvhkKgcVkeF!$TO1k5kEw;XV6O zEg*59ywcpE)6EYlQM;(h(KAR<8k*M6$sacTwyOrCKI^L7HpVj^<|Gb&Q{BD(fg)L! z^AF#lVW$!^32NXnDq`-8X)!1t5{RdVlY6G8C9hfHIEN#6w`cRRqY$P1aE zQ*zf78l3b$F>la2Hc<~f9d@I^u85p49nW+z4OBS4huF`?rL<~0BrJpGv*&9TB(avK zZMsPE1UJ5!ax#M0d9~&gs#9P|pzF*v12{$~7Y`2#zC0|~;@yT@TCT(^0-WoRKCK&L zM`{&A2$hybYmL*sS`m*|yfVLUgTfKvjac7?N>Op(y!-+eP3=b>mGnkPALSmUC7NNZ|?7=nHcW#LQ7W?tGzg8>-!b6N2J3`_Y-+bOW%)E#r^Tx zSv-F(mshgetAm*5bF}xSKQm2oL1#|cUgyzXNq=GrK6Yvq+lmy{o#3FhD#O7{jdxa> z(^?J+6p7+C4|a^!Tg^}fJ!MH#8cCVthClV8XWJuwc))x>gY3O_bU1%0@5lx$1*F(5 zKP)6v^y-N&;`_kRSDkKpXdtiRl=X!tUn--QdPUpG8D#SIr=V!)B)S^j24ncH1$O}y0KJYl z9#Mye6f8DX=$+ucxZF$UnJ)-G&4A{yT)L2wWF$VwM6yPf4S05m{*#Ow27wD65(9A5 zdOFT)^!v6GF5w)7ICJ1yd-%LtOz9<4BY1LT1wpMchvopOgzUDafD((D{8Fn9G|0ZD zegU<(aY&xi24rM?=b{otBcB1tn6cW0T%pG3w<6eUD-_CQQ#jW|M!NrXV%OTMm z3bEk!J)v@kPNHp z?SRtuu`xGf9h^=@9^!gy%A4+X%8kd`olR|HJ88Xg#)b#!uChw*x>2}vhq~7$MBNUA zw2%-(Ak<-xvF+y4)pzb~t`I2*GBZ19Z@bqc9V8?5I;W6W=eAd*JPar)has*GvBO^0 z406w?(Ey?+dolb#7EDP(ojIY@B1Ga(FEAn>@a{|+aOMiXWoDX~PQSDkkdYy-^KEbCZOh1Ypg(NR@5dPoR|E zgtd=6PsKgpAq7WU{M@>F8h6XC?I`K#GW`M?+`ZhYX$jI8>bDQD^xCBz#{{r_oW9x? zM%Z&C!2;*FP4!*gLb62WnwzrcL^tKkvNwOG&!+;J%hH zo&5+9N?5hj_+l+)ble)byEQS^W;Y{?ajC7kKS`WjX~d5`X8xXAD6BZsufDD3g?t`w z6}M@;*F^1r%6T)$kjYxa8^nY{V|}^GIaW3q8|NT;ksQnh#*f8cIUlKhHTBuQh5*QR1-;QM(j$HJ&R3=qlKxtHM%}^Ruspoaj41Bb|QtKfO*`UwKanVbfwTec7^=(luZ2@F?`i|3mPb1aEY>DO_;=4_+`{|Hd@pX*>{+pcK8u%NUbDeHL6YVk%j zC<1{K%Ib{<%0bgq20v?u<@4LBrkT$hYGX)rxV#Q|0fQf8da57cN1@XzgDtKWHzuRq~_urUTaX#_^^ZWMkmyczB!Jl+}d!nQRF|A47vkk*1 zsW;99r{;~%s|l8C5Q9E{se?=^SAa&f17Kattu6Dt#%1r-G6$uZ1cJ&kle&g!v6*rb zvhFh)M&*2+`5p~25Amtmr)^0)fNcf?#{~KTZrlAXJtG@X!Q4Oi@ju+W&WfDbS=cfd z5gLSkYyNU(bJ_^A2_Jm+g;p|(dPs1f85+0 z!IMZ%7}KtF{KiwY0ynf9mcdbVdde3^aA82_Vg|if@$1+EjATYlhmf|XD&af@de!CN zku~U2Q#}siB)8K`$sR7vbG|jNm2Q*vE$Q9cnAhM`? zQ2mVXY6TiWQRN!>Hqgw*2J(BRK6 zs(61D`JZ$H=gcFuBb3=_j{=MGG|)$)0qhYzM8>pPW9YU-&FRRnhy~WQPo$@tqkp@2 zRyIJxb)@MY)_Zw7s4fUFArOAkx1>!oPU=sl{6)NWzev4C(5dAYq~)q=t&rQ4=f&k= zaDeG^H;)^?zV$y*YahCtB-SMF129^~Ax1QKLqOTp2-SMgu2A7d2TE83jKc%5hq> zgPSW*6TPVXIaXLjcq)n6Y^6V`MN+UpLA|?thb>z6Vq>#atTbF(=0Pf!161iLYIBtp zS$^8uf226}xv-*=ZR(5k<1fd7@h3hPLWJ3z(PX%;cyguL{U^reaX z2%zCK1+H}j*sU>36W|YIxY%Is1c;sIK1y+PL$GqDa*akpW2x-I<_dsEaLD6+v1;#_ zFBJ>2xnOb*Npv~1&LaGgeuYnnxz*oijGGNjvPJnXgmn!MULiI*YFn(;b!_U(&h2z4 zPv;p}!4Suh9&0H-!mOnfGC`UD>HNyzj(M$Z)49{#YmUa4l16Z;aoAln5-ip5n5R51 zvowt#rK*KQ{@s=RLAP`~ueUAvI@nf9K~1{7Z0lIA=%7`izAalV{p44lsLc#;5&7Wt z0fszasez%21~JV_${&E!rhV7aLLY)WNGgmaT+4)7c5bDeNSDRGs}6C1Z7ro9K#{cu z{IM5V%S9fvUD4gTJmNtcQD6P!(F7v=Bn7M*ZVMD?!@?Yf3w7n2iuw}WW4;~gDc}1t zhPm6}l<3K*$|`Tve(&E8L#&NbJ(s0L)E(D9$RZCqcj%bATJWDc!GFx{SIwhZxHdU} z80Y}#ts-LAJq61ibgPx6WK4Nhm+e2quk5a8GLtD+MmM!zW1aHuA%n6WgWoSrR8AGb zM&$JPW;C3vhP<{JZtUhE0ca#Bzc}yTOC~Z^%9(w&kDk>m_U{;+w!RuEMfG@FzAWt+ zWYP7s?Ep%6;vRViDeF&L8Yv4Wj}f0@a5KyiL3%#{{-zJ;XJlZ$8<$rW9H{?U>qomZ z+0x|-!0zB$fZy>e4_Z&FppRY;hQn=Io0z!RJe>7ou*1dZjMUh&J>stm070UhN22gE89>fE@$Pw&huqZ z2EN7D_RCR88_LGWNGRA7^U!bGTHc+ObJ-*O{-~q3z*H_vUZ*3?^fM5vK@w)EUempn{Q4gJCTP zNoX#QIrTqs=wDLzk3iVzHXBJNff5`?z>VSW zx^%iBUj=Ku{*b-@Hp{OD`bQ!ApX&X;BmWEC|FY%(&nl47ncY9c8{zpxHr(;_fBxkI Nc*{t)>ZWtle*n6)K0E*b literal 0 HcmV?d00001 diff --git a/docs/images/Caliptra_eq_SPI_clk_period.png b/docs/images/Caliptra_eq_SPI_clk_period.png new file mode 100644 index 0000000000000000000000000000000000000000..c8b5b0b229a3fd769360c2532eb7ce896db3632e GIT binary patch literal 11100 zcmeHtXH-+|wl1QmsEB}yN>xFW2oX?f02L6CDngJhDpEu51OiwPX(|RpAgJ_SLW`6j z(xe4MKp+sLgc@Q9NeF3|Z{PEs>)qpX|2{v?kCpL`wUT$fbI#|PZ6(gkM4#`#sRLYG zTzm#MbkD0S1(F*DH7ku>x3e&puq%*Ayx4xYBp;?A;Q z6eoOG=eptZfa~5d0o*rvKRlHe)0LLmb)8q?h?(c}=YWRe7P@8v0X({&Yq_d_9O-EL zSokCG+VNe^_7|VO=xJ;{y|%7FY$oF~)yPv{nPd!ek*(&xOS0Er_oKyK@ungUbh`Ms&kS(tkwZ@ne?2UL=*cLDGuKU$aLR*>Ttm*fAb)?Z*eXjwbkk zfR8ht{c_WF^wpa+;xgMOfc6T?cYQG_kpJQpw?L$7N1PH!v4b?6uyGZ`XMO-rm zqDiU(ZjY0;maVb6Qv6@}oDQBgmDIiaq|(sFMxFns(0-BA3eS@-!?OiAX`nb*?@3_) z*Pl|iLi5wyK8NpD)Gf+{a~6G>-Uf#7zICUl^A`-(gktXM&G(7t=Q0eePe`1&+{-;3 zz`QJD0Bv(g&-nZz-C4Zri|HrjD}%69p*;?sZV02G9~a3=ARQO$Oz|^QfNOpC2Nd-| z^%YXmb}Oc{$UM6L>$Wp4YKBJyRC`Ze_z>x@aIwhoBJZnFjBr>ZhOjD4(3G14vJU+C zR-10%r1foD&7VSk+K0+KdHdT$2$Ua#dh6rXbbi?WQ`-3}cTZtVDXH5^)z|YplwJw@ zc|j^S52dKJd`2F+D=C(HMs{z&Ofo2x>*ZI+S85~s0roZK7jGK%0y(eXG9^$`SmhClb{hrY!{*I?$Ate?kH8oMWxc+QBd(H4-u50 z?`VSew!G6z7`NJ09@(oxOWbGg?JbQG^WpYt721lCJhJ!WXY*Db14+IjkVxs?)oZ)X zb5DNuGTSTLdfIGv(&Lo(yfra~GqMf5UXR6p?b(dk_3KnZO!7VM7)epxXXjrY$mLnq z$$xpQJ<3kfv|Hjp^v4gX=LH0>3%XvZ*(DqE;)7zLVDZz)X9L&M-mjXeZ0=uq{Om*U zpzsNvlzXzJyF^+K-rL*vgf(+1gg0|PFxGzt8UnC8VD-6c=EjyFRA@aq{O8!O69?Wu zG`svpx#v*F)he@Vg(obyZzb5o%j&}47n@NEk6Y|pkuMg$60@Dq`SF6Q`otl^6Y90t z9MsanQtgu5l41bgCxNM?miTp@C|-+R2YBDZN!V;k3WPzkiSnU zivGOgzS~`$Qq9qQB8kSGQI}D@e#E-L&I;dd?+fJ%j?Gc%b6sb@Uods7#_mZ!+2LEbc< zW!y-TEC6=Bv6W3bYjr00)_N-TIq2H-RfII=l_S7W9tLJdGv9LSeKtRR^R%&3eB14( zs|R#msOs(3Gu1=fZ<#&a(ffjR^r&81+ee=ZBIjc-Se@T(adG5jPyV?(@r7MQ_TO(y z>q(2`?aw=QYvI=7n=rTY?{*~`8|FcBvT~;iBGL;KlGT#6y~$16p~3h>K*@#13uO2~ zxX7FEH|WAaOOU0xMNr}8BG4VZl6Qsj=KWyJ_hEX0x{1a3+)hh?g+>wet{7P5HvetM zyvUo)cOr~*?tHP;$*r=QtL}V$&Un~Z$=W{+AKLFE_aZeu^{PkOC{LMHfV6rF;ubKk zeptVDq&A{PdICOSKOv<4O#P>Ns5+lICLr$9p{YGn_Maf1a>8uFc7>HtRyM^~g*H!a z8*GPfYHW7#9pXE~r+o7A$wMb)MXLGy53L@`KJ_ZmE-_Wa^5m3K=P9LxI|(!qoN~0W zr}1l}9%HZcw)~)c?qOE`6j^~RhCjGy)epOOL-D~o=k$?uO8RggtDoGL(TBS@XnO>C zuPY{r2Pfw#XD)Zx7F1UWQ}%yf9CDqivJ+j zq1+mj0?8n#XoPE2**8yBHX|Z3Sz3&Jhh)#bt$OO)ziV!0y>j5g$WLTW)`R>xgD&l? zzD)DXBy~Qy%XZz4At6p^WRg-B>Kkh8>AK*e-(cRDFMDmGe{9+ODq z@t@?Vvd{QxkMW!qYKsSSl65okL!@&gkZH{9V9V|DiSlk8h$@KQj&5tsmpsybc;e*d z#UGbKLVp3{E8}B?;lQwy_fJ-<=bn8d`03)N>r&SxS|!BEv@Nks`X@xdPoPSmR$%Ux z_^Xar&9@JJ9Mzpl04E;TRq2|!f98Htdx9L*t|^>!wG`rcZH*=U%n*DL)nXCow(`xt zcRtlOHS5KfcD+uqL`Dzqtc7pq>^O-rtB<<4F23%85b+lFeoO2F;`z_1${dwBB&{y9 zZX{~rXDn-QN}zI&b4>kLt*^(v&Rw{6VdO$e(W@dpx2>9n8sv37rx%r)_DT_YVfEb> z7LqIW>kUxa#_g)?t($w_R7vHirMx(FBTMk?*Bb_osfOUt(So(-Yj*%g!TYTO$}d}^ zLKp5OSq+$$43;#MOn^Nq9MGS<`TUI+Y+NDCiMqf~s~cl{^I?mlr84EF)*KIno`dgn zEZ-{;n~f_Q{$z$qn0G1QrQ8u=(2x0=qS<|${4o-rlx@AI8|mSvD}LR0rFYw4XiN?( zrxIDitlI4QTE=VJST_#Qs1$3oZ(y+xkBMFBIMAWi!P3|rq`dx-D2t^y(Wi1=+y^MHBV+R9J$=W_F#M_cNsh1)}rK%cB!udTal zRg+zsNh)I*BUZ|Tr(T#u3B4Aoh)iP8*#_toNWqDM71P!1>ELI6`IQ3hcH^oOZ@zz@ z6rI9GjM6-9xLObS}PV_xvTN;%6Ij(D^SMB ztkv!cy$YEd2iRs?4{#zmDg~SX2Gaksd%#TD7Gb_oj%Yb;1*@bFDjx??nGYtq;z(_# zvf1uhN?O6tIHscNE7mbu?Pdn4K9v}W%Qne3HhH0Xe_-=`)b*n#H@Rl)uPDms?!Jmj z?BkDj!7sZ-KWf>P&&!lSq@xNt4o8Kx8zt(M#&cy}{Vpl|H4$W*sO#j#Racj~vbLt7 zSzyY0oPJ+zNl{O;r^)UYe|q{)jyX+R?ro3zZnhhT=Qw%aE(Nc|%$@R8)%mW$BV%JO z*`4)%u3fQiTszex*RGxG)Xv4l#r>Z9?>oB--|zl=&2{UqhbyB&3S3;0G6uTWEJAh> zrXt=#tn4Lzo#x$jeZTN2gYO|qJa6`Yx0Am2eDF|i)q(xO3m=RZoC|qM>rTyo7(COr zXTD#?Ea64dQv;h^^CxG3JbcbxmoDtmyZI*eh@?`}{?ocfw_3S)?|ku&_^P>z&|K{6 zYZmq+#%e#xs-=3DHB()P{$8qRBo&cP(&pZ?|A^$(D6U=qa~6G~;hv{OgH9a!&qorS z=l1a6@mc?N?eNcEyuT@N>AcU<%yE%_(<^$n@r-idRbq2x4?tdf@bDn%x1aoj0cOH= zXO!ixg?FD`HFce6py*e@|Fe-Ac|`*)Eak1I%Y3uakjPa}YONNh$``Ymh5y@@|EBrd^S_9AfE4fd{_-_uRqBkLeG$p8cZw#L zoWvo^eXuE0QWCBuq}4~d5qq^EzS--uCK;<|M}BwG?-q~dJNS_2`|v<%d4+xPhH)8G z55TVt{i3tF^QCB8cq@uPmgoJRsI_{TG*&j^gw9J$gBM!ge&ovZ0H-*r_8a@VivNj z*_|yYd3C1sSoh-0NCp!dZb_rlS$C$@X$2E4d{v1_{%PPe|dBJ(W-aixe4ll^1s%?V@3N|txu|Be+ z?BT1?vGp#m@cs(fDZ}C`1aHSz%h~OZaGb;X7QOa$iC*-a)UCdQ`3*mT>{R_M7E_sPf!I|yekZ>S-;p1?XV=BS38RpiJF zSZQK(BXTkH+c&TLGy7E=c*K9SG{D>#ZCZ|%1yDUk4ErgB!DN0WEG+RJQ4QIXlwJ0q zzpM}FFg;O4^o;8g(@!$tX|x{^d;)xh!ziSIq6+qT}U?b<7y<3QZ@-5ZiVl?R)0r6sfL?g|PM zi#Os-i#L=?EWId11;S%myp^%aw;_Ei?3FPiVVwTLXtVxQQ5`dafnA#W{znSIj)tt? zS9r)X>@m?JrD`kG-80p&UZRKrVJAE(=dR8?iiS+~eC{zC+x@#csqj`OhWov_9l-w= zqJD&P7i6ldkM0ACmX$zEW`Z3pS<_6Jj8$z&g-8M4QT?b^-Dr=pzD!rC{||$@*Gynea6`^wwy*02<;LT!f6q?SB%b^xMOA~eXsLO7nRLy-#65?t1g+S zuq*RhBL0jWOC1&mFEl?Yu`9WMj)ki)IaTg4hs$RL(+2cwTg$hW7DjyZ0L1#7l}VSz zR&c~v<7x+=N&>F@kKFr9vFP1qGc9tj-rDv$;1uDZ;OW8BIBH$(f@x|A^VTYDBCj|b z*W+D7t*BSz{T7KGUMzMFbgttj#$AEfQf93+Y zR>6_!*wAG1%PWKZB|V4rnrljKeiDeWE>1Pv+sRr+O(6EHhTC9Y>4U@B;t>JOw?2|x zf*&n1U_fuk(xzx}?oelTS3N-#(C?XJH8()zwt9HTXL<%D|mPZ<-@ zq5-I1U=3oz8dGSckJXa1Ja;Y3%kW_&q;>*EN|3EUxAZWZ@$bR{S9FS*gZq%qHPBX4 z4h6fSQoj}P+24a9gf9j)Ck79d7ZVBSGzXirEu^Mq&5flH2E*hFNi^%}slO%vTe|s| z4{cGc#{hv`)^VF}FIe5zDl1zvLN6?wTJ-8N*|*Va&%rsoEyv{+mIm~fJx^9I4r}r) z>cP~`5xkc*-0UX*#G8LfRE^^CGsH?=y~7^#9Tp2fEYRyxRgF2o+C2*xn!^G!Oz|`z{@IN|lfUu)w;}(VNjrkx6;cGFt8ARJqMSs52Zx$5=W@7G$bU5MRgNSOuz;ta!WfPPxciu&fZ5PZiXuMPs+=;-jBSZMQ7MM^)x*{|Zu1cwtgjRa76$(CB^ERMn1`O)tMnx$umS8Nw-Rznutn?rEr zvK5VWJs;4%p{)kTe6gPJ?7L+fF~MMZzc+ZC)6bZ_oh&-#_n@SORhC02(hMng5Nno` zvy7YpmN1!%#eD}hvXW0FX4D1gX`m$_&fBc{uauR2NydioG3AN{`y4`qT((L9sIm?l z-sRf92rh{v=~+7X_b*XDOn@<6H4cuDu25@#R52q{RJ}rnEbh*MiqLkaB_wxKpka*& zqJpijO6@PxiqZJ^Aj@>}o!UeWD=rAJKGvh*U7}F#E>6T*QcTOh>1f+#hjUqJcG)Ng zB{Tt<{@ImY9&E*WCQ=M4pPFE^2@#-Eno4BKsLzOdbHkcEqZz{3H{U`lQiE=tom=$lnzUksa&mfrrC|D& zl1+27b}=6Kk3<|5P%Vo1@DBbi+p%coY_eU!u(p>vYL(XX^aVyZ%V0kf?7EU#bVi1mgiRfYFXYf0!hWP6b#OfxWn9#`VAJ)rud80>muj>CfLH|>G3I`%k% zn%Py8jeH}Z-y5E7MoGwkv(dw|{+f?6RrnH=)K?I-P!rCwAA~Vs14j+uzIFCTYSmRQ zo=~axq=tQU)mdDagTP28OeVCsr^zn@4_f$S0Nr+BFQ;J|<5K|}B_5V|+=!@E=W?&x zViuYHH3&4$$*yJLK@XYXbz~gcaf9f_QF|FFB1bkN!L)y=RSuEFk;pLUN3li6dcSX~ zbQ+6dcr0DRL@HXl2u=8>EG7Dc+n|q$zb^(A6f{^{^K`sx^6{&jFS)~~rIiKq7$Lu- z>bW2w>KAUm4sAw7{7lz16#QV&v~X})SUw^9=VV%mCfR_V*)g<5Kw5G(Zo%Ui_e(g) z)WH*kJY}h0B7}ovDRFU-$|)4YcEsOa%IWQ~k2yoO6)dC1B!35qK|yxP4U;zcF+n43 zxL!h5_zK|7(u#&lc!EM(@EJ7y0js3hVt5!_*L;Hf7_Q1Hi6+0>;}+TN)3v@&F;oIi zRwpj5xtOP8h*IatsOAS4XULlCnM776$fh>1Z6 zHCwIetmUXUeQ<(_)O5yY?J*McO&JKst8Ai>=mGsCVtaJElVD(6FY**}mj1I}L7Wp% z=OE2y=6Rl!OKIql8_=&G=z}_!*|0y_16kDXDT7%75mH(UC6i5xn67@8os(s+c43lm zS_Fz>3r((!6`1+Nt9(*e-okTQB~%-y*tT8q`gz~2wGT_BeF+$|SyfgnK8tWcVzN9f zfw4v3kwa#8GdM$2fy$?v={DtGnqVsG=3wr{*mJ0q4q>o+F29_2K>G{W=zaKDg(Yfe zwK0rt_=k*6t-dO#;ppLbk6+qvqk}qo-&m5jwzSf)jWP}i&%V?vcPi!lmZ^(Luq3@r zEAIKf>K!u+!S^S<&wof|s|~cn?y1>!rWqVUH}sR~Wk#G*Gh?C)aI~Z}%7|f>PD=4v zf;Ie#H5?(wk#)`{)X@M7+qzC4v%hur`Zg7cS=D*hVI3FG0-RE|zC!0{V}Oy@@FZ)u z^oEwc(1fl#i;U;XE1Y1|tm1&baLF{r|I?6HTn_^X(9<4C@-CS(32(+KrjRPOS5>ot z^lLhT?@`jkt=m-3DJ@$F3FPgDc{^pB1gU>-$_$^R|ImCy8%qxs#GxXCl2LSu&Y~Av zLn+0V0WUT+4ex1YwV6>*Y@h0oVGa)xu^QbX^a_$3iz8~0p8Jbin++MHs!d+uDhgf{PYZ1Ls# z>3$H*uLr&g!%{OMIk{zbF_Cv3<@wI~X(1zW7T8)6X?5g?6}$e+0B*8TU+Esc}KaOF@@_yNROz&nc?cJfpeItg6`eq~ipPMO2>41Vx^ zHsN0~pP+Q1Dr;4hgd*!`M`{x}NUVOssK4ezEE`O*9!j^xVFr?@I9IvsNwlh?CPNX^ z(qC4{AQWi_lyC14xrFySQ{xTIk$9N^YX8IhDO!&AVaNB3RQI=~xjkiSGJKKA<1cQ0 zyv!Sel_gK_=KYcF!8_c}A)^e!iwX1?Ei!iPz^64JBfCaX4#`NYGgoGrW2kRJgYM66 z+a6jx{?$4<9P2xx6t^m6q3Ga9opZ@^L-5jHKV5kRZ#OX7oZF;;0BZH8*6Rxp*cm3Z~Gn6#&rb8 zLLKqyHf~9%z_3{vIMAaD?(0`FZ@@YS!B7+l{71G)J8&d3^XM=7pal^y>Kv{9zR4M6 zvLVZtOp#?oJPJpFW4v3&r_-#*vwbmMBdj&)Qh)j9lhBGh>MewN;&biX0_C{??A8Ak?M~Z;-W-G-E%=2 zigQ}UhVQjP) z*pnp9NM+3efcB4puH5z@Eq-n6EK)I|yC|EM`y?Q;_2nus?z8_yXg~i!L6xZ?K7HwE z*w)Wu!)a(7m5~l7O$upmmd=0BBog+lpdbhx#_*q-Bx>%Yj7TvFFjEmQOKO6K81nLOWz*sa_O)V)Hm2KwLYJ+ zYDv|;uv0dCh=Wm{0IB|fsSi(_1icN`q?}Ur1mM*y9x?l50LNozWol`dUwv|pvD?z5 zji*6c&t@ZQrG1nzSo7Ls+)nHxcT>hO4{|6?-ilrbUJyamdYP3Gze?+-cSmkZNR{Hk zAK@1WVa6PoT76_P2&n>i%vfTFg>FD4Zc`-G%X_fz>N!qVVPdq!g#=|UJnIE7v9a$A zv0{xDv#?WE;7|6An}VMw?IgnG#fY(JTYDOdjDkyuODTZnu{4}5MaoNC^Czouh_x^} z47>6<+!YHoSp<1*vMygP|6|ZAZ)MreUgB+})dN2vKguq|A9sH%C28W$dbZb;`wAw( zi2K2LE#6qrs@D=YJ%S@8Pv!|1yl8zbsQy7oS9y?~Rr%&w3r)-=JIG|p+h(iA1s_3V zG9+#alyM30{aF%_HwswqE2H^^$e-%2rG^N;n4Ilxqr}G5l4PVW4SSoX@A1QvW>A#y zO~9iRSJMgtk|GQ6QSuU;j59=4V7jKMJx$s5W)*%=Yl93zN)J|1RU5Q~a|z9!2X`CT zNrcWXb@RU~3B!|YAr5gpO1elE8aR@gkV5koM8&NxrjQ)Qwq@ioX9oDCBP*p%a7a5% zMdBv0Vh%*UJbC9)(8F)4s}_ z(ciSuA_6c6uU3_`gS3|JHjeOaF?Mb9xCZ zERZ9Y4jU5!vP`T`rs}S%f51%#q(P36@baWrPddjYg|`esT6~;X0x6O`a+l{`xx}6m zcy|mMye4SHS|Zw&?`Y;C@<`fC0$0%^fRP?FDWl1H>Qb|FXI)J)i?FFLz zNJ)ruCZ^ukPYl0rmo+JieL+UrOo+BwcV=t5*4-c!QX=yzL*jr`)jKxIq1sQ8oMl0c zYhyR%!Z?o~6OgrwP5eS>G)s=ruoRg5_<4IlABo-Jml!^64lfw6R9p6roOwwyr;^1_ zEzZ{Apbiv(SL`-{K$S8h?|z+_1Vf9BoQ?Ztr$V}!E-?cQ%A1v$ilJM_A4{W+fUQQy zT>muHh_+2{&6&JaB{lQfER%Yv+Wcbu-hMe9q#tC$SCAG#0B43ibDe;%9uyq`zgqy! zH_fLfv5>$q2|{YwzFvAa<=wGQ+F0;)(_24qXKJgg#&Oi~m0(OAT0^v|%2(IhND^?R zz_`-eb8|U|tn;SNWgEdbr#NOG88s+_rS&@`-ugpj*3!kZ@if$n{;90&GD|$WZuzea z+Io(sx{Xx}k@f)>FM`)HM8PV5C`x?p?VksKpr6sKpXpOF;ZMj3IQmzgb%7 literal 0 HcmV?d00001 diff --git a/docs/images/Caliptra_eq_UART.png b/docs/images/Caliptra_eq_UART.png new file mode 100644 index 0000000000000000000000000000000000000000..47277cc1645dc71218578b5188bc56cdb3b8fb09 GIT binary patch literal 16363 zcmeHuXHXOU+b$v&L`A_4f~XkjD$)s{QUrv6^e!kOHPRsvLQ@0;L_k0~p|{XOkCcFP z5t3*qQIM8Ugb)G=Ne<6>-}mFgnK_@%%=v%Vot@p;+4=qMcHP%?Z?u8F)~OR$PO!1D zozi}+{*;aFaLN9)&zYn9=O5_qd^WZd70!(?seb^s!=Dm@;sxEx<&_m9Z86>?AO1sbFi^RcMqSr8xg@cd$ewQeRD%4v}nbquGE^vq{Gf15m1fbVmtT# zwX#%1#@oNn>UN$QvrpIzj-5q$_!Yg1nLJw*lgNHHL>?V2t$Yu?G5-GO_+5J;@yJrP zU)ucf@@JhR;&+xUrqpk8_n)%o5&~UQH;=5=wX{^^{>^)wPw4Kug!?HuXIZJr(XheG zQp5efZ|VdVr8;*89lxhunwi2{^xOk$>!u8?*@xa1(O%&VH`n+xBv4d9*S5GMc=Q4Ct($Z96TctgWNGC`P8OL0*QdoE3^|P4 z(^5tvZVFoyL9=y*yWStWt~)8{o;fQ&cv&Pb#QUyzshv1yB6jp5q;+(aB)kfgn3H0h z`0>3VUE5ye`;3A&h5TlyIrFmNcYHuF_h@t4Yv;Dx<2Eg+w`I()jDjeiXwtP03tgoX zFM4^nS8tt6Qt0TcJ86FHYQc5Uqdvb9lmpp5^xGvWV2+E~)ISw}{A8d&I+3rpxbBTL zdDlmbOU#w6XpJ;P`kO5aD70->&a z%{-pi_h6c&{RbGkg4z*LHQpum8_$kbgkF8k?(v0pC;Zx(qxqdrzZ}uNcB)jFui_}_ z!J*silbs$0M@7E~861v}NXq4`57+%A+QjJ*A@G-DEBw&kEAPV-p0S5t<5z!s`@@NX zBg>D9KAi6fwY~=WDtIC+KTrPlS)PYHuVm^EiH67I-7DcKdlT|@+Jibxx*98yA~Go8~69p ziQE?k_mgD@PNLOn3?7tRGG^C#Zy779o|0Q;Kq}gG7KPDGSk4)`!{ayLjcIQ&tnVcx8PC;}|AEA?b7I1u%0~&rq=-rna6*t{(@ZYLG z<8k)rabEQ!`9fpqmo%T;sseoCAw`?L14XYpzIfsDg8#*Z{^Kva9CaM^zgj0PC+$9Y zdNcild4c)I$odpxy_UxibqMHI(y6Z=e=d353KVPp6r8@7xu^ZB#Bh_ z7@Qhmu*qNz8`uKVk(eVTfw{9r~cTX;0lPRvdcX37lPOJmpQd@A%AOYWfWV|S86k1 zD6ApOS9rYeyw1GNVlu?}_UA)!db)+~@T`LAVrY8t-2{aMRWP}Y7U;haCsr=fDnd@- zO5sZmN=B7@Gf_5qYV22Xzf{>sqx^G;%8%tu%;DO*RX z5IL^ZfN6l%3*%GpHh5mew~D_N0~Jpxj`~EmoSf#EwrO#1fkP}Ihalw?;+6o3ck41u zn-;XCwAFX&ARDIV zruXs5fSyNsSCL;4`#7U$ntYdhb%ATqY#8=T^WO8%j_H_mO8WQ^W0*XYF+>pmW_70S zSzmbk5rTx9#8ZjWR?0QT^;-=?b#67mPL2-f22o^-lV0O?t*z6v8w1-r@fPg;Wg$-j zE&IhU$vtCLPAN#K#-@F`x;-13$xxvmJ1Kf2t>%sA@S(Y1YtGXE{&n(!<3q`t`Vfa2|T{ zQs8-qC-U{h)*MekCxu#UwIn20xea&%*rI$M*bN+1&Iwu$5Qbm^;~Yz>rZ&cc86>5B;#>NUWj9e)SliRnkjMUbtw4DNN8~wEv)NH(X}%@r}3A! z#DClg2>dG*TOAvIF+~b;+2L}nV!@4Mo)+<~S4(|&sMYTFpsu(s;ot6Oz0TI0Z8$rZ zD3FLwY&Yb}$Er`iH;ucXF4y$ac`wF-B)2P+KWx4Nd{%^k+R&cyHD zgMU7Ge#(mJ?$PMI8b=@CoHh3Bo&C8%pVev>UlUk!&E^AN1gEVJNiA^Sk_VgvoD^0B ztUck^_tF#9zH+vj!!aD$uhM_Me@^6q2u38SG_mxQ^GMhRx~75H;+1zRMq=kokDK{a-M45C zoPQQ?HUcXDR^C*OH+4nWqFTVGy!GZSU%Bt$8+}_yo48YdAdA=vKo!V><(jQw>p2s3 zDv{4}Q)bhfOqYXzE5trXoVlp{<4=9*?6EEGaKRQ?EAUL~Zjcb-uV$i#q4pR~VoE|T zq<*hvtFOP3)2g-cr@K=1)mED(2J>aI_xLAmcK4KMX{&WPUCdlbVRq$ zP#gHQ0kuJN=M;M)iErw2p(N4t02^b~QV)!Wgle&m$7JB_?jAYmqL<`*n{zh&&B+0!D0hp=q(Q^f^#&=I z^_E%xdAtdtJXI;}>cuJrJJj2fo^pG7!6t{!mmdYO>KO~v9v^rPO#|!examc=)k_0o| zv}Ociz`ZD$Ej%TgL|!5VpQX)et0GyH^~)&O)vqw^5Q}>yGUiGzWF{0>WP<6KS+m0k z4Fo{*1k+&WIe`x@SIqLE*LmM}@%dG`v-+o1V%=oM3|77SP4{l{#-Y-H{M)DNpQ#|?4E zIxQ?ahrR4LRK&Rl$WCuAMxPFa^gM~vsEB3DRGYYVu|G~36sKtea+vANI&y)0?9g40xXgX{D(`5n{ZdblO?3bI zINPBpXSRLx$aZM|xUzq+v9af}|IeMnCAo+H=bFvupNCt0ud!@w_Zzj<9~cK5TAdDT zv+~ViZTK9~3DXrZC`hh8H(9&I9`0Co$ne63mSbe1;Z5Ijp2oMYODl%TeQgR=R)1-| z(=RX5EqeRhPsjes(Bt=>4w&Blklc{mm|R<*{C#L?k?f&BSeWwum|?xP3f<6B*}2&;yZU)Ak#EHiCl^#(;iS^!uL}JLBxweSgFrg5AE}Gv z23$zxl~sTL{(-~CuxmNSLGeM((|o}l1)eTu?4+%5jIvQ<$?ojz_{=(2Ac-*DgIC>M z+e0|!MVi#RvdxLiPu<)0pUMg~1H^vX$!X5wld)k+(RapL!QJhu=7E+^zX8n4Q;*E&DTv_$+8dtcxhPitHsD#6Y!Q#ZXQV;N zG-3>2u56#bn{tM0(Urfv56ZjqV$kHhhmaXV%O(@&a z{CBqr3z0Ao{W&kT@om{LtE9E<)v7U{zZBLHq;&*9(3fYIoeD#`yrc!6OF3g>b>9-cn|vIzlc9?Rm;WMX{i3$3a8q#6 z6H6<}2L^~FNAiwgmr$^eTbdfiSaCyslJ_xdKAN{dtWhzn%=e=MST~o5d@x^?l&Ot7 zo2rIUhHY^e;gd2cw+vFQjYDEu1V3&g{Dkkr7U>ve-E!>nBw5P)Ua5u33T8|n1X}O! zwXCb6PrhxtPS^STAUY_H9(dQerv(#YFj58Bi#QP&dDorYBsw4t*5O9F33O55FQ#jw z&@Od)#cu~VVj>xw?!UmY^b8|c;wADdEP`(c5$I13!Nasrd#d^|t{cYX^ zl%yr{)}~m1v&_>ofeGwd9Osq}|GOGrBhY z_>VhbWxRf$wKg)=KGrAHa~eZWE9RB@Zhf^AR!_omP5QecuLy6Zu+6wWtuNLO?wDm- z+9mvr+pa=w&7J0h2cEC{S+$5C1F4ju^w|Nzw3cjNy>k7Vuz~gNwO$50PDV^-d#&+f z+&G2AG{`qb`Ih@JgdTl&Q5353>|0FIG;h^cAt_QymVMyMZw}guy&Sc)ujAl|wdLr_ zmjDC1@++-8H)0?h0)krmjmLjq&D>G>#i$>c2wsK0o$IRQ>3sfN zps<|7b?2QCFx;5bhrSa=(*{+%0Vt$4dp~7go{C@c6&1Qt#oDm0&#AKF?5KvdI|66r zJf^BbR9$S)3~$dH#Bv;X%*7U6AH8%eg1+K9PP9_3LWXpk(3d?XZoucT^uX1+)H6eh_jFU^gMNnqL6@x7FeL@EAqD+^A33K!^OV}k|iuVLkR zlmNqYp=btF-nO#(*$h=#+Eb$6a&;{_1N{J&^=cwGMlq~7kdhJS0*dkEG-lj-(ZrqA z78exhjOQSxk{hL0tvoXn*P{wZv97pi&C2piw^n9*0T?mQ;-vo1to$^(WafI*Ra3a9 zVh#UxIkJNHyMMCV3;(&mj!_78>=g^bThfv+Uy{^Mr}h8*%QRKhfQA76@J-*HJ19sG zT};cYlY^*N^6+>X0%R#x*`6Ql*-Zcja!(+vYw+#3syN-;vPI9ppo?p)dS~Lvk5rkTw4)AL3{O)nCpbH+?aVt~ zv|z+7FEy$Uq6aJY8qG+>2L98+c*M`b)Wym7qbB*%ET*Mc4#wUv`gxZ19&5ak`R%Yo zwoUb=j`$Hx*Dl}uN=oVSHZ!t^XkYZzA zbvlDrGM9cnQ_XO6&!^zPue(AN-Chjc2=HaCwzqcjD`93;1E+k=#0Wo~4H2pv^p4^+ zqe@-%0}4mS%{%hbFV`7Kl%V?Y+58H0e4RM6IA;&vPl7E($MAVHZX;86>t~5LfS@I# zaZpao)SOH{AFK32!lnJ=d8=*6fQT%mFk625y6gP?cSWJF~jZxpvk<48(eahH^KiZBq($>KD&Q#ZPz6D9ZxyGb`W2arx4s z51Pk%OuY%x(SL?I1%T@tb zMQN2|W@56la!AWI0`G1DdJ5F~q&h@r$EuWR#yIK`@KD~p3+6lo1r}rwkO)CA?w+U> zO9pf0Zr!*`kSn_h?9SOW1}vCh4>b>&;N1m7y59<@%8$(WP*qOh;O`H}!KCm|fRD=k ze#r$V)#|ii%PB|&ItB8w=sXV6PGvTfF->dqu*CfmrO0U!w1sc@43Yq$Z+@ScS=sr3 zpkhj8$!5?n&g>5gdj{q5W4slLB0(>sFswg)7knRjg`fu@(-md@mC>ns$iRec^{GS! zu^itHw34F4t@fG49BOzBWg>cNl;3&2-09}9L90!4rPKm^RcbfdNZ3Hv--<4FK31{c z>Mx!8;V^uTBmwPHRR#XG6x79&@D-KYG31LARUoS%<`JxSlHT|Dr53_Cxy1v9vbxxW zQ|dU&=zM_Z*vx$(YcS^nlp4Y*T|Wr!p1DztzU>--lh!avI5wYuSW?PzcjRe3Gs&o; z!ZYSK*lpymr_1`uh3Ju%BA-`7dz0^N#}^UnRhtZF=DM%?q=}+v+WK;>W&Xx<1u@kn zx-rVWJD<_@U0~G|4!te#A$EJu;x2yuo|IM)I@K1T*+=qzCulDL-y_=^$H(JT&V$8$ zf#cjtE(t8ZJd&q9=^5w`iK7l{w}6v#cp=|4;B-N}3^jXk)6{OgJY>-C4WfM4t(F1VxG4kkvT-!Ox6C-JYnhQ+n!r`_+utA4C2@dVQrbLkS(;#&_7NCP1fufZQm z(rjc;GyI;XshO~otDae-``$#y?Bj`^Ub$k-OTnZDS|3z}!t$;0W7Ts`bcgSQN->B} z`Ab4S=+k~xty8Rs(r+q7&C2aTChVfWoQf)sLL)dB*?&pzw_~JaKC5BgvpyjG@lKb( zqNPr&B?Nxx7`1Xkrzgj-+OxGDCm>~43Ezkz_%7n%(&<7W^#+_CaYlQNW11n?9@o37 zKxcGz-tId`8Z!PeBCxB3gjHG7etohJQ9iH9TXJmSiF$SnjTvc6I~*1jPOqI&50IB~ zJfJi$MX?JG|I|R4G?b{L*+J@Uv!2aJu`+@vLUoEaS;*d+-DEE1ufaq2=+WZsHyt6e zd?Rl2`zyBr<`oB?Pf-h+z>SIO@R#T0Z+m<9LsUp}6IDBc8pG$}bkz25@?ghiTvJ;z z_Lq&LG3z_>RgO_&V_Tb@(jH@!V_aZDz1j>P9{(?)P3Peh#Rpe!ZOY`#2HW}DcF|~u zL)&j@;Iyq;3SR&l){rNhwW=l=SM+jqG+^>VW*Uqzw2TI+biEM2NL$R>4l1O)J0Pot zesKxwR|uk;Kg`$WJRw}*Q|nDp@8lDfzwd#+Pdbyj^YmfkA5IZr{s?EYi-;|bnEkfy zxv$Z%+#-aoWa5gIuPoQ1c>GFOVfg2$KH+!GoYi&AkXn1;-jse!cZ`xS7zK1mrMxn) zq(`Uq_^yt*x*)f-Xm6v7Nl-gih#x~AATzpN9b(UJO+PuuPo)JBVE7*Ari{Etm6`zF z6mu>d*Wf6f)?zm+aGAgA;-@G&=bT&_Hs9B8J88(C#WXd&ZX{_$Y_s#V+pA->=J9rY z1{pH<_h_|_q4$}jae!Zc;#&F8ApG)^IB~3lcQT`O>oKcRmvLeKmius)s)sBv#uQho zZf*?|Ci;9dK{Yg`0ItvVqA`LQ$P2=u$#>#O1T!M#-E-j%O7q$(Kxq=PM8-f1c?;>g zG&p0d?eH;KEn`%B*=V9xuWX-;^9A9@fZfHib*Jz0__GJJ`C%ShONNd9kn0VTy!Rfc z{}S*wVfPRRT)d&u-u@Z^3xS;5I<~t9p=Yn)I1Mj8YqfwKL;W~7lQiG=J@b?K1;f)z*qa7@gIJ#Ro^Citl?C^L0$x za1I?IefqKkS+wzG%9EErs!fN7+78GO-_|*bp48}8nP%{flHNVFHF`h7%6eFz^_0rd zk&N7+@vlqzf;)U2w}d;P{>g;(;g*i*RoQVqli0e!xZ&V(m@QT2l0O;RQ(49FT&okJ z{F`oV*sv*@a2~-j86zV39q+EXs(^ullt5Ej+o1A{N$oaoabeb7JopKAAoYTTq@>jZ zRCRPPHIOa~ugo$#$Xl;9g!1Ub6Hr-mHAKzuU{I(ZZ|R+usbt1Go8_} z9$7RF*D5mHTr{kOSJshtVMYy7hEZ<-w`DrU0@SLuTNEv5AJ)Y(S&j%h%Z3g6 zR-WWZN7qpV{yBMDlAl#Jin!Fs%6%m5b2aL8gWs-;fN)3-#n;%hm8VQ8jsyv@JTS^J z?4FzxZrGOh+q~A4-Z)v?_8!8_2emlJ{niNrZwVjmhL6MJ7OLpFSm}3U$9@hls%Uf?`o-QBTQxu{1UrTHYQ)M@cHW0okvljnU zzAh!@aft9=dHA!{!$-~e<-g6A-i=;`-m(aqDrf$BNKa&){<-wdy?xKTdcXUO+b)Vc z02dygIP*?7SC)>vuAPhh0(LNg>E#L}OZRwvxMP$r@%|qUC5@pmhUEmwza`@`)EKGP z@&a`GvCddhQrIApYx$+hcixH?6<~~6wCV8miqQJ zk7Ag3fRX-0#HhPCz)(Z|pgsk|9$|(eodzURX31|Mb#wZ;UEYWp00W z*%t)L-J9#jdRt>>%@acINJ=9eC}ws}8(lDDlS_(5JF#Grs#LMy4&K=_rYtFnj@|Ft z*F)HYq=QUXJt12}!X@Ax+>^++ES(<=k zkiWik?+`v8Yp0B!ZCahpTQKhE0RiExS8dzq_gi2&pu|I!q$@}CZ%$aXT8Q5RY}Vk0S|3fV|4xk zDv|E8im2WMF8y~zWHKhC6?R&iT;9IqaRpYGiqSv7H4bsyOHT$EaI17xn@W~)>j7}K z-xHB(ziH9NSxtj5wBiV~cQ(0Og1OjmfjVHZvXh8L9090O_&zxKnYXh3I4le-o2rb0 z+JMZ06zb&UtXVrszYD4erYL1U-w;uCb}Gc8vS&GKM;F{H=Q|3js4?Xg4&@T!#22ms zJO%(aXh8RG?kriK7mdF~t{)a$d4I0eM*db4cMH(|PA4?vsUP8R#9NOGQK#xS{RT7v zEq5Hl*SC_~r#6bs+Z;80vNd(xvo8S$Q5QciZtDjXC*|E}%fX+&C>*?w0dF|8$M#BS zSp>$z)@27ZCoh`h&lh~3&1HT1S#Y-g#=k2ZYN(6fqLs705j~X0cteHUb~2(A!&)r5 zv=y_yj(5yd;fH>6Dra#R)4OUZMh|aKB@C1ct`f;ESrOhu`)(K0QdA{-)tdz`Z$0#B z)35DI$USmEiKpd+#=pE}-5m0q93A8Pgs+>|&U4WE-n8WfiT5OX3VKk2D5n(#q1rHz zA~Kd__g|{mrtURt?TcuL`rOi(>C7PS1F&X>boXR<%!P}-o07^x)IqLR+a33)=B3#x z`WU{IV-KJrjY{{Rx4_3|L!vD9QtQNR?@s6Qln7n{&m+ZO$ZiH;e=>_ka#im%?Y`s- zP#c*Q%~|A7{jG$UJuhG?nefR3Vg`QNTg?i6mYEq&oIn@s8iCZc4k&5nQAdszw>D<= zS*ikSrKd}_+Ovai+Yru+RS^D*Y$1*n3yW2ST>W)9?U9!$g%w}l5&5oi42F@z3DnGBql3%je_5RlKd@?GwY!wJ|FO)%WIWYg`4WrCspW|gB z1ngIim6&8%T-odF4#!ArYjV!zDmKbrZvvKh{(77?f#o zaj!6Xp92AEIwnDiTyuUpGm_b!$i>*^eYqjsehchLuSn(Xk_Sx?76AHM^d=m1s+hZ8 z4z<1&lUDsYQKoHf^^tP?B}Z^}X@JI)OLz1A9tAk;p##T4Zq$x>Jr!9&6@0uO?stHp zmSF7dH^Z*nlKrUi&b@X_05lx)3FZ4aB}LxEp?FTb9JQtso1kiMh-xk&oB_5!qd>0a z$_Ye7xTV*>=L5U(-n3bd=%nma54{b^U)OUCiGY^wgk3vSPFw3GOI2T%{aMf|{d1={ zBGTOZbGHt8H;%^`+(r>D1da`mJ>nh=q-LUfzJqu8A+{pW- zQjc%2qc8$X9o(PSUg!%7HwCy=`mN$Nlr%|uxq%D)eQ=7G;c8RGOkwO?1e4pFRTu%k z`0u0$!{S$oh#y7g-R;x*8A4B@<>2RRipK}FySd|j5@g}<8|x<)Pz9IV6U0`f`z?K@ zWBPVluE=%rK5{Xe>HUdc3 z8ucY7e=0KlkIE!Y_cKfD>X_$Xxv4eEJAWyHGS zs>Q5pY)92Xd(AllwOs;rqIdeLv_8Pq%+$Re`*RS5T+br6ulr7yhkG7doSBTJ9)Ns9 z)lMA}PEJlUaPwt1Aq9I|#_2KaFliMSRoliA=DrG}5ZvB=Zj~CDz$bra#o1BVJp0rF zPO)zhJ}2v5x2a?jOY}D!8_;ocPUbPPX9vyA@|4&+Xw%2xW-_Q*r_F7BvZ!chQ3ylk zEwhD?F+cW--PdcxFLzYekeVc*T?r+ssI=Zt)aUGKigehC;sWn7TAf;l;i~G7`Hr3X z9xuK&OMb=D@p%gi%{A0{<|2q^F%>8!S5vO-W2h!#BvNx(eU~C!ZpgA~uLw~;z<1TI znW}LL3tu@GBpOym5fGic0NzyB3UKhqLps$pNX@H*dR$b{7lWtJ8hph`h`};bQ+6!? zo6K58Jf37dPcF%sjddik)cW&zv}3@=m9~t1ZjkR}T?lnonTXgx20#O5O&RC3b3Z=^ z>*eCt?xl0Zj?o|H5T2s!lG&gY)~z`_WuHMC-FE@yGBEhYqq_jr?sar)2P<88a?D-8 zETA3BayC?2QxyGO-c#O73i6K|HIkfEcEM3^tr6m?%nHvRlwu0MB#Zd{)RDiPcPaZm zNiv>?-_q$bKzWWQ46jxCLW~q?{avMF(jM5Q9*Z^EiybXb0vBI&%fvgSxFYHkS@NX< zXZf=qV|EsU_Y&8FvJl07jH54CP3~O=4p3_IDdsC==eB&FQM&;vRm`A_2b1|!RqpjT zW2S<{a+`ViF=z_Pi=6o&g!L+e33jRSe`|{&??K`zzH=Rbmr#Z@ad~C|&(cKs7k!A3b29XO`yKZ)o9V3i8 z5DsEh_TRDSHVCh*cB?rIO3KqJA&z~~o+G-Oh3k-RcUrD1Z>>+W)4JbWt!*4l*r%)4 z2;5>6rr9*2^#}oVRV;0Sf+h@5I^U3$i zCy0#wnusCG5#PJQz1~0iSE4YNn`>W>ud`?^84J0Gm}wg8ggn0`D)hWo6cB zFG6Mwqct^zAC61f+%^Zr;o6j5z@^ zMiv~vW6Y_E-q-bk;r<$!1xx@iUvW(o&q(YfA{g)E6r#(Zxn7n>WC2gl}{>``Py!rm#6i z5rp3BoBe9qC3!gLBO<7Blp6e#$eJbDEKw8_Usbx=TBWG%i_<-JG@94${=W{VEbF2m zGs}u9GH6X1vG~7QE7-bJODL5*YQy9ryqA@ye;kB zR|PhHlZ;dlG-x-KZ<}jSi@un*4-T;KR#?fm|2Az@&LjN2s#}G0nKk+cY*NU-7y2!c zuxy=NX5%Yyn&2iV74C?Us>D{I>RwQ^qtOz|o@H7{mzjc{7KBpGGdDkXF&_bIN-PovCzV!}_Gdv*&E=j*`rc%*vj?BLGhJ3ywO zHL4A0kp+SyZ59TEDK=|x?+TVKxm5rO#p2gV^#Mc1v7@Hq0-1Fs>ew(_73#@yRf>2l z;+(fZu@S?yRdsBiO0N0)Z!-!cD$E_D8(K zvS!DVKsXGm^@1ZtcNcw~oZ!+E0?3jPdhWJ(`Vce(N*B0H`CTil`E!e6l?mi|{1_Hki7Ix*J!>usQv{5xr% zi#Sp6BzI;dx(6af7AyYojtlmk@>Q;F_Z@t7xa-Xr6X|ccdk&P$L;@5+qUMa{MRV(p zso6t8R(l2`vpQVqHxJy^isjOd`E~2I23pFJp!r9=9Avou2$gvz;T8ZZ3Ak zUV!4NFe@x>tUj8^4Go6J%yywpO%Ri+bomvy1Rv!y;4`E7$T;AFr%Zmq`lIVNuO5(mjo1gKZo1L>V|+_) zZE*e?~-v#A!<7bRfBo@FfVLR(X!|B$9x)KiJ8ZZ2C5>N5iO!`nnUDxM@WWZuR z`5lZKG$gAO){;6Jv?Vm=+iFGIMaw=a{63v-DIN0Q&Z_1Eg;M$JJGzHd@3cUEgr~t1 z-LuE+_{Xa_w~jATjDLHU0*DdB9*6zdnXl?hk)?Zc6@kQOUDUM+QR@OYHl3b7 zH<v#Oq|0ML7Fz&5i9Fgr@G*m?kDV8lAR!K$5 zxtQ&>;ewDMeDU7LnuoSW?{dDNS5Uq&@-`p^o>M#SQ0tvt!OU`CzIi=l81}r>4^_Qw z*4fl*6wkHDIas+rBK1#=T}o+uU#`8Zl0a7}pcDxHdxs0v`Nh!5CBlYsW}`>XtR99} zYI5GEpd@c?)TgNGP$*?_Ml3F6Pnp-xe2xe|L;Urlz#7~bZ%;S;Sm7x1^EB$8c|#($ zU=S$uzW(ghqPU}+QZg0roKoGC&APNq>0EqWo(gZrCHpxeskEu&YG5z#nkxjaB%D1{ zW@!Yj__?wkvBrJC&;lv>3q4ECUWr-s-a4ugOUbSyA++ymPjOEKz^C zu$E$gd`k7iVi|8g?%Z(Q3N->xD6xdfW{l89bF}6NlnrTJS*C1)Seq>)#Bv3~ydzZR z8P$99m5f#SHhkA=6;IstYydr_8r7k=TR;c73NO2n@?AKqri#}q;;0ODvrB7-cs5<} zY$4^m9l0k-zo<~p%^BBHl-lA?RVO~@%z>dN6COV{cuOLk#Vz`p57xT)5NP}< z=Iu?dR{4$q?7IW!1ddc{>HH%-U_$Pr9QGYXMzw02vyn*8rANFwKo#*l9?3be4w=<9 za$8G~H0io0vQgscJokzDj=)eBD$~k8ed&YWQ5wbZ(6pUk|4)EShzFg)7e^O+?% zcA7i(vT*C4LX+6v;GJ#054UV?SLubnxbpAsW3|J=NPkQ`4UbpwvQN4@rEVlvpm~cd zts4feBjPxJ;nVow2NW(r_6T~PqgTrDEsZb*Z#Nv=zi|g^1>F#Z5VAd2p*fsN|2|%l zOFe!P0&Bd-lCkn%coORm2@Yh^>jX_Ta^<+%$ok+J8^SbS6_i@{eDUAm+rMY{e<#5Y uu;zb0^q)iA2{@Oq0d`7*(+yh zXlNMK9w|Mgp*fLvY^yV$IzG=Mvx8`8&K5a5e5j@N@ZnW04>wx}XB!%tNAKek8FY0P zF9aX?4?I+S{LV|!E!2zd(V2`e5pJa$*J%~c+-25se)mqOnoUC_KFo}1 z{+c)Z@&OyIjhXPf@bz=u-@O9~9W8?cw)2ydC`R=}p7LQM3IZ6b-59+uq z+Vx}lx;iu`(V@xz^gX5gl=vfp`+=L<jE9W<*A}z?iX~fjjX$&SD8FYWV@} zExNHLSFKZmjeJ@sqF%+OpFxB^o)WA+tmzJmX&8g@Q2Hn{LI#^kprn&C^S--eman zvUAYKS_&4?ay9}iPj$YgPTlc6lX6=0t>;vLuaN0kgC^{h%I<{#*3}UIY5W?;+4SdH z;&GDg=P(LoS`YF#bm`PT7)J;y#iti&ZRN4)GAxM{uuFvQe`x)B+y4IOIpXW>2X8ZL z7UmX^3&4eYUW~OY<58%H)rZkv(a+jAUVgaU%F@!RWOd>0$Y;7IXXa1OpPN6M!yp#C z_YPz2VEC{|7RSIDsnPna*|ljED`_ceT~|gOzRPt!H&hHGGaLGX%k<_qnksgmb3U{_ z3{t_A*NII|)5UA%yBzh*X>UcFcrj_%$tI>5fzul&14H@w-Zk0?mc;WJ?W!i zmf@$@h`&0k;K=DhXxw!y6vy23*r+jDN}MC4)f%?7n^DBs}B zKAn9@eNLSa=jU)MnKn}6akfilYSwseU{dbgXqjkvH*)zyZ_57tpGOwJQ|+NCd>?x*}xDY9Tbt5r`(7nHwk$gMA>&7^IS4SUp} z6ZqtzPK(LItTKby^451ZH3l@qj64(Pp zG7xcNG=9`8=PnC!mNR;uTRxX|B_`4|GJ#X?^0-**6|oOGA9gq=B||ivH9kFQ z*Kkd0&hgHn8=&Tllkbwb=K%zRt{_X5d(V<>l7^DDk_I}dUF6O$os+`7Cd?I<*w85Y zNuV?EDe$}rxJ(zZiR`R!E(@@;vBn?;t7`2uD!0qc?Z%y{xYofpZk~=6FkadK4@{xTQ5en%@_#N1bMK;^omwsJ&KR1m$V`2fGpmM`Pwh;3ni6%N z5h!ljX5s5=g|3Ja!`AfI;KNog5IlOb@p@zNv&M7#%^LVfg7uLXR;vSc zHnhvqb|0OJE4!X46B~X`CG`UT4;3|wgva_&T<*%d6&<0A`lk)NzKI*vK<6x@47wqO zy@l025y;FA9{z>MpJCW!3gxYpG;y1#+wiAo1AhXgV2FdVP3({2 zGbVMFBQBs)?mDw->fw1jw*=-aMg~I#o$!`i{Yn!2xn;FeK8}CvtS-3Cyz^*#Ghshb zk~B0{7f8aFXO+V}b~fGwE>R>&oRd9cL4@G3ko~if;a|f=8R!{!_5BPa^)Ktjb2am{ z-{0JL@qIcfjYEEjM_cx(*Dn)fX>HZbtegfMs&YGTzt836i_|RFUBi{KF|2Hq6#mPQ zfn@KMaLr)WPpl=dD9YZU8amb`mm_xxvYa;I^Ts2ml*Q3>M0zxCaBz%k{AVC;$Jr!8 zrz6RtGbrD6$Q3A`>*YEIo!L7e$Fh5fd@#Fc##IyNn~!!e8jJ1FP9z~k9Z9t_K69gb zC54Hg&)n?aWGtK-R(+`}jIEJ5U%aI_K`x-414qhol1SaRR+H_jWH-=H*G2Q;z{9BJ z)W<%utL6<@luv~~X)Xn}OMS~^&ATc0OP0$oZhwI|TZCnWc%)gw+2mq_^fyeY&>^>0 zw8ZA<7JQk!upGd$KcgmJb+kpgj1J;%3sQp_-OH0O1U;7+yuTt5KpC1bI8mZpBA{~i zP;2+uBxj~n?vWRz!c*MQYpQsc_^S>`(wi^{D&6aqWCL#>JR8NnUvGv8ra8)q$@v7l zKe#6yL%pSbR=lYBh-S)6;+}xg35A--PNoRE`9+5i zTNG{1nF9fMQcW)Ae6Zj5Cy~lU5i}_ZgIC#qM1moaN>;8km6ZugD=Q$`T*w)=J!_eT zd&;uy^`>h~NlDX3Pj}>jpW7Wz96B7)vymBS@47~&9G9=sHil}p8X7c$$M$I&+P4lg z$JHYZ?eTHt_@JSoOQ-u|Gf&4ejb$6SwFis4zf;Y*5HlOe z+{&KnYWARzzrAAE19mbt z-esaa$*e&0|L3%Hdb2=B^%C9btHCsXoO**BTOSq;G%*QUT1BOFlcFPo-jm3~i)s1BR3V-r$1Vxt$QVk& z&)rB>czf~Lx&~>7qJAKK<9~N8n}uVgYI}%ok+F$xQIdZ}7x8eb5VN0~6Q%>q!nr8} z|3Kw0CwjR=j+54Z>jiVTXR+4=X(iAnt(*g0$62N(FQkCOaKfMkVery2jC#B z_5>?Z+o;0 zklWyJ{S3f^$)IR_&=G85*iYx0!OZhM5x?M zYlkf9gzg=4F)uCW5fvU2OC_OVqP{;fht3$?=KBNdzyA_bbfaqfN&1Doq1LCf=rw>Z zQVq|U81AinQbrCmPkk=a4|4AN%Jvh{n{To%XMvmzDl!}lEn-td&T5m(^dIw9ZPRxc z!Bxq-8c>HX(QpSl`(f8avsvON_2iyxxX(w?yu(TI}kNZX=sQNs@z|OK$}y`pmTk` zO8-kZ)!s-C6CH1VS!ijOjRNTbGL26^4j;|Gtm$ZAp%9SmsOrdbw7M>3Q-}{W9=52u zhNCNKPsZmQn!%0p8Yal&({~*hY@{`x?#Yj>yz2%n(>Z>2lk(AmuZpDKgoe%90^RO? zH+EEAoQ>a?MV>GqWYEu-BH4Nqy@q3s&TP!Z3veag`}c6&qQ5uswE$-4$nu+{TI#X& zYC^`JZp96(IH!HNEWf-*F0S`}1>%W!X}{<%>FgPiwn^Vp<5_&Ls)#J&Ws>h*Vh5J* zR!V{HlnXFXn`TZB*|6Mp?s|a%Tgspcjk9d*yYDBY`rs)6Ihc_Os)BlN)EuWP;tgp? z7-nNijcN(V&{Jji^N+ujC|qWDc+c^&X)j9zbnd-f_UnIBJcUmRq^uKz{J$}$aY^vS zlfLeQM0Udis~%FTp#11JJ2t|QFg!r99;SC238@qt@sx^4 z+p6x8)JHjf#fV-4n$H3<^wnX5#c^)c&7%P#<9c%` zCBzGGxMl;F2xW%~ps>}|6t>qJH^$#awJU%u7i#8ij zDW4tND~8VnWfRW$J8?Cv@)UeG`4uk!e>n$S1X<7dRUb{*%JKu-knu%WF}(;9rN^M^ zDGrDz*+?6kvQ%{+^=$#*X6}>Zs~l~*C^tlx^+#I*uux-jZoCiAq)}P2)T;wGDD)FA z-iKHaR53epgYyA_GGtBQKQB7GZVP=W=SPk@%Kq|6yHNnjk8O@m*C~|?Wp^rO8P+-n6DzbZ`j4R#@{jd*A)Rou54LaF#EPqgwk63 zs$;3UcE&@o!|xjH#v$Ftr_Xu1kcitKn)!wFjmQIGj%KIv%^4zD0zfWFKS_3k5u`!0 z>XvvyejiC;sAqkk$!G9QMQ?LFic`{oVU|f9r$gLCU7R0irhK#v(E!nIQTDTrP;aPP zwV%dDmsCH_+zg7#2`(Pk}UeCok=&kmUE%6{OWG8k*Pr z3X0Ga4}8ybrAOuE*MO3xN&7*?IynXA?wJc|2AO*;Fwxy*Fc$%_uAjNRfA!&T)PEC% zf6~(H+FJ3H;un!>hg^;R=C@BBA3UUbJ*d*sif~@v{feaYiW)lcEj>@0Txg53`22$Q zDYjT|PeuipDu68D+kghZ0f8cWRO8q*U-W6q4>v|F zBF-8m9FbYWCEs>*uo3p4T*s;JBwAIuBq-gQ$aLAMtWTB7^As9gvWuz9H}E9_ZA$r9B-ULI2f$dlhnOWa@Fp++<xhFR;f|blHIO562CkgB|_QFi!Rv~^*hqmt}m9;N~eE<48n{F z0PR~Vyc!01I^B*e&8=E({ljJ@>WVAv`f* zmWpV(o-e!Q9?}vpt+Z;C>H40dr}98Omin?7?@*no%6q-{{QcBiky5GJk6*)*vQl4M zQ3X*@NiU`kQ$CG^k#&MFd)&BHbNNXvQ;^irA`vl_LvKTsGdHP(@1O6w6YZK`;9k+1 zMNb3F`$;4pHWG574f@E-E9_xn?-R-GtUa|e@hYm4xdnAudv<0Dfs$=Lwm(|c{K4YB zX}!yj0L4osCTos-revIA+-O)Ca>#Me9E~-h53)meYL)OJ@eI)BdO?APo@^?&&QXyz zz$Y0$`4f~MRMGIM)y2DE!eEZM^%(cuT;H3icooPZyOHf4z zCF4sv9Au@V{w>q|*UzI1)or4Ai=kUx<~aJQBlVi8?Y*|A`P3>_wikyI>GFNu+*>+> zz<`!*Dg4*`)^Ab0EaHt#Ag=g;n6eS*WMvT+R^QL=ZR zc=3#u<$8~5^SCu25YI{#3Spz})d-s%__%rHVLLDEBY)?FuqaS`a*EuC=2Yx?At$)p z&AyZi-Y}@U^Cl>ID@2G2QW24D2re+`cRIidO?Pq%y{+Pa>mkAWpx6Y7gNAcAZ_lB`ngyS5MyT5hK~B>R=Ma zr!#9xn6>TV^t%;}S{8NU8RZLZT;flsS8A~ElB%Ro49!EUb}3VkQQ^$h>*)t(W(s;} zl}xr$ZkP$NT7SZzpgj)qvyr_X zF>5mG%(;@zsOnT{aC{lMc;e)AfQqs{D_nI{aZP}F;&v?(Eq5AOMF0@`bO3p^WQ+xs zP)x=LG?ROb&%3#QtKZ*wgabS7=wjfvquEMdru@~SmDZoc8JeFE6Zy01Qm~_|m6&O3 zGad)`&15kEQFa+N)KJ+GpAQ&+(&h;sZ%zk@+}cL0&Mda&lXnr-|1Rto-T>P%4s;-3 z9%zFHB+R(%Iuz@V=tM<~FP6A@JCwAk3=cf3X!Uo4+Zcq^lu2Ze?y zM`I${e4>TBVPi87iEKVXhChch4_zx$owlH|D*nbf6Yv-MUGUD&iIdK%&ixv3BbY~HKv&y87H`VTLz+iDt2?`hGtX1m!;D>eg_>7#t(aLJ3 zq%Ds{QJRBnP{Vm1OY9amDl9ZU?VC~kieN;foaXNPt(Dm`JT6rNRg;UC%+AHu2RS|G zR;BdJ&4|or$ovWE??GD_7R)w-;GZ5`Umw8v1TIy3e`qy9BJ6XA(gNN-^aTqqE7ol2 z7g|LGw4PzUh8Az#1vORU=&9&BVJC>?pEU ze`O~|y|PGl4v8ea4$S3Wr4*LOm+a*2E#o>yjgq}2b1CS@gAkDH*1onpaaXra#V5TW zC{x=)BOUru!gbP7ws}2T&|5;Mz5(TA4xQt5Q$F+OzDGKNemG>5JpbWOzCC9i?h+l$ zUu4zU?40Q`Fae8i7$Syuu1CC*DwEE#Tu<d!Wm=0OJ+652J#qGLekag*FVa=-;ZrYRjLbOEbhS~EVqIL}C#@|*iTUNEr_)n~U`XrmQ{RvYnS>YRw4;q`Tj2Yq$%){)F zfs*^u2xiir`Z41KCB`1l$}NMeAUkQ3HYiI!4{hK~nQwBuMe3yz1GPzR|3iBHOpqy; z=jZq@FB#s2$ivH8oACsl(&ro?2u7TUGBb17oX&j=8J-D@7xU?uwY z&!$W@`aO^-u70paK8=^~85y}}KdlS+Mp?1liq_1RZyu5|p~gZ?xLA$2{HrleH;tV) z-7^*I?Qryiw*DBqp?;4&r9zosh7lfGAZ|24bLjiCNt8b7@O*^1v&^!o5QOnC^#W;} z+7FQED4U!Z@mQ>TRe~BC<>fG{U!#ktnt1NM?Hr*NZQORCe$g#sa))V?3AsDnnVp4? zm_Jf)R`i~ywf~c5S9{ZG0C|kfeT;u_(f(Vo2s6Z`%DtKUG=R;J+Q15g<=eZjWUvti zg_qpb>)=Dt)P17{Z`Zt`a~2$0HFfTd3LWXBEFF|1yJ&sX-q)?cC|06^pheCHSx%&w zW8}>vigDF0Ky+Vd*r1mi;5pd@H}}zT7oZsm(NO2&MW&&GmOz9^AuOkM`$@5?OWP@oT;3G0t6uIexQ-yE&TGT|yT!&O^_F0Z_Z@W8!BJp3bK zncLKY=?7D;s=fL{{D1K?!*(1-i+xB9ldW5f`M_6a^?8Wv-@Ju#Wp9rAZNE}>kR|T& zJp=Lt6=3*LmUn+Peex2jMLC&J5Li(q+zd^0ttK@hEoaMlb=_e=_^6BZ3rMp+I@6$J zKI*aeNoK=6dP$*S8C`g}bR$F!M6<(db172foP)sXTewfeaRzrVh=_))pLoz)??s^J zhGm)c2zRfQ%iTgee!k^*^myz&TBp=fGSik?N8x}S+RZ9fe(2in7nUO&W=jqkf+^w= zfdRCn0Qqm;v8xrc`{%dSb_}6RXq)o*eqP9aEpku2Z>ELF)&m}xImnWC_O2d_-tX22 zrU*`_2`n2C?wVEybskOlObAi>*0%0V)`<)X^p`hqr4jGq_x0tY(7w zxFsh=_TVgv>EaqHHg-U|iyDO9WpuyDaXwV%$!$OmWNU^wgQ;zuT!P#Is6;G*I@0#Z~1ejbkcEpTt9Ci^gu zlYhMe7C3~5jJS+62EiY>7uOUQ&szyJ$;)tT&0?4-YZ8;qd7Ng z@y!iL<8AGd9t^kl6SDlBS<+bf+68y(JO5>)XRXZYbmGNz}c6?=xvqwujcd z#N;fm9^zc`Tangya^sMr*G0Ol*B(+l;et=RXS8Hg2_r znq83wE|n%Z$F7mi5(fi%#umYG0z~kqsJDQONRf0$x$#H~;LR>^^CP!n{qxv?9?(SX zdVk=d-=MTz+?5_>$lq7$mubx!hr%q(6m(Ju?Dz0Q{N8P++}EQ{SS4fhox*v~a8a$p zOh=$NFNP_=Ty)>v>?5u?pru~T2KW(`awp2Waw&B80je=MF8ZG@&h9JXi{|>Wfyztu z^*iboTB_5Ht$!Jya%b|zK{Btr^c+|`>QFPV*j~ad>sL^2%P2Blc!`u9zu_NHU|r({ ztF>{#v21Ky;l>a$_5$!n-*USM4B7kCov404ocv)+r7y~)eQPWX`41u(4=!6758X3g zo{bD|X1OowL?~~i*r^hTb$*DnqdHAqu3qWU2V+A4h(9ZUe;Fr*%w4_n{2gOKDtqmm z#e|MgP`b^}exJ4lMd-xr$NxKfO1Gm&@at5~jJHn`UJ8w@cB}Y^Xu$#*oJbSnT`9#! zeacR`VWsLfOwd{SUEAtc68GUO(kT1cg)I+=l!d6Qn3KwbKl%3Cw(CFE3{?~Wi!Fc- zu6ErF`i;IA3A`dSr<>OaF`ukIJmRKNP>nO@b&8vIFo%lAu{o=#>Q_8yHSsM#U(@__ z^;+r1nFWwA@=i|JOg!nyXLm9B!v#PN$!GYM5xP7h$@7}j-^#&pE>bu}8z@DBy5J0} zng@YAVp+RKH*0Tf*1(0#pgFImozAC~8OV?6u*tKiR}etzeVB4{*z@rjGJP7v zAJd8l!tqAf_8y8m@VVpLeA_PuJaZK27g>{GD|d3JvaGpbrQLmWAV2qe)s%7>%+M{q zQfq)I5q)I*$i>C1jL@-?ER=4dwp`~iSv2bFz{};hTGaMG><65_`q6008ogFxG8+|M zRLDlxbIa!OOO4Xtk6syuphpBB!-+NhdJ8U2-lNyn)b$>>h$_%`O8(2^FNTK7O;Uue z@bMrmuT^EG+Dl`CT-7w)&D2j94by!&kKA+M+xk2cE^A?nHx20mBv_QZZFz-;baAJy z`~{C0`@;B^$mZ|F3DGl|GQp1QQ60iDn20N&N$#^aH_fgY6SDA|Xq~ZQTUq%#&j)-X zh$gdhNl0I#?EHb_76BLLD^mh)k+*>|`0i)zJxOzAykBo7&0FHybo)ze{kzje=jckh z%8huINx66p)62G9TwiWz6&u+$@WA|!1^$}opKMZ)qZ3Y_pMAvkyPz1VSlTxgOc>2S zs=sDxzzx0*3mCRt-)Js<#S3R2bSt`~DGxV+TfzWN>wEc#=kH~|kS@If+`kYlourzq zV;Xtk&)ff3n(w+`NsBZp|MlDa%M!`nd*r&Q7Guc86(lvhUI2E)*}2F@U~M+OGgXNL zA$K$lO-(D3!=`CPoy?_QuEsU0O8onMWdI9DgPxj?@Bi+-f@LLZk;TGKYs(jgRVdx9 zmBeGn8eh{7hCKL+4~5mt^}XLioMM|H>*O1V`b#!Oqs=QkcJsWXlRB4?TJQeb#;WY? zMmk4`qZr$7PcMvb{NN25KZM~-qVj_Fi(`h+S{2ee8WDaeclCONS2-{pf{|SLc5J*% z`*ZQR^OG)l+}R+unb`tFYL6XbiEq7`>I{nj`H^RMp|;}xq$&Soilxk%51ei%e7p>W z6Du@+1(&VE5>HaMqi3*KinP@>DzJDyvH(MnJ Date: Thu, 23 Nov 2023 18:30:23 +0100 Subject: [PATCH 07/48] updated property suite in hmac_drbg folder --- .../formal/properties/fv_constraints_m.sv | 110 ++++ .../formal/properties/fv_cover_points.sv | 66 ++ .../formal/properties/fv_hmac_drbg.sv | 586 ++++++++++++++++++ .../formal/properties/fv_hmac_drbg_pkg.sv | 72 +++ src/hmac_drbg/formal/readme.md | 94 +++ 5 files changed, 928 insertions(+) create mode 100644 src/hmac_drbg/formal/properties/fv_constraints_m.sv create mode 100644 src/hmac_drbg/formal/properties/fv_cover_points.sv create mode 100644 src/hmac_drbg/formal/properties/fv_hmac_drbg.sv create mode 100644 src/hmac_drbg/formal/properties/fv_hmac_drbg_pkg.sv create mode 100644 src/hmac_drbg/formal/readme.md diff --git a/src/hmac_drbg/formal/properties/fv_constraints_m.sv b/src/hmac_drbg/formal/properties/fv_constraints_m.sv new file mode 100644 index 000000000..c7c52fd75 --- /dev/null +++ b/src/hmac_drbg/formal/properties/fv_constraints_m.sv @@ -0,0 +1,110 @@ +// ------------------------------------------------- +// Contact: contact@lubis-eda.com +// Author: Tobias Ludwig, Michael Schwarz +// ------------------------------------------------- +// SPDX-License-Identifier: Apache-2.0 +// +// Licensed under the Apache License, Version 2.0 (the "License"); +// you may not use this file except in compliance with the License. +// You may obtain a copy of the License at +// +// http://www.apache.org/licenses/LICENSE-2.0 +// +// Unless required by applicable law or agreed to in writing, software +// distributed under the License is distributed on an "AS IS" BASIS, +// WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +// See the License for the specific language governing permissions and +// limitations under the License. +// +module fv_constraints_m +#( + parameter time_window = 3) +( + input logic clk, + input logic rst_n, + input logic zeroize, + input logic next, + input logic init, + input logic hmac_valid, + input logic [383:0] hmac_tag +); + + logic fv_init_reg; + + default clocking default_clk @(posedge clk); endclocking + + + always @ (posedge clk or negedge rst_n) + begin + if (!rst_n || zeroize) + fv_init_reg <= 1'h0; + else if (init) + fv_init_reg <= 1'h1; + end + + /////////////////////////// + /// constraints + ////////////////////////// + + + /////////////////////////// + // Assumptions 1 + // input nonce and entropy + // remains stable until + // valid is high + ///// + assume_stable_entropy: assume property(disable iff(!rst_n || zeroize) + ($stable(hmac_drbg.nonce) || hmac_drbg.valid) + ); + + + assume_stable_nonce: assume property(disable iff(!rst_n || zeroize) + ($stable(hmac_drbg.entropy) || hmac_drbg.valid) + ); + + /////////////////////////// + // Assumptions 2 + // hmac_init and hmac_next + // cannot be high at same + // time + ///// + property hmac_init_and_next_not_high_same; + !(init && next); + endproperty + assume_hmac_init_and_next_not_high_same: assume property(disable iff(!rst_n)hmac_init_and_next_not_high_same); + + /////////////////////////// + // Assumptions 3 + // hmac_init should be high + // first then next + ////// + property hmac_first_init_then_next; + !fv_init_reg + |-> + !next; + endproperty + assume_hmac_first_init_then_next : assume property(disable iff(!rst_n) hmac_first_init_then_next); + + /////////////////////////////////// + // Assumptions 4 + // tag remains stable as long + // valid is high + ///// + property sha_digest_stable_when_valid(valid, tag); + valid + |-> + $stable(tag); + endproperty + assume_hmac_digest_stable_when_valid : assume property(@(posedge clk) disable iff(!rst_n || zeroize) sha_digest_stable_when_valid(hmac_valid, hmac_tag)); + + endmodule + + bind hmac_drbg fv_constraints_m fv_constraint ( + .clk (clk ), + .rst_n (reset_n ), + .zeroize (zeroize ), + .init (init_cmd ), + .next (next_cmd ), + .hmac_valid (HMAC_tag_valid ), + .hmac_tag (HMAC_tag ) + ); \ No newline at end of file diff --git a/src/hmac_drbg/formal/properties/fv_cover_points.sv b/src/hmac_drbg/formal/properties/fv_cover_points.sv new file mode 100644 index 000000000..6e0961612 --- /dev/null +++ b/src/hmac_drbg/formal/properties/fv_cover_points.sv @@ -0,0 +1,66 @@ +// ------------------------------------------------- +// Contact: contact@lubis-eda.com +// Author: Tobias Ludwig, Michael Schwarz +// ------------------------------------------------- +// SPDX-License-Identifier: Apache-2.0 +// +// Licensed under the Apache License, Version 2.0 (the "License"); +// you may not use this file except in compliance with the License. +// You may obtain a copy of the License at +// +// http://www.apache.org/licenses/LICENSE-2.0 +// +// Unless required by applicable law or agreed to in writing, software +// distributed under the License is distributed on an "AS IS" BASIS, +// WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +// See the License for the specific language governing permissions and +// limitations under the License. +// +module fv_coverpoints_m( + input logic clk, + input logic reset_n, + input logic zeroize +); + + default clocking default_clk @(posedge clk); endclocking + + //Cover zeroize + cover_zeroize: cover property(disable iff(!reset_n) hmac_drbg.zeroize ); + //Assert zeroize input and check the status of all registers. All registers/internal memories should be cleared. + cover_zeroize_after_next: cover property(disable iff(!reset_n ) hmac_drbg.zeroize && hmac_drbg.ready && hmac_drbg.next_cmd ); + + cover_multiple_next: cover property(disable iff(!reset_n || zeroize) + hmac_drbg.next_cmd && hmac_drbg.ready ##1 hmac_drbg.next_cmd && hmac_drbg.ready[->1] + ); + + // Assert init_cmd or next_cmd when HMAC_ready is still low. The engine ignores the new command and continues + // to complete the previous command. + cover_init_and_next_ready_low: cover property(disable iff(!reset_n || zeroize) + (hmac_drbg.init_cmd || + hmac_drbg.next_cmd) && + !hmac_drbg.ready + ); + + //Cover transition from T to "done" and "k3" state + cover_transition_T_to_DONE: cover property (disable iff(!reset_n || zeroize) + hmac_drbg.T_ST + ##1 + !((hmac_drbg.HMAC_tag == 384'd0) || (hmac_drbg.HMAC_DRBG_PRIME <= hmac_drbg.HMAC_tag)) + ##1 + hmac_drbg.DONE_ST + ); + + cover_transition_T_to_K3: cover property (disable iff(!reset_n || zeroize) + hmac_drbg.T_ST + ##1 + ((hmac_drbg.HMAC_tag == 384'd0) || (hmac_drbg.HMAC_DRBG_PRIME <= hmac_drbg.HMAC_tag)) + ##1 + hmac_drbg.K3_ST + ); + +endmodule +bind hmac_drbg fv_coverpoints_m fv_coverpoints( + .clk(clk), + .reset_n(reset_n), + .zeroize(zeroize) +); \ No newline at end of file diff --git a/src/hmac_drbg/formal/properties/fv_hmac_drbg.sv b/src/hmac_drbg/formal/properties/fv_hmac_drbg.sv new file mode 100644 index 000000000..8e0d30e5b --- /dev/null +++ b/src/hmac_drbg/formal/properties/fv_hmac_drbg.sv @@ -0,0 +1,586 @@ +// ------------------------------------------------- +// Contact: contact@lubis-eda.com +// Author: Tobias Ludwig, Michael Schwarz +// ------------------------------------------------- +// SPDX-License-Identifier: Apache-2.0 +// +// Licensed under the Apache License, Version 2.0 (the "License"); +// you may not use this file except in compliance with the License. +// You may obtain a copy of the License at +// +// http://www.apache.org/licenses/LICENSE-2.0 +// +// Unless required by applicable law or agreed to in writing, software +// distributed under the License is distributed on an "AS IS" BASIS, +// WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +// See the License for the specific language governing permissions and +// limitations under the License. +// + +import hmac_drbg_pkg::*; + + +module fv_hmac_drbg_m( + input bit rst, + input bit clk, + + // Inputs + input bit unsigned [383:0] hmac_tag, + input st_drbg_block input_data, + + // Outputs + input bit unsigned [383:0] drbg, + input st_hmac_block hmac_msg, + + // Sync signals + input bit hmac_tag_valid, + input bit input_data_valid, + + // Notify signals + input bit drbg_valid, + input bit input_data_ready, + + // Registers + input bit unsigned [7:0] cnt, + input st_hmac_block hmac, + input st_drbg_block in_data, + input bit unsigned [383:0] key_reg, + input bit unsigned [383:0] tag_temp, + input bit unsigned [383:0] v_reg, + + // States + input bit idle, + input bit K10, + input bit K11, + input bit V1, + input bit K20, + input bit K21, + input bit V2, + input bit T, + input bit Done, + input bit K3, + input bit V3 +); + + +default clocking default_clk @(posedge clk); endclocking + + +st_hmac_block hmac_0 = '{ + key: 384'd0, + block_msg: 1024'd0, + init: 0, + next: 0 +}; + +st_drbg_block in_data_0 = '{ + entropy: 384'd0, + nonce: 384'd0, + init: 0, + next: 0 +}; + +st_hmac_block hmac_1 = '{ + key: K_INIT, + block_msg: k10_func(V_INIT, 8'd0, input_data.entropy, input_data.nonce), + init: 1, + next: 0 +}; + +st_hmac_block hmac_2 = '{ + key: key_reg, + block_msg: k10_func(v_reg, 8'((cnt + 8'd1)), input_data.entropy, input_data.nonce), + init: 1, + next: 0 +}; + +st_hmac_block hmac_3 = '{ + key: key_reg, + block_msg: k11_func(in_data.nonce), + init: 0, + next: 1 +}; + +st_hmac_block hmac_4 = '{ + key: hmac_tag, + block_msg: v1_func(v_reg), + init: 1, + next: 0 +}; + +st_hmac_block hmac_5 = '{ + key: key_reg, + block_msg: k10_func(hmac_tag, 8'((cnt + 8'd1)), in_data.entropy, in_data.nonce), + init: 1, + next: 0 +}; + +st_hmac_block hmac_6 = '{ + key: key_reg, + block_msg: v1_func(hmac_tag), + init: 1, + next: 0 +}; + +st_hmac_block hmac_7 = '{ + key: key_reg, + block_msg: 1024'd0, + init: 0, + next: 0 +}; + +st_hmac_block hmac_8 = '{ + key: key_reg, + block_msg: k3_func(v_reg), + init: 1, + next: 0 +}; + +st_hmac_block hmac_9 = '{ + key: key_reg, + block_msg: hmac.block_msg, + init: hmac.init, + next: hmac.next +}; + + +sequence reset_sequence; + rst ##1 !rst; +endsequence + + +reset_a: assert property (reset_p); +property reset_p; + reset_sequence |-> + idle && + cnt == 8'd0 && + hmac_msg == hmac_0 && + key_reg == 384'd0 && + v_reg == 384'd0 && + drbg_valid == 0 && + input_data_ready == 0; +endproperty + + +Done_to_idle_a: assert property (disable iff(rst) Done_to_idle_p); +property Done_to_idle_p; + Done +|-> + ##1 + idle && + cnt == $past(cnt, 1) && + drbg == $past(tag_temp, 1) && + hmac_msg == $past(hmac_msg, 1) && + key_reg == $past(key_reg, 1) && + v_reg == $past(tag_temp, 1) && + drbg_valid == 1 && + input_data_ready == 0; +endproperty + + +K10_to_K11_a: assert property (disable iff(rst) K10_to_K11_p); +property K10_to_K11_p; + K10 && + hmac_tag_valid +|-> + ##1 (drbg_valid == 0)[*2] and + ##1 (input_data_ready == 0)[*2] and + ##2 + K11 && + cnt == $past(cnt, 2) && + hmac_msg == $past(hmac_3, 2) && + key_reg == $past(key_reg, 2) && + v_reg == $past(v_reg, 2); +endproperty + + +K11_to_V1_a: assert property (disable iff(rst) K11_to_V1_p); +property K11_to_V1_p; + K11 && + hmac_tag_valid +|-> + ##1 (drbg_valid == 0)[*2] and + ##1 (input_data_ready == 0)[*2] and + ##2 + V1 && + cnt == $past(cnt, 2) && + hmac_msg == $past(hmac_4, 2) && + key_reg == $past(hmac_tag, 2) && + v_reg == $past(v_reg, 2); +endproperty + + +K20_to_K21_a: assert property (disable iff(rst) K20_to_K21_p); +property K20_to_K21_p; + K20 && + hmac_tag_valid +|-> + ##1 (drbg_valid == 0)[*2] and + ##1 (input_data_ready == 0)[*2] and + ##2 + K21 && + cnt == $past(cnt, 2) && + hmac_msg == $past(hmac_3, 2) && + key_reg == $past(key_reg, 2) && + v_reg == $past(v_reg, 2); +endproperty + + +K21_to_V2_a: assert property (disable iff(rst) K21_to_V2_p); +property K21_to_V2_p; + K21 && + hmac_tag_valid +|-> + ##1 (drbg_valid == 0)[*2] and + ##1 (input_data_ready == 0)[*2] and + ##2 + V2 && + cnt == $past(cnt, 2) && + hmac_msg == $past(hmac_4, 2) && + key_reg == $past(hmac_tag, 2) && + v_reg == $past(v_reg, 2); +endproperty + + +K3_to_V3_a: assert property (disable iff(rst) K3_to_V3_p); +property K3_to_V3_p; + K3 && + hmac_tag_valid +|-> + ##1 (drbg_valid == 0)[*2] and + ##1 (input_data_ready == 0)[*2] and + ##2 + V3 && + cnt == $past(cnt, 2) && + hmac_msg == $past(hmac_4, 2) && + key_reg == $past(hmac_tag, 2) && + v_reg == $past(v_reg, 2); +endproperty + + +T_to_Done_a: assert property (disable iff(rst) T_to_Done_p); +property T_to_Done_p; + T && + hmac_tag_valid && + (hmac_tag != 384'd0) && + (HMAC_DRBG_PRIME > hmac_tag) +|-> + ##1 (drbg_valid == 0)[*2] and + ##1 (input_data_ready == 0)[*2] and + ##2 + Done && + cnt == $past(cnt, 2) && + hmac_msg == $past(hmac_7, 2) && + key_reg == $past(key_reg, 2) && + v_reg == $past(v_reg, 2); +endproperty + + +T_to_K3_a: assert property (disable iff(rst) T_to_K3_p); +property T_to_K3_p; + T && + hmac_tag_valid && + ((hmac_tag == 384'd0) || (HMAC_DRBG_PRIME <= hmac_tag)) +|-> + ##1 (drbg_valid == 0)[*3] and + ##1 (input_data_ready == 0)[*3] and + ##3 + K3 && + cnt == $past(cnt, 3) && + hmac_msg == $past(hmac_8, 3) && + key_reg == $past(key_reg, 3) && + v_reg == $past(v_reg, 3); +endproperty + + +V1_to_K20_a: assert property (disable iff(rst) V1_to_K20_p); +property V1_to_K20_p; + V1 && + hmac_tag_valid +|-> + ##1 (drbg_valid == 0)[*3] and + ##1 (input_data_ready == 0)[*3] and + ##3 + K20 && + cnt == 8'((9'd1 + $past(cnt, 3))) && + hmac_msg == $past(hmac_5, 3) && + key_reg == $past(key_reg, 3) && + v_reg == $past(hmac_tag, 3); +endproperty + + +V2_to_T_a: assert property (disable iff(rst) V2_to_T_p); +property V2_to_T_p; + V2 && + hmac_tag_valid +|-> + ##1 (drbg_valid == 0)[*2] and + ##1 (input_data_ready == 0)[*2] and + ##2 + T && + cnt == $past(cnt, 2) && + hmac_msg == $past(hmac_6, 2) && + key_reg == $past(key_reg, 2) && + v_reg == $past(hmac_tag, 2); +endproperty + + +V3_to_T_a: assert property (disable iff(rst) V3_to_T_p); +property V3_to_T_p; + V3 && + hmac_tag_valid +|-> + ##1 (drbg_valid == 0)[*2] and + ##1 (input_data_ready == 0)[*2] and + ##2 + T && + cnt == $past(cnt, 2) && + hmac_msg == $past(hmac_6, 2) && + key_reg == $past(key_reg, 2) && + v_reg == $past(hmac_tag, 2); +endproperty + + +idle_to_K10_a: assert property (disable iff(rst) idle_to_K10_p); +property idle_to_K10_p; + idle && + input_data_valid && + input_data.init +|-> + ##1 (drbg_valid == 0)[*3] and + ##1 (input_data_ready == 1) and + ##2 (input_data_ready == 0) and + ##3 + K10 && + cnt == 8'd0 && + hmac_msg == $past(hmac_1, 3) && + key_reg == $past(K_INIT, 3) && + v_reg == $past(V_INIT, 3) && + input_data_ready == 0; +endproperty + + +idle_to_K10_1_a: assert property (disable iff(rst) idle_to_K10_1_p); +property idle_to_K10_1_p; + idle && + input_data_valid && + !input_data.init +|-> + ##1 (drbg_valid == 0)[*3] and + ##1 (input_data_ready == 1) and + ##2 (input_data_ready == 0) and + ##3 + K10 && + cnt == 8'((9'd1 + $past(cnt, 3))) && + hmac_msg == $past(hmac_2, 3) && + key_reg == $past(key_reg, 3) && + v_reg == $past(v_reg, 3) && + input_data_ready == 0; +endproperty + + +K10_wait_a: assert property (disable iff(rst) K10_wait_p); +property K10_wait_p; + K10 && + !hmac_tag_valid +|-> + ##1 + K10 && + cnt == $past(cnt, 1) && + key_reg == $past(key_reg, 1) && + v_reg == $past(v_reg, 1) && + drbg_valid == 0 && + input_data_ready == 0; +endproperty + + +K11_wait_a: assert property (disable iff(rst) K11_wait_p); +property K11_wait_p; + K11 && + !hmac_tag_valid +|-> + ##1 + K11 && + cnt == $past(cnt, 1) && + key_reg == $past(key_reg, 1) && + drbg_valid == 0 && + input_data_ready == 0; +endproperty + + +K20_wait_a: assert property (disable iff(rst) K20_wait_p); +property K20_wait_p; + K20 && + !hmac_tag_valid +|-> + ##1 + K20 && + cnt == $past(cnt, 1) && + key_reg == $past(key_reg, 1) && + drbg_valid == 0 && + input_data_ready == 0; +endproperty + + +K21_wait_a: assert property (disable iff(rst) K21_wait_p); +property K21_wait_p; + K21 && + !hmac_tag_valid +|-> + ##1 + K21 && + cnt == $past(cnt, 1) && + key_reg == $past(key_reg, 1) && + v_reg == $past(v_reg, 1) && + drbg_valid == 0 && + input_data_ready == 0; +endproperty + + +K3_wait_a: assert property (disable iff(rst) K3_wait_p); +property K3_wait_p; + K3 && + !hmac_tag_valid +|-> + ##1 + K3 && + cnt == $past(cnt, 1) && + key_reg == $past(key_reg, 1) && + drbg_valid == 0 && + input_data_ready == 0; +endproperty + + +T_wait_a: assert property (disable iff(rst) T_wait_p); +property T_wait_p; + T && + !hmac_tag_valid +|-> + ##1 + T && + cnt == $past(cnt, 1) && + key_reg == $past(key_reg, 1) && + drbg_valid == 0 && + input_data_ready == 0; +endproperty + + +V1_wait_a: assert property (disable iff(rst) V1_wait_p); +property V1_wait_p; + V1 && + !hmac_tag_valid +|-> + ##1 + V1 && + cnt == $past(cnt, 1) && + v_reg == $past(v_reg, 1) && + drbg_valid == 0 && + input_data_ready == 0; +endproperty + + +V2_wait_a: assert property (disable iff(rst) V2_wait_p); +property V2_wait_p; + V2 && + !hmac_tag_valid +|-> + ##1 + V2 && + cnt == $past(cnt, 1) && + v_reg == $past(v_reg, 1) && + drbg_valid == 0 && + input_data_ready == 0; +endproperty + + +V3_wait_a: assert property (disable iff(rst) V3_wait_p); +property V3_wait_p; + V3 && + !hmac_tag_valid +|-> + ##1 + V3 && + cnt == $past(cnt, 1) && + v_reg == $past(v_reg, 1) && + drbg_valid == 0 && + input_data_ready == 0; +endproperty + + +idle_wait_a: assert property (disable iff(rst) idle_wait_p); +property idle_wait_p; + idle && + !input_data_valid +|-> + ##1 + idle && + cnt == $past(cnt, 1) && + key_reg == $past(key_reg, 1) && + v_reg == $past(v_reg, 1) && + drbg_valid == $past(drbg_valid) && + input_data_ready == 1; +endproperty + + +endmodule + + +module fv_hmac_drbg_wrapper_m; + + +default clocking default_clk @(posedge (hmac_drbg.clk)); endclocking + + +st_hmac_block hmac_msg = '{ key: (hmac_drbg.HMAC_key), block_msg: (hmac_drbg.HMAC_block), init: (hmac_drbg.HMAC_init), next: (hmac_drbg.HMAC_next) }; +st_drbg_block input_data = '{ entropy: (hmac_drbg.entropy), nonce: (hmac_drbg.nonce), init: (hmac_drbg.init_cmd), next: (hmac_drbg.next_cmd) }; +st_hmac_block hmac = '{ key: (hmac_drbg.HMAC_key), block_msg: (hmac_drbg.HMAC_block), init: (hmac_drbg.HMAC_init), next: (hmac_drbg.HMAC_next) }; +st_drbg_block in_data = '{ entropy: (hmac_drbg.entropy), nonce: (hmac_drbg.nonce), init: (hmac_drbg.init_cmd), next: (hmac_drbg.next_cmd) }; + + +fv_hmac_drbg_m fv_hmac_drbg( + .rst((!hmac_drbg.reset_n||hmac_drbg.zeroize)), + .clk(hmac_drbg.clk), + + // Inputs + .hmac_tag(hmac_drbg.HMAC_tag), + .input_data(input_data), + + // Outputs + .drbg(hmac_drbg.drbg), + .hmac_msg(hmac_msg), + + // Sync signals + .hmac_tag_valid(hmac_drbg.HMAC_tag_valid && !$past(hmac_drbg.HMAC_tag_valid)), + .input_data_valid((hmac_drbg.init_cmd || hmac_drbg.next_cmd) && hmac_drbg.HMAC_ready), + + // Notify signals + .drbg_valid(hmac_drbg.valid), + .input_data_ready(hmac_drbg.ready), + + // Registers + .cnt(hmac_drbg.cnt_reg), + .hmac(hmac), + .in_data(in_data), + .key_reg(hmac_drbg.K_reg), + .tag_temp(hmac_drbg.HMAC_tag), + .v_reg(hmac_drbg.V_reg), + + // States + .idle(hmac_drbg.drbg_st_reg == 5'd00), + .K10(hmac_drbg.drbg_st_reg == 5'd03), + .K11(hmac_drbg.drbg_st_reg == 5'd04), + .V1(hmac_drbg.drbg_st_reg == 5'd05), + .K20(hmac_drbg.drbg_st_reg == 5'd07), + .K21(hmac_drbg.drbg_st_reg == 5'd08), + .V2(hmac_drbg.drbg_st_reg == 5'd09), + .T(hmac_drbg.drbg_st_reg == 5'd10), + .Done(hmac_drbg.drbg_st_reg == 5'd14), + .K3(hmac_drbg.drbg_st_reg == 5'd12), + .V3(hmac_drbg.drbg_st_reg == 5'd13) +); + + +endmodule + + +bind hmac_drbg fv_hmac_drbg_wrapper_m fv_hmac_drbg_wrapper(); diff --git a/src/hmac_drbg/formal/properties/fv_hmac_drbg_pkg.sv b/src/hmac_drbg/formal/properties/fv_hmac_drbg_pkg.sv new file mode 100644 index 000000000..ad18803e0 --- /dev/null +++ b/src/hmac_drbg/formal/properties/fv_hmac_drbg_pkg.sv @@ -0,0 +1,72 @@ +// ------------------------------------------------- +// Contact: contact@lubis-eda.com +// Author: Tobias Ludwig, Michael Schwarz +// ------------------------------------------------- +// SPDX-License-Identifier: Apache-2.0 +// +// Licensed under the Apache License, Version 2.0 (the "License"); +// you may not use this file except in compliance with the License. +// You may obtain a copy of the License at +// +// http://www.apache.org/licenses/LICENSE-2.0 +// +// Unless required by applicable law or agreed to in writing, software +// distributed under the License is distributed on an "AS IS" BASIS, +// WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +// See the License for the specific language governing permissions and +// limitations under the License. +// + +package hmac_drbg_pkg; + + +typedef struct { + bit unsigned [383:0] entropy; + bit unsigned [383:0] nonce; + bit init; + bit next; +} st_drbg_block; + +typedef struct { + bit unsigned [383:0] key; + bit unsigned [1023:0] block_msg; + bit init; + bit next; +} st_hmac_block; + + +// Constants + +parameter bit unsigned [383:0] HMAC_DRBG_PRIME = 384'hFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC7634D81F4372DDF581A0DB248B0A77AECEC196ACCC52973; + +parameter bit unsigned [383:0] K_INIT = 384'h0; + +parameter bit unsigned [383:0] MASK = 384'hFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF; + +parameter bit unsigned [383:0] V_INIT = 384'h10101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101; + + +// Functions + +function bit unsigned [1023:0] k10_func(bit unsigned [383:0] V, bit unsigned [7:0] cnt, bit unsigned [383:0] entropy, bit unsigned [383:0] nonce); + return ((((((V << 384'd8) | cnt) << 392'd384) | entropy) << 776'd248) | (nonce >> 384'd136)); +endfunction + +function bit unsigned [1023:0] k11_func(bit unsigned [383:0] nonce); + return (((137'((mask_nonce(nonce) << 384'd1)) | 137'd1) << 137'd887) | 1024'd2184); +endfunction + +function bit unsigned [1023:0] k3_func(bit unsigned [383:0] V); + return ((((((((V << 384'd8) | 392'd0) << 392'd1) | 393'd1) << 393'd619) | 1012'd0) << 1012'd12) | 1024'd1400); +endfunction + +function bit unsigned [383:0] mask_nonce(bit unsigned [383:0] nonce); + return (nonce & MASK); +endfunction + +function bit unsigned [1023:0] v1_func(bit unsigned [383:0] V); + return ((((V << 384'd1) | 385'd1) << 639'd639) | unsigned'((('sd0 << 'sd11) | 'sd1408))); +endfunction + + +endpackage diff --git a/src/hmac_drbg/formal/readme.md b/src/hmac_drbg/formal/readme.md new file mode 100644 index 000000000..768d8f5db --- /dev/null +++ b/src/hmac_drbg/formal/readme.md @@ -0,0 +1,94 @@ +# HMAC DRBG + +Date: 28-08-2023 Author: LUBIS EDA + +## Folder Structure + +The following subdirectories are part of the main directory **formal** + +- model: Contains the high level abstracted model +- properties: Contains the assertion IP(AIP) named as fv_hmac_drbg.sv and the constraints in place for the respective AIP fv_constraints.sv. The folder also contains fv_cover_points.sv that cover certain conditions that are not covered by the properties. + +## DUT Overview + +The DUT hmac_drbg has the primary inputs and primary outputs as shown below. + +|S.No | Port |Direction| Description | +|---- |----------------- |-------- |-------------------------------------------------------------------------------------------| +|1 |clk | input | The positive edge of the clk is used for all the signals | +|2 |reset_n | input | The reset signal is active low and resets the core | +|3 |zeroize | input | The drbg is reseted when this signal is triggered. | +|4 |init_cmd | input | The drbg is initialised with respective register init values | +|5 |next_cmd | input | The drbg processes by increasing count_register | +|6 |entropy [383:0] | input | The input entropy | +|7 |nonce [383:0] | input | The input nonce | +|8 |lfsr_seed [147:0] | input | The input constant value that is feed to sha512_masked as an input for digest computation | +|8 |ready | output | When triggered indicates that the drbg is ready | +|9 |drbg [383:0] | output | The drbg value of the given input values | +|10 |valid | output | When triggered indicates that the computed drbg is valid | + +Drbg algorithm starts with assigning block_register and key_register to its init values. When the model receives an init_cmd, the count_register which helps in creating more randomness in generation of the drbg_tag, is assigned to zero. If the drbg_model recieves next_cmd the count_register is incremented. Initially drbg initializes HMAC by appending block_msg register with count_register and other input signals such as entropy and nonce. Using the updated tag from previous hmac is used as new hmac_key and hmac_block_msg as previous block_register for new tag computation. The updated tag computed will used as new hmac_block_msg and hmac_key remains the same. This repitation is done until we have recieved the tag value in the range of greater than 0 and less than the HMAC_TAG_PRIME value. + +## Assertion IP Overview + +The Assertion IP signals are bound with the respective signals in the dut, where for the rst is binded with the DUT (reset_n && !zeroize), which ensures the reset functionality. Assertion IP is binded with hmac_drbg and checks for the functionality of only hmac_drbg. hmac_tag from HMAC_CORE, is an open wire. This is achieved by cut open the signal from output port of HMAC_CORE, using formal tool. Since it is an open signal the complexity of tag computation is reduced and helps in converging the properties. Similarily two instantances of sha_digest from sha512_masked_core inside HMAC_CORE instantance are also cut open. + +- reset_a: Checks that all the resgiters are resetted and the state is idle, with the ready to high. + +- Done_to_idle_a: Checks if tag register outputs correct value and valid is high, when transition from done to idle states. + +- K10_to_K11_a: Checks if the state is in K11, the hmac_core is called with hmac_next_cmd is set to high and remaining nonce as the block_msg and key remains the same. + +- K11_to_V1_a: Checks if the state is in V1, the hmac_core is called with hmac_init_cmd is set to high and block_msg as the previous block_register and key as updated tag from previous hmac computation. + +- K20_to_K21_a: Checks if the state is in K21, the hmac_core is called with hmac_next_cmd is set to high and remaining nonce as the block_msg and key remains the same. + +- K21_to_V2_a: Checks if the state is in V2, the hmac_core is called with hmac_init_cmd is set to high and block_msg as the previous block_register and key as updated tag from previous hmac computation. + +- K3_to_V3_a: Checks if the state is in V3, the hmac_core is called with hmac_init_cmd is set to high and block_msg as the previous block_register and key as updated tag from previous hmac computation. + +- T_to_Done_a: Checks if the is in done state, where the computated tag from hmac_core passes the required tag condition. + +- T_to_K3_a: Checks if the state is in K3, where the computated tag from hmac_core failes the required tag condition and goes another round of randomness, by initalizing hmac_core and setting hmac_key as same and block_msg as previous tag appended with zeroes. + +- V1_to_K20_a: Checks if the state is in K20, the hmac_core is initalized with hmac_init_cmd set, hmac_key remains the same and block_msg as the newly computed hmac_tag appened with count_register incremented and inputs entropy and nonce. + +- V2_to_T_a: Checks if the state is in T, reads the computed tag from the hmac_core and appends it with previous stored tag_register in order to check if tag condition is holding or not. + +- V3_to_T_a: Checks if the state is in T, reads the computed tag from the hmac_core and appends it with previous stored tag_register in order to check if tag condition is holding or not. + +- idle_to_K10_a: Checks if the state reached is K10, checks for initalization of drbg and HMAC_CORE is ready. Count_register is set to zero, hmac_key is set with init key and hmac_block is appened with init block_register and inputs nonce and entropy. + +- idle_to_K10_1_a: Checks if the state reached is K10, checks for next_cmd and HMAC_CORE is ready. Count_register is incremented, hmac_key is set with previously computed and hmac_block is appened with previously computed hmac_tag and inputs nonce and entropy. + +- K10_wait_a: Checks if there is valid hmac_tag from hmac_core while in state K10, it remains in same state and certain registers holds past values and ready is low. + +- K20_wait_a: Checks if there is valid hmac_tag from hmac_core while in state K20, it remains in same state and certain registers holds past values and ready is low. + +- V1_wait_a: Checks if there is valid hmac_tag from hmac_core while in state V1, it remains in same state and certain registers holds past values and ready is low. + +- K11_wait_a: Checks if there is valid hmac_tag from hmac_core while in state K11, it remains in same state and certain registers holds past values and ready is low. + +- K21_wait_a: Checks if there is valid hmac_tag from hmac_core while in state K21, it remains in same state and certain registers holds past values and ready is low. + +- V2_wait_a: Checks if there is valid hmac_tag from hmac_core while in state V2, it remains in same state and certain registers holds past values and ready is low. + +- T_wait_a: Checks if there is valid hmac_tag from hmac_core while in state T, it remains in same state and certain registers holds past values and ready is low. + +- K3_wait_a: Checks if there is valid hmac_tag from hmac_core while in state K3, it remains in same state and certain registers holds past values and ready is low. + +- V3_wait_a: Checks if there is valid hmac_tag from hmac_core while in state V3, it remains in same state and certain registers holds past values and ready is low. + +- idle_wait_a: Checks if there is valid hmac_tag from hmac_core while in state idle, it remains in same state and certain registers holds past values and while ready is high and previous computed drbg is valid. + +## Reproduce results + +The AIP has been tested with two major FV tools. For both tools proves pass in less then 2 hour and coverage is at 100% . + +For reproducing the results: Load the AIP, hmac_drbg and fv_constraints together in your formal tool. To ensure converging proves cut the following signals: + +cut HMAC_K.u_sha512_core_h1.digest cut HMAC_K.u_sha512_core_h2.digest cut HMAC_K.tag + +The hmac_core and sha512_masked core had been verified separately. By cutting the signal model complexity is drastically reduced. + +Feel free to reach out to contact@lubis-eda.com to request the loadscripts. \ No newline at end of file From 8e014b64da35c96dc448554fd4fbe5e9cb590855 Mon Sep 17 00:00:00 2001 From: sandeepatlubis Date: Thu, 23 Nov 2023 18:32:30 +0100 Subject: [PATCH 08/48] Update doe branch --- src/doe/formal/properties/fv_constraints.sv | 206 +++++++ src/doe/formal/properties/fv_cover_points.sv | 91 +++ src/doe/formal/properties/fv_doe_core_cbc.sv | 161 ++++++ .../formal/properties/fv_doe_core_cbc_pkg.sv | 533 ++++++++++++++++++ .../fv_doe_decryption/fv_constraints.sv | 118 ++++ .../fv_doe_decryption/fv_cover_points.sv | 46 ++ .../fv_doe_decryption/fv_doe_decrypt.sv | 397 +++++++++++++ .../fv_doe_encryption/fv_constraints.sv | 128 +++++ .../fv_doe_encryption/fv_cover_points.sv | 46 ++ .../fv_doe_encryption/fv_doe_encrypt.sv | 348 ++++++++++++ .../properties/fv_doe_iv/fv_doe_iv_process.sv | 230 ++++++++ .../fv_doe_iv/fv_doe_iv_process_pkg.sv | 37 ++ .../fv_doe_keymem/fv_constraints.sv | 89 +++ .../fv_doe_keymem/fv_cover_points.sv | 46 ++ .../properties/fv_doe_keymem/fv_keymem.sv | 273 +++++++++ src/doe/readme.md | 195 +++++++ 16 files changed, 2944 insertions(+) create mode 100644 src/doe/formal/properties/fv_constraints.sv create mode 100644 src/doe/formal/properties/fv_cover_points.sv create mode 100644 src/doe/formal/properties/fv_doe_core_cbc.sv create mode 100644 src/doe/formal/properties/fv_doe_core_cbc_pkg.sv create mode 100644 src/doe/formal/properties/fv_doe_decryption/fv_constraints.sv create mode 100644 src/doe/formal/properties/fv_doe_decryption/fv_cover_points.sv create mode 100644 src/doe/formal/properties/fv_doe_decryption/fv_doe_decrypt.sv create mode 100644 src/doe/formal/properties/fv_doe_encryption/fv_constraints.sv create mode 100644 src/doe/formal/properties/fv_doe_encryption/fv_cover_points.sv create mode 100644 src/doe/formal/properties/fv_doe_encryption/fv_doe_encrypt.sv create mode 100644 src/doe/formal/properties/fv_doe_iv/fv_doe_iv_process.sv create mode 100644 src/doe/formal/properties/fv_doe_iv/fv_doe_iv_process_pkg.sv create mode 100644 src/doe/formal/properties/fv_doe_keymem/fv_constraints.sv create mode 100644 src/doe/formal/properties/fv_doe_keymem/fv_cover_points.sv create mode 100644 src/doe/formal/properties/fv_doe_keymem/fv_keymem.sv create mode 100644 src/doe/readme.md diff --git a/src/doe/formal/properties/fv_constraints.sv b/src/doe/formal/properties/fv_constraints.sv new file mode 100644 index 000000000..b83fa4f52 --- /dev/null +++ b/src/doe/formal/properties/fv_constraints.sv @@ -0,0 +1,206 @@ +// ------------------------------------------------- +// Contact: contact@lubis-eda.com +// Author: Tobias Ludwig, Michael Schwarz +// ------------------------------------------------- +// SPDX-License-Identifier: Apache-2.0 +// +// Licensed under the Apache License, Version 2.0 (the "License"); +// you may not use this file except in compliance with the License. +// You may obtain a copy of the License at +// +// http://www.apache.org/licenses/LICENSE-2.0 +// +// Unless required by applicable law or agreed to in writing, software +// distributed under the License is distributed on an "AS IS" BASIS, +// WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +// See the License for the specific language governing permissions and +// limitations under the License. +// + +module fv_constraints_m( + + //////////////////////////// + // Input / Output signals // + //////////////////////////// + // Clock and reset. + input clk, + input reset_n, + + input encdec, + input init_cmd, + input next_cmd, + input ready, + input IV_updated, + input keylen, + input [255:0] key, + input [127:0] block_msg, + input [127:0] IV +); + +logic init_reg, iv_updated_reg, next_cmd_reg; +logic keyexp_start,keyexp_done; +logic iv_start, iv_done; + +//////////////////////// +// Default Clock // +//////////////////////// + +default clocking default_clk @(posedge clk); endclocking + + +//////////////////////// +// Helper Logic // +//////////////////////// + +//keyexpansion helper logic +always @ (posedge clk or negedge reset_n) + begin : key_expansion + if (!reset_n) begin + keyexp_start <= 1'b0; + keyexp_done <= 1'b0; + end + else if (init_cmd) begin + keyexp_start <= 1'b1; + keyexp_done <= 1'b0; + end + else if (keyexp_start && doe_core_cbc.key_ready) begin + keyexp_start <= 1'b0; + keyexp_done <= 1'b1; + end + else if (keyexp_done && init_cmd) begin + keyexp_start <= 1'b1; + keyexp_done <= 1'b0; + end + end + +//IV helper logic +always @ (posedge clk or negedge reset_n) + begin : iv_control + if (!reset_n) begin + iv_start <= 1'b0; + iv_done <= 1'b0; + end + else if (keyexp_done && IV_updated) begin + iv_start <= 1'b1; + iv_done <= 1'b0; + end + else if (iv_start && next_cmd) begin + iv_start <= 1'b0; + iv_done <= 1'b1; + end + else if (iv_done && init_cmd) begin + iv_start <= 1'b0; + iv_done <= 1'b0; + end + end + + +//////////////////////////////////// +// CBC Constraint Properties // +/////////////////////////////////// + +//init_cmd, next_cmd and IV_updated can be only received if the doe_core_cbc is ready +cmd_on_ready_a: assume property (disable iff(!reset_n) cmd_on_ready_p); +property cmd_on_ready_p; + !ready +|-> + !init_cmd && !next_cmd && !IV_updated +;endproperty + +//next_cmd can only be received once there is an IV_updated before +next_cmd_order_a: assume property (disable iff(!reset_n) next_cmd_order_p); +property next_cmd_order_p; + !iv_start +|=> + !next_cmd || iv_done +;endproperty + +//next_cmd can only be received once the keyexpansion is done +next_cmd_keyexp_order_a: assume property (disable iff(!reset_n) next_cmd_keyexp_order_p); +property next_cmd_keyexp_order_p; + !keyexp_done +|-> + !next_cmd +;endproperty + +//IV_updated can only be received once there is an IV_updated before +IV_updated_order_a: assume property (disable iff(!reset_n) IV_updated_order_p); +property IV_updated_order_p; + iv_done +|-> + !IV_updated +;endproperty + +//IV_updated is a pulse +iv_updated_pulse_a: assume property (disable iff(!reset_n) iv_updated_pulse_p); +property iv_updated_pulse_p; + IV_updated |=> !IV_updated +;endproperty + +//init_cmd and next_cmd doesn't come together +init_next_a: assume property (disable iff(!reset_n) init_next_p); +property init_next_p; + !(init_cmd && next_cmd) +;endproperty + +//init_cmd and IV_updated doesn't come together +init_iv_a: assume property (disable iff(!reset_n) init_iv_p); +property init_iv_p; + !(init_cmd && IV_updated) +;endproperty + +//IV_updated and next_cmd doesn't come together +next_iv_a: assume property (disable iff(!reset_n) next_iv_p); +property next_iv_p; + !(next_cmd && IV_updated) +;endproperty + +//keylen is stable until there is a new init_cmd +stable_keylen_a: assume property (disable iff(!reset_n) keylen_stable); +property keylen_stable; + $stable(keylen) || init_cmd +;endproperty + +//key is stable until there is a new init_cmd +key_stable_during_expansion_a: assume property (disable iff(!reset_n) key_stable_during_expansion); +property key_stable_during_expansion; + $stable(key) || init_cmd +;endproperty + +//encdec is stable until there is a new next_cmd +encdec_during_operation_a: assume property (disable iff(!reset_n) encdec_during_operation_p); +property encdec_during_operation_p; + $stable(encdec) || next_cmd +;endproperty + +//block message is stable until doe_core_cbc is ready +blkmsg_during_operation_a: assume property (disable iff(!reset_n) blkmsg_during_operation_p); +property blkmsg_during_operation_p; + $stable(block_msg) || ready +;endproperty + +//IV has stable until encrypted block_msg sent to enc_block +iv_during_operation_a: assume property (disable iff(!reset_n) iv_during_operation_p); +property iv_during_operation_p; + ready || !iv_done + |-> + $stable(IV) +;endproperty + +endmodule + +//Connect this constraints module with the DUV +bind doe_core_cbc fv_constraints_m fv_constraints( + .clk(clk), + .reset_n(reset_n && !zeroize), + .encdec(encdec), + .init_cmd(init_cmd), + .next_cmd(next_cmd), + .ready(ready), + .IV_updated(IV_updated), + .key(key), + .block_msg(block_msg), + .keylen(keylen), + .IV(IV) +); + diff --git a/src/doe/formal/properties/fv_cover_points.sv b/src/doe/formal/properties/fv_cover_points.sv new file mode 100644 index 000000000..192b5d0e2 --- /dev/null +++ b/src/doe/formal/properties/fv_cover_points.sv @@ -0,0 +1,91 @@ +// ------------------------------------------------- +// Contact: contact@lubis-eda.com +// Author: Tobias Ludwig, Michael Schwarz +// ------------------------------------------------- +// SPDX-License-Identifier: Apache-2.0 +// +// Licensed under the Apache License, Version 2.0 (the "License"); +// you may not use this file except in compliance with the License. +// You may obtain a copy of the License at +// +// http://www.apache.org/licenses/LICENSE-2.0 +// +// Unless required by applicable law or agreed to in writing, software +// distributed under the License is distributed on an "AS IS" BASIS, +// WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +// See the License for the specific language governing permissions and +// limitations under the License. +// + +module fv_coverpoints_m( + input logic clk, + input logic reset_n, + input logic zeroize +); + +default clocking default_clk @(posedge clk); endclocking + +//Cover zeroize +cover_zeroize: cover property(disable iff(!reset_n) doe_core_cbc.zeroize ); + +//Assert zeroize input and check the status of all registers. All registers/internal memories should be cleared. +cover_zeroize_after_next: cover property(disable iff(!reset_n ) doe_core_cbc.zeroize && doe_core_cbc.ready && doe_core_cbc.next_cmd ); + +//Cover that checks multiple next_cmd can be received for CBC encryption/decryption. +cover_multiple_next: cover property(disable iff(!reset_n || zeroize) + doe_core_cbc.next_cmd && doe_core_cbc.ready ##1 !doe_core_cbc.init_cmd && doe_core_cbc.next_cmd && doe_core_cbc.ready[->1] +); + +//Cover that checks IV_updated asserted once the keyexapnsion is done +cover_transition_keyexp_to_iv: cover property (disable iff(!reset_n || zeroize) + doe_core_cbc.init_cmd + ##1 + doe_core_cbc.ready[->1] + ##0 + doe_core_cbc.IV_updated[->1] +); + +//Cover transition from keyexpansion to encryption/decryption +cover_transition_keyexp_to_encdec: cover property (disable iff(!reset_n || zeroize) + doe_core_cbc.init_cmd + ##1 + doe_core_cbc.ready[->1] + ##0 + doe_core_cbc.next_cmd[->1] +); + +//Cover transition from keyexpansion to keyexpansion +cover_transition_keyexp_to_keyexp: cover property (disable iff(!reset_n || zeroize) + doe_core_cbc.init_cmd + ##1 + doe_core_cbc.ready[->1] + ##0 + doe_core_cbc.init_cmd[->1] +); + +//Cover transition from encryption/decryption to encryption/decryption +cover_transition_encdec_to_encdec: cover property (disable iff(!reset_n || zeroize) + doe_core_cbc.next_cmd + ##1 + doe_core_cbc.ready[->1] + ##0 + doe_core_cbc.next_cmd[->1] +); + +//Cover transition from encryption/decryption to keyexpansion +cover_transition_encdec_to_keyexp: cover property (disable iff(!reset_n || zeroize) + doe_core_cbc.next_cmd + ##1 + doe_core_cbc.ready[->1] + ##0 + doe_core_cbc.init_cmd[->1] +); + +endmodule + +//Connect this coverpoints module with the DUV +bind doe_core_cbc fv_coverpoints_m fv_coverpoints( + .clk(clk), + .reset_n(reset_n), + .zeroize(zeroize) +); \ No newline at end of file diff --git a/src/doe/formal/properties/fv_doe_core_cbc.sv b/src/doe/formal/properties/fv_doe_core_cbc.sv new file mode 100644 index 000000000..bfbc562a1 --- /dev/null +++ b/src/doe/formal/properties/fv_doe_core_cbc.sv @@ -0,0 +1,161 @@ +// ------------------------------------------------- +// Contact: contact@lubis-eda.com +// Author: Tobias Ludwig, Michael Schwarz +// ------------------------------------------------- +// SPDX-License-Identifier: Apache-2.0 +// +// Licensed under the Apache License, Version 2.0 (the "License"); +// you may not use this file except in compliance with the License. +// You may obtain a copy of the License at +// +// http://www.apache.org/licenses/LICENSE-2.0 +// +// Unless required by applicable law or agreed to in writing, software +// distributed under the License is distributed on an "AS IS" BASIS, +// WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +// See the License for the specific language governing permissions and +// limitations under the License. +// + +import doe_core_cbc_pkg::*; + +module fv_doe_cbc_checker_m( + + //////////////////////////// + // Input / Output signals // + //////////////////////////// + // Clock and reset. + input clk, + input reset_n, + input zeroize, + + input encdec, + input init_cmd, + input next_cmd, + input enc_ready, + input dec_ready, + input key_ready, + input [127:0] enc_new_block, + input [127:0] dec_new_block, + input [127:0] IV_decry, + input ready, + input [127:0] result, + input result_valid, + input [31 : 0] muxed_sboxw, + input [31 : 0] new_sboxw +); + +//////////////////////// +// Default Clock // +//////////////////////// +default clocking default_clk @(posedge clk); endclocking + +//Internal Signals +logic [31:0] fv_sbox; + +//Helper Logic +assign fv_sbox = get_sbox(muxed_sboxw); + +//////////////////////////////////// +// Core CBC Properties // +/////////////////////////////////// + +//Checks if doe_sbox block produces correct values +sbox_check_a: assert property (disable iff(!reset_n) sbox_check_p); +property sbox_check_p; + !ready +|-> + new_sboxw == fv_sbox +;endproperty + +//Checks if block asserts result_valid upon finishing encryption +result_valid_enc_a: assert property (disable iff(!reset_n) result_valid_enc_p); +property result_valid_enc_p; + encdec && + next_cmd + ##1 enc_ready[->1] +|=> + result_valid +;endproperty + +//Checks if block asserts result_valid upon finishing decryption +result_valid_dec_a: assert property (disable iff(!reset_n) result_valid_dec_p); +property result_valid_dec_p; + !encdec && + next_cmd + ##1 dec_ready[->1] +|=> + result_valid +;endproperty + +//Checks if block asserts ready upon finishing key expansion +ready_kemem_a: assert property (disable iff(!reset_n) ready_kemem_p); +property ready_kemem_p; + init_cmd + ##1 key_ready[->1] + |=> + ready +;endproperty + +//Checks if block asserts ready upon finishing encryption +ready_enc_a: assert property (disable iff(!reset_n) ready_enc_p); +property ready_enc_p; + encdec && + next_cmd + ##1 enc_ready[->1] +|=> + ready +;endproperty + +//Checks if block asserts ready upon finishing decryption +ready_dec_a: assert property (disable iff(!reset_n) ready_dec_p); +property ready_dec_p; + !encdec && + next_cmd + ##1 dec_ready[->1] +|=> + ready +;endproperty + +//Checks if block produces result upon finishing encryption +result_enc_a: assert property (disable iff(!reset_n) result_enc_p); +property result_enc_p; + encdec && + next_cmd + ##1 enc_ready[->1] +|-> + result == enc_new_block +;endproperty + +//Checks if block produces result upon finishing decryption +result_dec_a: assert property (disable iff(!reset_n) result_dec_p); +property result_dec_p; + !encdec && + next_cmd + ##1 dec_ready[->1] +|-> + result == dec_new_block +;endproperty + +endmodule + +//Connect this checker module with the DUV +bind doe_core_cbc fv_doe_cbc_checker_m fv_doe_cbc_inst( + .clk(clk), + .reset_n(reset_n && !zeroize), + .encdec(encdec), + .init_cmd(init_cmd), + .next_cmd(next_cmd), + .enc_ready(enc_ready), + .dec_ready(dec_ready), + .key_ready(key_ready), + .IV_decry(IV_decry), + .enc_new_block(enc_new_block), + .dec_new_block(dec_new_block ^ IV_decry), + .ready(ready), + .result(result), + .result_valid(result_valid), + .muxed_sboxw(muxed_sboxw), + .new_sboxw(new_sboxw) +); + diff --git a/src/doe/formal/properties/fv_doe_core_cbc_pkg.sv b/src/doe/formal/properties/fv_doe_core_cbc_pkg.sv new file mode 100644 index 000000000..4ffdddaed --- /dev/null +++ b/src/doe/formal/properties/fv_doe_core_cbc_pkg.sv @@ -0,0 +1,533 @@ +// ------------------------------------------------- +// Contact: contact@lubis-eda.com +// Author: Tobias Ludwig, Michael Schwarz +// ------------------------------------------------- +// SPDX-License-Identifier: Apache-2.0 +// +// Licensed under the Apache License, Version 2.0 (the "License"); +// you may not use this file except in compliance with the License. +// You may obtain a copy of the License at +// +// http://www.apache.org/licenses/LICENSE-2.0 +// +// Unless required by applicable law or agreed to in writing, software +// distributed under the License is distributed on an "AS IS" BASIS, +// WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +// See the License for the specific language governing permissions and +// limitations under the License. +// + +package doe_core_cbc_pkg; + +// AES S-box +parameter [7:0] fv_sbox[0:255] = '{ + 8'h63, 8'h7C, 8'h77, 8'h7B, 8'hF2, 8'h6B, 8'h6F, 8'hC5, 8'h30, 8'h01, 8'h67, 8'h2B, 8'hFE, 8'hD7, 8'hAB, 8'h76, + 8'hCA, 8'h82, 8'hC9, 8'h7D, 8'hFA, 8'h59, 8'h47, 8'hF0, 8'hAD, 8'hD4, 8'hA2, 8'hAF, 8'h9C, 8'hA4, 8'h72, 8'hC0, + 8'hB7, 8'hFD, 8'h93, 8'h26, 8'h36, 8'h3F, 8'hF7, 8'hCC, 8'h34, 8'hA5, 8'hE5, 8'hF1, 8'h71, 8'hD8, 8'h31, 8'h15, + 8'h04, 8'hC7, 8'h23, 8'hC3, 8'h18, 8'h96, 8'h05, 8'h9A, 8'h07, 8'h12, 8'h80, 8'hE2, 8'hEB, 8'h27, 8'hB2, 8'h75, + 8'h09, 8'h83, 8'h2C, 8'h1A, 8'h1B, 8'h6E, 8'h5A, 8'hA0, 8'h52, 8'h3B, 8'hD6, 8'hB3, 8'h29, 8'hE3, 8'h2F, 8'h84, + 8'h53, 8'hD1, 8'h00, 8'hED, 8'h20, 8'hFC, 8'hB1, 8'h5B, 8'h6A, 8'hCB, 8'hBE, 8'h39, 8'h4A, 8'h4C, 8'h58, 8'hCF, + 8'hD0, 8'hEF, 8'hAA, 8'hFB, 8'h43, 8'h4D, 8'h33, 8'h85, 8'h45, 8'hF9, 8'h02, 8'h7F, 8'h50, 8'h3C, 8'h9F, 8'hA8, + 8'h51, 8'hA3, 8'h40, 8'h8F, 8'h92, 8'h9D, 8'h38, 8'hF5, 8'hBC, 8'hB6, 8'hDA, 8'h21, 8'h10, 8'hFF, 8'hF3, 8'hD2, + 8'hCD, 8'h0C, 8'h13, 8'hEC, 8'h5F, 8'h97, 8'h44, 8'h17, 8'hC4, 8'hA7, 8'h7E, 8'h3D, 8'h64, 8'h5D, 8'h19, 8'h73, + 8'h60, 8'h81, 8'h4F, 8'hDC, 8'h22, 8'h2A, 8'h90, 8'h88, 8'h46, 8'hEE, 8'hB8, 8'h14, 8'hDE, 8'h5E, 8'h0B, 8'hDB, + 8'hE0, 8'h32, 8'h3A, 8'h0A, 8'h49, 8'h06, 8'h24, 8'h5C, 8'hC2, 8'hD3, 8'hAC, 8'h62, 8'h91, 8'h95, 8'hE4, 8'h79, + 8'hE7, 8'hC8, 8'h37, 8'h6D, 8'h8D, 8'hD5, 8'h4E, 8'hA9, 8'h6C, 8'h56, 8'hF4, 8'hEA, 8'h65, 8'h7A, 8'hAE, 8'h08, + 8'hBA, 8'h78, 8'h25, 8'h2E, 8'h1C, 8'hA6, 8'hB4, 8'hC6, 8'hE8, 8'hDD, 8'h74, 8'h1F, 8'h4B, 8'hBD, 8'h8B, 8'h8A, + 8'h70, 8'h3E, 8'hB5, 8'h66, 8'h48, 8'h03, 8'hF6, 8'h0E, 8'h61, 8'h35, 8'h57, 8'hB9, 8'h86, 8'hC1, 8'h1D, 8'h9E, + 8'hE1, 8'hF8, 8'h98, 8'h11, 8'h69, 8'hD9, 8'h8E, 8'h94, 8'h9B, 8'h1E, 8'h87, 8'hE9, 8'hCE, 8'h55, 8'h28, 8'hDF, + 8'h8C, 8'hA1, 8'h89, 8'h0D, 8'hBF, 8'hE6, 8'h42, 8'h68, 8'h41, 8'h99, 8'h2D, 8'h0F, 8'hB0, 8'h54, 8'hBB, 8'h16 +}; + +// AES Inverse S-box +parameter [7:0] fv_inv_sbox[0:255] = '{ + 8'h52, 8'h09, 8'h6A, 8'hD5, 8'h30, 8'h36, 8'hA5, 8'h38, 8'hBF, 8'h40, 8'hA3, 8'h9E, 8'h81, 8'hF3, 8'hD7, 8'hFB, + 8'h7C, 8'hE3, 8'h39, 8'h82, 8'h9B, 8'h2F, 8'hFF, 8'h87, 8'h34, 8'h8E, 8'h43, 8'h44, 8'hC4, 8'hDE, 8'hE9, 8'hCB, + 8'h54, 8'h7B, 8'h94, 8'h32, 8'hA6, 8'hC2, 8'h23, 8'h3D, 8'hEE, 8'h4C, 8'h95, 8'h0B, 8'h42, 8'hFA, 8'hC3, 8'h4E, + 8'h08, 8'h2E, 8'hA1, 8'h66, 8'h28, 8'hD9, 8'h24, 8'hB2, 8'h76, 8'h5B, 8'hA2, 8'h49, 8'h6D, 8'h8B, 8'hD1, 8'h25, + 8'h72, 8'hF8, 8'hF6, 8'h64, 8'h86, 8'h68, 8'h98, 8'h16, 8'hD4, 8'hA4, 8'h5C, 8'hCC, 8'h5D, 8'h65, 8'hB6, 8'h92, + 8'h6C, 8'h70, 8'h48, 8'h50, 8'hFD, 8'hED, 8'hB9, 8'hDA, 8'h5E, 8'h15, 8'h46, 8'h57, 8'hA7, 8'h8D, 8'h9D, 8'h84, + 8'h90, 8'hD8, 8'hAB, 8'h00, 8'h8C, 8'hBC, 8'hD3, 8'h0A, 8'hF7, 8'hE4, 8'h58, 8'h05, 8'hB8, 8'hB3, 8'h45, 8'h06, + 8'hD0, 8'h2C, 8'h1E, 8'h8F, 8'hCA, 8'h3F, 8'h0F, 8'h02, 8'hC1, 8'hAF, 8'hBD, 8'h03, 8'h01, 8'h13, 8'h8A, 8'h6B, + 8'h3A, 8'h91, 8'h11, 8'h41, 8'h4F, 8'h67, 8'hDC, 8'hEA, 8'h97, 8'hF2, 8'hCF, 8'hCE, 8'hF0, 8'hB4, 8'hE6, 8'h73, + 8'h96, 8'hAC, 8'h74, 8'h22, 8'hE7, 8'hAD, 8'h35, 8'h85, 8'hE2, 8'hF9, 8'h37, 8'hE8, 8'h1C, 8'h75, 8'hDF, 8'h6E, + 8'h47, 8'hF1, 8'h1A, 8'h71, 8'h1D, 8'h29, 8'hC5, 8'h89, 8'h6F, 8'hB7, 8'h62, 8'h0E, 8'hAA, 8'h18, 8'hBE, 8'h1B, + 8'hFC, 8'h56, 8'h3E, 8'h4B, 8'hC6, 8'hD2, 8'h79, 8'h20, 8'h9A, 8'hDB, 8'hC0, 8'hFE, 8'h78, 8'hCD, 8'h5A, 8'hF4, + 8'h1F, 8'hDD, 8'hA8, 8'h33, 8'h88, 8'h07, 8'hC7, 8'h31, 8'hB1, 8'h12, 8'h10, 8'h59, 8'h27, 8'h80, 8'hEC, 8'h5F, + 8'h60, 8'h51, 8'h7F, 8'hA9, 8'h19, 8'hB5, 8'h4A, 8'h0D, 8'h2D, 8'hE5, 8'h7A, 8'h9F, 8'h93, 8'hC9, 8'h9C, 8'hEF, + 8'hA0, 8'hE0, 8'h3B, 8'h4D, 8'hAE, 8'h2A, 8'hF5, 8'hB0, 8'hC8, 8'hEB, 8'hBB, 8'h3C, 8'h83, 8'h53, 8'h99, 8'h61, + 8'h17, 8'h2B, 8'h04, 8'h7E, 8'hBA, 8'h77, 8'hD6, 8'h26, 8'hE1, 8'h69, 8'h14, 8'h63, 8'h55, 8'h21, 8'h0C, 8'h7D +}; + +// Rcon values for key schedule +parameter [31:0] fv_rcon[0:15] = '{ +32'h00000000, 32'h01000000, 32'h02000000, 32'h04000000, +32'h08000000, 32'h10000000, 32'h20000000, 32'h40000000, +32'h80000000, 32'h1B000000, 32'h36000000, 32'h6C000000, +32'hD8000000, 32'hAB000000, 32'h4D000000, 32'h9A000000 +}; + +// GF_2 for MixColumns +parameter [7:0] fv_gf2[0:255] = '{ + 8'h00, 8'h02, 8'h04, 8'h06, 8'h08, 8'h0A, 8'h0C, 8'h0E, 8'h10, 8'h12, 8'h14, 8'h16, 8'h18, 8'h1A, 8'h1C, 8'h1E, + 8'h20, 8'h22, 8'h24, 8'h26, 8'h28, 8'h2A, 8'h2C, 8'h2E, 8'h30, 8'h32, 8'h34, 8'h36, 8'h38, 8'h3A, 8'h3C, 8'h3E, + 8'h40, 8'h42, 8'h44, 8'h46, 8'h48, 8'h4a, 8'h4c, 8'h4e, 8'h50, 8'h52, 8'h54, 8'h56, 8'h58, 8'h5a, 8'h5c, 8'h5e, + 8'h60, 8'h62, 8'h64, 8'h66, 8'h68, 8'h6a, 8'h6c, 8'h6e, 8'h70, 8'h72, 8'h74, 8'h76, 8'h78, 8'h7a, 8'h7c, 8'h7e, + 8'h80, 8'h82, 8'h84, 8'h86, 8'h88, 8'h8a, 8'h8c, 8'h8e, 8'h90, 8'h92, 8'h94, 8'h96, 8'h98, 8'h9a, 8'h9c, 8'h9e, + 8'ha0, 8'ha2, 8'ha4, 8'ha6, 8'ha8, 8'haa, 8'hac, 8'hae, 8'hb0, 8'hb2, 8'hb4, 8'hb6, 8'hb8, 8'hba, 8'hbc, 8'hbe, + 8'hc0, 8'hc2, 8'hc4, 8'hc6, 8'hc8, 8'hca, 8'hcc, 8'hce, 8'hd0, 8'hd2, 8'hd4, 8'hd6, 8'hd8, 8'hda, 8'hdc, 8'hde, + 8'he0, 8'he2, 8'he4, 8'he6, 8'he8, 8'hea, 8'hec, 8'hee, 8'hf0, 8'hf2, 8'hf4, 8'hf6, 8'hf8, 8'hfa, 8'hfc, 8'hfe, + 8'h1b, 8'h19, 8'h1f, 8'h1d, 8'h13, 8'h11, 8'h17, 8'h15, 8'h0b, 8'h09, 8'h0f, 8'h0d, 8'h03, 8'h01, 8'h07, 8'h05, + 8'h3b, 8'h39, 8'h3f, 8'h3d, 8'h33, 8'h31, 8'h37, 8'h35, 8'h2b, 8'h29, 8'h2f, 8'h2d, 8'h23, 8'h21, 8'h27, 8'h25, + 8'h5b, 8'h59, 8'h5f, 8'h5d, 8'h53, 8'h51, 8'h57, 8'h55, 8'h4b, 8'h49, 8'h4f, 8'h4d, 8'h43, 8'h41, 8'h47, 8'h45, + 8'h7b, 8'h79, 8'h7f, 8'h7d, 8'h73, 8'h71, 8'h77, 8'h75, 8'h6b, 8'h69, 8'h6f, 8'h6d, 8'h63, 8'h61, 8'h67, 8'h65, + 8'h9b, 8'h99, 8'h9f, 8'h9d, 8'h93, 8'h91, 8'h97, 8'h95, 8'h8b, 8'h89, 8'h8f, 8'h8d, 8'h83, 8'h81, 8'h87, 8'h85, + 8'hbb, 8'hb9, 8'hbf, 8'hbd, 8'hb3, 8'hb1, 8'hb7, 8'hb5, 8'hab, 8'ha9, 8'haf, 8'had, 8'ha3, 8'ha1, 8'ha7, 8'ha5, + 8'hdb, 8'hd9, 8'hdf, 8'hdd, 8'hd3, 8'hd1, 8'hd7, 8'hd5, 8'hcb, 8'hc9, 8'hcf, 8'hcd, 8'hc3, 8'hc1, 8'hc7, 8'hc5, + 8'hfb, 8'hf9, 8'hff, 8'hfd, 8'hf3, 8'hf1, 8'hf7, 8'hf5, 8'heb, 8'he9, 8'hef, 8'hed, 8'he3, 8'he1, 8'he7, 8'he5 +}; + +// GF_3 for MixColumns +parameter [7:0] fv_gf3[0:255] = '{ + 8'h00, 8'h03, 8'h06, 8'h05, 8'h0C, 8'h0f, 8'h0A, 8'h09, 8'h18, 8'h1b, 8'h1E, 8'h1d, 8'h14, 8'h17, 8'h12, 8'h11, + 8'h30, 8'h33, 8'h36, 8'h35, 8'h3C, 8'h3f, 8'h3A, 8'h39, 8'h28, 8'h2b, 8'h2E, 8'h2d, 8'h24, 8'h27, 8'h22, 8'h21, + 8'h60, 8'h63, 8'h66, 8'h65, 8'h6c, 8'h6f, 8'h6a, 8'h69, 8'h78, 8'h7b, 8'h7e, 8'h7d, 8'h74, 8'h77, 8'h72, 8'h71, + 8'h50, 8'h53, 8'h56, 8'h55, 8'h5c, 8'h5f, 8'h5a, 8'h59, 8'h48, 8'h4b, 8'h4e, 8'h4d, 8'h44, 8'h47, 8'h42, 8'h41, + 8'hc0, 8'hc3, 8'hc6, 8'hc5, 8'hcc, 8'hcf, 8'hca, 8'hc9, 8'hd8, 8'hdb, 8'hde, 8'hdd, 8'hd4, 8'hd7, 8'hd2, 8'hd1, + 8'hf0, 8'hf3, 8'hf6, 8'hf5, 8'hfc, 8'hff, 8'hfa, 8'hf9, 8'he8, 8'heb, 8'hee, 8'hed, 8'he4, 8'he7, 8'he2, 8'he1, + 8'ha0, 8'ha3, 8'ha6, 8'ha5, 8'hac, 8'haf, 8'haa, 8'ha9, 8'hb8, 8'hbb, 8'hbe, 8'hbd, 8'hb4, 8'hb7, 8'hb2, 8'hb1, + 8'h90, 8'h93, 8'h96, 8'h95, 8'h9c, 8'h9f, 8'h9a, 8'h99, 8'h88, 8'h8b, 8'h8e, 8'h8d, 8'h84, 8'h87, 8'h82, 8'h81, + 8'h9b, 8'h98, 8'h9d, 8'h9e, 8'h97, 8'h94, 8'h91, 8'h92, 8'h83, 8'h80, 8'h85, 8'h86, 8'h8f, 8'h8c, 8'h89, 8'h8a, + 8'hab, 8'ha8, 8'had, 8'hae, 8'ha7, 8'ha4, 8'ha1, 8'ha2, 8'hb3, 8'hb0, 8'hb5, 8'hb6, 8'hbf, 8'hbc, 8'hb9, 8'hba, + 8'hfb, 8'hf8, 8'hfd, 8'hfe, 8'hf7, 8'hf4, 8'hf1, 8'hf2, 8'he3, 8'he0, 8'he5, 8'he6, 8'hef, 8'hec, 8'he9, 8'hea, + 8'hcb, 8'hc8, 8'hcd, 8'hce, 8'hc7, 8'hc4, 8'hc1, 8'hc2, 8'hd3, 8'hd0, 8'hd5, 8'hd6, 8'hdf, 8'hdc, 8'hd9, 8'hda, + 8'h5b, 8'h58, 8'h5d, 8'h5e, 8'h57, 8'h54, 8'h51, 8'h52, 8'h43, 8'h40, 8'h45, 8'h46, 8'h4f, 8'h4c, 8'h49, 8'h4a, + 8'h6b, 8'h68, 8'h6d, 8'h6e, 8'h67, 8'h64, 8'h61, 8'h62, 8'h73, 8'h70, 8'h75, 8'h76, 8'h7f, 8'h7c, 8'h79, 8'h7a, + 8'h3b, 8'h38, 8'h3d, 8'h3E, 8'h37, 8'h34, 8'h31, 8'h32, 8'h23, 8'h20, 8'h25, 8'h26, 8'h2f, 8'h2C, 8'h29, 8'h2A, + 8'h0b, 8'h08, 8'h0d, 8'h0E, 8'h07, 8'h04, 8'h01, 8'h02, 8'h13, 8'h10, 8'h15, 8'h16, 8'h1f, 8'h1C, 8'h19, 8'h1A +}; + +// GF_9 for MixColumns +parameter [7:0] fv_gf9[0:255] = '{ + 8'h00, 8'h09, 8'h12, 8'h1b, 8'h24, 8'h2d, 8'h36, 8'h3f, 8'h48, 8'h41, 8'h5a, 8'h53, 8'h6c, 8'h65, 8'h7e, 8'h77, + 8'h90, 8'h99, 8'h82, 8'h8b, 8'hb4, 8'hbd, 8'ha6, 8'haf, 8'hd8, 8'hd1, 8'hca, 8'hc3, 8'hfc, 8'hf5, 8'hee, 8'he7, + 8'h3b, 8'h32, 8'h29, 8'h20, 8'h1f, 8'h16, 8'h0d, 8'h04, 8'h73, 8'h7a, 8'h61, 8'h68, 8'h57, 8'h5e, 8'h45, 8'h4c, + 8'hab, 8'ha2, 8'hb9, 8'hb0, 8'h8f, 8'h86, 8'h9d, 8'h94, 8'he3, 8'hea, 8'hf1, 8'hf8, 8'hc7, 8'hce, 8'hd5, 8'hdc, + 8'h76, 8'h7f, 8'h64, 8'h6d, 8'h52, 8'h5b, 8'h40, 8'h49, 8'h3E, 8'h37, 8'h2C, 8'h25, 8'h1A, 8'h13, 8'h08, 8'h01, + 8'he6, 8'hef, 8'hf4, 8'hfd, 8'hc2, 8'hcb, 8'hd0, 8'hd9, 8'hae, 8'ha7, 8'hbc, 8'hb5, 8'h8a, 8'h83, 8'h98, 8'h91, + 8'h4d, 8'h44, 8'h5f, 8'h56, 8'h69, 8'h60, 8'h7b, 8'h72, 8'h05, 8'h0C, 8'h17, 8'h1E, 8'h21, 8'h28, 8'h33, 8'h3A, + 8'hdd, 8'hd4, 8'hcf, 8'hc6, 8'hf9, 8'hf0, 8'heb, 8'he2, 8'h95, 8'h9c, 8'h87, 8'h8e, 8'hb1, 8'hb8, 8'ha3, 8'haa, + 8'hec, 8'he5, 8'hfe, 8'hf7, 8'hc8, 8'hc1, 8'hda, 8'hd3, 8'ha4, 8'had, 8'hb6, 8'hbf, 8'h80, 8'h89, 8'h92, 8'h9b, + 8'h7c, 8'h75, 8'h6e, 8'h67, 8'h58, 8'h51, 8'h4a, 8'h43, 8'h34, 8'h3d, 8'h26, 8'h2f, 8'h10, 8'h19, 8'h02, 8'h0b, + 8'hd7, 8'hde, 8'hc5, 8'hcc, 8'hf3, 8'hfa, 8'he1, 8'he8, 8'h9f, 8'h96, 8'h8d, 8'h84, 8'hbb, 8'hb2, 8'ha9, 8'ha0, + 8'h47, 8'h4e, 8'h55, 8'h5c, 8'h63, 8'h6a, 8'h71, 8'h78, 8'h0f, 8'h06, 8'h1d, 8'h14, 8'h2b, 8'h22, 8'h39, 8'h30, + 8'h9a, 8'h93, 8'h88, 8'h81, 8'hbe, 8'hb7, 8'hac, 8'ha5, 8'hd2, 8'hdb, 8'hc0, 8'hc9, 8'hf6, 8'hff, 8'he4, 8'hed, + 8'h0A, 8'h03, 8'h18, 8'h11, 8'h2E, 8'h27, 8'h3C, 8'h35, 8'h42, 8'h4b, 8'h50, 8'h59, 8'h66, 8'h6f, 8'h74, 8'h7d, + 8'ha1, 8'ha8, 8'hb3, 8'hba, 8'h85, 8'h8c, 8'h97, 8'h9e, 8'he9, 8'he0, 8'hfb, 8'hf2, 8'hcd, 8'hc4, 8'hdf, 8'hd6, + 8'h31, 8'h38, 8'h23, 8'h2A, 8'h15, 8'h1C, 8'h07, 8'h0E, 8'h79, 8'h70, 8'h6b, 8'h62, 8'h5d, 8'h54, 8'h4f, 8'h46 +}; + +// GF_B for MixColumns +parameter [7:0] fv_gfB[0:255] = '{ + 8'h00, 8'h0b, 8'h16, 8'h1d, 8'h2C, 8'h27, 8'h3A, 8'h31, 8'h58, 8'h53, 8'h4e, 8'h45, 8'h74, 8'h7f, 8'h62, 8'h69, + 8'hb0, 8'hbb, 8'ha6, 8'had, 8'h9c, 8'h97, 8'h8a, 8'h81, 8'he8, 8'he3, 8'hfe, 8'hf5, 8'hc4, 8'hcf, 8'hd2, 8'hd9, + 8'h7b, 8'h70, 8'h6d, 8'h66, 8'h57, 8'h5c, 8'h41, 8'h4a, 8'h23, 8'h28, 8'h35, 8'h3E, 8'h0f, 8'h04, 8'h19, 8'h12, + 8'hcb, 8'hc0, 8'hdd, 8'hd6, 8'he7, 8'hec, 8'hf1, 8'hfa, 8'h93, 8'h98, 8'h85, 8'h8e, 8'hbf, 8'hb4, 8'ha9, 8'ha2, + 8'hf6, 8'hfd, 8'he0, 8'heb, 8'hda, 8'hd1, 8'hcc, 8'hc7, 8'hae, 8'ha5, 8'hb8, 8'hb3, 8'h82, 8'h89, 8'h94, 8'h9f, + 8'h46, 8'h4d, 8'h50, 8'h5b, 8'h6a, 8'h61, 8'h7c, 8'h77, 8'h1E, 8'h15, 8'h08, 8'h03, 8'h32, 8'h39, 8'h24, 8'h2f, + 8'h8d, 8'h86, 8'h9b, 8'h90, 8'ha1, 8'haa, 8'hb7, 8'hbc, 8'hd5, 8'hde, 8'hc3, 8'hc8, 8'hf9, 8'hf2, 8'hef, 8'he4, + 8'h3d, 8'h36, 8'h2b, 8'h20, 8'h11, 8'h1A, 8'h07, 8'h0C, 8'h65, 8'h6e, 8'h73, 8'h78, 8'h49, 8'h42, 8'h5f, 8'h54, + 8'hf7, 8'hfc, 8'he1, 8'hea, 8'hdb, 8'hd0, 8'hcd, 8'hc6, 8'haf, 8'ha4, 8'hb9, 8'hb2, 8'h83, 8'h88, 8'h95, 8'h9e, + 8'h47, 8'h4c, 8'h51, 8'h5a, 8'h6b, 8'h60, 8'h7d, 8'h76, 8'h1f, 8'h14, 8'h09, 8'h02, 8'h33, 8'h38, 8'h25, 8'h2E, + 8'h8c, 8'h87, 8'h9a, 8'h91, 8'ha0, 8'hab, 8'hb6, 8'hbd, 8'hd4, 8'hdf, 8'hc2, 8'hc9, 8'hf8, 8'hf3, 8'hee, 8'he5, + 8'h3C, 8'h37, 8'h2A, 8'h21, 8'h10, 8'h1b, 8'h06, 8'h0d, 8'h64, 8'h6f, 8'h72, 8'h79, 8'h48, 8'h43, 8'h5e, 8'h55, + 8'h01, 8'h0A, 8'h17, 8'h1C, 8'h2d, 8'h26, 8'h3b, 8'h30, 8'h59, 8'h52, 8'h4f, 8'h44, 8'h75, 8'h7e, 8'h63, 8'h68, + 8'hb1, 8'hba, 8'ha7, 8'hac, 8'h9d, 8'h96, 8'h8b, 8'h80, 8'he9, 8'he2, 8'hff, 8'hf4, 8'hc5, 8'hce, 8'hd3, 8'hd8, + 8'h7a, 8'h71, 8'h6c, 8'h67, 8'h56, 8'h5d, 8'h40, 8'h4b, 8'h22, 8'h29, 8'h34, 8'h3f, 8'h0E, 8'h05, 8'h18, 8'h13, + 8'hca, 8'hc1, 8'hdc, 8'hd7, 8'he6, 8'hed, 8'hf0, 8'hfb, 8'h92, 8'h99, 8'h84, 8'h8f, 8'hbe, 8'hb5, 8'ha8, 8'ha3 +}; + +// GF_D for MixColumns +parameter [7:0] fv_gfD[0:255] = '{ + 8'h00, 8'h0d, 8'h1A, 8'h17, 8'h34, 8'h39, 8'h2E, 8'h23, 8'h68, 8'h65, 8'h72, 8'h7f, 8'h5c, 8'h51, 8'h46, 8'h4b, + 8'hd0, 8'hdd, 8'hca, 8'hc7, 8'he4, 8'he9, 8'hfe, 8'hf3, 8'hb8, 8'hb5, 8'ha2, 8'haf, 8'h8c, 8'h81, 8'h96, 8'h9b, + 8'hbb, 8'hb6, 8'ha1, 8'hac, 8'h8f, 8'h82, 8'h95, 8'h98, 8'hd3, 8'hde, 8'hc9, 8'hc4, 8'he7, 8'hea, 8'hfd, 8'hf0, + 8'h6b, 8'h66, 8'h71, 8'h7c, 8'h5f, 8'h52, 8'h45, 8'h48, 8'h03, 8'h0E, 8'h19, 8'h14, 8'h37, 8'h3A, 8'h2d, 8'h20, + 8'h6d, 8'h60, 8'h77, 8'h7a, 8'h59, 8'h54, 8'h43, 8'h4e, 8'h05, 8'h08, 8'h1f, 8'h12, 8'h31, 8'h3C, 8'h2b, 8'h26, + 8'hbd, 8'hb0, 8'ha7, 8'haa, 8'h89, 8'h84, 8'h93, 8'h9e, 8'hd5, 8'hd8, 8'hcf, 8'hc2, 8'he1, 8'hec, 8'hfb, 8'hf6, + 8'hd6, 8'hdb, 8'hcc, 8'hc1, 8'he2, 8'hef, 8'hf8, 8'hf5, 8'hbe, 8'hb3, 8'ha4, 8'ha9, 8'h8a, 8'h87, 8'h90, 8'h9d, + 8'h06, 8'h0b, 8'h1C, 8'h11, 8'h32, 8'h3f, 8'h28, 8'h25, 8'h6e, 8'h63, 8'h74, 8'h79, 8'h5a, 8'h57, 8'h40, 8'h4d, + 8'hda, 8'hd7, 8'hc0, 8'hcd, 8'hee, 8'he3, 8'hf4, 8'hf9, 8'hb2, 8'hbf, 8'ha8, 8'ha5, 8'h86, 8'h8b, 8'h9c, 8'h91, + 8'h0A, 8'h07, 8'h10, 8'h1d, 8'h3E, 8'h33, 8'h24, 8'h29, 8'h62, 8'h6f, 8'h78, 8'h75, 8'h56, 8'h5b, 8'h4c, 8'h41, + 8'h61, 8'h6c, 8'h7b, 8'h76, 8'h55, 8'h58, 8'h4f, 8'h42, 8'h09, 8'h04, 8'h13, 8'h1E, 8'h3d, 8'h30, 8'h27, 8'h2A, + 8'hb1, 8'hbc, 8'hab, 8'ha6, 8'h85, 8'h88, 8'h9f, 8'h92, 8'hd9, 8'hd4, 8'hc3, 8'hce, 8'hed, 8'he0, 8'hf7, 8'hfa, + 8'hb7, 8'hba, 8'had, 8'ha0, 8'h83, 8'h8e, 8'h99, 8'h94, 8'hdf, 8'hd2, 8'hc5, 8'hc8, 8'heb, 8'he6, 8'hf1, 8'hfc, + 8'h67, 8'h6a, 8'h7d, 8'h70, 8'h53, 8'h5e, 8'h49, 8'h44, 8'h0f, 8'h02, 8'h15, 8'h18, 8'h3b, 8'h36, 8'h21, 8'h2C, + 8'h0C, 8'h01, 8'h16, 8'h1b, 8'h38, 8'h35, 8'h22, 8'h2f, 8'h64, 8'h69, 8'h7e, 8'h73, 8'h50, 8'h5d, 8'h4a, 8'h47, + 8'hdc, 8'hd1, 8'hc6, 8'hcb, 8'he8, 8'he5, 8'hf2, 8'hff, 8'hb4, 8'hb9, 8'hae, 8'ha3, 8'h80, 8'h8d, 8'h9a, 8'h97 +}; + +// GF_E for MixColumns +parameter [7:0] fv_gfE[0:255] = '{ + 8'h00, 8'h0E, 8'h1C, 8'h12, 8'h38, 8'h36, 8'h24, 8'h2A, 8'h70, 8'h7e, 8'h6c, 8'h62, 8'h48, 8'h46, 8'h54, 8'h5a, + 8'he0, 8'hee, 8'hfc, 8'hf2, 8'hd8, 8'hd6, 8'hc4, 8'hca, 8'h90, 8'h9e, 8'h8c, 8'h82, 8'ha8, 8'ha6, 8'hb4, 8'hba, + 8'hdb, 8'hd5, 8'hc7, 8'hc9, 8'he3, 8'hed, 8'hff, 8'hf1, 8'hab, 8'ha5, 8'hb7, 8'hb9, 8'h93, 8'h9d, 8'h8f, 8'h81, + 8'h3b, 8'h35, 8'h27, 8'h29, 8'h03, 8'h0d, 8'h1f, 8'h11, 8'h4b, 8'h45, 8'h57, 8'h59, 8'h73, 8'h7d, 8'h6f, 8'h61, + 8'had, 8'ha3, 8'hb1, 8'hbf, 8'h95, 8'h9b, 8'h89, 8'h87, 8'hdd, 8'hd3, 8'hc1, 8'hcf, 8'he5, 8'heb, 8'hf9, 8'hf7, + 8'h4d, 8'h43, 8'h51, 8'h5f, 8'h75, 8'h7b, 8'h69, 8'h67, 8'h3d, 8'h33, 8'h21, 8'h2f, 8'h05, 8'h0b, 8'h19, 8'h17, + 8'h76, 8'h78, 8'h6a, 8'h64, 8'h4e, 8'h40, 8'h52, 8'h5c, 8'h06, 8'h08, 8'h1A, 8'h14, 8'h3E, 8'h30, 8'h22, 8'h2C, + 8'h96, 8'h98, 8'h8a, 8'h84, 8'hae, 8'ha0, 8'hb2, 8'hbc, 8'he6, 8'he8, 8'hfa, 8'hf4, 8'hde, 8'hd0, 8'hc2, 8'hcc, + 8'h41, 8'h4f, 8'h5d, 8'h53, 8'h79, 8'h77, 8'h65, 8'h6b, 8'h31, 8'h3f, 8'h2d, 8'h23, 8'h09, 8'h07, 8'h15, 8'h1b, + 8'ha1, 8'haf, 8'hbd, 8'hb3, 8'h99, 8'h97, 8'h85, 8'h8b, 8'hd1, 8'hdf, 8'hcd, 8'hc3, 8'he9, 8'he7, 8'hf5, 8'hfb, + 8'h9a, 8'h94, 8'h86, 8'h88, 8'ha2, 8'hac, 8'hbe, 8'hb0, 8'hea, 8'he4, 8'hf6, 8'hf8, 8'hd2, 8'hdc, 8'hce, 8'hc0, + 8'h7a, 8'h74, 8'h66, 8'h68, 8'h42, 8'h4c, 8'h5e, 8'h50, 8'h0A, 8'h04, 8'h16, 8'h18, 8'h32, 8'h3C, 8'h2E, 8'h20, + 8'hec, 8'he2, 8'hf0, 8'hfe, 8'hd4, 8'hda, 8'hc8, 8'hc6, 8'h9c, 8'h92, 8'h80, 8'h8e, 8'ha4, 8'haa, 8'hb8, 8'hb6, + 8'h0C, 8'h02, 8'h10, 8'h1E, 8'h34, 8'h3A, 8'h28, 8'h26, 8'h7c, 8'h72, 8'h60, 8'h6e, 8'h44, 8'h4a, 8'h58, 8'h56, + 8'h37, 8'h39, 8'h2b, 8'h25, 8'h0f, 8'h01, 8'h13, 8'h1d, 8'h47, 8'h49, 8'h5b, 8'h55, 8'h7f, 8'h71, 8'h63, 8'h6d, + 8'hd7, 8'hd9, 8'hcb, 8'hc5, 8'hef, 8'he1, 8'hf3, 8'hfd, 8'ha7, 8'ha9, 8'hbb, 8'hb5, 8'h9f, 8'h91, 8'h83, 8'h8d +}; + +//Get SBOX value of a word +function logic[31:0] get_sbox(input logic [31:0] index_word); + logic [7:0] int_byte[0:3]; + logic [31:0] sbox_value; + + for (int i = 0; i < 4; i++) + int_byte[3-i] = fv_sbox[index_word[i*8 +: 8]]; + + sbox_value = {int_byte[0],int_byte[1],int_byte[2],int_byte[3]}; + + return sbox_value; +endfunction + +//Get Inverse SBOX value of a word +function logic[31:0] get_invsbox(input logic [31:0] index_word); + logic [7:0] int_byte[0:3]; + logic [31:0] invsbox_value; + + for (int i = 0; i < 4; i++) + int_byte[3-i] = fv_inv_sbox[index_word[i*8 +: 8]]; + + invsbox_value = {int_byte[0],int_byte[1],int_byte[2],int_byte[3]}; + + return invsbox_value; +endfunction + +//Rotation of a word +function logic[31:0] get_rotate_word(input logic [31:0] index_word); + logic [31:0] rotate_word; + rotate_word = {index_word[23:0], index_word[31:24]}; + return rotate_word; +endfunction + +//Get Rcon value +function logic[31:0] get_rcon(input logic [3:0] index); + return fv_rcon[index]; +endfunction + +//Compute Keyexpansion for 128bit configuration +function logic [127 : 0] compute_key_expansion_128(input logic [127 : 0] fv_key_int, input logic [3 : 0] fv_round_int); + logic [31:0] temp; + logic [31:0] w[0:43]; + logic [127:0] fv_round_key[0:10]; + + // The first four words are the original key + for (int i = 0; i < 4; i++) + w[3-i] = fv_key_int[i*32 +: 32]; + + // Compute all words of roundkeys - total of 44 words for 11 keys + for (int i = 4; i < 44; i++) begin + temp = w[i-1]; + if (i % 4 == 0) begin + temp = get_rotate_word(temp); + temp = get_sbox(temp); + temp = temp ^ get_rcon(i/4); + end + w[i] = w[i-4] ^ temp; + end + + //Assign the round keys + for (int i = 0; i < 11; i++) + fv_round_key[i] = {w[i*4], w[i*4+1], w[i*4+2], w[i*4+3]}; + + return fv_round_key[fv_round_int]; +endfunction + +//Compute Keyexpansion for 256bit configuration +function logic [127 : 0] compute_key_expansion_256(input logic [255 : 0] fv_key_int, input logic [3 : 0] fv_round_int); + logic [31:0] temp; + logic [31:0] w[0:59]; + logic [127:0] fv_round_key[0:14]; + + // The first eight words are the original key + for (int i = 0; i < 8; i++) + w[7-i] = fv_key_int[i*32 +: 32]; + + // Compute all words of roundkeys - total of 60 words for 15 keys + for (int i = 8; i < 60; i++) begin + temp = w[i-1]; + if (i % 8 == 0) begin + temp = get_rotate_word(temp); + temp = get_sbox(temp); + temp = temp ^ get_rcon(i/8); + w[i] = w[i-8] ^ temp; + end + else if ((i - (i/8)*8) == 4) begin + temp = get_sbox(temp); + w[i] = w[i-8] ^ temp; + end + else begin + w[i] = w[i-8] ^ w[i-1]; + end + end + + //Assign the round keys + for (int i = 0; i < 15; i++) + fv_round_key[i] = {w[i*4], w[i*4+1], w[i*4+2], w[i*4+3]}; + + return fv_round_key[fv_round_int]; +endfunction + + +//Compute MixColumns of the word +function logic[31:0] compute_mixcolums(input logic [31:0] index_word); + logic [7:0] int_byte[0:3]; + logic [7:0] mixcolumn_byte[0:3]; + logic [31:0] mixcolumn_value; + + for (int i = 0; i < 4; i++) + int_byte[3-i] = index_word[i*8 +: 8]; + + mixcolumn_byte[0] = fv_gf2[int_byte[0]] ^ fv_gf3[int_byte[1]] ^ int_byte[2] ^ int_byte[3]; + mixcolumn_byte[1] = int_byte[0] ^ fv_gf2[int_byte[1]] ^ fv_gf3[int_byte[2]] ^ int_byte[3]; + mixcolumn_byte[2] = int_byte[0] ^ int_byte[1] ^ fv_gf2[int_byte[2]] ^ fv_gf3[int_byte[3]]; + mixcolumn_byte[3] = fv_gf3[int_byte[0]] ^ int_byte[1] ^ int_byte[2] ^ fv_gf2[int_byte[3]]; + + mixcolumn_value = {mixcolumn_byte[0],mixcolumn_byte[1],mixcolumn_byte[2],mixcolumn_byte[3]}; + + return mixcolumn_value; +endfunction + +//Compute Inverse MixColumns of the word +function logic[31:0] compute_invmixcolums(input logic [31:0] index_word); + logic [7:0] int_byte[0:3]; + logic [7:0] invmixcolumn_byte[0:3]; + logic [31:0] invmixcolumn_value; + + for (int i = 0; i < 4; i++) + int_byte[3-i] = index_word[i*8 +: 8]; + + invmixcolumn_byte[0] = fv_gfE[int_byte[0]] ^ fv_gfB[int_byte[1]] ^ fv_gfD[int_byte[2]] ^ fv_gf9[int_byte[3]]; + invmixcolumn_byte[1] = fv_gf9[int_byte[0]] ^ fv_gfE[int_byte[1]] ^ fv_gfB[int_byte[2]] ^ fv_gfD[int_byte[3]]; + invmixcolumn_byte[2] = fv_gfD[int_byte[0]] ^ fv_gf9[int_byte[1]] ^ fv_gfE[int_byte[2]] ^ fv_gfB[int_byte[3]]; + invmixcolumn_byte[3] = fv_gfB[int_byte[0]] ^ fv_gfD[int_byte[1]] ^ fv_gf9[int_byte[2]] ^ fv_gfE[int_byte[3]]; + + invmixcolumn_value = {invmixcolumn_byte[0],invmixcolumn_byte[1],invmixcolumn_byte[2],invmixcolumn_byte[3]}; + + return invmixcolumn_value; +endfunction + +//Compute MixColumns of the msg +function logic[127:0] compute_mixcolums_msg(input logic [127:0] index_msg); + logic [31:0] int_word[0:3], mix_word[0:3]; + logic [127:0] mixcolumn_msg; + + for (int i = 0; i < 4; i++) + int_word[3-i] = index_msg[i*32 +: 32]; + + //Column 0 + mix_word[0] = compute_mixcolums(int_word[0]); + + //Column 1 + mix_word[1] = compute_mixcolums(int_word[1]); + + //Column 2 + mix_word[2] = compute_mixcolums(int_word[2]); + + //Column 3 + mix_word[3] = compute_mixcolums(int_word[3]); + + mixcolumn_msg = {mix_word[0],mix_word[1],mix_word[2],mix_word[3]}; + + return mixcolumn_msg; +endfunction + +//Compute Inverse MixColumns of the msg +function logic[127:0] compute_invmixcolums_msg(input logic [127:0] index_msg); + logic [31:0] int_word[0:3], invmix_word[0:3]; + logic [127:0] invmixcolumn_msg; + + for (int i = 0; i < 4; i++) + int_word[3-i] = index_msg[i*32 +: 32]; + + //Column 0 + invmix_word[0] = compute_invmixcolums(int_word[0]); + + //Column 1 + invmix_word[1] = compute_invmixcolums(int_word[1]); + + //Column 2 + invmix_word[2] = compute_invmixcolums(int_word[2]); + + //Column 3 + invmix_word[3] = compute_invmixcolums(int_word[3]); + + invmixcolumn_msg = {invmix_word[0],invmix_word[1],invmix_word[2],invmix_word[3]}; + + return invmixcolumn_msg; +endfunction + +//Compute AddRoundKey of index_msg with the key +function logic[127:0] compute_add_round_key(input logic [127:0] index_msg, input logic [127:0] index_key); + return (index_msg ^ index_key); +endfunction + +//Compute Shift row1 +function logic [31 : 0] compute_shiftrow1(input logic [31 : 0] index_word); + logic [7:0] int_byte[0:3]; + logic [31:0] shift_word; + + for (int i = 0; i < 4; i++) + int_byte[3-i] = index_word[i*8 +: 8]; + + shift_word = {int_byte[1],int_byte[2],int_byte[3],int_byte[0]}; + + return shift_word; +endfunction + +//Compute Inverse Shift row1 +function logic [31 : 0] compute_invshiftrow1(input logic [31 : 0] index_word); + logic [7:0] int_byte[0:3]; + logic [31:0] invshift_word; + + for (int i = 0; i < 4; i++) + int_byte[3-i] = index_word[i*8 +: 8]; + + invshift_word = {int_byte[3],int_byte[0],int_byte[1],int_byte[2]}; + + return invshift_word; +endfunction + +//Compute Shift row2 +function logic [31 : 0] compute_shiftrow2(input logic [31 : 0] index_word); + logic [7:0] int_byte[0:3]; + logic [31:0] shift_word; + + for (int i = 0; i < 4; i++) + int_byte[3-i] = index_word[i*8 +: 8]; + + shift_word = {int_byte[2],int_byte[3],int_byte[0],int_byte[1]}; + + return shift_word; +endfunction + +//Compute Inverse Shift row2 +function logic [31 : 0] compute_invshiftrow2(input logic [31 : 0] index_word); + logic [7:0] int_byte[0:3]; + logic [31:0] invshift_word; + + for (int i = 0; i < 4; i++) + int_byte[3-i] = index_word[i*8 +: 8]; + + invshift_word = {int_byte[2],int_byte[3],int_byte[0],int_byte[1]}; + + return invshift_word; +endfunction + +//Compute Shift row3 +function logic [31 : 0] compute_shiftrow3(input logic [31 : 0] index_word); + logic [7:0] int_byte[0:3]; + logic [31:0] shift_word; + + for (int i = 0; i < 4; i++) + int_byte[3-i] = index_word[i*8 +: 8]; + + shift_word = {int_byte[3],int_byte[0],int_byte[1],int_byte[2]}; + + return shift_word; +endfunction + +//Compute Inverse Shift row3 +function logic [31 : 0] compute_invshiftrow3(input logic [31 : 0] index_word); + logic [7:0] int_byte[0:3]; + logic [31:0] invshift_word; + + for (int i = 0; i < 4; i++) + int_byte[3-i] = index_word[i*8 +: 8]; + + invshift_word = {int_byte[1],int_byte[2],int_byte[3],int_byte[0]}; + + return invshift_word; +endfunction + +//Compute Shift rows +function logic [127 : 0] compute_shiftrow(input logic [127 : 0] index_msg); + logic [31:0] int_word[0:3]; + logic [31:0] shift_word[0:3]; + logic [127:0] shift_msg; + + for (int i = 0; i < 4; i++) + int_word[3-i] = index_msg[i*32 +: 32]; + + //Row 0 + shift_word[0] = {int_word[0][31:24],int_word[1][31:24],int_word[2][31:24],int_word[3][31:24]}; + + //Row 1 + shift_word[1] = {int_word[0][23:16],int_word[1][23:16],int_word[2][23:16],int_word[3][23:16]}; + shift_word[1] = compute_shiftrow1(shift_word[1]); + + //Row 2 + shift_word[2] = {int_word[0][15:8],int_word[1][15:8],int_word[2][15:8],int_word[3][15:8]}; + shift_word[2] = compute_shiftrow2(shift_word[2]); + + //Row 3 + shift_word[3] = {int_word[0][7:0],int_word[1][7:0],int_word[2][7:0],int_word[3][7:0]}; + shift_word[3] = compute_shiftrow3(shift_word[3]); + + //sent as a updated msg + int_word[0] = {shift_word[0][31:24],shift_word[1][31:24],shift_word[2][31:24],shift_word[3][31:24]}; + int_word[1] = {shift_word[0][23:16],shift_word[1][23:16],shift_word[2][23:16],shift_word[3][23:16]}; + int_word[2] = {shift_word[0][15:8],shift_word[1][15:8],shift_word[2][15:8],shift_word[3][15:8]}; + int_word[3] = {shift_word[0][7:0],shift_word[1][7:0],shift_word[2][7:0],shift_word[3][7:0]}; + + shift_msg = {int_word[0],int_word[1],int_word[2],int_word[3]}; + + return shift_msg; +endfunction + +//Compute Inverse Shift rows +function logic [127 : 0] compute_invshiftrow(input logic [127 : 0] index_msg); + logic [31:0] int_word[0:3]; + logic [31:0] invshift_word[0:3]; + logic [127:0] invshift_msg; + + for (int i = 0; i < 4; i++) + int_word[3-i] = index_msg[i*32 +: 32]; + + //Row 0 + invshift_word[0] = {int_word[0][31:24],int_word[1][31:24],int_word[2][31:24],int_word[3][31:24]}; + + //Row 1 + invshift_word[1] = {int_word[0][23:16],int_word[1][23:16],int_word[2][23:16],int_word[3][23:16]}; + invshift_word[1] = compute_invshiftrow1(invshift_word[1]); + + //Row 2 + invshift_word[2] = {int_word[0][15:8],int_word[1][15:8],int_word[2][15:8],int_word[3][15:8]}; + invshift_word[2] = compute_invshiftrow2(invshift_word[2]); + + //Row 3 + invshift_word[3] = {int_word[0][7:0],int_word[1][7:0],int_word[2][7:0],int_word[3][7:0]}; + invshift_word[3] = compute_invshiftrow3(invshift_word[3]); + + //sent as a updated msg + int_word[0] = {invshift_word[0][31:24],invshift_word[1][31:24],invshift_word[2][31:24],invshift_word[3][31:24]}; + int_word[1] = {invshift_word[0][23:16],invshift_word[1][23:16],invshift_word[2][23:16],invshift_word[3][23:16]}; + int_word[2] = {invshift_word[0][15:8],invshift_word[1][15:8],invshift_word[2][15:8],invshift_word[3][15:8]}; + int_word[3] = {invshift_word[0][7:0],invshift_word[1][7:0],invshift_word[2][7:0],invshift_word[3][7:0]}; + + invshift_msg = {int_word[0],int_word[1],int_word[2],int_word[3]}; + + return invshift_msg; +endfunction + +endpackage diff --git a/src/doe/formal/properties/fv_doe_decryption/fv_constraints.sv b/src/doe/formal/properties/fv_doe_decryption/fv_constraints.sv new file mode 100644 index 000000000..6a8c3fedb --- /dev/null +++ b/src/doe/formal/properties/fv_doe_decryption/fv_constraints.sv @@ -0,0 +1,118 @@ +// ------------------------------------------------- +// Contact: contact@lubis-eda.com +// Author: Tobias Ludwig, Michael Schwarz +// ------------------------------------------------- +// SPDX-License-Identifier: Apache-2.0 +// +// Licensed under the Apache License, Version 2.0 (the "License"); +// you may not use this file except in compliance with the License. +// You may obtain a copy of the License at +// +// http://www.apache.org/licenses/LICENSE-2.0 +// +// Unless required by applicable law or agreed to in writing, software +// distributed under the License is distributed on an "AS IS" BASIS, +// WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +// See the License for the specific language governing permissions and +// limitations under the License. +// + +import doe_core_cbc_pkg::*; + +module fv_dec_constraints_m( + + //////////////////////////// + // Input / Output signals // + //////////////////////////// + input clk, + input reset_n, + + input next_cmd, + + input keylen, + input [3 : 0] round, + input [127 : 0] round_key, + + input [127 : 0] block_msg, + input [127 : 0] new_block, + input ready + +); + +//////////////////////// +// Default Clock // +//////////////////////// +default clocking default_clk @(posedge clk); endclocking + +//Internal Signals +logic [127:0] fv_round_key; +logic [127:0] fv_round_key_array[14:0]; + +//////////////////////// +// Symbolic logic // +//////////////////////// + +sym_roundkey_a: assume property (disable iff(!reset_n) sym_roundkey_p); +property sym_roundkey_p; + ##1 $stable(fv_round_key_array) +;endproperty + + +//Get expanded round keys for input key +function logic[127:0] get_roundkey(input logic [3:0] index_round); + logic [127:0] int_key; + + int_key = fv_round_key_array[index_round]; + + return int_key; +endfunction + +//Helper Logic +assign fv_round_key = get_roundkey(round); + +//////////////////////////////////////// +// Decryption Constraint Properties // +//////////////////////////////////////// + +//Constraint to drive correct value to round_key input +roundkey_constraint_a: assume property (disable iff(!reset_n) roundkey_constraint_p); +property roundkey_constraint_p; + round_key == fv_round_key +;endproperty + +//Constraint to keep keylen input stable +stable_keylen_a: assume property (disable iff(!reset_n) stable_keylen_p); +property stable_keylen_p; + $stable(keylen) || ready +;endproperty + +//Constraint to keep incoming block message stable +stable_blk_msg_a: assume property (disable iff(!reset_n) stable_blk_msg_p); +property stable_blk_msg_p; + $stable(block_msg) || ready +;endproperty + +//next_cmd can be only received if the doe_decipher_block is ready +cmd_on_ready_a: assume property (disable iff(!reset_n) cmd_on_ready_p); +property cmd_on_ready_p; + !ready +|-> + !next_cmd; +endproperty + +`ifdef CBC_BIND + //Constraint to drive correct value to fv_round_key_array signal in fv_decrypt checker + roundkey_array_cbc_a: assume property (disable iff(!reset_n) roundkey_array_cbc_p); + property roundkey_array_cbc_p; + doe_core_cbc.dec_block.fv_decrypt.fv_round_key_array == fv_round_key_array + ;endproperty +`endif + +endmodule + +//Connect this constraints module with the DUV +bind doe_decipher_block fv_dec_constraints_m fv_dec_constraints(.*, + .clk(clk), + .reset_n(reset_n && !zeroize) + ); + diff --git a/src/doe/formal/properties/fv_doe_decryption/fv_cover_points.sv b/src/doe/formal/properties/fv_doe_decryption/fv_cover_points.sv new file mode 100644 index 000000000..94e37ac97 --- /dev/null +++ b/src/doe/formal/properties/fv_doe_decryption/fv_cover_points.sv @@ -0,0 +1,46 @@ +// ------------------------------------------------- +// Contact: contact@lubis-eda.com +// Author: Tobias Ludwig, Michael Schwarz +// ------------------------------------------------- +// SPDX-License-Identifier: Apache-2.0 +// +// Licensed under the Apache License, Version 2.0 (the "License"); +// you may not use this file except in compliance with the License. +// You may obtain a copy of the License at +// +// http://www.apache.org/licenses/LICENSE-2.0 +// +// Unless required by applicable law or agreed to in writing, software +// distributed under the License is distributed on an "AS IS" BASIS, +// WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +// See the License for the specific language governing permissions and +// limitations under the License. +// + +module fv_dec_coverpoints_m( + input logic clk, + input logic reset_n, + input logic zeroize +); + +default clocking default_clk @(posedge clk); endclocking + +//Cover zeroize +cover_zeroize: cover property(disable iff(!reset_n) doe_decipher_block.zeroize ); + +//Assert zeroize input and check the status of all registers. All registers/internal memories should be cleared. +cover_zeroize_after_next: cover property(disable iff(!reset_n) doe_decipher_block.zeroize && doe_decipher_block.ready && doe_decipher_block.next_cmd ); + +//Cover that checks multiple next_cmd can be received for decipher block. +cover_multiple_next: cover property(disable iff(!reset_n || zeroize) + doe_decipher_block.next_cmd && doe_decipher_block.ready ##1 doe_decipher_block.next_cmd && doe_decipher_block.ready[->1] +); + +endmodule + +//Connect this coverpoints module with the DUV +bind doe_decipher_block fv_dec_coverpoints_m fv_dec_coverpoints( + .clk(clk), + .reset_n(reset_n), + .zeroize(zeroize) +); \ No newline at end of file diff --git a/src/doe/formal/properties/fv_doe_decryption/fv_doe_decrypt.sv b/src/doe/formal/properties/fv_doe_decryption/fv_doe_decrypt.sv new file mode 100644 index 000000000..5f1f75c80 --- /dev/null +++ b/src/doe/formal/properties/fv_doe_decryption/fv_doe_decrypt.sv @@ -0,0 +1,397 @@ +// ------------------------------------------------- +// Contact: contact@lubis-eda.com +// Author: Tobias Ludwig, Michael Schwarz +// ------------------------------------------------- +// SPDX-License-Identifier: Apache-2.0 +// +// Licensed under the Apache License, Version 2.0 (the "License"); +// you may not use this file except in compliance with the License. +// You may obtain a copy of the License at +// +// http://www.apache.org/licenses/LICENSE-2.0 +// +// Unless required by applicable law or agreed to in writing, software +// distributed under the License is distributed on an "AS IS" BASIS, +// WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +// See the License for the specific language governing permissions and +// limitations under the License. +// + +import doe_core_cbc_pkg::*; + +module fv_decrypt_checker_m ( + + //////////////////////////// + // Input / Output signals // + //////////////////////////// + input clk, + input reset_n, + + input next_cmd, + + input keylen, + input [3 : 0] round, + input [127 : 0] round_key, + + input [127 : 0] block_msg, + input [127 : 0] new_block, + input ready, + + ////////////////////// + // States // + ////////////////////// + + input IDLE, + input Round_Compute, + + ////////////////////// + // Internal Signals // + ////////////////////// + input [127 : 0] block_new, + input [127 : 0] old_block, + + + //Signal for expanded keys + input [127 : 0] fv_round_key_array[14:0] + +); + +//localparams - Respective delays +localparam DLY_128 = 52; //no. of cycles the decryption takes for 128B configuration +localparam DLY_256 = 72; //no. of cycles the decryption takes for 256B configuration +localparam DLY_RND = 5; //no. of cycles the round computation takes +localparam DLY_SBOX = 4; //no. of cycles the SBOX computation takes + +//Compute first round intermediate step value for both 128/256 bit configuration +function logic [127 : 0] compute_firstdecrypt(input logic [127 : 0] index_msg, input logic mode); + logic [127:0] int_msg; + logic [31:0] int_word[0:3]; + + int_msg = index_msg; + + //Initial Step + if(mode) int_msg = compute_add_round_key(int_msg, fv_round_key_array[14]); + else int_msg = compute_add_round_key(int_msg, fv_round_key_array[10]); + + int_msg = compute_invshiftrow(int_msg); + + return int_msg; +endfunction + +//Compute Intermediate steps in each round +function logic [127 : 0] compute_round_decrypt(input logic [127 : 0] index_msg, input logic [3:0] int_rnd); + logic [127:0] int_msg; + logic [31:0] int_word[0:3]; + + int_msg = index_msg; + + //Round encryption + for (int i = 0; i < 4; i++) + int_word[3-i] = int_msg[i*32 +: 32]; + + for (int i = 0; i < 4; i++) + int_word[i] = get_invsbox(int_word[i]); + + int_msg = {int_word[0],int_word[1],int_word[2],int_word[3]}; + + int_msg = compute_add_round_key(int_msg, fv_round_key_array[int_rnd-1]); + + int_msg = compute_invmixcolums_msg(int_msg); + + int_msg = compute_invshiftrow(int_msg); + + return int_msg; +endfunction + +//Compute Intermediate step value in last round for both 128/256 bit configuration +function logic [127 : 0] compute_lastround_decrypt(input logic [127 : 0] index_msg); + logic [127:0] int_msg; + logic [31:0] int_word[0:3]; + + int_msg = index_msg; + + //Round encryption + for (int i = 0; i < 4; i++) + int_word[3-i] = int_msg[i*32 +: 32]; + + for (int i = 0; i < 4; i++) + int_word[i] = get_invsbox(int_word[i]); + + int_msg = {int_word[0],int_word[1],int_word[2],int_word[3]}; + + int_msg = compute_add_round_key(int_msg, fv_round_key_array[0]); + + return int_msg; +endfunction + +//Compute AES_Decryption for 128 bit configuration +function logic [127 : 0] compute_aes_decryption_128(input logic [127 : 0] index_msg); + logic [127:0] int_msg; + logic [31:0] int_word[0:3]; + + int_msg = index_msg; + + //Initial Step + int_msg = compute_add_round_key(int_msg, fv_round_key_array[10]); + + int_msg = compute_invshiftrow(int_msg); + + //Round encryption + for (int rnd = 9; rnd > 0; rnd--) begin + + //Round decryption + for (int i = 0; i < 4; i++) + int_word[3-i] = int_msg[i*32 +: 32]; + + for (int i = 0; i < 4; i++) + int_word[i] = get_invsbox(int_word[i]); + + int_msg = {int_word[0],int_word[1],int_word[2],int_word[3]}; + + int_msg = compute_add_round_key(int_msg, fv_round_key_array[rnd]); + + int_msg = compute_invmixcolums_msg(int_msg); + + int_msg = compute_invshiftrow(int_msg); + end + + //Final Round + for (int i = 0; i < 4; i++) + int_word[3-i] = int_msg[i*32 +: 32]; + + for (int i = 0; i < 4; i++) + int_word[i] = get_invsbox(int_word[i]); + + int_msg = {int_word[0],int_word[1],int_word[2],int_word[3]}; + + int_msg = compute_add_round_key(int_msg, fv_round_key_array[0]); + + return int_msg; +endfunction + +//Compute AES_Decryption for 256 bit configuration +function logic [127 : 0] compute_aes_decryption_256(input logic [127 : 0] index_msg); + logic [127:0] int_msg; + logic [31:0] int_word[0:3]; + + int_msg = index_msg; + + //Initial Step + int_msg = compute_add_round_key(int_msg, fv_round_key_array[14]); + + int_msg = compute_invshiftrow(int_msg); + + //Round encryption + for (int rnd = 13; rnd > 0; rnd--) begin + + //Round encryption + for (int i = 0; i < 4; i++) + int_word[3-i] = int_msg[i*32 +: 32]; + + for (int i = 0; i < 4; i++) + int_word[i] = get_invsbox(int_word[i]); + + int_msg = {int_word[0],int_word[1],int_word[2],int_word[3]}; + + int_msg = compute_add_round_key(int_msg, fv_round_key_array[rnd]); + + int_msg = compute_invmixcolums_msg(int_msg); + + int_msg = compute_invshiftrow(int_msg); + end + + //Final Round + for (int i = 0; i < 4; i++) + int_word[3-i] = int_msg[i*32 +: 32]; + + for (int i = 0; i < 4; i++) + int_word[i] = get_invsbox(int_word[i]); + + int_msg = {int_word[0],int_word[1],int_word[2],int_word[3]}; + + int_msg = compute_add_round_key(int_msg, fv_round_key_array[0]); + + return int_msg; +endfunction + +//////////////////////// +// Default Clock // +//////////////////////// +default clocking default_clk @(posedge clk); endclocking + +//////////////////////////////////// +// Decryption Checker Properties // +/////////////////////////////////// + +// Checks if the design is in IDLE state and ready is low +reset_a: assert property (reset_p); +property reset_p; + $past(!reset_n) +|-> + IDLE && + ready == 1 +;endproperty + +// Checks if block produces correct 128 bit decrypted value +decryption_check_128_a: assert property (disable iff(!reset_n) decryption_check_128_p); +property decryption_check_128_p; + logic [127:0] result, tracked_blk_msg; + + ##0 next_cmd + ##0 !keylen + ##0 (1'b1, tracked_blk_msg = block_msg) + ##DLY_128 (1'b1, result = compute_aes_decryption_128(tracked_blk_msg)) +|-> + ##0 new_block == result + ##0 ready +;endproperty + +// Checks if block produces correct sequence of round outputs for 128 bit configuration +round_check_128_a: assert property (disable iff(!reset_n) round_check_128_p); +property round_check_128_p; + ##0 next_cmd + ##0 !keylen +|-> + ##1 round == 10 && !ready[*DLY_RND] + ##1 round == 9 && !ready[*DLY_RND] + ##1 round == 8 && !ready[*DLY_RND] + ##1 round == 7 && !ready[*DLY_RND] + ##1 round == 6 && !ready[*DLY_RND] + ##1 round == 5 && !ready[*DLY_RND] + ##1 round == 4 && !ready[*DLY_RND] + ##1 round == 3 && !ready[*DLY_RND] + ##1 round == 2 && !ready[*DLY_RND] + ##1 round == 1 && !ready[*DLY_RND] + ##1 round == 0 && !ready + ##1 ready +;endproperty + +// Checks if block produces correct 256 bit decrypted value +decryption_check_256_a: assert property (disable iff(!reset_n) decryption_check_256_p); +property decryption_check_256_p; + logic [127:0] result, tracked_blk_msg; + + ##0 next_cmd + ##0 keylen + ##0 (1'b1, tracked_blk_msg = block_msg) + ##DLY_256 (1'b1, result = compute_aes_decryption_256(tracked_blk_msg)) +|-> + ##0 new_block == result + ##0 ready +;endproperty + +// Checks if block produces correct sequence of round outputs for 256 bit configuration +round_check_256_a: assert property (disable iff(!reset_n) round_check_256_p); +property round_check_256_p; + ##0 next_cmd + ##0 keylen +|-> + ##1 round == 14 && !ready[*DLY_RND] + ##1 round == 13 && !ready[*DLY_RND] + ##1 round == 12 && !ready[*DLY_RND] + ##1 round == 11 && !ready[*DLY_RND] + ##1 round == 10 && !ready[*DLY_RND] + ##1 round == 9 && !ready[*DLY_RND] + ##1 round == 8 && !ready[*DLY_RND] + ##1 round == 7 && !ready[*DLY_RND] + ##1 round == 6 && !ready[*DLY_RND] + ##1 round == 5 && !ready[*DLY_RND] + ##1 round == 4 && !ready[*DLY_RND] + ##1 round == 3 && !ready[*DLY_RND] + ##1 round == 2 && !ready[*DLY_RND] + ##1 round == 1 && !ready[*DLY_RND] + ##1 round == 0 && !ready + ##1 ready +;endproperty + +// Checks if block produces correct encrypted value for the first round +firstround_decrypt_a: assert property (disable iff(!reset_n) firstround_decrypt_p); +property firstround_decrypt_p; + logic [127:0] result, tracked_blk_msg, tracked_mode; + + ##0 next_cmd + ##0 (1'b1, tracked_blk_msg = block_msg) + ##0 (1'b1, tracked_mode = keylen) + ##0 (1'b1, result = compute_firstdecrypt(tracked_blk_msg,tracked_mode)) +|-> + ##1 block_new == result +;endproperty + +// Checks if block produces correct round encrypted value for each round in 128 bit configuration +for (genvar rnd = 9; rnd > 0; rnd--) begin: rndcompute_128 + round_compute_128_a: assert property (disable iff(!reset_n) round_compute_128_p(rnd+1)); +end +property round_compute_128_p(rndcnt); + logic [127:0] rnd_rslt, tracked_block; + ##0 Round_Compute && !keylen + ##0 round == rndcnt + ##1 (1'b1, tracked_block = old_block) + ##0 (1'b1, rnd_rslt = compute_round_decrypt(tracked_block,rndcnt)) +|-> + ##DLY_SBOX block_new == rnd_rslt +;endproperty + +// Checks if block produces correct round encrypted value for each round in 256 bit configuration +for (genvar rnd = 13; rnd > 0; rnd--) begin: rndcompute_256 + round_compute_256_a: assert property (disable iff(!reset_n) round_compute_256_p(rnd+1)); +end +property round_compute_256_p(rndcnt); + logic [127:0] rnd_rslt, tracked_block; + ##0 Round_Compute && keylen + ##0 round == rndcnt + ##1 (1'b1, tracked_block = old_block) + ##0 (1'b1, rnd_rslt = compute_round_decrypt(tracked_block,rndcnt)) +|-> + ##DLY_SBOX block_new == rnd_rslt +;endproperty + +// Checks if block produces correct encrypted value for the first round +lastround_decrypt_a: assert property (disable iff(!reset_n) lastround_decrypt_p); +property lastround_decrypt_p; + logic [127:0] rnd_rslt, tracked_block; + ##0 Round_Compute + ##0 round == 4'h1 + ##1 (1'b1, tracked_block = old_block) + ##0 (1'b1, rnd_rslt = compute_lastround_decrypt(tracked_block)) +|-> + ##DLY_SBOX block_new == rnd_rslt +;endproperty + +endmodule + +//Inputs driven from doe_core_cbc +`ifdef CBC_BIND + + bind doe_decipher_block fv_decrypt_checker_m + fv_decrypt( + .clk(doe_core_cbc.dec_block.clk), + .reset_n(doe_core_cbc.dec_block.reset_n && !doe_core_cbc.dec_block.zeroize), + .next_cmd(doe_core_cbc.dec_block.next_cmd), + .keylen(doe_core_cbc.dec_block.keylen), + .round(doe_core_cbc.dec_block.round), + .round_key(doe_core_cbc.dec_block.round_key), + .block_msg(doe_core_cbc.dec_block.block_msg), + .new_block(doe_core_cbc.dec_block.new_block), + .ready(doe_core_cbc.dec_block.ready), + .IDLE(doe_core_cbc.dec_block.dec_ctrl_reg == 0), + .Round_Compute((doe_core_cbc.dec_block.dec_ctrl_reg == 2'h1) || (doe_core_cbc.dec_block.dec_ctrl_reg == 2'h3)), + .old_block(doe_core_cbc.dec_block.round_logic.old_block), + .block_new(doe_core_cbc.dec_block.block_new), + .fv_round_key_array(doe_core_cbc.keymem.key_mem) + ); + +//Inputs driven with constraints on doe_decipher_block +`else + + bind doe_decipher_block fv_decrypt_checker_m + fv_decrypt(.*, + .clk(clk), + .reset_n(reset_n && !zeroize), + .IDLE(dec_ctrl_reg == 0), + .Round_Compute((dec_ctrl_reg == 2'h1) || (dec_ctrl_reg == 2'h3)), + .old_block(round_logic.old_block), + .block_new(block_new), + .fv_round_key_array(fv_dec_constraints.fv_round_key_array) + ); + +`endif \ No newline at end of file diff --git a/src/doe/formal/properties/fv_doe_encryption/fv_constraints.sv b/src/doe/formal/properties/fv_doe_encryption/fv_constraints.sv new file mode 100644 index 000000000..35b7614cd --- /dev/null +++ b/src/doe/formal/properties/fv_doe_encryption/fv_constraints.sv @@ -0,0 +1,128 @@ +// ------------------------------------------------- +// Contact: contact@lubis-eda.com +// Author: Tobias Ludwig, Michael Schwarz +// ------------------------------------------------- +// SPDX-License-Identifier: Apache-2.0 +// +// Licensed under the Apache License, Version 2.0 (the "License"); +// you may not use this file except in compliance with the License. +// You may obtain a copy of the License at +// +// http://www.apache.org/licenses/LICENSE-2.0 +// +// Unless required by applicable law or agreed to in writing, software +// distributed under the License is distributed on an "AS IS" BASIS, +// WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +// See the License for the specific language governing permissions and +// limitations under the License. +// + +import doe_core_cbc_pkg::*; + +module fv_enc_constraints_m( + + //////////////////////////// + // Input / Output signals // + //////////////////////////// + input clk, + input reset_n, + + input next_cmd, + + input keylen, + input [3 : 0] round, + input [127 : 0] round_key, + + input [31 : 0] sboxw, + input [31 : 0] new_sboxw, + + input [127 : 0] block_msg, + input [127 : 0] new_block, + input ready + +); + +//////////////////////// +// Default Clock // +//////////////////////// +default clocking default_clk @(posedge clk); endclocking + +//Internal Signals +logic [31:0] fv_sbox; +logic [127:0] fv_round_key; +logic [127:0] fv_round_key_array[14:0]; + +//////////////////////// +// Symbolic Logic // +/////////////////////// + +sym_roundkey_a: assume property (disable iff(!reset_n) sym_roundkey_p); +property sym_roundkey_p; + ##1 $stable(fv_round_key_array) +;endproperty + +//Get expanded round keys for input key +function logic[127:0] get_roundkey(input logic [3:0] index_round); + logic [127:0] int_key; + + int_key = fv_round_key_array[index_round]; + + return int_key; +endfunction + +//Helper Logic +assign fv_sbox = get_sbox(sboxw); +assign fv_round_key = get_roundkey(round); + +//////////////////////////////////////// +// Encryption Constraint Properties // +////////////////////////////////////// + +//Constraint to drive correct value to new_sboxw input +sbox_constraint_a: assume property (disable iff(!reset_n) sbox_constraint_p); +property sbox_constraint_p; + new_sboxw == fv_sbox +;endproperty + +//Constraint to drive correct value to round_key input +roundkey_constraint_a: assume property (disable iff(!reset_n) roundkey_constraint_p); +property roundkey_constraint_p; + round_key == fv_round_key +;endproperty + +//Constraint to keep keylen input stable +stable_keylen_a: assume property (disable iff(!reset_n) stable_keylen_p); +property stable_keylen_p; + $stable(keylen) || ready +;endproperty + +//Constraint to keep incoming roundkey stable +stable_blk_msg_a: assume property (disable iff(!reset_n) stable_blk_msg_p); +property stable_blk_msg_p; + $stable(block_msg) || ready +;endproperty + +//next_cmd can be only received if the doe_encipher_block is ready +cmd_on_ready_a: assume property (disable iff(!reset_n) cmd_on_ready_p); +property cmd_on_ready_p; + !ready +|-> + !next_cmd; +endproperty + +`ifdef CBC_BIND + //Constraint to drive correct value to fv_round_key_array signal in fv_encrypt checker + roundkey_array_cbc_a: assume property (disable iff(!reset_n) roundkey_array_cbc_p); + property roundkey_array_cbc_p; + doe_core_cbc.enc_block.fv_encrypt.fv_round_key_array == fv_round_key_array + ;endproperty +`endif + +endmodule + +//Connect this constraints module with the DUV +bind doe_encipher_block fv_enc_constraints_m fv_enc_constraints(.*, + .clk(clk), + .reset_n(reset_n && !zeroize) + ); + diff --git a/src/doe/formal/properties/fv_doe_encryption/fv_cover_points.sv b/src/doe/formal/properties/fv_doe_encryption/fv_cover_points.sv new file mode 100644 index 000000000..a766f6f94 --- /dev/null +++ b/src/doe/formal/properties/fv_doe_encryption/fv_cover_points.sv @@ -0,0 +1,46 @@ +// ------------------------------------------------- +// Contact: contact@lubis-eda.com +// Author: Tobias Ludwig, Michael Schwarz +// ------------------------------------------------- +// SPDX-License-Identifier: Apache-2.0 +// +// Licensed under the Apache License, Version 2.0 (the "License"); +// you may not use this file except in compliance with the License. +// You may obtain a copy of the License at +// +// http://www.apache.org/licenses/LICENSE-2.0 +// +// Unless required by applicable law or agreed to in writing, software +// distributed under the License is distributed on an "AS IS" BASIS, +// WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +// See the License for the specific language governing permissions and +// limitations under the License. +// + +module fv_enc_coverpoints_m( + input logic clk, + input logic reset_n, + input logic zeroize +); + +default clocking default_clk @(posedge clk); endclocking + +//Cover zeroize +cover_zeroize: cover property(disable iff(!reset_n) doe_encipher_block.zeroize ); + +//Assert zeroize input and check the status of all registers. All registers/internal memories should be cleared. +cover_zeroize_after_next: cover property(disable iff(!reset_n) doe_encipher_block.zeroize && doe_encipher_block.ready && doe_encipher_block.next_cmd ); + +//Cover that checks multiple next_cmd can be received for encipher block. +cover_multiple_next: cover property(disable iff(!reset_n || zeroize) + doe_encipher_block.next_cmd && doe_encipher_block.ready ##1 doe_encipher_block.next_cmd && doe_encipher_block.ready[->1] +); + +endmodule + +//Connect this coverpoints module with the DUV +bind doe_encipher_block fv_enc_coverpoints_m fv_enc_coverpoints( + .clk(clk), + .reset_n(reset_n), + .zeroize(zeroize) +); \ No newline at end of file diff --git a/src/doe/formal/properties/fv_doe_encryption/fv_doe_encrypt.sv b/src/doe/formal/properties/fv_doe_encryption/fv_doe_encrypt.sv new file mode 100644 index 000000000..ba1603415 --- /dev/null +++ b/src/doe/formal/properties/fv_doe_encryption/fv_doe_encrypt.sv @@ -0,0 +1,348 @@ +// ------------------------------------------------- +// Contact: contact@lubis-eda.com +// Author: Tobias Ludwig, Michael Schwarz +// ------------------------------------------------- +// SPDX-License-Identifier: Apache-2.0 +// +// Licensed under the Apache License, Version 2.0 (the "License"); +// you may not use this file except in compliance with the License. +// You may obtain a copy of the License at +// +// http://www.apache.org/licenses/LICENSE-2.0 +// +// Unless required by applicable law or agreed to in writing, software +// distributed under the License is distributed on an "AS IS" BASIS, +// WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +// See the License for the specific language governing permissions and +// limitations under the License. +// + +import doe_core_cbc_pkg::*; + +module fv_encrypt_checker_m ( + + //////////////////////////// + // Input / Output signals // + //////////////////////////// + input clk, + input reset_n, + + input next_cmd, + + input keylen, + input [3 : 0] round, + input [127 : 0] round_key, + + input [31 : 0] sboxw, + input [31 : 0] new_sboxw, + + input [127 : 0] block_msg, + input [127 : 0] new_block, + input ready, + + ////////////////////// + // States // + ////////////////////// + + input IDLE, + input Round_Compute, + + ////////////////////// + // Internal Signals // + ////////////////////// + input [127 : 0] block_new, + input [127 : 0] old_block, + + //Signal for expanded keys + input [127 : 0] fv_round_key_array[14:0] + +); + +//localparams - Respective delays +localparam DLY_128 = 52; //no. of cycles the decryption takes for 128B configuration +localparam DLY_256 = 72; //no. of cycles the decryption takes for 256B configuration +localparam DLY_RND = 5; //no. of cycles the round computation takes +localparam DLY_SBOX = 4; //no. of cycles the SBOX computation takes + +//Compute Intermediate steps in each round +function logic [127 : 0] compute_round_encrypt(input logic [127 : 0] index_msg, input logic [3:0] int_rnd); + logic [127:0] int_msg; + logic [31:0] int_word[0:3]; + + int_msg = index_msg; + + //Round encryption + for (int i = 0; i < 4; i++) + int_word[3-i] = int_msg[i*32 +: 32]; + + for (int i = 0; i < 4; i++) + int_word[i] = get_sbox(int_word[i]); + + int_msg = {int_word[0],int_word[1],int_word[2],int_word[3]}; + + int_msg = compute_shiftrow(int_msg); + + int_msg = compute_mixcolums_msg(int_msg); + + int_msg = compute_add_round_key(int_msg, fv_round_key_array[int_rnd]); + + return int_msg; +endfunction + +//Compute intermediate steps in last round +function logic [127 : 0] compute_lastround(input logic [127 : 0] index_msg, input logic [3:0] int_rnd); + logic [127:0] int_msg; + logic [31:0] int_word[0:3]; + + int_msg = index_msg; + + //Round encryption + for (int i = 0; i < 4; i++) + int_word[3-i] = int_msg[i*32 +: 32]; + + for (int i = 0; i < 4; i++) + int_word[i] = get_sbox(int_word[i]); + + int_msg = {int_word[0],int_word[1],int_word[2],int_word[3]}; + + int_msg = compute_shiftrow(int_msg); + + int_msg = compute_add_round_key(int_msg, fv_round_key_array[int_rnd]); + + return int_msg; +endfunction + +//Compute AES_Encryption 128 +function logic [127 : 0] compute_aes_encryption_128(input logic [127 : 0] index_msg); + logic [127:0] int_msg; + logic [31:0] int_word[0:3]; + + int_msg = index_msg; + + //Initial Step + int_msg = compute_add_round_key(int_msg, fv_round_key_array[0]); + + //Round encryption + for (int rnd = 1; rnd < 10; rnd++) begin + //Round encryption + int_msg = compute_round_encrypt(int_msg, rnd); + end + + //Final Round + int_msg = compute_lastround(int_msg, 4'ha); + + return int_msg; +endfunction + +//Compute AES_Encryption 256 +function logic [127 : 0] compute_aes_encryption_256(input logic [127 : 0] index_msg); + logic [127:0] int_msg; + logic [31:0] int_word[0:3]; + + int_msg = index_msg; + + //Initial Step + int_msg = compute_add_round_key(int_msg, fv_round_key_array[0]); + + //Round encryption + for (int rnd = 1; rnd < 14; rnd++) begin + //Round encryption + int_msg = compute_round_encrypt(int_msg, rnd); + end + + //Final Round + int_msg = compute_lastround(int_msg, 4'he); + + return int_msg; +endfunction + +//////////////////////// +// Default Clock // +//////////////////////// +default clocking default_clk @(posedge clk); endclocking + +////////////////////////////////////// +// Encryption Checker Properties // +//////////////////////////////////// + +// Checks if the design is in IDLE state and ready is low +reset_a: assert property (reset_p); +property reset_p; + $past(!reset_n) +|-> + IDLE && + ready == 1 +;endproperty + +// Checks if block produces correct 128 bit encrypted value +encryption_check_128_a: assert property (disable iff(!reset_n) encryption_check_128_p); +property encryption_check_128_p; + logic [127:0] result, tracked_blk_msg; + + ##0 next_cmd + ##0 !keylen + ##0 (1'b1, tracked_blk_msg = block_msg) + ##DLY_128 (1'b1, result = compute_aes_encryption_128(tracked_blk_msg)) +|-> + ##0 new_block == result + ##0 ready +;endproperty + +// Checks if block produces correct sequence of round outputs for 128 bit configuration +round_check_128_a: assert property (disable iff(!reset_n) round_check_128_p); +property round_check_128_p; + ##0 next_cmd + ##0 !keylen +|-> + ##1 round == 0 && !ready + ##1 round == 1 && !ready[*DLY_RND] + ##1 round == 2 && !ready[*DLY_RND] + ##1 round == 3 && !ready[*DLY_RND] + ##1 round == 4 && !ready[*DLY_RND] + ##1 round == 5 && !ready[*DLY_RND] + ##1 round == 6 && !ready[*DLY_RND] + ##1 round == 7 && !ready[*DLY_RND] + ##1 round == 8 && !ready[*DLY_RND] + ##1 round == 9 && !ready[*DLY_RND] + ##1 round == 10 && !ready[*DLY_RND] + ##1 ready +;endproperty + +// Checks if block produces correct 256 bit encrypted value +encryption_check_256_a: assert property (disable iff(!reset_n) encryption_check_256_p); +property encryption_check_256_p; + logic [127:0] result, tracked_blk_msg; + + ##0 next_cmd + ##0 keylen + ##0 (1'b1, tracked_blk_msg = block_msg) + ##DLY_256 (1'b1, result = compute_aes_encryption_256(tracked_blk_msg)) +|-> + ##0 new_block == result + ##0 ready +;endproperty + +// Checks if block produces correct sequence of round outputs for 256 bit configuration +round_check_256_a: assert property (disable iff(!reset_n) round_check_256_p); +property round_check_256_p; + ##0 next_cmd + ##0 keylen +|-> + ##1 round == 0 + ##1 round == 1 && !ready[*DLY_RND] + ##1 round == 2 && !ready[*DLY_RND] + ##1 round == 3 && !ready[*DLY_RND] + ##1 round == 4 && !ready[*DLY_RND] + ##1 round == 5 && !ready[*DLY_RND] + ##1 round == 6 && !ready[*DLY_RND] + ##1 round == 7 && !ready[*DLY_RND] + ##1 round == 8 && !ready[*DLY_RND] + ##1 round == 9 && !ready[*DLY_RND] + ##1 round == 10 && !ready[*DLY_RND] + ##1 round == 11 && !ready[*DLY_RND] + ##1 round == 12 && !ready[*DLY_RND] + ##1 round == 13 && !ready[*DLY_RND] + ##1 round == 14 && !ready[*DLY_RND] + ##1 ready +;endproperty + +// Checks if block produces correct round encrypted value for each round in 128 bit configuration +for (genvar rnd = 1; rnd < 10; rnd++) begin: rndcompute_128 + round_compute_128_a: assert property (disable iff(!reset_n) round_compute_128_p(rnd)); +end +property round_compute_128_p(rndcnt); + logic [127:0] result; + ##0 Round_Compute && !keylen + ##1 round == rndcnt + ##0 (1'b1, result = compute_round_encrypt(old_block,rndcnt)) +|-> + ##DLY_SBOX block_new == result +;endproperty + +// Checks if block produces correct round encrypted value for each round in 256 bit configuration +for (genvar rnd = 1; rnd < 14; rnd++) begin: rndcompute_256 + round_compute_256_a: assert property (disable iff(!reset_n) round_compute_256_p(rnd)); +end +property round_compute_256_p(rndcnt); + logic [127:0] result; + ##0 Round_Compute && keylen + ##1 round == rndcnt + ##0 (1'b1, result = compute_round_encrypt(old_block,rndcnt)) +|-> + ##DLY_SBOX block_new == result +;endproperty + +// Checks if block produces correct round encrypted value for last round in 128 bit configuration +lastround_computation_128_a: assert property (disable iff(!reset_n) lastround_computation_128_p); +property lastround_computation_128_p; + logic [127:0] result; + ##0 Round_Compute && !keylen + ##1 round == 4'ha + ##0 (1'b1, result = compute_lastround(old_block,4'ha)) +|-> + ##DLY_SBOX block_new == result +;endproperty + +// Checks if block produces correct round encrypted value for last round in 256 bit configuration +lastround_computation_256_a: assert property (disable iff(!reset_n) lastround_computation_256_p); +property lastround_computation_256_p; + logic [127:0] result; + ##0 Round_Compute && keylen + ##1 round == 4'he + ##0 (1'b1, result = compute_lastround(old_block,4'he)) +|-> + ##DLY_SBOX block_new == result +;endproperty + +// Checks if block produces correct encrypted value for the first round +firstround_compute_a: assert property (disable iff(!reset_n) firstround_compute_p); +property firstround_compute_p; + logic [127:0] result, tracked_blk_msg; + + ##0 next_cmd + ##0 (1'b1, tracked_blk_msg = block_msg) + ##0 (1'b1, result = compute_add_round_key(tracked_blk_msg, fv_round_key_array[0])) +|-> + ##1 block_new == result +;endproperty + +endmodule + +//Inputs driven from doe_core_cbc +`ifdef CBC_BIND + + bind doe_encipher_block fv_encrypt_checker_m + fv_encrypt( + .clk(doe_core_cbc.enc_block.clk), + .reset_n(doe_core_cbc.enc_block.reset_n && !doe_core_cbc.enc_block.zeroize), + .next_cmd(doe_core_cbc.enc_block.next_cmd), + .keylen(doe_core_cbc.enc_block.keylen), + .round(doe_core_cbc.enc_block.round), + .round_key(doe_core_cbc.enc_block.round_key), + .sboxw(doe_core_cbc.enc_block.sboxw), + .new_sboxw(doe_core_cbc.enc_block.new_sboxw), + .block_msg(doe_core_cbc.enc_block.block_msg), + .new_block(doe_core_cbc.enc_block.new_block), + .ready(doe_core_cbc.enc_block.ready), + .IDLE(doe_core_cbc.enc_block.enc_ctrl_reg == 0), + .Round_Compute((doe_core_cbc.enc_block.enc_ctrl_reg == 2'h1) || (doe_core_cbc.enc_block.enc_ctrl_reg == 2'h3)), + .old_block(doe_core_cbc.enc_block.round_logic.old_block), + .block_new(doe_core_cbc.enc_block.block_new), + .fv_round_key_array(doe_core_cbc.keymem.key_mem) + ); + +//Inputs driven with constraints on doe_encipher_block +`else + + bind doe_encipher_block fv_encrypt_checker_m + fv_encrypt(.*, + .clk(clk), + .reset_n(reset_n && !zeroize), + .IDLE(enc_ctrl_reg == 0), + .Round_Compute((enc_ctrl_reg == 2'h1) || (enc_ctrl_reg == 2'h3)), + .old_block(round_logic.old_block), + .block_new(block_new), + .fv_round_key_array(fv_enc_constraints.fv_round_key_array) + ); + +`endif diff --git a/src/doe/formal/properties/fv_doe_iv/fv_doe_iv_process.sv b/src/doe/formal/properties/fv_doe_iv/fv_doe_iv_process.sv new file mode 100644 index 000000000..19d13ac72 --- /dev/null +++ b/src/doe/formal/properties/fv_doe_iv/fv_doe_iv_process.sv @@ -0,0 +1,230 @@ +// ------------------------------------------------- +// Contact: contact@lubis-eda.com +// Author: Tobias Ludwig, Michael Schwarz +// ------------------------------------------------- +// SPDX-License-Identifier: Apache-2.0 +// +// Licensed under the Apache License, Version 2.0 (the "License"); +// you may not use this file except in compliance with the License. +// You may obtain a copy of the License at +// +// http://www.apache.org/licenses/LICENSE-2.0 +// +// Unless required by applicable law or agreed to in writing, software +// distributed under the License is distributed on an "AS IS" BASIS, +// WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +// See the License for the specific language governing permissions and +// limitations under the License. +// + +import doe_iv_process_pkg::*; + +module fv_doe_iv_process_m( + input bit rst, + input bit rst_test, + input bit clk, + + // Inputs + input st_InPacket cmd_in, + input st_InPacket decrypt_in, + input st_InPacket result_in, + + // Outputs + input bit unsigned [127:0] ivdecry_out, + input bit unsigned [127:0] ivencry_out, + input bit doe_ready, + + // Ready signals + input bit cmd_in_ready, + input bit decrypt_in_ready, + input bit result_in_ready, + + // Registers + input bit unsigned [127:0] dec_prev_msg, + + // States + input bit idle, + input bit enc, + input bit dec_first, + input bit dec_next +); + + +default clocking default_clk @(posedge clk); endclocking + +// Checks if the design is in IDLE state and ready is high +reset_a: assert property (reset_p); +property reset_p; + $past(rst) |-> + idle && + doe_ready == 1 +;endproperty + +// Checks if the block updates IV_encry with the encoded message once encryption is done +enc_to_idle_a: assert property (disable iff(rst_test) enc_to_idle_p); +property enc_to_idle_p; + enc && + result_in_ready +|-> + ##1 + idle && + ivencry_out == $past(result_in.encoded_msg, 1); +endproperty + +// Checks if the block switches from idle state to enc state upon receiving next_cmd +idle_to_enc_a: assert property (disable iff(rst) idle_to_enc_p); +property idle_to_enc_p; + idle && + cmd_in_ready && + cmd_in.next_cmd && + cmd_in.encdec +|-> + ##1 + enc; +endproperty + +// Checks if the block waits in enc state until encryption is done +enc_wait_a: assert property (disable iff(rst) enc_wait_p); +property enc_wait_p; + enc && + !result_in_ready +|-> + ##1 + enc; +endproperty + +// Checks if the block waits iv_encry updated with IV +IV_encry_check_a: assert property (disable iff(rst) IV_encry_check_p); +property IV_encry_check_p; + doe_core_cbc.encdec && + cmd_in.IV_Updated_d +|-> + ##1 + ivencry_out == $past(cmd_in.IV, 1); +endproperty + +// Checks if the block switches from idle state to first decrypt state upon receiving next_cmd +// and updates IV_decry with the IV, IV_decry_next with incoming block message +idle_to_dec_first_a: assert property (disable iff(rst) idle_to_dec_first_p); +property idle_to_dec_first_p; + doe_core_cbc.IV_dec_state == 0 && + doe_core_cbc.next_cmd && doe_core_cbc.dec_ready && + !doe_core_cbc.encdec +|-> + ##1 + dec_first && + ivdecry_out == $past(doe_core_cbc.IV) && + dec_prev_msg == $past(doe_core_cbc.block_msg); +endproperty + +// Checks if the block switches from first decrypt state to next decrypt state upon receiving next_cmd +// and updates IV_decry with the previous IV_decry_next, IV_decry_next with incoming block message +dec_first_to_dec_next_a: assert property (disable iff(rst) dec_first_to_dec_next_p); +property dec_first_to_dec_next_p; + dec_first && + decrypt_in_ready +|-> + ##1 + dec_next && + ivdecry_out == $past(doe_core_cbc.IV_decry_next) && + dec_prev_msg == $past(doe_core_cbc.block_msg) +;endproperty + +// Checks if the block switches from next decrypt state to first decrypt state in next cycle +// and holds values of IV_decry, IV_decry_next from previous state +dec_next_to_dec_first_a: assert property (disable iff(rst) dec_next_to_dec_first_p); +property dec_next_to_dec_first_p; + dec_next +|-> + ##1 + dec_first && + ivdecry_out == $past(ivdecry_out) && + dec_prev_msg == $past(dec_prev_msg) +;endproperty + +// Checks if the block switches from first decrypt state to idle state upon receiving IV_updated +// and updates IV_decry, IV_decry_next with incoming IV +dec_first_to_idle_a: assert property (disable iff(rst) dec_first_to_idle_p); +property dec_first_to_idle_p; + dec_first && + decrypt_in.dec_ready && + !decrypt_in.next_cmd && + decrypt_in.IV_Updated_d +|-> + ##1 + idle && + ivdecry_out == $past(doe_core_cbc.IV, 1) && + dec_prev_msg == $past(doe_core_cbc.IV, 1) +;endproperty + +// Checks if the block waits in idle state until encryption/decryption is triggered +idle_wait_a: assert property (disable iff(rst) idle_wait_p); +property idle_wait_p; + idle && + !cmd_in_ready +|-> + ##1 + idle; +endproperty + +// Checks if the block waits in first decrypt state until new decryption starts or IV_updated is received +dec_first_wait_a: assert property (disable iff(rst) dec_first_wait_p); +property dec_first_wait_p; + dec_first && + !decrypt_in_ready && + !decrypt_in.IV_Updated_d +|-> + ##1 + dec_first +;endproperty + + +endmodule + + +module fv_doe_iv_process_ref_wrapper_m; + + +default clocking default_clk @(posedge (doe_core_cbc.clk)); endclocking + + +st_InPacket cmd_in = '{ encoded_msg: (doe_core_cbc.enc_new_block), block_msg: (doe_core_cbc.block_msg), IV: (doe_core_cbc.IV), encdec: (doe_core_cbc.encdec), enc_ready: (doe_core_cbc.enc_ready), dec_ready: (doe_core_cbc.dec_ready), IV_Updated_d: (doe_core_cbc.IV_updated_delayed), next_cmd: (doe_core_cbc.next_cmd), keylen: (doe_core_cbc.keylen) }; +st_InPacket decrypt_in = '{ encoded_msg: (doe_core_cbc.enc_new_block), block_msg: (doe_core_cbc.block_msg), IV: (doe_core_cbc.IV), encdec: (doe_core_cbc.encdec), enc_ready: (doe_core_cbc.enc_ready), dec_ready: (doe_core_cbc.dec_ready), IV_Updated_d: (doe_core_cbc.IV_updated_delayed), next_cmd: (doe_core_cbc.next_cmd), keylen: (doe_core_cbc.keylen) }; +st_InPacket result_in = '{ encoded_msg: (doe_core_cbc.enc_new_block), block_msg: (doe_core_cbc.block_msg), IV: (doe_core_cbc.IV), encdec: (doe_core_cbc.encdec), enc_ready: (doe_core_cbc.enc_ready), dec_ready: (doe_core_cbc.dec_ready), IV_Updated_d: (doe_core_cbc.IV_updated_delayed), next_cmd: (doe_core_cbc.next_cmd), keylen: (doe_core_cbc.keylen) }; + + +fv_doe_iv_process_m fv_doe_iv_process( + .rst(!(doe_core_cbc.reset_n && !doe_core_cbc.zeroize)), + .rst_test(!(doe_core_cbc.reset_n && !doe_core_cbc.zeroize) || $past(!(doe_core_cbc.reset_n && !doe_core_cbc.zeroize))), + .clk(doe_core_cbc.clk), + + // Inputs + .cmd_in(cmd_in), + .decrypt_in(decrypt_in), + .result_in(result_in), + + // Outputs + .ivdecry_out(doe_core_cbc.IV_decry), + .ivencry_out(doe_core_cbc.IV_encry), + .doe_ready(doe_core_cbc.ready), + + // Ready signals + .cmd_in_ready((doe_core_cbc.enc_ready && doe_core_cbc.enc_next) || (doe_core_cbc.dec_ready && doe_core_cbc.dec_next)), + .decrypt_in_ready(doe_core_cbc.dec_next && doe_core_cbc.dec_ready), + .result_in_ready((!$past(doe_core_cbc.enc_ready) && doe_core_cbc.enc_ready) ), + + // Registers + .dec_prev_msg(doe_core_cbc.IV_decry_next), + + // States + .idle(doe_core_cbc.IV_enc_state == 0 || doe_core_cbc.IV_dec_state == 0), + .enc(doe_core_cbc.IV_enc_state == 1), + .dec_first(doe_core_cbc.IV_dec_state == 2), + .dec_next(doe_core_cbc.IV_dec_state == 1) +); + + +endmodule + + +bind doe_core_cbc fv_doe_iv_process_ref_wrapper_m fv_doe_iv_process_ref_wrapper(); diff --git a/src/doe/formal/properties/fv_doe_iv/fv_doe_iv_process_pkg.sv b/src/doe/formal/properties/fv_doe_iv/fv_doe_iv_process_pkg.sv new file mode 100644 index 000000000..eecf72f01 --- /dev/null +++ b/src/doe/formal/properties/fv_doe_iv/fv_doe_iv_process_pkg.sv @@ -0,0 +1,37 @@ +// ------------------------------------------------- +// Contact: contact@lubis-eda.com +// Author: Tobias Ludwig, Michael Schwarz +// ------------------------------------------------- +// SPDX-License-Identifier: Apache-2.0 +// +// Licensed under the Apache License, Version 2.0 (the "License"); +// you may not use this file except in compliance with the License. +// You may obtain a copy of the License at +// +// http://www.apache.org/licenses/LICENSE-2.0 +// +// Unless required by applicable law or agreed to in writing, software +// distributed under the License is distributed on an "AS IS" BASIS, +// WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +// See the License for the specific language governing permissions and +// limitations under the License. +// + + +package doe_iv_process_pkg; + + + typedef struct { + bit unsigned [127:0] encoded_msg; + bit unsigned [127:0] block_msg; + bit unsigned [127:0] IV; + bit encdec; + bit enc_ready; + bit dec_ready; + bit IV_Updated_d; + bit next_cmd; + bit keylen; + } st_InPacket; + + +endpackage diff --git a/src/doe/formal/properties/fv_doe_keymem/fv_constraints.sv b/src/doe/formal/properties/fv_doe_keymem/fv_constraints.sv new file mode 100644 index 000000000..8ab13e7bd --- /dev/null +++ b/src/doe/formal/properties/fv_doe_keymem/fv_constraints.sv @@ -0,0 +1,89 @@ +// ------------------------------------------------- +// Contact: contact@lubis-eda.com +// Author: Tobias Ludwig, Michael Schwarz +// ------------------------------------------------- +// SPDX-License-Identifier: Apache-2.0 +// +// Licensed under the Apache License, Version 2.0 (the "License"); +// you may not use this file except in compliance with the License. +// You may obtain a copy of the License at +// +// http://www.apache.org/licenses/LICENSE-2.0 +// +// Unless required by applicable law or agreed to in writing, software +// distributed under the License is distributed on an "AS IS" BASIS, +// WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +// See the License for the specific language governing permissions and +// limitations under the License. +// + +import doe_core_cbc_pkg::*; + +module fv_key_constraints_m( + + //////////////////////////// + // Input / Output signals // + //////////////////////////// + input clk, + input reset_n, + + input [255 : 0] key, + input keylen, + input init_cmd, + + input [3 : 0] round, + input [127 : 0] round_key, + input ready, + + + input [31 : 0] sboxw, + input [31 : 0] new_sboxw + +); + +//////////////////////// +// Default Clock // +//////////////////////// +default clocking default_clk @(posedge clk); endclocking + +//Internal Signals +logic [31:0] fv_sbox; + +//Helper Logic +assign fv_sbox = get_sbox(sboxw); + +//////////////////////////////////// +// KeyMem Constraint Properties // +/////////////////////////////////// + +//Constraint to drive correct value to new_sboxw input +sbox_constraint_a: assume property (disable iff(!reset_n) sbox_constraint_p); +property sbox_constraint_p; + new_sboxw == fv_sbox +;endproperty + +//Constraint to keep keylen input stable +stable_keylen_a: assume property (disable iff(!reset_n) stable_keylen_p); +property stable_keylen_p; + $stable(keylen) || ready +;endproperty + +//Constraint to keep key input stable +stable_key_a: assume property (disable iff(!reset_n) stable_key_p); +property stable_key_p; + $stable(key) || ready +;endproperty + +//Constraint to get init_cmd only when the design is in IDLE state +init_only_in_idle_a: assume property (disable iff(!reset_n) init_only_in_idle_p); +property init_only_in_idle_p; + init_cmd +|-> + doe_key_mem.key_mem_ctrl_reg == 0 +;endproperty + +endmodule + +//Connect this constraints module with the DUV +bind doe_key_mem fv_key_constraints_m fv_keymem_constraints(.* + ); \ No newline at end of file diff --git a/src/doe/formal/properties/fv_doe_keymem/fv_cover_points.sv b/src/doe/formal/properties/fv_doe_keymem/fv_cover_points.sv new file mode 100644 index 000000000..5f94b0574 --- /dev/null +++ b/src/doe/formal/properties/fv_doe_keymem/fv_cover_points.sv @@ -0,0 +1,46 @@ +// ------------------------------------------------- +// Contact: contact@lubis-eda.com +// Author: Tobias Ludwig, Michael Schwarz +// ------------------------------------------------- +// SPDX-License-Identifier: Apache-2.0 +// +// Licensed under the Apache License, Version 2.0 (the "License"); +// you may not use this file except in compliance with the License. +// You may obtain a copy of the License at +// +// http://www.apache.org/licenses/LICENSE-2.0 +// +// Unless required by applicable law or agreed to in writing, software +// distributed under the License is distributed on an "AS IS" BASIS, +// WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +// See the License for the specific language governing permissions and +// limitations under the License. +// + +module fv_keymem_coverpoints_m( + input logic clk, + input logic reset_n, + input logic zeroize +); + +default clocking default_clk @(posedge clk); endclocking + +//Cover zeroize +cover_zeroize: cover property(disable iff(!reset_n) doe_key_mem.zeroize ); + +//Assert zeroize input and check the status of all registers. All registers/internal memories should be cleared. +cover_zeroize_after_next: cover property(disable iff(!reset_n) doe_key_mem.zeroize && doe_key_mem.ready && doe_key_mem.init_cmd); + +//Cover that checks multiple init_cmd can be received +cover_multiple_init: cover property(disable iff(!reset_n || zeroize) + doe_key_mem.init_cmd && doe_key_mem.ready ##1 doe_key_mem.init_cmd && doe_key_mem.ready[->1] +); + +endmodule + +//Connect this coverpoints module with the DUV +bind doe_key_mem fv_keymem_coverpoints_m fv_keymem_coverpoints( + .clk(clk), + .reset_n(reset_n), + .zeroize(zeroize) +); \ No newline at end of file diff --git a/src/doe/formal/properties/fv_doe_keymem/fv_keymem.sv b/src/doe/formal/properties/fv_doe_keymem/fv_keymem.sv new file mode 100644 index 000000000..e45cc6d29 --- /dev/null +++ b/src/doe/formal/properties/fv_doe_keymem/fv_keymem.sv @@ -0,0 +1,273 @@ +// ------------------------------------------------- +// Contact: contact@lubis-eda.com +// Author: Tobias Ludwig, Michael Schwarz +// ------------------------------------------------- +// SPDX-License-Identifier: Apache-2.0 +// +// Licensed under the Apache License, Version 2.0 (the "License"); +// you may not use this file except in compliance with the License. +// You may obtain a copy of the License at +// +// http://www.apache.org/licenses/LICENSE-2.0 +// +// Unless required by applicable law or agreed to in writing, software +// distributed under the License is distributed on an "AS IS" BASIS, +// WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +// See the License for the specific language governing permissions and +// limitations under the License. +// + +import doe_core_cbc_pkg::*; + +module fv_keymem_checker_m ( + + //////////////////////////// + // Input / Output signals // + //////////////////////////// + input clk, + input reset_n, + + input [255 : 0] key, + input keylen, + input init_cmd, + + input [3 : 0] round, + input [127 : 0] round_key, + input ready, + + + input [31 : 0] sboxw, + input [31 : 0] new_sboxw, + + input [127 : 0] roundkey_mem [14 : 0], + + ////////////////////// + // States // + ////////////////////// + + input IDLE, + input Keyexpansion_128, + input Keyexpansion_256 + +); + +//localparams - Respective delays +localparam DLY_128 = 14; //no. of cycles the key expansion takes for 128B configuration +localparam DLY_256 = 18; //no. of cycles the key expansion takes for 256B configuration + +//////////////////////// +// Default Clock // +//////////////////////// +default clocking default_clk @(posedge clk); endclocking + +//////////////////////////////////// +// KeyMem Checker Properties // +/////////////////////////////////// + +logic [6:0] curr_bit; +logic [3:0] idx; + +//////////////////////// +// Symbolic Logic // +//////////////////////// +sym_bit: assume property (##1 $stable(curr_bit)); +sym_idx: assume property (##1 $stable(idx)); + +////////////////////////////////// +// KeyMem Checker Properties // +///////////////////////////////// + +// Checks if the design is in IDLE state and ready is low +reset_a: assert property (reset_p); +property reset_p; + $past(!reset_n) |-> + IDLE && + ready == 0 +;endproperty + +// Checks if ready stays same during IDLE to IDLE state transition +IDLE_to_IDLE_a: assert property (disable iff(!reset_n) IDLE_to_IDLE_p); +property IDLE_to_IDLE_p; + IDLE && + !init_cmd +|-> + ##1 + IDLE && + ready == $past(ready, 1) +;endproperty + +// Checks if ready is low during IDLE to Keyexpansion128 state transition +IDLE_to_keyExpansion128_a: assert property (disable iff(!reset_n) IDLE_to_keyExpansion128_p); +property IDLE_to_keyExpansion128_p; + IDLE && + init_cmd && + !keylen +|-> + ##1 + Keyexpansion_128 && + (ready == 0) +;endproperty + +// Checks if ready is low during IDLE to Keyexpansion256 state transition +IDLE_to_keyExpansion256_a: assert property (disable iff(!reset_n) IDLE_to_keyExpansion256_p); +property IDLE_to_keyExpansion256_p; + IDLE && + init_cmd && + keylen +|-> + ##1 + Keyexpansion_256 && + ready == 0 +;endproperty + +//Properties to load when CBC is considered as top module +`ifdef CBC_BIND + + roundkey_check_128_cbc_a: assert property (disable iff(!reset_n) roundkey_check_128_cbc_p); + property roundkey_check_128_cbc_p; + logic [255:0] tracked_key; + logic [127:0] result; + + ##0 init_cmd + ##0 !keylen + ##0 (1'b1, tracked_key = key) + ##0 (idx < 11) + + ##DLY_128 (1'b1, result = compute_key_expansion_128(tracked_key[255:128], idx)) + |-> + ##0 roundkey_mem[idx][curr_bit] == result[curr_bit] + ##0 ready + ;endproperty + + roundkey_check_256_cbc_a: assert property (disable iff(!reset_n) roundkey_check_256_cbc_p); + property roundkey_check_256_cbc_p; + logic [255:0] tracked_key; + logic [127:0] result; + + ##0 init_cmd + ##0 keylen + ##0 (1'b1, tracked_key = key) + ##0 (idx < 15) + + ##DLY_256 (1'b1, result = compute_key_expansion_256(tracked_key, idx)) + |-> + ##0 roundkey_mem[idx][curr_bit] == result[curr_bit] + ##0 ready + ;endproperty + + // Checks computed roundkeys for 128bit configuration and the ready signal + for (genvar rnd = 0; rnd < 11; rnd++) begin: rndkey128 + roundkey_check_a: assert property (disable iff(!reset_n) roundkey_check_128_p(rnd)); + end + property roundkey_check_128_p(rndcnt); + logic [255:0] tracked_key; + logic [127:0] result; + + ##0 init_cmd + ##0 !keylen + ##0 (1'b1, tracked_key = key) + + ##DLY_128 round == rndcnt + ##0 (1'b1, result = compute_key_expansion_128(tracked_key[255:128], round)) + |-> + ##0 round_key[curr_bit] == result[curr_bit] + ##0 ready + ;endproperty + + // Checks computed roundkeys for 256bit configuration and the ready signal + for (genvar rnd = 0; rnd < 15; rnd++) begin: rndkey256 + roundkey_check_a: assert property (disable iff(!reset_n) roundkey_check_256_p(rnd)); + end + property roundkey_check_256_p(rndcnt); + logic [255:0] tracked_key; + logic [127:0] result; + + ##0 init_cmd + ##0 keylen + ##0 (1'b1, tracked_key = key) + + ##DLY_256 round == rndcnt + ##0 (1'b1, result = compute_key_expansion_256(tracked_key[255:0], round)) + |-> + ##0 round_key[curr_bit] == result[curr_bit] + ##0 ready + ;endproperty + +//Properties to load when doe_key_mem is considered as top module +`else + + // Checks computed roundkeys for 128bit configuration and the ready signal + for (genvar rnd = 0; rnd < 11; rnd++) begin: rndkey128 + roundkey_check_a: assert property (disable iff(!reset_n) roundkey_check_128_p(rnd)); + end + property roundkey_check_128_p(rndcnt); + logic [255:0] tracked_key; + logic [127:0] result; + + ##0 init_cmd + ##0 !keylen + ##0 (1'b1, tracked_key = key) + + ##DLY_128 round == rndcnt + ##0 (1'b1, result = compute_key_expansion_128(tracked_key[255:128], round)) + |-> + ##0 round_key[curr_bit] == result[curr_bit] + ##0 ready + ;endproperty + + // Checks computed roundkeys for 256bit configuration and the ready signal + for (genvar rnd = 0; rnd < 15; rnd++) begin: rndkey256 + roundkey_check_a: assert property (disable iff(!reset_n) roundkey_check_256_p(rnd)); + end + property roundkey_check_256_p(rndcnt); + logic [255:0] tracked_key; + logic [127:0] result; + + ##0 init_cmd + ##0 keylen + ##0 (1'b1, tracked_key = key) + + ##DLY_256 round == rndcnt + ##0 (1'b1, result = compute_key_expansion_256(tracked_key[255:0], round)) + |-> + ##0 round_key[curr_bit] == result[curr_bit] + ##0 ready + ;endproperty + +`endif + +endmodule + +//Inputs driven from doe_core_cbc +`ifdef CBC_BIND + + bind doe_key_mem fv_keymem_checker_m fv_key_mem ( + .clk(doe_core_cbc.keymem.clk), + .reset_n(doe_core_cbc.keymem.reset_n && !doe_core_cbc.keymem.zeroize), + .key(doe_core_cbc.keymem.key), + .keylen(doe_core_cbc.keymem.keylen), + .init_cmd(doe_core_cbc.keymem.init_cmd), + .round(doe_core_cbc.keymem.round), + .round_key(doe_core_cbc.keymem.round_key), + .ready(doe_core_cbc.keymem.ready), + .sboxw(doe_core_cbc.keymem.sboxw), + .new_sboxw(doe_core_cbc.keymem.new_sboxw), + .roundkey_mem(doe_core_cbc.keymem.key_mem [14 : 0]), + .IDLE(doe_key_mem.key_mem_ctrl_reg == 0), + .Keyexpansion_128((doe_key_mem.key_mem_ctrl_reg == 1) && !keylen), + .Keyexpansion_256((doe_key_mem.key_mem_ctrl_reg == 1) && keylen) + ); + +//Inputs driven with constraints on doe_key_mem +`else + + bind doe_key_mem fv_keymem_checker_m fv_key_mem (.*, + .clk(clk), + .reset_n(reset_n && !zeroize), + .roundkey_mem(doe_key_mem.key_mem [14 : 0]), + .IDLE(doe_key_mem.key_mem_ctrl_reg == 0), + .Keyexpansion_128((doe_key_mem.key_mem_ctrl_reg == 1) && !keylen), + .Keyexpansion_256((doe_key_mem.key_mem_ctrl_reg == 1) && keylen) + ); + +`endif \ No newline at end of file diff --git a/src/doe/readme.md b/src/doe/readme.md new file mode 100644 index 000000000..11fb2217b --- /dev/null +++ b/src/doe/readme.md @@ -0,0 +1,195 @@ +# DOE +Date: 29-08-2023 +Author: LUBIS EDA + +## Folder Structure +The following subdirectories are part of the main directory **formal** + +- properties: Contains the assertion IP(AIP) for each submodule of DUT along with the valid system constraints in **fv_constraints.sv** + - keymem: **fv_doe_keymem** folder contains the assertion IP(AIP) for the submodule doe_key_mem along with the constraints for the respective AIP. + - encipher: **fv_doe_encryption** folder contains the assertion IP(AIP) for the submodule doe_encipher_block along with the constraints for the respective AIP. + - decipher: **fv_doe_decryption** folder contains the assertion IP(AIP) for the submodule doe_decipher_block along with the constraints for the respective AIP. + - iv: **fv_doe_iv** folder contains the assertion IP(AIP) for the IV_Controller implementation in the DUT. + - The folder also contains assertion IP(AIP) **fv_doe_core_cbc.sv** that covers few primary IO's properties and **fv_constraints.sv** contains the valid system constraints that drive primary Inputs as intended. + +## DUT Overview + +The DUT doe_core_cbc has the primary inputs and primary outputs as shown below. + +| S.No | Port | Direction | Description | +| ---- | ----------------- | --------- | --------------------------------------------------------------------------------- | +| 1 | clk | input | The positive edge of the clk is used for all the signals | +| 2 | reset_n | input | The reset signal is active low and resets the core | +| 3 | zeroize | input | The core is reseted when this signal is triggered. | +| 4 | encdec | input | The core is driven to perform encryption or decryption. | +| 5 | init_cmd | input | The core is initialised for the key expansion | +| 6 | next_cmd | input | The core is initialised for the encryption or decryption | +| 7 | ready | output | Indicates that core is ready to accept new block in CBC | +| 8 | key[255:0] | input | The input key used for keyexpansion and later for encryption/decryption | +| 9 | keylen | input | The core is initialised for the 128/256 bit configuration | +| 10 | IV[127:0] | input | The 128 bit Initialization_Vector value for CBC | +| 11 | IV_updated | input | The core is initialised when to consider the IV for CBC | +| 12 | block_msg[127:0] | input | The 128 bit input block message for encryption/decryption | +| 13 | result[127:0] | output | The 128 bit encrypted/decrypted message | +| 14 | result_valid | output | Indicates that the /encryption/decryption is done | + +When init_cmd is received, the core module uses the incoming 256 bit key and starts keyexpansion to generate either 10/14 roundkeys based on the incoming keylen. +Once the keyexpansion is done, the core is ready to receive IV_updated. If the core receives IV_updated, it uses incoming IV value else the previous value will be used. +When next_cmd is received, the core starts performing the encryption/decryption. It asserts the ready signal once the encryption/decryption is done. +## Assertion IP Overview + +The Assertion IP signals are bound with the respective signals in the dut. + +**properties** folder contains **global_package.sv** where all the required functions are implemented either for AES encryption or decryption + +### fv_keymem + + **fv_doe_keymem** folder contains the assertion IP(AIP) for the submodule doe_key_mem along with the constraints for the respective AIP. When this submodule is verified individually considers constraints that are in **fv_doe_keymem/fv_constraints.sv** else all inputs are driven from DUT. + +- reset_a: Checks that the ready is low and the state is idle. + +- IDLE_to_IDLE_a: Checks if there isn't any init_cmd in idle state and then the state stays in idle and holds the past value of ready. + +- IDLE_to_keyExpansion128_a: Checks if there is init_cmd in idle state and there isn't keylen input and then the state changes to keyexpansion for 128 bit config and verify that the ready is still low during keyexpansion. + +- IDLE_to_keyExpansion256_a: Checks if there is init_cmd in idle state and there is keylen input and then the state changes to keyexpansion for 256 bit config and verify that the ready is still low during keyexpansion. + +- roundkey_check_128_cbc_a: Checks that once the keyexpansion is done, doe_key_mem module sends out correct round_key of 128bit configuration based on the round input. + +- roundkey_check_256_cbc_a: Checks that once the keyexpansion is done, doe_key_mem module sends out correct round_key of 256bit configuration based on the round input. + +### fv_encrypt + + **fv_doe_encryption** folder contains the assertion IP(AIP) for the submodule doe_encipher_block along with the constraints for the respective AIP. When this submodule is verified individually considers constraints that are in **fv_doe_encryption/fv_constraints.sv** else all inputs are driven from DUT. + +- reset_a: Checks that the ready is high and the state is idle. + +- encryption_check_128_a: Checks that once the encryption is done, doe_encipher_block module sends out the encrypted 128bit message to new_block along with the ready signal + +- round_check_128_a: Checks that round output sends out the correct round number during the encryption of 128 bit block message + +- encryption_check_256_a: Checks that once the encryption is done, doe_encipher_block module sends out the encrypted 256bit message to new_block along with the ready signal + +- round_check_256_a: Checks that round output sends out the correct round number during the encryption of 256 bit block message + +### fv_decrypt + + **fv_doe_decryption** folder contains the assertion IP(AIP) for the submodule doe_decipher_block along with the constraints for the respective AIP. When this submodule is verified individually considers constraints that are in **fv_doe_decryption/fv_constraints.sv** else all inputs are driven from DUT. + +- reset_a: Checks that the ready is high and the state is idle. + +- decryption_check_128_a: Checks that once the decryption is done, doe_encipher_block module sends out the encrypted 128bit message to new_block along with the ready signal + +- round_check_128_a: Checks that round output sends out the correct round number during the decryption of 128 bit block message + +- decryption_check_256_a: Checks that once the decryption is done, doe_encipher_block module sends out the encrypted 256bit message to new_block along with the ready signal + +- round_check_256_a: Checks that round output sends out the correct round number during the decryption of 256 bit block message + + +### fv_doe_iv_process + + **fv_doe_iv** folder contains the assertion IP(AIP) for the IV_Controller implementation for CBC in DUT. This checker uses the same set of constraints that on the doe_core_cbc module which are in **properties/fv_constraints.sv**. + + **doe_iv_process_pkg.sv** consists of defined struct of input data taht will be used in the **fv_doe_iv_process** checker + +- reset_a: Checks that the ready is high and the state is idle. + +- enc_to_idle_a: Checks if encryption is done and state changes to idle while IV_controller loads IV_encry with the encrypted message + +- idle_to_enc_a: Checks if there is next_cmd in idle state and there is encdec input and then the state changes to enc. + +- idle_to_dec_first_a: Checks if the block switches from idle state to first decrypt state upon receiving next_cmd and updates IV_decry with the IV, IV_decry_next with incoming block message + +- dec_first_to_dec_next_a: Checks if the block switches from first decrypt state to next decrypt state upon receiving next_cmd and updates IV_decry with the previous IV_decry_next, IV_decry_next with incoming block message + +- dec_next_to_dec_first_a: Checks if the block switches from next decrypt state to first decrypt state in next cycle and holds values of IV_decry, IV_decry_next from previous state + +- dec_first_to_idle_a: Checks if the block switches from first decrypt state to idle state upon receiving IV_updated and updates IV_decry, IV_decry_next with incoming IV + +- enc_wait_a: Checks if encryption is done else wait in the same state until encryption is finished + +- dec_first_wait_a: Checks if the block waits in first decrypt state until new decryption starts or IV_updated is received + +- idle_wait_a: Checks if there is encryption or decryption request in idle and waits in the same state until either of them are received + + +### fv_doe_cbc_inst + + **properties** folder contains the assertion IP(AIP) for few of the primary outputs in DUT that are not covered in the previous checkers. This checker uses the same set of constraints that on the doe_core_cbc module which are in **properties/fv_constraints.sv**. + +- result_valid_enc_a: Checks if result_valid is asserted once after the encryption is done. + +- result_valid_dec_a: Checks if result_valid is asserted once after the decryption is done. + +- ready_kemem_a: Checks if ready is asserted once after the keyexpansion is done. + +- ready_enc_a: Checks if ready is asserted once after the encryption is done. + +- result_enc_a: Checks if result is stored with the encrypted message once the encryption is done. + +- result_dec_a: Checks if result is stored with the decrypted message once the decryption is done. + +- sbox_check_a: Checks if doe_sbox block produces correct values + +### fv_coverpoints + +- cover_zeroize: Checks that the ready is high and the state is idle. + +- cover_zeroize_after_next: Assert zeroize input and check the status of all registers. All registers/internal memories should be cleared. + +- cover_multiple_next: Cover that checks multiple next_cmd can be received for CBC encryption/decryption. + +- cover_transition_keyexp_to_iv: Cover that checks IV_updated asserted once the keyexapnsion is done + +- cover_transition_keyexp_to_encdec: Cover that checks if design can have a trandition from keyexpansion to encryption/decryption + +- cover_transition_keyexp_to_keyexp: Cover that checks if design can have a trandition from keyexpansion to keyexpansion + +- cover_transition_encdec_to_encdec: Cover that checks if design can have a trandition from encryption/decryption to encryption/decryption + +- cover_transition_encdec_to_keyexp: Cover that checks if design can have a trandition from encryption/decryption to keyexpansion + + +# Reproduce results + +**MACROS :** +CBC_BIND + +- Differentiates the set of assertions and assumptions added when loaded with top as respective submodule or doe_core_cbc. + +- When defined, the assertions and assumptions are considered based on doe_core_cbc module. + +- When not defined, the assertions and assumptions are independednt of doe_core_cbc module. + +- Differentiates the binding of the checker files when loaded with top as respective submodule or doe_core_cbc. + +- When not defined, the inputs are open and necessary inputs are driven from the respective constraints + +- When defined, the inputs are driven from doe_core_cbc based on constraints on doe_core_cbc module. + + +## Proving the submodules + +- Load submodule as top in the formal tool. + +- Load the checker files along with the constraints and respective packages in the formal tool. + +- Run the properties with ASSERT_BIND macro defined to verify that submodule behaves as intended. + +## Proving the top + +- Load all design files in the formal tool and set doe_core_cbc as top module. + +- Load all the checker files with CBC_BIND macro defined along with the constraints and respective packages in the formal tool. + +- Copy all the submodule assertions, covers and assumptions into seperate task and cut the signals from the top that affect the submodule verification. + +- On the main task, disable all submodule assumptions and just keep the assumptions on the doe_core_cbc module. + +- Run the properties on the main task to verify that the top module behaves as intended. + +- Switch the tasks to one of the submodules which consists of the assumptions and assertions of that particular submodule. + +- Run the properties on each submodule task to verify that the submodule behaves as intended. + From 098067fa17f6d88c05b462b0877c539dd85b3124 Mon Sep 17 00:00:00 2001 From: advaith_sreevalsan Date: Thu, 23 Nov 2023 18:43:18 +0100 Subject: [PATCH 09/48] sha_masked property suite added to folder --- .../formal/properties/fv_constraints.sv | 85 ++ .../formal/properties/fv_coverpoints.sv | 50 + .../formal/properties/fv_sha512_masked.sv | 919 ++++++++++++++++++ .../formal/properties/fv_sha512_masked_pkg.sv | 197 ++++ src/sha512_masked/formal/readme.md | 66 ++ 5 files changed, 1317 insertions(+) create mode 100644 src/sha512_masked/formal/properties/fv_constraints.sv create mode 100644 src/sha512_masked/formal/properties/fv_coverpoints.sv create mode 100644 src/sha512_masked/formal/properties/fv_sha512_masked.sv create mode 100644 src/sha512_masked/formal/properties/fv_sha512_masked_pkg.sv create mode 100644 src/sha512_masked/formal/readme.md diff --git a/src/sha512_masked/formal/properties/fv_constraints.sv b/src/sha512_masked/formal/properties/fv_constraints.sv new file mode 100644 index 000000000..f3534f5ef --- /dev/null +++ b/src/sha512_masked/formal/properties/fv_constraints.sv @@ -0,0 +1,85 @@ +// ------------------------------------------------- +// Contact: contact@lubis-eda.com +// Author: Tobias Ludwig, Michael Schwarz +// ------------------------------------------------- +// SPDX-License-Identifier: Apache-2.0 +// +// Licensed under the Apache License, Version 2.0 (the "License"); +// you may not use this file except in compliance with the License. +// You may obtain a copy of the License at +// +// http://www.apache.org/licenses/LICENSE-2.0 +// +// Unless required by applicable law or agreed to in writing, software +// distributed under the License is distributed on an "AS IS" BASIS, +// WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +// See the License for the specific language governing permissions and +// limitations under the License. +// + +module fv_constraints_m( + input logic init_cmd, + input logic next_cmd, + input logic reset_n, + input logic clk, + input logic zeroize, + input logic ready, + input logic digest_valid, + input logic [1023 : 0] block_msg, + input logic [73 : 0] lfsr_seed, + input logic [511 : 0] digest, + input logic [1 : 0] mode + ); + + default clocking default_clk @(posedge clk); endclocking + + logic fv_init_reg; + + always @ (posedge clk or negedge reset_n) + begin : init_reg_order + if (!reset_n || zeroize) + fv_init_reg <= 1'b0; + else if (init_cmd) + fv_init_reg <= 1'b1; + end + + + property mode_values; + (sha512_masked_core.mode == 0) || + (sha512_masked_core.mode == 1) || + (sha512_masked_core.mode == 2) || + (sha512_masked_core.mode == 3); + endproperty + assume_mode_values: assume property (disable iff(!reset_n || zeroize) mode_values); + + property inputs_stay_stable; + !(sha512_masked_core.ready) |-> $stable(block_msg) && $stable(sha512_masked_core.mode); + endproperty + assume_inputs_stay_stable: assume property (disable iff(!reset_n || zeroize) inputs_stay_stable); + + property remove_init_next_together; + !(init_cmd && next_cmd); + endproperty + assume_remove_init_next_together: assume property (disable iff(!reset_n || zeroize) remove_init_next_together); + + property init_next_order; + !fv_init_reg |-> !next_cmd; + endproperty + assume_init_next_order: assume property (disable iff(!reset_n || zeroize) init_next_order); + + +endmodule + +bind sha512_masked_core fv_constraints_m fv_constraints( + .init_cmd(init_cmd), + .next_cmd(next_cmd), + .reset_n(reset_n), + .ready(ready), + .digest_valid(digest_valid), + .clk(clk), + .mode(mode), + .block_msg(block_msg), + .zeroize(zeroize), + .lfsr_seed(lfsr_seed), + .digest(digest) +); diff --git a/src/sha512_masked/formal/properties/fv_coverpoints.sv b/src/sha512_masked/formal/properties/fv_coverpoints.sv new file mode 100644 index 000000000..99fc818e4 --- /dev/null +++ b/src/sha512_masked/formal/properties/fv_coverpoints.sv @@ -0,0 +1,50 @@ +// ------------------------------------------------- +// Contact: contact@lubis-eda.com +// Author: Tobias Ludwig, Michael Schwarz +// ------------------------------------------------- +// SPDX-License-Identifier: Apache-2.0 +// +// Licensed under the Apache License, Version 2.0 (the "License"); +// you may not use this file except in compliance with the License. +// You may obtain a copy of the License at +// +// http://www.apache.org/licenses/LICENSE-2.0 +// +// Unless required by applicable law or agreed to in writing, software +// distributed under the License is distributed on an "AS IS" BASIS, +// WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +// See the License for the specific language governing permissions and +// limitations under the License. +// + +module fv_coverpoints_m( + input logic clk, + input logic reset_n, + input logic zeroize +); + + default clocking default_clk @(posedge clk); endclocking + + //Cover zeroize: + //Assert zeroize input and check the status of all registers. All registers/internal memories should be cleared. + cover_zeroize: cover property(disable iff(!reset_n) sha512_masked_core.zeroize ); + cover_zeroize_after_next: cover property(disable iff(!reset_n) sha512_masked_core.zeroize && sha512_masked_core.next_cmd ); + + cover_multiple_next: cover property(disable iff(!reset_n || zeroize) + sha512_masked_core.next_cmd && sha512_masked_core.ready ##1 (sha512_masked_core.next_cmd && sha512_masked_core.ready)[->1] + ); + + //Cover modes: + //Cover all 4 different modes for SHA512 + cover_mode_224: cover property(disable iff(!reset_n) sha512_masked_core.mode == 0 && sha512_masked_core.init_cmd ); + cover_mode_256: cover property(disable iff(!reset_n) sha512_masked_core.mode == 1 && sha512_masked_core.init_cmd ); + cover_mode_384: cover property(disable iff(!reset_n) sha512_masked_core.mode == 2 && sha512_masked_core.init_cmd ); + cover_mode_512: cover property(disable iff(!reset_n) sha512_masked_core.mode == 3 && sha512_masked_core.init_cmd ); + + +endmodule +bind sha512_masked_core fv_coverpoints_m fv_coverpoints( + .clk(clk), + .reset_n(reset_n), + .zeroize(zeroize) +); \ No newline at end of file diff --git a/src/sha512_masked/formal/properties/fv_sha512_masked.sv b/src/sha512_masked/formal/properties/fv_sha512_masked.sv new file mode 100644 index 000000000..a7b0ef598 --- /dev/null +++ b/src/sha512_masked/formal/properties/fv_sha512_masked.sv @@ -0,0 +1,919 @@ +// ------------------------------------------------- +// Contact: contact@lubis-eda.com +// Author: Tobias Ludwig, Michael Schwarz +// ------------------------------------------------- +// SPDX-License-Identifier: Apache-2.0 +// +// Licensed under the Apache License, Version 2.0 (the "License"); +// you may not use this file except in compliance with the License. +// You may obtain a copy of the License at +// +// http://www.apache.org/licenses/LICENSE-2.0 +// +// Unless required by applicable law or agreed to in writing, software +// distributed under the License is distributed on an "AS IS" BASIS, +// WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +// See the License for the specific language governing permissions and +// limitations under the License. +// + +import sha512_masked_pkg::*; + + +module fv_sha512_masked_m( + input bit rst, + input bit clk, + + // Inputs + input st_SHA_Args sha_in_struct, + input bit unsigned [73:0] lfsr_in, + + // Outputs + input bit unsigned [511:0] digest_out, + + // Valid signals + input bit block_in_valid, + input bit digest_valid, + + // Ready signals + input bit block_in_ready, + + // Registers + input a_sc_big_unsigned_64_8 H, + input bit signed [31:0] block_sha_mode, + input a_sc_big_unsigned_64_16 W, + input st_masked_reg_t a, + input st_masked_reg_t b, + input bit unsigned [1023:0] block_in, + input st_masked_reg_t c, + input st_masked_reg_t d, + input st_masked_reg_t e, + input st_masked_reg_t f, + input st_masked_reg_t g, + input st_masked_reg_t h, + input bit signed [31:0] i, + input bit block_init, + input bit unsigned [73:0] lfsr_rnd, + input bit signed [31:0] rnd_cnt_reg, + input a_sc_big_unsigned_64_8 rh_masking_rnd, + + // States + input bit IDLE, + input bit CTRL_RND, + input bit SHA_Rounds, + input bit DONE +); + + +default clocking default_clk @(posedge clk); endclocking + + +a_sc_big_unsigned_64_8 H_0 = '{ + 0: 64'd0, + 1: 64'd0, + 2: 64'd0, + 3: 64'd0, + 4: 64'd0, + 5: 64'd0, + 6: 64'd0, + 7: 64'd0 +}; + +a_sc_big_unsigned_64_16 W_0 = '{ + 0: 64'd0, + 1: 64'd0, + 2: 64'd0, + 3: 64'd0, + 4: 64'd0, + 5: 64'd0, + 6: 64'd0, + 7: 64'd0, + 8: 64'd0, + 9: 64'd0, + 10: 64'd0, + 11: 64'd0, + 12: 64'd0, + 13: 64'd0, + 14: 64'd0, + 15: 64'd0 +}; + +st_masked_reg_t a_0 = '{ + masked: 64'd0, + random: 64'd0 +}; + +a_sc_big_unsigned_64_8 rh_masking_rnd_0 = '{ + 0: ((rnd_cnt_reg == 'sd0) ? lfsr_in : rh_masking_rnd['sd0]), + 1: ((rnd_cnt_reg == 'sd1) ? lfsr_in : rh_masking_rnd['sd1]), + 2: ((rnd_cnt_reg == 'sd2) ? lfsr_in : rh_masking_rnd['sd2]), + 3: ((rnd_cnt_reg == 'sd3) ? lfsr_in : rh_masking_rnd['sd3]), + 4: ((rnd_cnt_reg == 'sd4) ? lfsr_in : rh_masking_rnd['sd4]), + 5: ((rnd_cnt_reg == 'sd5) ? lfsr_in : rh_masking_rnd['sd5]), + 6: ((rnd_cnt_reg == 'sd6) ? lfsr_in : rh_masking_rnd['sd6]), + 7: ((rnd_cnt_reg == 'sd7) ? lfsr_in : rh_masking_rnd['sd7]) +}; + +a_sc_big_unsigned_64_8 H_1 = '{ + 0: 64'h8C3D37C819544DA2, + 1: 64'h73E1996689DCD4D6, + 2: 64'h1DFAB7AE32FF9C82, + 3: 64'h679DD514582F9FCF, + 4: 64'hF6D2B697BD44DA8, + 5: 64'h77E36F7304C48942, + 6: 64'h3F9D85A86A1D36C8, + 7: 64'h1112E6AD91D692A1 +}; + +a_sc_big_unsigned_64_16 W_1 = '{ + 0: slicer(block_in, 'sd15), + 1: slicer(block_in, 'sd14), + 2: slicer(block_in, 'sd13), + 3: slicer(block_in, 'sd12), + 4: slicer(block_in, 'sd11), + 5: slicer(block_in, 'sd10), + 6: slicer(block_in, 'sd9), + 7: slicer(block_in, 'sd8), + 8: slicer(block_in, 'sd7), + 9: slicer(block_in, 'sd6), + 10: slicer(block_in, 'sd5), + 11: slicer(block_in, 'sd4), + 12: slicer(block_in, 'sd3), + 13: slicer(block_in, 'sd2), + 14: slicer(block_in, 'sd1), + 15: slicer(block_in, 'sd0) +}; + +st_masked_reg_t a_1 = '{ + masked: (64'h8C3D37C819544DA2 ^ (0 ? lfsr_in : rh_masking_rnd['sd0])), + random: (0 ? lfsr_in : rh_masking_rnd['sd0]) +}; + +st_masked_reg_t b_0 = '{ + masked: (64'h73E1996689DCD4D6 ^ (0 ? lfsr_in : rh_masking_rnd['sd1])), + random: (0 ? lfsr_in : rh_masking_rnd['sd1]) +}; + +st_masked_reg_t c_0 = '{ + masked: (64'h1DFAB7AE32FF9C82 ^ (0 ? lfsr_in : rh_masking_rnd['sd2])), + random: (0 ? lfsr_in : rh_masking_rnd['sd2]) +}; + +st_masked_reg_t d_0 = '{ + masked: (64'h679DD514582F9FCF ^ (0 ? lfsr_in : rh_masking_rnd['sd3])), + random: (0 ? lfsr_in : rh_masking_rnd['sd3]) +}; + +st_masked_reg_t e_0 = '{ + masked: (64'hF6D2B697BD44DA8 ^ (0 ? lfsr_in : rh_masking_rnd['sd4])), + random: (0 ? lfsr_in : rh_masking_rnd['sd4]) +}; + +st_masked_reg_t f_0 = '{ + masked: (64'h77E36F7304C48942 ^ (0 ? lfsr_in : rh_masking_rnd['sd5])), + random: (0 ? lfsr_in : rh_masking_rnd['sd5]) +}; + +st_masked_reg_t g_0 = '{ + masked: (64'h3F9D85A86A1D36C8 ^ (0 ? lfsr_in : rh_masking_rnd['sd6])), + random: (0 ? lfsr_in : rh_masking_rnd['sd6]) +}; + +st_masked_reg_t h_0 = '{ + masked: (64'h1112E6AD91D692A1 ^ (0 ? lfsr_in : rh_masking_rnd['sd7])), + random: (0 ? lfsr_in : rh_masking_rnd['sd7]) +}; + +a_sc_big_unsigned_64_8 rh_masking_rnd_1 = '{ + 0: lfsr_in, + 1: rh_masking_rnd['sd1], + 2: rh_masking_rnd['sd2], + 3: rh_masking_rnd['sd3], + 4: rh_masking_rnd['sd4], + 5: rh_masking_rnd['sd5], + 6: rh_masking_rnd['sd6], + 7: rh_masking_rnd['sd7] +}; + +a_sc_big_unsigned_64_8 H_2 = '{ + 0: 64'h22312194FC2BF72C, + 1: 64'h9F555FA3C84C64C2, + 2: 64'h2393B86B6F53B151, + 3: 64'h963877195940EABD, + 4: 64'h96283EE2A88EFFE3, + 5: 64'hBE5E1E2553863992, + 6: 64'h2B0199FC2C85B8AA, + 7: 64'hEB72DDC81C52CA2 +}; + +st_masked_reg_t a_2 = '{ + masked: (64'h22312194FC2BF72C ^ (0 ? lfsr_in : rh_masking_rnd['sd0])), + random: (0 ? lfsr_in : rh_masking_rnd['sd0]) +}; + +st_masked_reg_t b_1 = '{ + masked: (64'h9F555FA3C84C64C2 ^ (0 ? lfsr_in : rh_masking_rnd['sd1])), + random: (0 ? lfsr_in : rh_masking_rnd['sd1]) +}; + +st_masked_reg_t c_1 = '{ + masked: (64'h2393B86B6F53B151 ^ (0 ? lfsr_in : rh_masking_rnd['sd2])), + random: (0 ? lfsr_in : rh_masking_rnd['sd2]) +}; + +st_masked_reg_t d_1 = '{ + masked: (64'h963877195940EABD ^ (0 ? lfsr_in : rh_masking_rnd['sd3])), + random: (0 ? lfsr_in : rh_masking_rnd['sd3]) +}; + +st_masked_reg_t e_1 = '{ + masked: (64'h96283EE2A88EFFE3 ^ (0 ? lfsr_in : rh_masking_rnd['sd4])), + random: (0 ? lfsr_in : rh_masking_rnd['sd4]) +}; + +st_masked_reg_t f_1 = '{ + masked: (64'hBE5E1E2553863992 ^ (0 ? lfsr_in : rh_masking_rnd['sd5])), + random: (0 ? lfsr_in : rh_masking_rnd['sd5]) +}; + +st_masked_reg_t g_1 = '{ + masked: (64'h2B0199FC2C85B8AA ^ (0 ? lfsr_in : rh_masking_rnd['sd6])), + random: (0 ? lfsr_in : rh_masking_rnd['sd6]) +}; + +st_masked_reg_t h_1 = '{ + masked: (64'hEB72DDC81C52CA2 ^ (0 ? lfsr_in : rh_masking_rnd['sd7])), + random: (0 ? lfsr_in : rh_masking_rnd['sd7]) +}; + +a_sc_big_unsigned_64_8 H_3 = '{ + 0: 64'h6A09E667F3BCC908, + 1: 64'hBB67AE8584CAA73B, + 2: 64'h3C6EF372FE94F82B, + 3: 64'hA54FF53A5F1D36F1, + 4: 64'h510E527FADE682D1, + 5: 64'h9B05688C2B3E6C1F, + 6: 64'h1F83D9ABFB41BD6B, + 7: 64'h5BE0CD19137E2179 +}; + +st_masked_reg_t a_3 = '{ + masked: (64'h6A09E667F3BCC908 ^ (0 ? lfsr_in : rh_masking_rnd['sd0])), + random: (0 ? lfsr_in : rh_masking_rnd['sd0]) +}; + +st_masked_reg_t b_2 = '{ + masked: (64'hBB67AE8584CAA73B ^ (0 ? lfsr_in : rh_masking_rnd['sd1])), + random: (0 ? lfsr_in : rh_masking_rnd['sd1]) +}; + +st_masked_reg_t c_2 = '{ + masked: (64'h3C6EF372FE94F82B ^ (0 ? lfsr_in : rh_masking_rnd['sd2])), + random: (0 ? lfsr_in : rh_masking_rnd['sd2]) +}; + +st_masked_reg_t d_2 = '{ + masked: (64'hA54FF53A5F1D36F1 ^ (0 ? lfsr_in : rh_masking_rnd['sd3])), + random: (0 ? lfsr_in : rh_masking_rnd['sd3]) +}; + +st_masked_reg_t e_2 = '{ + masked: (64'h510E527FADE682D1 ^ (0 ? lfsr_in : rh_masking_rnd['sd4])), + random: (0 ? lfsr_in : rh_masking_rnd['sd4]) +}; + +st_masked_reg_t f_2 = '{ + masked: (64'h9B05688C2B3E6C1F ^ (0 ? lfsr_in : rh_masking_rnd['sd5])), + random: (0 ? lfsr_in : rh_masking_rnd['sd5]) +}; + +st_masked_reg_t g_2 = '{ + masked: (64'h1F83D9ABFB41BD6B ^ (0 ? lfsr_in : rh_masking_rnd['sd6])), + random: (0 ? lfsr_in : rh_masking_rnd['sd6]) +}; + +st_masked_reg_t h_2 = '{ + masked: (64'h5BE0CD19137E2179 ^ (0 ? lfsr_in : rh_masking_rnd['sd7])), + random: (0 ? lfsr_in : rh_masking_rnd['sd7]) +}; + +a_sc_big_unsigned_64_8 H_4 = '{ + 0: 64'hCBBB9D5DC1059ED8, + 1: 64'h629A292A367CD507, + 2: 64'h9159015A3070DD17, + 3: 64'h152FECD8F70E5939, + 4: 64'h67332667FFC00B31, + 5: 64'h8EB44A8768581511, + 6: 64'hDB0C2E0D64F98FA7, + 7: 64'h47B5481DBEFA4FA4 +}; + +st_masked_reg_t a_4 = '{ + masked: (64'hCBBB9D5DC1059ED8 ^ (0 ? lfsr_in : rh_masking_rnd['sd0])), + random: (0 ? lfsr_in : rh_masking_rnd['sd0]) +}; + +st_masked_reg_t b_3 = '{ + masked: (64'h629A292A367CD507 ^ (0 ? lfsr_in : rh_masking_rnd['sd1])), + random: (0 ? lfsr_in : rh_masking_rnd['sd1]) +}; + +st_masked_reg_t c_3 = '{ + masked: (64'h9159015A3070DD17 ^ (0 ? lfsr_in : rh_masking_rnd['sd2])), + random: (0 ? lfsr_in : rh_masking_rnd['sd2]) +}; + +st_masked_reg_t d_3 = '{ + masked: (64'h152FECD8F70E5939 ^ (0 ? lfsr_in : rh_masking_rnd['sd3])), + random: (0 ? lfsr_in : rh_masking_rnd['sd3]) +}; + +st_masked_reg_t e_3 = '{ + masked: (64'h67332667FFC00B31 ^ (0 ? lfsr_in : rh_masking_rnd['sd4])), + random: (0 ? lfsr_in : rh_masking_rnd['sd4]) +}; + +st_masked_reg_t f_3 = '{ + masked: (64'h8EB44A8768581511 ^ (0 ? lfsr_in : rh_masking_rnd['sd5])), + random: (0 ? lfsr_in : rh_masking_rnd['sd5]) +}; + +st_masked_reg_t g_3 = '{ + masked: (64'hDB0C2E0D64F98FA7 ^ (0 ? lfsr_in : rh_masking_rnd['sd6])), + random: (0 ? lfsr_in : rh_masking_rnd['sd6]) +}; + +st_masked_reg_t h_3 = '{ + masked: (64'h47B5481DBEFA4FA4 ^ (0 ? lfsr_in : rh_masking_rnd['sd7])), + random: (0 ? lfsr_in : rh_masking_rnd['sd7]) +}; + +st_masked_reg_t a_5 = '{ + masked: (H[64'd0] ^ (0 ? lfsr_in : rh_masking_rnd['sd0])), + random: (0 ? lfsr_in : rh_masking_rnd['sd0]) +}; + +st_masked_reg_t b_4 = '{ + masked: (H[64'd1] ^ (0 ? lfsr_in : rh_masking_rnd['sd1])), + random: (0 ? lfsr_in : rh_masking_rnd['sd1]) +}; + +st_masked_reg_t c_4 = '{ + masked: (H[64'd2] ^ (0 ? lfsr_in : rh_masking_rnd['sd2])), + random: (0 ? lfsr_in : rh_masking_rnd['sd2]) +}; + +st_masked_reg_t d_4 = '{ + masked: (H[64'd3] ^ (0 ? lfsr_in : rh_masking_rnd['sd3])), + random: (0 ? lfsr_in : rh_masking_rnd['sd3]) +}; + +st_masked_reg_t e_4 = '{ + masked: (H[64'd4] ^ (0 ? lfsr_in : rh_masking_rnd['sd4])), + random: (0 ? lfsr_in : rh_masking_rnd['sd4]) +}; + +st_masked_reg_t f_4 = '{ + masked: (H[64'd5] ^ (0 ? lfsr_in : rh_masking_rnd['sd5])), + random: (0 ? lfsr_in : rh_masking_rnd['sd5]) +}; + +st_masked_reg_t g_4 = '{ + masked: (H[64'd6] ^ (0 ? lfsr_in : rh_masking_rnd['sd6])), + random: (0 ? lfsr_in : rh_masking_rnd['sd6]) +}; + +st_masked_reg_t h_4 = '{ + masked: (H[64'd7] ^ (0 ? lfsr_in : rh_masking_rnd['sd7])), + random: (0 ? lfsr_in : rh_masking_rnd['sd7]) +}; + +st_masked_reg_t a_6 = '{ + masked: A2B_conv(64'((T1_m(e.masked, e.random, f.masked, f.random, g.masked, g.random, h.masked, h.random, K[i], (W[i] ^ 64'(lfsr_rnd)), 64'(lfsr_rnd), 0, 128'd0, 64'd0, (((lfsr_rnd >> 74'd64) & 74'h1) == 74'd1), ((((lfsr_rnd >> 74'd64) >> 74'd1) & 74'h1) == 74'd1), (((((lfsr_rnd >> 74'd64) >> 74'd1) >> 74'd1) & 74'h1) == 74'd1), ((((((lfsr_rnd >> 74'd64) >> 74'd1) >> 74'd1) >> 74'd1) & 74'h1) == 74'd1), (((((((lfsr_rnd >> 74'd64) >> 74'd1) >> 74'd1) >> 74'd1) >> 74'd1) & 74'h1) == 74'd1), 'sd10) + T2_m(a.masked, a.random, b.masked, b.random, c.masked, c.random, 0, 128'd0, 64'd0, ((((((((lfsr_rnd >> 74'd64) >> 74'd1) >> 74'd1) >> 74'd1) >> 74'd1) >> 74'd1) & 74'h1) == 74'd1), (((((((((lfsr_rnd >> 74'd64) >> 74'd1) >> 74'd1) >> 74'd1) >> 74'd1) >> 74'd1) >> 74'd1) & 74'h1) == 74'd1), 'sd10))), 64'((T1_r(e.random, g.random, h.random, 64'(lfsr_rnd)) + T2_r(a.random, b.random))), (((((((((((lfsr_rnd >> 74'd64) >> 74'd1) >> 74'd1) >> 74'd1) >> 74'd1) >> 74'd1) >> 74'd1) >> 74'd1) >> 74'd1) & 74'h1) == 74'd1), 0, 128'd0, 64'd0, 'sd10), + random: (T1_r(e.random, g.random, h.random, 64'(lfsr_rnd)) + T2_r(a.random, b.random)) +}; + +st_masked_reg_t e_5 = '{ + masked: A2B_conv(64'((B2A_conv(d.masked, d.random, ((((((((((lfsr_rnd >> 74'd64) >> 74'd1) >> 74'd1) >> 74'd1) >> 74'd1) >> 74'd1) >> 74'd1) >> 74'd1) & 74'h1) == 74'd1), 0, 128'd0, 64'd0, 'sd10) + T1_m(e.masked, e.random, f.masked, f.random, g.masked, g.random, h.masked, h.random, K[i], (W[i] ^ 64'(lfsr_rnd)), 64'(lfsr_rnd), 0, 128'd0, 64'd0, (((lfsr_rnd >> 74'd64) & 74'h1) == 74'd1), ((((lfsr_rnd >> 74'd64) >> 74'd1) & 74'h1) == 74'd1), (((((lfsr_rnd >> 74'd64) >> 74'd1) >> 74'd1) & 74'h1) == 74'd1), ((((((lfsr_rnd >> 74'd64) >> 74'd1) >> 74'd1) >> 74'd1) & 74'h1) == 74'd1), (((((((lfsr_rnd >> 74'd64) >> 74'd1) >> 74'd1) >> 74'd1) >> 74'd1) & 74'h1) == 74'd1), 'sd10))), 64'((d.random + T1_r(e.random, g.random, h.random, 64'(lfsr_rnd)))), ((((((((((((lfsr_rnd >> 74'd64) >> 74'd1) >> 74'd1) >> 74'd1) >> 74'd1) >> 74'd1) >> 74'd1) >> 74'd1) >> 74'd1) >> 74'd1) & 74'h1) == 74'd1), 0, 128'd0, 64'd0, 'sd10), + random: (d.random + T1_r(e.random, g.random, h.random, 64'(lfsr_rnd))) +}; + +a_sc_big_unsigned_64_16 W_2 = '{ + 0: W['sd1], + 1: W['sd2], + 2: W['sd3], + 3: W['sd4], + 4: W['sd5], + 5: W['sd6], + 6: W['sd7], + 7: W['sd8], + 8: W['sd9], + 9: W['sd10], + 10: W['sd11], + 11: W['sd12], + 12: W['sd13], + 13: W['sd14], + 14: W['sd15], + 15: compute_w(W[64'd14], W[64'd9], W[64'd1], W[64'd0]) +}; + +st_masked_reg_t a_7 = '{ + masked: A2B_conv(64'((T1_m(e.masked, e.random, f.masked, f.random, g.masked, g.random, h.masked, h.random, K[i], (compute_w(W[64'd14], W[64'd9], W[64'd1], W[64'd0]) ^ 64'(lfsr_rnd)), 64'(lfsr_rnd), 0, 128'd0, 64'd0, (((lfsr_rnd >> 74'd64) & 74'h1) == 74'd1), ((((lfsr_rnd >> 74'd64) >> 74'd1) & 74'h1) == 74'd1), (((((lfsr_rnd >> 74'd64) >> 74'd1) >> 74'd1) & 74'h1) == 74'd1), ((((((lfsr_rnd >> 74'd64) >> 74'd1) >> 74'd1) >> 74'd1) & 74'h1) == 74'd1), (((((((lfsr_rnd >> 74'd64) >> 74'd1) >> 74'd1) >> 74'd1) >> 74'd1) & 74'h1) == 74'd1), 'sd10) + T2_m(a.masked, a.random, b.masked, b.random, c.masked, c.random, 0, 128'd0, 64'd0, ((((((((lfsr_rnd >> 74'd64) >> 74'd1) >> 74'd1) >> 74'd1) >> 74'd1) >> 74'd1) & 74'h1) == 74'd1), (((((((((lfsr_rnd >> 74'd64) >> 74'd1) >> 74'd1) >> 74'd1) >> 74'd1) >> 74'd1) >> 74'd1) & 74'h1) == 74'd1), 'sd10))), 64'((T1_r(e.random, g.random, h.random, 64'(lfsr_rnd)) + T2_r(a.random, b.random))), (((((((((((lfsr_rnd >> 74'd64) >> 74'd1) >> 74'd1) >> 74'd1) >> 74'd1) >> 74'd1) >> 74'd1) >> 74'd1) >> 74'd1) & 74'h1) == 74'd1), 0, 128'd0, 64'd0, 'sd10), + random: (T1_r(e.random, g.random, h.random, 64'(lfsr_rnd)) + T2_r(a.random, b.random)) +}; + +st_masked_reg_t e_6 = '{ + masked: A2B_conv(64'((B2A_conv(d.masked, d.random, ((((((((((lfsr_rnd >> 74'd64) >> 74'd1) >> 74'd1) >> 74'd1) >> 74'd1) >> 74'd1) >> 74'd1) >> 74'd1) & 74'h1) == 74'd1), 0, 128'd0, 64'd0, 'sd10) + T1_m(e.masked, e.random, f.masked, f.random, g.masked, g.random, h.masked, h.random, K[i], (compute_w(W[64'd14], W[64'd9], W[64'd1], W[64'd0]) ^ 64'(lfsr_rnd)), 64'(lfsr_rnd), 0, 128'd0, 64'd0, (((lfsr_rnd >> 74'd64) & 74'h1) == 74'd1), ((((lfsr_rnd >> 74'd64) >> 74'd1) & 74'h1) == 74'd1), (((((lfsr_rnd >> 74'd64) >> 74'd1) >> 74'd1) & 74'h1) == 74'd1), ((((((lfsr_rnd >> 74'd64) >> 74'd1) >> 74'd1) >> 74'd1) & 74'h1) == 74'd1), (((((((lfsr_rnd >> 74'd64) >> 74'd1) >> 74'd1) >> 74'd1) >> 74'd1) & 74'h1) == 74'd1), 'sd10))), 64'((d.random + T1_r(e.random, g.random, h.random, 64'(lfsr_rnd)))), ((((((((((((lfsr_rnd >> 74'd64) >> 74'd1) >> 74'd1) >> 74'd1) >> 74'd1) >> 74'd1) >> 74'd1) >> 74'd1) >> 74'd1) >> 74'd1) & 74'h1) == 74'd1), 0, 128'd0, 64'd0, 'sd10), + random: (d.random + T1_r(e.random, g.random, h.random, 64'(lfsr_rnd))) +}; + +a_sc_big_unsigned_64_8 H_5 = '{ + 0: (H[64'd0] + (a.masked ^ a.random)), + 1: (H['sd1] + (b.masked ^ b.random)), + 2: (H['sd2] + (c.masked ^ c.random)), + 3: (H['sd3] + (d.masked ^ d.random)), + 4: (H['sd4] + (e.masked ^ e.random)), + 5: (H['sd5] + (f.masked ^ f.random)), + 6: (H['sd6] + (g.masked ^ g.random)), + 7: (H['sd7] + (h.masked ^ h.random)) +}; + + +sequence reset_sequence; + !rst ##1 rst; +endsequence + + +reset_a: assert property (reset_p); +property reset_p; + $past(!rst) && rst |-> + IDLE && + H == H_0 && + W == W_0 && + a == a_0 && + b == a_0 && + c == a_0 && + d == a_0 && + e == a_0 && + f == a_0 && + g == a_0 && + h == a_0 && + i == 'sd0 && + rnd_cnt_reg == 'sd0 && + rh_masking_rnd == H_0 && + block_in_ready == 1 && + digest_valid == 0; +endproperty + + +CTRL_RND_to_CTRL_RND_a: assert property (disable iff(!rst) CTRL_RND_to_CTRL_RND_p); +property CTRL_RND_to_CTRL_RND_p; + CTRL_RND && + (('sd1 + rnd_cnt_reg) < 'sd9) +|-> + ##1 (block_in_ready == 0) and + ##1 (digest_valid == 0) and + ##1 + CTRL_RND && + H == $past(H, 1) && + W == $past(W, 1) && + a == $past(a, 1) && + b == $past(b, 1) && + c == $past(c, 1) && + d == $past(d, 1) && + e == $past(e, 1) && + f == $past(f, 1) && + g == $past(g, 1) && + h == $past(h, 1) && + i == $past(i, 1) && + rnd_cnt_reg == ('sd1 + $past(rnd_cnt_reg, 1)) && + rh_masking_rnd == $past(rh_masking_rnd_0, 1); +endproperty + + +CTRL_RND_to_SHA_Rounds_224_a: assert property (disable iff(!rst) CTRL_RND_to_SHA_Rounds_224_p); +property CTRL_RND_to_SHA_Rounds_224_p; + CTRL_RND && + (('sd1 + rnd_cnt_reg) >= 'sd9) && + block_init && + (block_sha_mode == 'sd0) +|-> + ##1 (block_in_ready == 0) and + ##1 (digest_valid == 0) and + ##1 + SHA_Rounds && + H == $past(H_1, 1) && + W == $past(W_1, 1) && + a == $past(a_1, 1) && + b == $past(b_0, 1) && + c == $past(c_0, 1) && + d == $past(d_0, 1) && + e == $past(e_0, 1) && + f == $past(f_0, 1) && + g == $past(g_0, 1) && + h == $past(h_0, 1) && + i == 'sd0 && + rnd_cnt_reg == ('sd1 + $past(rnd_cnt_reg, 1)) && + rh_masking_rnd == $past(rh_masking_rnd_1, 1); +endproperty + + +CTRL_RND_to_SHA_Rounds_256_a: assert property (disable iff(!rst) CTRL_RND_to_SHA_Rounds_256_p); +property CTRL_RND_to_SHA_Rounds_256_p; + CTRL_RND && + (('sd1 + rnd_cnt_reg) >= 'sd9) && + block_init && + (block_sha_mode == 'sd1) +|-> + ##1 (block_in_ready == 0) and + ##1 (digest_valid == 0) and + ##1 + SHA_Rounds && + H == $past(H_2, 1) && + W == $past(W_1, 1) && + a == $past(a_2, 1) && + b == $past(b_1, 1) && + c == $past(c_1, 1) && + d == $past(d_1, 1) && + e == $past(e_1, 1) && + f == $past(f_1, 1) && + g == $past(g_1, 1) && + h == $past(h_1, 1) && + i == 'sd0 && + rnd_cnt_reg == ('sd1 + $past(rnd_cnt_reg, 1)) && + rh_masking_rnd == $past(rh_masking_rnd_1, 1); +endproperty + + +CTRL_RND_to_SHA_Rounds_512_a: assert property (disable iff(!rst) CTRL_RND_to_SHA_Rounds_512_p); +property CTRL_RND_to_SHA_Rounds_512_p; + CTRL_RND && + (('sd1 + rnd_cnt_reg) >= 'sd9) && + block_init && + (block_sha_mode == 'sd3) +|-> + ##1 (block_in_ready == 0) and + ##1 (digest_valid == 0) and + ##1 + SHA_Rounds && + H == $past(H_3, 1) && + W == $past(W_1, 1) && + a == $past(a_3, 1) && + b == $past(b_2, 1) && + c == $past(c_2, 1) && + d == $past(d_2, 1) && + e == $past(e_2, 1) && + f == $past(f_2, 1) && + g == $past(g_2, 1) && + h == $past(h_2, 1) && + i == 'sd0 && + rnd_cnt_reg == ('sd1 + $past(rnd_cnt_reg, 1)) && + rh_masking_rnd == $past(rh_masking_rnd_1, 1); +endproperty + + +CTRL_RND_to_SHA_Rounds_384_a: assert property (disable iff(!rst) CTRL_RND_to_SHA_Rounds_384_p); +property CTRL_RND_to_SHA_Rounds_384_p; + CTRL_RND && + (('sd1 + rnd_cnt_reg) >= 'sd9) && + block_init && + (block_sha_mode != 'sd0) && + (block_sha_mode != 'sd1) && + (block_sha_mode != 'sd3) +|-> + ##1 (block_in_ready == 0) and + ##1 (digest_valid == 0) and + ##1 + SHA_Rounds && + H == $past(H_4, 1) && + W == $past(W_1, 1) && + a == $past(a_4, 1) && + b == $past(b_3, 1) && + c == $past(c_3, 1) && + d == $past(d_3, 1) && + e == $past(e_3, 1) && + f == $past(f_3, 1) && + g == $past(g_3, 1) && + h == $past(h_3, 1) && + i == 'sd0 && + rnd_cnt_reg == ('sd1 + $past(rnd_cnt_reg, 1)) && + rh_masking_rnd == $past(rh_masking_rnd_1, 1); +endproperty + + +CTRL_RND_to_SHA_Rounds_next_a: assert property (disable iff(!rst) CTRL_RND_to_SHA_Rounds_next_p); +property CTRL_RND_to_SHA_Rounds_next_p; + CTRL_RND && + (('sd1 + rnd_cnt_reg) >= 'sd9) && + !block_init +|-> + ##1 (block_in_ready == 0) and + ##1 (digest_valid == 0) and + ##1 + SHA_Rounds && + H == $past(H, 1) && + W == $past(W_1, 1) && + a == $past(a_5, 1) && + b == $past(b_4, 1) && + c == $past(c_4, 1) && + d == $past(d_4, 1) && + e == $past(e_4, 1) && + f == $past(f_4, 1) && + g == $past(g_4, 1) && + h == $past(h_4, 1) && + i == 'sd0 && + rnd_cnt_reg == ('sd1 + $past(rnd_cnt_reg, 1)) && + rh_masking_rnd == $past(rh_masking_rnd_1, 1); +endproperty + + +DONE_to_IDLE_a: assert property (disable iff(!rst) DONE_to_IDLE_p); +property DONE_to_IDLE_p; + DONE +|-> + ##1 + IDLE && + H == $past(H_5, 1) && + W == $past(W, 1) && + a == $past(a, 1) && + b == $past(b, 1) && + c == $past(c, 1) && + d == $past(d, 1) && + e == $past(e, 1) && + f == $past(f, 1) && + g == $past(g, 1) && + h == $past(h, 1) && + i == $past(i, 1) && + digest_out == $past(compute_digest(H[64'd7], h.masked, h.random, H[64'd6], g.masked, g.random, H[64'd5], f.masked, f.random, H[64'd4], e.masked, e.random, H[64'd3], d.masked, d.random, H[64'd2], c.masked, c.random, H[64'd1], b.masked, b.random, H[64'd0], a.masked, a.random)) && + rnd_cnt_reg == $past(rnd_cnt_reg, 1) && + rh_masking_rnd == $past(rh_masking_rnd, 1) && + block_in_ready == 1 && + digest_valid == 1; +endproperty + + +IDLE_to_CTRL_RND_a: assert property (disable iff(!rst) IDLE_to_CTRL_RND_p); +property IDLE_to_CTRL_RND_p; + IDLE && + block_in_valid +|-> + ##1 (block_in_ready == 0) and + ##1 (digest_valid == 0) and + ##1 + CTRL_RND && + H == $past(H, 1) && + W == $past(W, 1) && + a == $past(a, 1) && + b == $past(b, 1) && + c == $past(c, 1) && + d == $past(d, 1) && + e == $past(e, 1) && + f == $past(f, 1) && + g == $past(g, 1) && + h == $past(h, 1) && + i == $past(i, 1) && + rnd_cnt_reg == 'sd0 && + rh_masking_rnd == $past(rh_masking_rnd, 1); +endproperty + + +SHA_Rounds_to_DONE_a: assert property (disable iff(!rst) SHA_Rounds_to_DONE_p); +property SHA_Rounds_to_DONE_p; + SHA_Rounds && + (i >= 'sd16) && + (('sd1 + i) >= 'sd80) +|-> + ##1 (block_in_ready == 0) and + ##1 (digest_valid == 0) and + ##1 + DONE && + H == $past(H, 1) && + W == $past(W_2, 1) && + a == $past(a_7, 1) && + b == $past(a, 1) && + c == $past(b, 1) && + d == $past(c, 1) && + e == $past(e_6, 1) && + f == $past(e, 1) && + g == $past(f, 1) && + h == $past(g, 1) && + i == ('sd1 + $past(i, 1)) && + rnd_cnt_reg == $past(rnd_cnt_reg, 1) && + rh_masking_rnd == $past(rh_masking_rnd, 1); +endproperty + + +SHA_Rounds_to_SHA_Rounds_before_16_a: assert property (disable iff(!rst) SHA_Rounds_to_SHA_Rounds_before_16_p); +property SHA_Rounds_to_SHA_Rounds_before_16_p; + SHA_Rounds && + (i < 'sd16) && + (('sd1 + i) < 'sd80) +|-> + ##1 (block_in_ready == 0) and + ##1 (digest_valid == 0) and + ##1 + SHA_Rounds && + H == $past(H, 1) && + W == $past(W, 1) && + a == $past(a_6, 1) && + b == $past(a, 1) && + c == $past(b, 1) && + d == $past(c, 1) && + e == $past(e_5, 1) && + f == $past(e, 1) && + g == $past(f, 1) && + h == $past(g, 1) && + i == ('sd1 + $past(i, 1)) && + rnd_cnt_reg == $past(rnd_cnt_reg, 1) && + rh_masking_rnd == $past(rh_masking_rnd, 1); +endproperty + + +SHA_Rounds_to_SHA_Rounds_after_16_a: assert property (disable iff(!rst) SHA_Rounds_to_SHA_Rounds_after_16_p); +property SHA_Rounds_to_SHA_Rounds_after_16_p; + SHA_Rounds && + (i >= 'sd16) && + (('sd1 + i) < 'sd80) +|-> + ##1 (block_in_ready == 0) and + ##1 (digest_valid == 0) and + ##1 + SHA_Rounds && + H == $past(H, 1) && + W == $past(W_2, 1) && + a == $past(a_7, 1) && + b == $past(a, 1) && + c == $past(b, 1) && + d == $past(c, 1) && + e == $past(e_6, 1) && + f == $past(e, 1) && + g == $past(f, 1) && + h == $past(g, 1) && + i == ('sd1 + $past(i, 1)) && + rnd_cnt_reg == $past(rnd_cnt_reg, 1) && + rh_masking_rnd == $past(rh_masking_rnd, 1); +endproperty + + +IDLE_wait_a: assert property (disable iff(!rst) IDLE_wait_p); +property IDLE_wait_p; + IDLE && + !block_in_valid +|-> + ##1 + IDLE && + H == $past(H, 1) && + W == $past(W, 1) && + a == $past(a, 1) && + b == $past(b, 1) && + c == $past(c, 1) && + d == $past(d, 1) && + e == $past(e, 1) && + f == $past(f, 1) && + g == $past(g, 1) && + h == $past(h, 1) && + i == $past(i, 1) && + rnd_cnt_reg == $past(rnd_cnt_reg, 1) && + rh_masking_rnd == $past(rh_masking_rnd, 1) && + block_in_ready == 1 && + digest_valid == $past(digest_valid); +endproperty + + +endmodule + + +module fv_SHA512_masked_wrapper_m; + + +default clocking default_clk @(posedge (sha512_masked_core.clk)); endclocking + + +st_SHA_Args sha_in_struct = '{ + in: (sha512_masked_core.block_msg), + SHA_Mode: (sha512_masked_core.mode), + init_cmd: (sha512_masked_core.init_cmd), + next_cmd: (sha512_masked_core.next_cmd), + zeroize: (sha512_masked_core.zeroize) +}; +a_sc_big_unsigned_64_8 H = '{ + 0: (sha512_masked_core.H0_reg), + 1: (sha512_masked_core.H1_reg), + 2: (sha512_masked_core.H2_reg), + 3: (sha512_masked_core.H3_reg), + 4: (sha512_masked_core.H4_reg), + 5: (sha512_masked_core.H5_reg), + 6: (sha512_masked_core.H6_reg), + 7: (sha512_masked_core.H7_reg) +}; +a_sc_big_unsigned_64_16 W = '{ + 0: (sha512_masked_core.w_mem_inst.w_mem[00]), + 1: (sha512_masked_core.w_mem_inst.w_mem[01]), + 2: (sha512_masked_core.w_mem_inst.w_mem[02]), + 3: (sha512_masked_core.w_mem_inst.w_mem[03]), + 4: (sha512_masked_core.w_mem_inst.w_mem[04]), + 5: (sha512_masked_core.w_mem_inst.w_mem[05]), + 6: (sha512_masked_core.w_mem_inst.w_mem[06]), + 7: (sha512_masked_core.w_mem_inst.w_mem[07]), + 8: (sha512_masked_core.w_mem_inst.w_mem[08]), + 9: (sha512_masked_core.w_mem_inst.w_mem[09]), + 10: (sha512_masked_core.w_mem_inst.w_mem[10]), + 11: (sha512_masked_core.w_mem_inst.w_mem[11]), + 12: (sha512_masked_core.w_mem_inst.w_mem[12]), + 13: (sha512_masked_core.w_mem_inst.w_mem[13]), + 14: (sha512_masked_core.w_mem_inst.w_mem[14]), + 15: (sha512_masked_core.w_mem_inst.w_mem[15]) +}; +st_masked_reg_t a = '{ + masked: (sha512_masked_core.a_reg.masked), + random: (sha512_masked_core.a_reg.random) +}; +st_masked_reg_t b = '{ + masked: (sha512_masked_core.b_reg.masked), + random: (sha512_masked_core.b_reg.random) +}; +st_masked_reg_t c = '{ + masked: (sha512_masked_core.c_reg.masked), + random: (sha512_masked_core.c_reg.random) +}; +st_masked_reg_t d = '{ + masked: (sha512_masked_core.d_reg.masked), + random: (sha512_masked_core.d_reg.random) +}; +st_masked_reg_t e = '{ + masked: (sha512_masked_core.e_reg.masked), + random: (sha512_masked_core.e_reg.random) +}; +st_masked_reg_t f = '{ + masked: (sha512_masked_core.f_reg.masked), + random: (sha512_masked_core.f_reg.random) +}; +st_masked_reg_t g = '{ + masked: (sha512_masked_core.g_reg.masked), + random: (sha512_masked_core.g_reg.random) +}; +st_masked_reg_t h = '{ + masked: (sha512_masked_core.h_reg.masked), + random: (sha512_masked_core.h_reg.random) +}; +a_sc_big_unsigned_64_8 rh_masking_rnd = '{ + 0: (sha512_masked_core.rh_masking_rnd[0]), + 1: (sha512_masked_core.rh_masking_rnd[1]), + 2: (sha512_masked_core.rh_masking_rnd[2]), + 3: (sha512_masked_core.rh_masking_rnd[3]), + 4: (sha512_masked_core.rh_masking_rnd[4]), + 5: (sha512_masked_core.rh_masking_rnd[5]), + 6: (sha512_masked_core.rh_masking_rnd[6]), + 7: (sha512_masked_core.rh_masking_rnd[7]) +}; + + +fv_sha512_masked_m fv_sha512_masked( + .rst((sha512_masked_core.reset_n) && !(sha512_masked_core.zeroize)), + .clk(sha512_masked_core.clk), + + // Inputs + .sha_in_struct(sha_in_struct), + .lfsr_in(sha512_masked_core.lfsr_inst.rnd), + + // Outputs + .digest_out(sha512_masked_core.digest), + + // Valid signals + .block_in_valid(((sha512_masked_core.init_cmd) || (sha512_masked_core.next_cmd))), + .digest_valid(sha512_masked_core.digest_valid), + + // Ready signals + .block_in_ready(sha512_masked_core.ready), + + // Registers + .H(H), + .block_sha_mode(sha512_masked_core.mode), + .W(W), + .a(a), + .b(b), + .block_in(sha512_masked_core.block_msg), + .c(c), + .d(d), + .e(e), + .f(f), + .g(g), + .h(h), + .i(sha512_masked_core.round_ctr_reg), + .block_init(sha512_masked_core.init_reg), + .lfsr_rnd(sha512_masked_core.lfsr_rnd), + .rnd_cnt_reg(sha512_masked_core.rnd_ctr_reg), + .rh_masking_rnd(rh_masking_rnd), + + // States + .IDLE(sha512_masked_core.sha512_ctrl_reg==2'h0), + .CTRL_RND(sha512_masked_core.sha512_ctrl_reg==2'h1), + .SHA_Rounds(sha512_masked_core.sha512_ctrl_reg==2'h2), + .DONE(sha512_masked_core.sha512_ctrl_reg==2'h3) +); + + +endmodule + + +bind sha512_masked_core fv_SHA512_masked_wrapper_m fv_SHA512_masked_wrapper(); diff --git a/src/sha512_masked/formal/properties/fv_sha512_masked_pkg.sv b/src/sha512_masked/formal/properties/fv_sha512_masked_pkg.sv new file mode 100644 index 000000000..9479c5ebc --- /dev/null +++ b/src/sha512_masked/formal/properties/fv_sha512_masked_pkg.sv @@ -0,0 +1,197 @@ +// ------------------------------------------------- +// Contact: contact@lubis-eda.com +// Author: Tobias Ludwig, Michael Schwarz +// ------------------------------------------------- +// SPDX-License-Identifier: Apache-2.0 +// +// Licensed under the Apache License, Version 2.0 (the "License"); +// you may not use this file except in compliance with the License. +// You may obtain a copy of the License at +// +// http://www.apache.org/licenses/LICENSE-2.0 +// +// Unless required by applicable law or agreed to in writing, software +// distributed under the License is distributed on an "AS IS" BASIS, +// WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +// See the License for the specific language governing permissions and +// limitations under the License. +// + +package sha512_masked_pkg; + + +typedef struct { + bit unsigned [1023:0] in; + bit signed [31:0] SHA_Mode; + bit init_cmd; + bit next_cmd; + bit zeroize; +} st_SHA_Args; + +typedef struct { + bit unsigned [63:0] masked; + bit unsigned [63:0] random; +} st_masked_reg_t; + +typedef bit a_bool_10 [9:0]; + +typedef bit unsigned [63:0] a_sc_big_unsigned_64_16 [15:0]; + +typedef bit unsigned [63:0] a_sc_big_unsigned_64_8 [7:0]; + +typedef bit unsigned [63:0] a_sc_big_unsigned_64_80 [79:0]; + + +// Constants + +parameter a_sc_big_unsigned_64_80 K = '{ 0: 64'h428A2F98D728AE22, 1: 64'h7137449123EF65CD, 2: 64'hB5C0FBCFEC4D3B2F, 3: 64'hE9B5DBA58189DBBC, 4: 64'h3956C25BF348B538, 5: 64'h59F111F1B605D019, 6: 64'h923F82A4AF194F9B, 7: 64'hAB1C5ED5DA6D8118, 8: 64'hD807AA98A3030242, 9: 64'h12835B0145706FBE, 10: 64'h243185BE4EE4B28C, 11: 64'h550C7DC3D5FFB4E2, 12: 64'h72BE5D74F27B896F, 13: 64'h80DEB1FE3B1696B1, 14: 64'h9BDC06A725C71235, 15: 64'hC19BF174CF692694, 16: 64'hE49B69C19EF14AD2, 17: 64'hEFBE4786384F25E3, 18: 64'hFC19DC68B8CD5B5, 19: 64'h240CA1CC77AC9C65, 20: 64'h2DE92C6F592B0275, 21: 64'h4A7484AA6EA6E483, 22: 64'h5CB0A9DCBD41FBD4, 23: 64'h76F988DA831153B5, 24: 64'h983E5152EE66DFAB, 25: 64'hA831C66D2DB43210, 26: 64'hB00327C898FB213F, 27: 64'hBF597FC7BEEF0EE4, 28: 64'hC6E00BF33DA88FC2, 29: 64'hD5A79147930AA725, 30: 64'h6CA6351E003826F, 31: 64'h142929670A0E6E70, 32: 64'h27B70A8546D22FFC, 33: 64'h2E1B21385C26C926, 34: 64'h4D2C6DFC5AC42AED, 35: 64'h53380D139D95B3DF, 36: 64'h650A73548BAF63DE, 37: 64'h766A0ABB3C77B2A8, 38: 64'h81C2C92E47EDAEE6, 39: 64'h92722C851482353B, 40: 64'hA2BFE8A14CF10364, 41: 64'hA81A664BBC423001, 42: 64'hC24B8B70D0F89791, 43: 64'hC76C51A30654BE30, 44: 64'hD192E819D6EF5218, 45: 64'hD69906245565A910, 46: 64'hF40E35855771202A, 47: 64'h106AA07032BBD1B8, 48: 64'h19A4C116B8D2D0C8, 49: 64'h1E376C085141AB53, 50: 64'h2748774CDF8EEB99, 51: 64'h34B0BCB5E19B48A8, 52: 64'h391C0CB3C5C95A63, 53: 64'h4ED8AA4AE3418ACB, 54: 64'h5B9CCA4F7763E373, 55: 64'h682E6FF3D6B2B8A3, 56: 64'h748F82EE5DEFB2FC, 57: 64'h78A5636F43172F60, 58: 64'h84C87814A1F0AB72, 59: 64'h8CC702081A6439EC, 60: 64'h90BEFFFA23631E28, 61: 64'hA4506CEBDE82BDE9, 62: 64'hBEF9A3F7B2C67915, 63: 64'hC67178F2E372532B, 64: 64'hCA273ECEEA26619C, 65: 64'hD186B8C721C0C207, 66: 64'hEADA7DD6CDE0EB1E, 67: 64'hF57D4F7FEE6ED178, 68: 64'h6F067AA72176FBA, 69: 64'hA637DC5A2C898A6, 70: 64'h113F9804BEF90DAE, 71: 64'h1B710B35131C471B, 72: 64'h28DB77F523047D84, 73: 64'h32CAAB7B40C72493, 74: 64'h3C9EBE0A15C9BEBC, 75: 64'h431D67C49C100D4C, 76: 64'h4CC5D4BECB3E42B6, 77: 64'h597F299CFC657E2A, 78: 64'h5FCB6FAB3AD6FAEC, 79: 64'h6C44198C4A475817 }; + + +// Functions + +function bit unsigned [63:0] A2B_conv(bit unsigned [63:0] x_masked, bit unsigned [63:0] x_random, bit q, bit masked_carr, bit unsigned [127:0] x_m, bit unsigned [63:0] mask, bit signed [31:0] j); + + reg [63 : 0] masked_carry; + for (int j = 0; j < 64 ; j++) begin + if (j == 0) begin + masked_carry[j] = (~x_masked[0] & x_random[0]) ^ q; + x_masked[j] = x_masked[j]; + end + else begin + masked_carry[j] = (x_masked[j] ^ x_random[j]) & (x_random[j] ^ q) | (~x_masked[j] ^ x_random[j]) & masked_carry[j-1]; + x_masked[j] = (x_masked[j] ^ masked_carry[j-1]) ^ q; + + end + end + return x_masked; +endfunction + +function bit unsigned [63:0] B2A_conv(bit unsigned [63:0] x_masked, bit unsigned [63:0] x_random, bit q, bit masked_carr, bit unsigned [127:0] x_prime, bit unsigned [63:0] mask, bit signed [31:0] j); +reg [63 : 0] masked_carry; + for (int j = 0; j < 64 ; j++) begin + if (j == 0) begin + masked_carry[j] = ~x_masked[j] & (x_random[j] ^ q) | (x_masked[j] & q); + x_prime[j] = x_masked[j]; + end + else begin + x_prime[j] = (x_masked[j] ^ masked_carry[j-1]) ^ q; + masked_carry[j] = ~x_masked[j] & (x_random[j] ^ q) | x_masked[j] & masked_carry[j-1]; + end + end + return x_prime; +endfunction + +function bit unsigned [63:0] T1_m(bit unsigned [63:0] e_masked, bit unsigned [63:0] e_random, bit unsigned [63:0] f_masked, bit unsigned [63:0] f_random, bit unsigned [63:0] g_masked, bit unsigned [63:0] g_random, bit unsigned [63:0] h_masked, bit unsigned [63:0] h_random, bit unsigned [63:0] k, bit unsigned [63:0] w_masked, bit unsigned [63:0] w_random, bit masked_carry, bit unsigned [127:0] x_prime, bit unsigned [63:0] mask, bit q_masking_rnd_0, bit q_masking_rnd_1, bit q_masking_rnd_2, bit q_masking_rnd_3, bit q_masking_rnd_4, bit signed [31:0] j); + return 64'(((((B2A_conv(h_masked, h_random, q_masking_rnd_0, masked_carry, x_prime, mask, j) + B2A_conv(sigma1(e_masked), sigma1(e_random), q_masking_rnd_1, masked_carry, x_prime, mask, j)) + B2A_conv(masked_Ch_m(e_masked, e_random, f_masked, f_random, g_masked, g_random), (e_random ^ g_random), q_masking_rnd_2, masked_carry, x_prime, mask, j)) + B2A_conv(k, 64'h0, q_masking_rnd_3, masked_carry, x_prime, mask, j)) + B2A_conv(w_masked, w_random, q_masking_rnd_4, masked_carry, x_prime, mask, j))); +endfunction + +function bit unsigned [63:0] T1_r(bit unsigned [63:0] e_random, bit unsigned [63:0] g_random, bit unsigned [63:0] h_random, bit unsigned [63:0] w_random); + return 64'((((h_random + sigma1(e_random)) + (e_random ^ g_random)) + w_random)); +endfunction + +function bit unsigned [63:0] T2_m(bit unsigned [63:0] a_masked, bit unsigned [63:0] a_random, bit unsigned [63:0] b_masked, bit unsigned [63:0] b_random, bit unsigned [63:0] c_masked, bit unsigned [63:0] c_random, bit masked_carry, bit unsigned [127:0] x_prime, bit unsigned [63:0] mask, bit q_masking_rnd_5, bit q_masking_rnd_6, bit signed [31:0] j); + return 64'((B2A_conv(sigma0(a_masked), sigma0(a_random), q_masking_rnd_5, masked_carry, x_prime, mask, j) + B2A_conv(masked_Maj(a_masked, a_random, b_masked, b_random, c_masked, c_random), b_random, q_masking_rnd_6, masked_carry, x_prime, mask, j))); +endfunction + +function bit unsigned [63:0] T2_r(bit unsigned [63:0] a_random, bit unsigned [63:0] b_random); + return 64'((sigma0(a_random) + b_random)); +endfunction + +function bit unsigned [63:0] compute_w(bit unsigned [63:0] w14, bit unsigned [63:0] w9, bit unsigned [63:0] w1, bit unsigned [63:0] w0); + return 64'((((delta1(w14) + w9) + delta0(w1)) + w0)); +endfunction + +function bit unsigned [63:0] delta0(bit unsigned [63:0] x); + return ((rotr1(x) ^ rotr8(x)) ^ shr7(x)); +endfunction + +function bit unsigned [63:0] delta1(bit unsigned [63:0] x); + return ((rotr19(x) ^ rotr61(x)) ^ shr6(x)); +endfunction + +function bit unsigned [63:0] masked_Ch_m(bit unsigned [63:0] e_masked, bit unsigned [63:0] e_random, bit unsigned [63:0] f_masked, bit unsigned [63:0] f_random, bit unsigned [63:0] g_masked, bit unsigned [63:0] g_random); + return (masked_and(e_masked, e_random, f_masked, f_random) ^ masked_and(g_masked, g_random, ~e_masked, e_random)); +endfunction + +function bit unsigned [63:0] masked_Maj(bit unsigned [63:0] a_masked, bit unsigned [63:0] a_random, bit unsigned [63:0] b_masked, bit unsigned [63:0] b_random, bit unsigned [63:0] c_masked, bit unsigned [63:0] c_random); + return ((masked_and(a_masked, a_random, b_masked, b_random) ^ masked_and(a_masked, a_random, c_masked, c_random)) ^ masked_and(b_masked, b_random, c_masked, c_random)); +endfunction + +function bit unsigned [63:0] masked_and(bit unsigned [63:0] x_masked, bit unsigned [63:0] x_random, bit unsigned [63:0] y_masked, bit unsigned [63:0] y_random); + return ((~y_masked & ((~y_random & x_random) | (y_random & x_masked))) | (y_masked & ((y_random & x_random) | (~y_random & x_masked)))); +endfunction + +function bit unsigned [63:0] rotr1(bit unsigned [63:0] n); + return 64'(((n >> 64'd1) | (n << 64'd63))); +endfunction + +function bit unsigned [63:0] rotr14(bit unsigned [63:0] n); + return 64'(((n >> 64'd14) | (n << 64'd50))); +endfunction + +function bit unsigned [63:0] rotr18(bit unsigned [63:0] n); + return 64'(((n >> 64'd18) | (n << 64'd46))); +endfunction + +function bit unsigned [63:0] rotr19(bit unsigned [63:0] n); + return 64'(((n >> 64'd19) | (n << 64'd45))); +endfunction + +function bit unsigned [63:0] rotr28(bit unsigned [63:0] n); + return 64'(((n >> 64'd28) | (n << 64'd36))); +endfunction + +function bit unsigned [63:0] rotr34(bit unsigned [63:0] n); + return 64'(((n >> 64'd34) | (n << 64'd30))); +endfunction + +function bit unsigned [63:0] rotr39(bit unsigned [63:0] n); + return 64'(((n >> 64'd39) | (n << 64'd25))); +endfunction + +function bit unsigned [63:0] rotr41(bit unsigned [63:0] n); + return 64'(((n >> 64'd41) | (n << 64'd23))); +endfunction + +function bit unsigned [63:0] rotr61(bit unsigned [63:0] n); + return 64'(((n >> 64'd61) | (n << 64'd3))); +endfunction + +function bit unsigned [63:0] rotr8(bit unsigned [63:0] n); + return 64'(((n >> 64'd8) | (n << 64'd56))); +endfunction + +function bit unsigned [63:0] shr6(bit unsigned [63:0] n); + return (n >> 64'd6); +endfunction + +function bit unsigned [63:0] shr7(bit unsigned [63:0] n); + return (n >> 64'd7); +endfunction + +function bit unsigned [63:0] sigma0(bit unsigned [63:0] x); + return ((rotr28(x) ^ rotr34(x)) ^ rotr39(x)); +endfunction + +function bit unsigned [63:0] sigma1(bit unsigned [63:0] x); + return ((rotr14(x) ^ rotr18(x)) ^ rotr41(x)); +endfunction + +function bit unsigned [63:0] slicer(bit unsigned [1023:0] block, bit signed [31:0] index); + return(block[(64*index)+:64]); +endfunction + +function bit unsigned [511:0] compute_digest(bit unsigned [63:0] H_7, bit unsigned [63:0] h_random , bit unsigned [63:0] h_masked, bit unsigned [63:0] H_6, bit unsigned [63:0] g_random , bit unsigned [63:0] g_masked, bit unsigned [63:0] H_5, bit unsigned [63:0] f_random , bit unsigned [63:0] f_masked, bit unsigned [63:0] H_4, bit unsigned [63:0] e_random , bit unsigned [63:0] e_masked, bit unsigned [63:0] H_3, bit unsigned [63:0] d_random , bit unsigned [63:0] d_masked, bit unsigned [63:0] H_2, bit unsigned [63:0] c_random , bit unsigned [63:0] c_masked, bit unsigned [63:0] H_1, bit unsigned [63:0] b_random , bit unsigned [63:0] b_masked, bit unsigned [63:0] H_0, bit unsigned [63:0] a_random , bit unsigned [63:0] a_masked); + bit unsigned [511:0] temp; + temp[63:0] = 64'(H_7 + (h_masked ^ h_random)); + temp[127:64] = 64'(H_6 + (g_masked ^ g_random)); + temp[191:128] = 64'(H_5 + (f_masked ^ f_random)); + temp[255:192] = 64'(H_4 + (e_masked ^ e_random)); + temp[319:256] = 64'(H_3 + (d_masked ^ d_random)); + temp[383:320] = 64'(H_2 + (c_masked ^ c_random)); + temp[447:384] = 64'(H_1 + (b_masked ^ b_random)); + temp[511:448] = 64'(H_0 + (a_masked ^ a_random)); + return temp; + endfunction + +endpackage diff --git a/src/sha512_masked/formal/readme.md b/src/sha512_masked/formal/readme.md new file mode 100644 index 000000000..64b5d78d2 --- /dev/null +++ b/src/sha512_masked/formal/readme.md @@ -0,0 +1,66 @@ +# SHA512_MASKED +Date: 28-06-2023 +Author: LUBIS EDA + +## Folder Structure +The following subdirectories are part of the main directory **formal** + +- properties: Contains the assertion IP(AIP) named as **fv_sha512_masked.sv** and the constraints in place for the respective AIP **fv_constraints.sv** + + +## DUT Overview + +The DUT sha512_core has the primary inputs and primary outputs as shown below. + +| S.No | Port | Direction | Description | +| ---- | -------------------| --------- | --------------------------------------------------------------------------------- | +| 1 | clk | input | The positive edge of the clk is used for all the signals | +| 2 | reset_n | input | The reset signal is active low and resets the core | +| 3 | zeroize | input | The core is reseted when this signal is triggered. | +| 4 | init_cmd | input | The core is initialised with respective mode constants and processes the message. | +| 5 | next_cmd | input | The core processes the message block with the previously computed results | +| 6 | mode[1:0] | input | Define which hash function: SHA512,SHA384,SHA224,SHA256 | +| 7 | block_msg[1023:0] | input | The padded block message | +| 8 | lfsr_seed[73:0] | input | random bit vectors that are left shifted and rotated | +| 9 | ready | output | When triggered indicates that the core is ready | +| 10 | digest[511:0] | output | The hashed value of the given message block | +| 11 | digest_valid | output | When triggered indicates that the computed digest is ready | + +When the respective mode is selected and initalised the core iterates for 80 rounds to process the hash value with random lfsr seed value so as a countermeasure for single channel side-attacks. if the next is triggered then the previous values of the **H** registers are in place for processing the hash value. The digest is always generated of 512 bits, in which if the mode changes to 384 then from MSB 384 bits is a valid output and rest is garbage value. +## Assertion IP Overview + +The Assertion IP signals are bound with the respective signals in the dut, where for the **rst** in binded with the DUT (reset_n && !zeroize), which ensures the reset functionality. And another AIP signal block_in_valid is triggered whenever the init or next is high. + +- reset_a: Checks that all the resgiters are resetted and the state is idle, with the ready to high. + +- DONE_to_IDLE_a: Checks the necessary registers, outputs holds the values when state transits from Done to idle. + +- IDLE_to_CTRL_RND_a: Checks if the state is in idle, if there is an init_cmd or next_cmd, state transists to CTRL_RND and checks if the state registers are unchanged and round counter remains zero. + +- CTRL_RND_TO_CTRL_RND: State transition remains CTRL_RND as long as the round_counter values is less than 9 and checks the necessary registers, masking register holds corrcet value. + +- CTRL_RND_to_SHA_Rounds_224_a: Checks if the state is in ctrl_rnd ,the mode choosen as 224,the init is triggered then the registers should be initialised with the respective constants of 224. + +- CTRL_RND_to_SHA_Rounds_256_a: Checks if the state is in ctrl_rnd ,the mode choosen as 256,the init is triggered then the registers should be initialised with the respective constants of 256. + +- CTRL_RND_to_SHA_Rounds_512_a: Checks if the state is in ctrl_rnd ,the mode choosen as 512,the init is triggered then the registers should be initialised with the respective constants of 512. + +- CTRL_RND_to_SHA_Rounds_384_a: Checks if the state is in ctrl_rnd ,the mode choosen is neither 512,256 nor 224,the init is triggered then the registers should be initialised with the respective constants of default, which covers 384 mode also. + +- CTRL_RND_to_SHA_Rounds_next_a: Checks if the state is in ctrl_rnd and there is no init signal and the next signal asserts then the register holds the past values. + +- SHA_Rounds_to_DONE_a: Checks if the rounds are done then the registers are updated correctly. + +- SHA_Rounds_to_SHA_Rounds_before_16_a: Checks if the the rounds less than 16 then the necessary registers are updated correctly and the round increments. + +- SHA_Rounds_to_SHA_Rounds_after_16_a: Checks if the rounds are greater than 16 and less than 80 then the respective registers are updated correctly and the round increments. + +- IDLE_wait_a: Checks if there isn't either init or next signal triggered in idle state then the state stays in idle and holds the past values and the core is ready. + + +## Reproduce results +For reproducing the results: Load the AIP, sha512_masked_core and fv_constraints together in your formal tool. To ensure converging proves cut the following signals: + +- cut the respective signal **lfsr_inst.rnd** in formal tool. By cutting the signal model complexity is drastically reduced. + +Feel free to reach out to contact@lubis-eda.com to request the loadscripts. From ba30646a15f6308e2add218cb1413d558c0f0cc6 Mon Sep 17 00:00:00 2001 From: Rohith Babu Batthineni Date: Fri, 24 Nov 2023 11:00:38 +0100 Subject: [PATCH 10/48] Added formal folder with readme and pdf --- src/ecc/formal/fv_ecc_block_overview.pdf | Bin 0 -> 225361 bytes .../fv_add_sub_alter_coverpoints.sv | 45 + .../coverpoints/fv_arith_unit_coverpoints.sv | 86 + .../fv_ecc_dsa_ctrl_coverpoints.sv | 130 + ...fv_ecc_montgomerymultiplier_coverpoints.sv | 49 + .../fv_ecc_pm_ctrl_coverpoints_m.sv | 145 + .../properties/ecc_reduced_instantiations.sv | 117 + src/ecc/formal/properties/fv_add_sub_alter.sv | 138 + .../properties/fv_add_sub_constraints.sv | 70 + .../properties/fv_dsa_ctrl_constraints.sv | 204 ++ .../formal/properties/fv_ecc_arith_unit.sv | 263 ++ src/ecc/formal/properties/fv_ecc_dsa_ctrl.sv | 1428 ++++++++ .../formal/properties/fv_ecc_dsa_sequencer.sv | 355 ++ src/ecc/formal/properties/fv_ecc_fau.sv | 167 + .../properties/fv_ecc_hmac_drbg_interface.sv | 547 +++ .../fv_ecc_hmac_drbg_interface_constraints.sv | 113 + .../properties/fv_ecc_pm_ctrl_abstract.sv | 1101 ++++++ .../formal/properties/fv_ecc_pm_sequencer.sv | 3065 +++++++++++++++++ .../formal/properties/fv_ecc_ram_tdp_file.sv | 210 ++ .../formal/properties/fv_montmultiplier.sv | 269 ++ .../properties/fv_montmultiplier_glue.sv | 252 ++ src/ecc/formal/properties/fv_pe.sv | 218 ++ src/ecc/formal/properties/fv_pe_final.sv | 126 + src/ecc/formal/properties/fv_pe_first.sv | 275 ++ .../formal/properties/fv_scalar_blinding.sv | 140 + src/ecc/formal/readme.md | 80 + 26 files changed, 9593 insertions(+) create mode 100644 src/ecc/formal/fv_ecc_block_overview.pdf create mode 100644 src/ecc/formal/properties/coverpoints/fv_add_sub_alter_coverpoints.sv create mode 100644 src/ecc/formal/properties/coverpoints/fv_arith_unit_coverpoints.sv create mode 100644 src/ecc/formal/properties/coverpoints/fv_ecc_dsa_ctrl_coverpoints.sv create mode 100644 src/ecc/formal/properties/coverpoints/fv_ecc_montgomerymultiplier_coverpoints.sv create mode 100644 src/ecc/formal/properties/coverpoints/fv_ecc_pm_ctrl_coverpoints_m.sv create mode 100644 src/ecc/formal/properties/ecc_reduced_instantiations.sv create mode 100644 src/ecc/formal/properties/fv_add_sub_alter.sv create mode 100644 src/ecc/formal/properties/fv_add_sub_constraints.sv create mode 100644 src/ecc/formal/properties/fv_dsa_ctrl_constraints.sv create mode 100644 src/ecc/formal/properties/fv_ecc_arith_unit.sv create mode 100644 src/ecc/formal/properties/fv_ecc_dsa_ctrl.sv create mode 100644 src/ecc/formal/properties/fv_ecc_dsa_sequencer.sv create mode 100644 src/ecc/formal/properties/fv_ecc_fau.sv create mode 100644 src/ecc/formal/properties/fv_ecc_hmac_drbg_interface.sv create mode 100644 src/ecc/formal/properties/fv_ecc_hmac_drbg_interface_constraints.sv create mode 100644 src/ecc/formal/properties/fv_ecc_pm_ctrl_abstract.sv create mode 100644 src/ecc/formal/properties/fv_ecc_pm_sequencer.sv create mode 100644 src/ecc/formal/properties/fv_ecc_ram_tdp_file.sv create mode 100644 src/ecc/formal/properties/fv_montmultiplier.sv create mode 100644 src/ecc/formal/properties/fv_montmultiplier_glue.sv create mode 100644 src/ecc/formal/properties/fv_pe.sv create mode 100644 src/ecc/formal/properties/fv_pe_final.sv create mode 100644 src/ecc/formal/properties/fv_pe_first.sv create mode 100644 src/ecc/formal/properties/fv_scalar_blinding.sv create mode 100644 src/ecc/formal/readme.md diff --git a/src/ecc/formal/fv_ecc_block_overview.pdf b/src/ecc/formal/fv_ecc_block_overview.pdf new file mode 100644 index 0000000000000000000000000000000000000000..5454274fde7c0ec3dcbda6c1f3fd9581a0670b70 GIT binary patch literal 225361 zcmaI7W0a>c*XCQc?fRE(ciFaW+qP|Y*|u%lw%O$_PCxIN_spDiX3dv7Sv$FN?R?6W zy?!B65D}weqGy328$NkBxhi|ipC2BDVF54#?2W8ocz6H|VwN^8rcVD_8$%aU5mRG( z6H@?#jH#Wuiv@s-k%JAu#|Pu=;$&)Q3*!NNlVh2TH|7}D_gZ&O2JEnU7{s#V9EBl+ z6mAg|MrkQ}8BmM}M}vkih|K!qACkvWRoQiQZtW&H*ccFTV_aEP)!4Y}!*X?gcd5U& zxAO6P5IAP518MK{e$Lbh3@BgSh5uB^!NY$FciVqiUH0PsL{Pg8>iW z`O^GlDO~ZO%2Sr3>i)_XeWH~M@3B$3m1Ep7T!qm2J~Y*ZUuapJ=4Pf;tA@(9y|Hnx zoH`{}%3jC09X8Jacg0$OtV)Ee?8-HGu{u6-^;*%zQa05}Ryfg)lH7E29*4VDp>aiT zX5hx*Mj5}H_*u=EZA+*%kjZ5jYxBm3az~)mJ`&Wlu*J$^~Lz6TuIs#ivmpZ5h zUi_99h0l5b!&J7Ss>H|Y9~rwYe68%KFHPSo<24Vp5w8>PqmQ)}kp*Q1yoLVB0yV`? z^Wf0ugg4^>>GaCp{SxI^>wxz5F0u8ln(Uf%mx6Y{MnWr;iS!6Ben?}NuhO>FNedZ+ zUCm*bQR%x-cqZkGC`=<4`M&qB+Lf8L%%JOw?ARvAMPpaleVMNerM>yri^nI>FNo3S zNu2%F<_+4CLh+Bg1Djhxo;|91;`B}hMuxs{V;dL zb{}|#OGD>L1H;|bRd?@utzC2m=lPG9GhcOl8NLw+N$Vlu)!5kAfeR3ocnVrjy`H_X z$QB-zlp1~YigzewTmM ztVm43jdG?|s|VA1Dgb$^SU&-j&BcjR3Zcx{cbibLxy?d?^N0PnaEA_v+$fYfxqlNr ziBT!jDmR>{2^Wql_3<@#s=fd5XChn&%k2|xIc&8}=&xOyOVy(cke6g{K729Pxs`)X zln$;W5Km(%7a#?%9=lq+t7ov*)XOG*JSneWuD)hIvDNqI3i{ePq?jie>Ez})M|idL z_klTZJPDj3_u%cH)YYV&s_CTsb`D&yAJ~$HkJ&-mf=N{eG%=e(^M|<9ktkObRX6au z{0F*v9?u8+rS+s|s=6(p7a(m0j026Nol7av^7s2zZq{c<_d;<3Q%ghg=!go9Oc&(y zYi<^EuW7Tk0>RjM7hP)_j{%{DAjqs+R0LL1g;rg42;NVKph$xu$(1-hGTWMz?^0d^ ztkP`O8idPoAgrkTVE_I-pGuD6_z%)=$Hq8sEAp&p1M@C<$`8$131~MyB$K-VEWy^e z6kQ3qjT}xq4`VGAE;1xrOe5TfscDjKd1MGg*|pO3`4zB;($-0wPOcO9*qTZU1N*An z1#GH{Hm>jbeig1I3|hTCm4)4CSyfRegHH1@kwCNPX3uAx9M6JiWyS=2MGa~n=ZTXo zu@fhe0t%mBnGyZohQUxj1h%qbUGT#t6T!*^Ge{kKy zdfHfimq$5&?11Zx#`r1fPi;@)rY0rY$T=(}P4fwfLxa@l=>><>7LoyXfV8}p> zw?3rCyMYIU(sZZIY?f8^)!af)1F`~5O$lNGH3eBo>J@fSGl<$e6OX6wHVKKsErY3( zc$6-?1ny108e=R!ZhjPHq*G1E^L5C(m`h6 zItG43FT6rLvLa1nH-ZS@T!5a2C*!&&+OzpNULc&g?GU$-k2_RuFHz=k-E5_G#+llK zt^?vLhxcK0#>ZIAnA&CRl2gi6tRTH)WDV*E9rN@?0jrria9E6NvXfRQ-qBKsN8XQFUH-140N?et#-N=_G8g|Xu1cBl26MP4M^J+>sP`iib+`vo=e-1ii2ljRd){^C zOVIBV<8J4#Mh+pO$t~j$d#M4*GY*9HOT41{U;s?6B~TD&l^CFX5r|I^4PNjq(Dw>7 zJ-;R@UzR}idM@Ielgmgf#mJPLR4q-gig@1 zjf#uc;vU~%(rXBFe;Pq2QN|KWD3id)1=U~^na=21a0!O>TrLA@hyvsRHjG%}(EdH; z+tF^;Hq@IbkZK=8CV!+O5E~bW5+}&;c3yNd%bD57S&a9i^R~;h=N(Z%-J@olZ&8Rb z>9}kS-LC-r4chpFG~#t#cL50p>mKoK%opW2szMdkqWYtt2`UCyk%2A5?=fCD>)9=D z${Ld%^2c?lEauU~#FewqkE0`!BVXmudgPxlxY-2EEK)!MAN9LDVc$YMbkFZ1T=x09 zMpLB)%|F|GU~@&<_*o0qP=1VB_}=O%XB2VKMP!~Gcq`{4Bf^|K9*V?pp}kxv*UW+F z8cOD0BRCw^@E)Knv!B^p$F%^UgGrDBKHW*+4AK6gIB;5={3|c>Oi-IhLQz|a7=>$S55gZv5GZa*efMH8M1^>Un7wjL+AyC1c64 zW_gT}F@l*o-2BBTMqLaapn}qH2vGG1R$)3EC{FREymZ;bu*yjlxmUb9#%4 z{aF46L0_@-gp96bdS6;OktDgk>Bk(~B3^x>#utk+0tzr!<}QkqZi0?Wzv$LxVn})wY7d1(2CbaH zFBW685cOoKRmYjc6{>8SHJ2DKw3#bSWhR>1(C(_yIYLZU)v?5kH<6n&p_V=hqgl84 ztn{=?rJu311fKJLGn#$Mn$Kj`f|oL|PLJrSakz~tBb9w_mWt?^rZs6-%MDJ}QJY4s zuBubz-8ZpaY6)42f>}v@^gtz(PJei6oS?tyRd$NGf7z{txT5c{4ksSKHWdaBVe+5y zq!94^^czEpMoI3Ak`u#5=h*p&*UoRv=`-iBt?D(Mg;kFjEP@PXPe<~z5O(cpZuMIl zi$UKfU<>(!G9iO5KY#3(9R+uGLW_`neg@g$wCA@ka6&$?jymTHGzsN`@gA>>(Dwl!7vy4hB7~ z9vTXgfV?klRRMkK1cAYTHV(!ig*hZt;c|Y^95$+O4`*x-I=Y+79FucN04u=$M?^m8 zGZ#-g0iPxg7!~yv{vH|%k(Ln4PSH!O)dvWoX9Gnm-iwxZh>oz_<{T)c*xCY2@D@q~ zW_SGcRs9b&$h}_Qg7^~fDm~3l&(Y^h1!22Oj5=5(GqC z=0)9@F>5vzArhtT?!t&Zs{s~Q`#Hnah;~~ezLqCc28`1eF#1~mm~f~*rKQg+RYUOZ zI(p$kvXFfejEW1Dw}`&yr9azP2TUYVKpM7J*=C;%Cs_l}r$ZPey;-X{JgX98;Cd+f&Fpd9Y!Jh)=2;mLy$?Gifv$}_coeLJWY3_y4wY4qb&@A6o8&~ zd_huvBjh!Jf0F#zPA$*qZF|s`^eiedg&hOHd+wxrS);Z|9(^!n4Nx&6wv|JCd5rHaR4xW2Tp7wIipZ6O2dkvJ&-&D z`tJa4S@#vy)rqp(uQW1?9uI`~wOzd)XR^SC8D9&1ag(%=ccx(1;=*YupaqR0d&Afh z*Y7dyKT_$IA5z4d-RFhm(Jt9;%*bw#_Us0c^nZQbhy40d-xi_jb1(xv)3_4!Me(kM zk^B}on^8KvuENcKYGj%2Ui6N9zqs$C^ss21u!*CFQ>7C8L?Ycgrcx09<;xb zva7S#ylHKyF!$<3HP|d-G`_kv{@KbpZtRE&u%r_-k;D~y;mDSq?e~-4fM5I`R}91j zmk_~K1ZhHJu+*k5`O5+08fr2v*kbUW=i_8mHQqfyXeH!o>&Tst`nud5##j-0s>mmX zk;qdyRQ+gqB`K0*W!P7qvaFX{IJq3zH>ZN0l9|ty1+;CdP`b~i!2$-l5$%&WgiX3t&-G7dn?PaS4LqkCzLpG3@x{~n~#G9i4 zN6O@c1E|p#bk4f%BR7wS3;J^^Dr!Gp1bEbxpZiGaqAIqy-dYI|T8IGYrpi)@JS{8A z{JNxWPI`|j8OmzkZ}5sWSyo-w8cID_E#^b|>Mf4RbpY6hlr2s_fAPluQeD6AqdsG?yDd5UQj#x+r$A+S$R~We<1O+)F!&d8dOR$bTrKa*h2;f;V4%LJW65d?|TP1WFGso4LQtg4b|9H-k1 z{58L35qanN_Tf7_ncSAE2nVYJfIB7g*GU&g7MCnEF9ef9e4&x=qtc;1n2_nhy~kL0 zP#_Swt88&!>fDxduYm!Ded`jtBd4iwruA^MNX5%bnd>1$Low(1mgj`5jyZ=#fhJQS z7rSDe%^@pKzt+fEG|n@hfdwPz~ZdOVBvaEA-;MnwQsCr8txnMB({K5&FUh}V`)@&sd)>znaqnT*r)6E%YSuL3x{|$dC4s!KAC+G#tG;QJSw#=3|mvZ`7S6=r6mA70zA!LdfVx%_eBj=-@uN zbYK6l5(#INBpD>03x&?3>j#6pltq-XAq{>D4}HyDie1U6@wV1EWV^9)KPA+a(=@oI zkKzui#5!Pc2K^8d^2YJU7hsF2_pn*fND(vRODtN5J1-{TX-ahCa;CN-knr9;9lkKi z_bmgeHpkk%aMA@~PL`3$9u!rPk=>yax9Kr2pz6(KOrb1AxJNn7p;D`@YU19%oH(Iq zt;%*`#@Blz^i}%d@zvyir+|VvAHW2Ya^;u>x`WU=All|P*t+`@GB?^cm|L~I>+^X| z=s5TB`VRV93hpIn!Jmn;R-g730_*<4u4jTv4n(AU4<|TK1=#r%t^F+VIQ`wR_u)h4e%GMjko5?ToVa^enmKWstzYXJ%C4Z2oCfE9}7hBfFAp^C~o=rB-l(dT7q z;^+&umQE%VNCuC!iA+HDaFRNLA-alcteX2`#y%4hh4QaP>jP{!lS&J`qW(3b8%-ZI z?yZQ{vW1=ODSSz~HF2fR3r4Upx@R=j1oU3pIbEEZX$M^)bwyRX`B#9>$aEy33wkVk zTxZk{@t`4HR)Vj%?jKa+)h6>zty7995Yj;3j?M=Sf{X)qzd}0FoxH*d1%F{#<1J(tckshb5-r-zvCXTO)urXOT3h1Zxi%7XDYGooT)o~X{Z zA#bZR^c^`HtTP;<61{q+$<7GuhuGxEx*3iNtLt@oB6e}eoYjO54PGm zrTz+Tr}?LAW)b;M^;x-U&}m29rUg8GO!$o;cXxBYT1+Pm0T=A`JN1Sr#BF8epT{qs zJx_-o{c@yas-T^Ks*?lwO`2lH^pRGig_Rv%dgqpILbkahoa9knU<5&Kyb?HvT^&ew zB8Q)S((Vof!Mt!l#VAr@vM1#2`D)CS-#)=Wv_u^+mNx?AbX>cE8{A*!NES}x1!RWd z#ZZ*6Ghr^~blTgOS5nS2&;5AQAZrpCOn@tr0+K6dCI8&xm=tJB`ii^mCTvjRX7!-3 zw%|G~*PwNOQ;_YYP$i)qhps@>&YvHpiuBC%dU4}P*k*HA*6! zOPHNJErV3aeEfa-X;A`Q1690zzJ)(aPKK?AqPOh9gEK?<2g^)sE;Su^zrw7wJe`f+ z(`66FIuQE!pD&vG1GbvG5GHg}NWwaj*iC+IPZr`{pH;sy;k#{b;(yi(O?x_@X+^gf z?gqyOY6sb=wdNUjH-&2B08`lPMw`pD3-3@`I|6;GMD!tsu$YYk0dc{jgChSjIe;Tn zzf&bgJvgqcR+1<>z%?w>MevUC$0Wg_oD>lmY85Z$7CG9BlsayqVxL)d@WWZLaHx`p zc1_NcQV3S-58}0^IT`IM3&z=LYj|MRUj~tVk4%BMp>y4iCB_b*RaRmn_F2XT1f+EBk=3eoZ8a_>BMq2k)H0 zvc$3th2OOM1KW1$p26n7(+`Dm!gI1rk7aNgy8c6~ya8^TQxQ`Ltdah=l$nkG*136E z0x$}Ugc{|oELn0W#?+Rt6WSG{*Z=xXGUqpWdIHf1`&avMrgq3?G%0I@*O&p+3^@{W z+Q?E1YxuY@DXunjHm2YOZWPN5zaLw;4Z*g6sd+A{WRx-U7jGdKs*?EMa36H=q%b<7 zdELg4ABVJZgAV$}?V?F{{!<%yeJp14P>DsjDm6OXE)Et}la*$d3fwM2bn(QA*v-O2 z0D3`j5)%##nPqRk2jzrk#!>+(>XyjAUUf!iqyow?;S>{=6g5|w@F8v(Gs$2HkTmoZ zRK1E8S0E-`S#}GIp&~ChK5V^9Z$#>*XRDoh+ZQFsz4hI=EK^v)r(|1Ob@o2?aB$Sl zs<*UlTCK_ii7OzxxEC^c?fN4^r?xIv9+(a|yENnpTdpY0Hd(d5xd)A9uD#>0<`}NS z1tFpvX`4|m7OoLiV^}inH4bujt^eE~w;6m$jjdEJOxuw1!P!&q%j5TBd~-pDkjv&d zpV2}U&D)_$1if`&L;bQvgk054TD#53Xh`zKb!}EsE3MF3 z^lH0ft7tLl?S|UAwF*o>M}GxRb69d1!61{cA$mK(10=W?c+lP7I8@*s6q&ntDcaEz z^_flSOugFe1V!>q&TQ5bso-_&-U(R#vFY=*C zg&(cB#ZgXBy~QAF6E&jvDHB=y%UIt$a5Gi?&Gr7!W+ypF?RmS~mg$&&saMu$q z*1q0{$bqK8HajWwSUO$xhkgAR+=-nkJ`60>6M{(7VwPTx{Do81oT{JAPcjRWFUyAh zJNKLlYW4D8$@a&M4bsrm&IilcGvzlXQvZkFgtlR^5VT|5-$7wF5K|8)z7Au-tDj^y z?=l}25e@TTH^VaISrtmG(MBYd^66kKRePVtA#AQEi1eubfmLOBxrv%Og5aLZh>`a6 zWEg(1*EW<2%Gv42(cW*RqAKyx`&`E8FkN3@)x?z(^}T{juXb#u_>mO;k8T#}lCYg$ z$x72ja8#iZb$30WrrrHQcJGVMAc%b1YK4&OwL?e&mFHto4pGO2AjqB2jhfFCkV%#G zR%VpP7!2vyg2^X6^E|I6?uWd<9MxWj^oUZg-&NG^( zG>-@Fp212Y#)G%Mpj@-$2{J%eLeGh9y6STyyPCsG`tg{*G7JzH!8t{wz+S5yJa^FTFnFRvp<78MlVSP=vs(0DaiLWUhS515M;zZS(~>KbKp&>1nnG}=9o(XVQ-##_@5X#(Gk%&a7LbsjeekcCD#T5_sH zDL29{7D%EsiJRTLR}+SXT6v5FW#FQt`Z*}JEw0evVDpJ_0 z#0G>|^_`VBkirTpV{mi?kZr6Do`Ip*F_bRQpqjsuZOjNcXdNOHRM2H{k|aLYLl(DO z1igV2>uZvmD0;wd-ClJ(e7*EhL>Ly7Os5crQ=-jmE`i{?hBHEOfux?3_j$uXWHH!q{`!Ap%UvFq%G$3iP|%POe+HDz)v>%yCL z=u3A$-b;qV-a|L)k{Sda1=|z-^!9lxKoJ4Hc$c5t8%@VNYVsdS?8;h^GDk}xbFl3+ zp%bp^iq@@ejUqjkH6`x);|%?~lu$+T}+cw6y5MQ+A367<;h-8bqbSLnLz49)}_c zOMzRHWSaEYBBQFKG2W0*9C7QfWIlFmJI>hKVW|#pZl&z?cMF4;djK8W3a=%5GI{Ux zk22L!2j9cwKo%nAOJB>QG}>~`MLYZ7SueOll|8-D#@G1lntG}Y%W-*;5rnIrDxY=w zg6J&=jqfcst;&Lb^sbu@U`IZq2vaG`ttF~`1tc*b`iIs+28}^58-0kdh7tGO;80Lg zb2!>c!e`qly?wcQ=L*gPb6w<+1*#lnfb@N(z~v9WZsN*-t3U%vvF`Q`510Txkk!6K z>-1{e*rlU;kfim6_Q_MbH$=(9|g&8{@PRM@kCbD3qP_{kj}Fl1!*S1lJ8kDrWX#>A`{j zHjvY_Dg9M{tMi~onswW=2Nkvaq_GKWn_4Z&tQ;|5;phT|-^a;xwgz3BzMV9k_AC!2 zcW3O?tQO_6Th@5Fk+wJlP`U*@Z*;QuXzfE{=qvuN=r>CrZTI1I<6J*L|BY&r2GPOV zqYk%Xw2vN6M+gJkf|S)8b zC6P*=|-@l7TJ z15;PO1Pd7IGeEWKKO9czxLh^6qYu+(T!4t(A7AUA{gP(%K0tT#a>O+N_I>&NcY4F7 z%NkVoe#ikH0(dCWq6vXcAY-=ApoR}?@{ZxO2M}cI9r*FoRTa$b&TzofJ(z>gXV4A5 zz2@-+JMH+&z$m_xIv~ej8w{Fv`DerVlx2-0SD2r39Wsx>9`r*b(H{J@gZKw!koUKw zXvfS&eZ+h0@3z1f1ZTGS%qbsp!qS(G@aO}~n6|)d#w&e8LzJJSoQo7I0TlJ%=z57j z%k-XEozqcOA>8fAb_JftCtqC7y1l+(qBg620Ur&KBijI7B1C;i?tht(7{qQjS^U5z zkGa~wnA(~APx|Ix??22A)BncqsCYX3gLM=P&HwA`WNPOEVE#9(1Yl4yb+&hPGB$Mv zu>L;*VS785f8)-8|FA#*CditaSQ-l1djPZ<{{`5%*Z`cY%(^iDV4we~^Pm6zn-NlQ zvNu*XbpdGq6B7{wFsPV%xBzqk48ry{_D;$UhQ_9V|DZ&|&P)Kd|5~1p?;lX~Pw~IP zDk{tXj{k&V7*teP0bKt*<9}%}{U?Y27L)szB7@+6pd`D0L=u2O#MI5w*i=be=>Nr% znAw?_|Igsk|IRAqq`9joZ(xMa^>FmeqYwkgo^ZUN0gK!c=wOPGk^u@JVIkyhBH&_Z z$W&@3D*eFX;l#$GXt2RSMr+UyND89^iXx&XJ2BSKcQtH}yIEgjntK~(ZEG9mcfM<# zKnkBhV1q6izyYiU8fdpy13DPrW@cdGZz3SepFO;pndK6rO)t0f z0VZ8rw0#QX&Z|HEiNqYjq!2(bqATn?e&m=ZASudCBiPJ8V3SX&RLo&a%oqjGh1JAI z!*w<SwJVRluJu* zzh!_J(K7X?&&UgGBAG?eux}$DK!Bi9hPk?(5TgHbS>ytFl$sw$fkjFhl_IZYSe~4Z zo4x;OQvPeiM3XWkdl2CvGxyXC7srShP-mYe3QVSHn`x1FQ-gPNqq%x&@wabdkHAm) zi+9}XL-h~&^<1uCOs?jh&2=?H4+hW+sa7_AQ*Wv&P_r$Bz{x?i{2c)30UW4#_xB?~ zn2lc2@F5%pZ1nZDjWckbH9gHa$46d}{bXMl*K6j~?S~q7DJFj)i6lCUspv^*S*f(h z^fVko`kcwvE7H$VKbPgq#1QT8ynf6-q35cK=PA%3krrK{Jb@Ys^d>MN{woLmSnL## z*nT8bX-44PKuf5g zdnEKi!O8@TV#G|LRU}+3C{JNQ1x_^35#h)Lq$GjA^W^1N)WMYn!V0`kBrZ6eP`bhI z`H=GfR){ZP-w@;skR?O-b)eROje2f8DDlCmeGw1z-;kL7TL)6@7<>qAgO~@n_dv9v z`h&?(0^?Ai3t}2jxPL^15;RDGk%_DmiHkuhM6(hQig7z)B@!KvK_S8m3^TAWMzN2= zHDeBp)C^aRq!?E+j5E|^Fi+XdkXQo$29+4CG?Z#kRP(z*vmkhaq_m>ljfr#H|Zyq=5_Yfsd{4x=D!qODbldmPs1L%_>Ch;qzP?Dp`OW}zM zZOCy+bqIJ0cnX&&!I%F#=qfWhbF}1pM0}-u8N`!W(jU{0CTfza60K9O(!I&#Q`XYm z)Ai}|DD;W^rM^o)+d&iu1O}7?i|W-1#15JVc|#>dvkFsFf;wxjk*B~CR<1x}5xlu@-` zL0ieGw5^n1X0#%=GPK&T`dFc_ik&N)bI+a2(P#ZR{tUmY?~L?nf46!by5zk~#>v9z z#lgl=#Ytx!Wy8+0%bLhK$vR^lYqrpWZ2{9d(K>6FEl?L}6>*Pp8n-$|{oy;(Ca;gokxY_|osd;tU*1(Q z=$vbwgR?%hAZM*&>l!Pp-`3Qu7F*5d8sZuEDe}zzO9RUrtr4Arv4f#cdqb;CcSTF6 zNu>$??;d?w|30)ioMo+R>^l}_b!6N$Te@@FQd2><=hk=Q%blfLzk|^w*ERQo@Iw4S z{=o#v8}1R_gYdfU;zrpO;=6);b$-x3`zPiIlERy!VM(%VFGq8hY8HOB2o?_W9&?!v z%W1*!pdHUCk=}$pM&HAsU|Dr5^+@aLeOh)c_RMYAc6euMJ>EHg9;xGUJu0PsLp5Fx{&KB>+!28ht7uv;h(G#i{Q`nxk0JuPcB z`L$=es)wJ4I~bjjsEF`zmqh&d;<$KpEQU0OyV!*Ii0F#Atf;qWx~O!z2CZh(u~>{& z44{-3gAf3Y+t<)mV2t~Nn`K+}<$0GAMd59uco zb+F<@ruIp{!~FzoEx9ZFBk63E<}CJ)?wjdnB3ODzW5|L?`mk=Kv1F|zy5tdvykN_| zYoq={;BiGtLP`-8OT|LPjAcmkTl10-nPF5tjV=o>MGwOeYZ0Sx=cMJzttx7vz(S%7 zrL(44S4P~o%(FxcX*OA~CTx>cF#t? zs6$BcpoeZ&8^LD82Hoan$E>NwYAc;sZ{gW!>M-h1o@_hmYERQw{qN57OUO-6$xF$H z`@S2L`}WR7=c7iZk*40peC@t&&B^pb;X_-O`gO0Gp|+y|qGh~Ed^2--V~_}5t^X2mEa(U%9|0O(xBvL7f_06c?TF#_LG{qwRpDi4 zpSi!jzsQZmpTs%wQ1Ozn!Fbmd?&UR(a*h=C!PunHh9k!@`>*|HVQ9J)7ZhK4FRk-hQCbD7+pCeS#q5^eGTwU^0ZTdfv-ouU**`u{ zF)x`}O_S!*bBVL)zq7gW-2EPeMnnHZM`DKmQg`9&Y(8GhsjaWwoeE5^)BDrmRI%0b z@u`|#WnRmr%k7)v+jA546}w8%m7Au2?Pqz>a%bCf;n4Jzc2pDB3+{XNuKU5T`_k!q z68DpX3mOgaeoNo${iC(Sj zbe+FuFxM1e9v{{&%}Szq=3g=Yt2it=Z|`Ysllr|KDu?$Ibr7m;N)plET75hR&uYfd4Y9N&wye zHp>6J=>IaW|2LYRoson2|4Y;BS~qQvI)26J180>subl=(j~Tg(jwDhlqjSTcl5sep zNK%c(s8V5GP>cTbQJ+1z%)$19ME9f@3t?2Xy9W%&xXa7GX6CNNpRAq4pZKY}x_Yjw z&ouBf%eq=j*6yiy`Tp(mr~gs-Zt-~-k$3(3P}Vrwr~7wls<8rb%!)&gPc(<8?ZePvSSd)RdKPoS}`n$dK zdE2|}NSil^Cv7LE0}OVPrF0yK_EMieQ`wDtsoCNjrhN?TggYsF>1}-sd3!>Pm~4|m z%x(Eiwo1RUb$O-ElXql0YTV=6os@S{KAaxkO4r!|>$@w{&a@nPwT0aQzh)HmegVfT z{zLX7U{M{#xI(qXs=S#mtMt-eRhShV%SsNZ+{_^T<+8(Mnw=ox7)Uw^E%_;Cau0hh z3~TwT!>6r)^3v&X2fkzr%u@J*Y|r>p$zRSVUSIm?>vcroQPsjKzBUmDy>HITV(+y` z=|fv#MUZX&?fUm|R8~V5)yvyOg3z3(Kc`C}{W7sH6cgUObUtAuLb)UU0Xc`G^Dm_5 zFmevgvYo(dw2qO#)sTb$Reym?jJ&AAU~cIk7fNzTbG?_aQx%(ayJ;ESyZfp-HkOYl zw!&of$(Mo~8XtTW!Y=`wKRB)O6@M$;&sB|W2#dwJ)8=g!wHNGO&=&iZU;BEJdl9+e zUi#JERb24o7jCJN&+yEVxo1Odad{+Ur?&fbqG2z7`)={HQ`!GG_0bpZn)8+f2FFwW znXNALoB#Hg`4Sj&zc3Qcmf#KYPkznQC2qm8LxU|5t6INSUa>$t-|P6?57Pg{pMyP0y_00M3;SM^V^q?=2`^wqY} zBkd*~Tv%Mfg9deBP6=s@_#RYwSe8)Dq!QP}pb$c<5vz0%a8k47>CRKmhPpphD-2J+ zUbu2@`J3S*j4#2&DoQDaqJeTq!66Y~^Z>ivk7jzqgF#?*h$^yG9PENu=zyANO4Y_E=jBU z2ZUrjk|`&MR!dBvGUV{Z0Y^c{ruY5uI}}nmRY3@nnY>H#EKM`0w1!bg6>`ya-}Pi( zeUwCV=$4!HdVvJu=X2M6&XHq(UNsdEpXa2=b0=(O3^VaqL*1x4laK*l)+%CA_`9?j-h(n1{xAmDITgh}r0xXf#V5IiAf z6Ls~0K4?)aja0bFf8tYH9pT)7`Cye~KF&^Q=6l&Og8Bz(L8cj59K47}W(2F$E!e0c ztrJx3Dy*hUuAQakeRCrh3lHY)*sVg(TzDbkEn_#JBXY5~yRrD{plM?U&pKzb|3rV- zjy9|(^ql9JOHK#+2sTmV9CWH)QtmI;d>KYJ0&!pg>RWjD8%AZNYhOt2C1ZRni4)AElu$XyL z&4Sk(r-A#RB~si1e32E4EG#K(RaFc_r;R13WUsQQm!S|?u?SyjKPBNJ8^q{8sNf_i z-3Ww#jSE#nknsc)ncj<;e+`-jCn&OqjG8APX93SNfCYdXg11EOu!iHN=8 z9heGlKy|u7+b#B$1HhN_e1jAcJ;2Tv39J`)&C1`Xk2T&z4Z`qpPswnmxdSb|XySDIN>}YsG@pamOmzdircfuE1t&Ke6LFW0MxDFTElhhhBG|iSUMukVb;fcbg zdn~m!1eI)^e``6jII#UMf(;`g?Cc<# z1-2HvvAA;o4(-+;@_11vZDIPllXFME@G~;yH7?uVYVj72_l|a2x|pc!zWOtQ}GX%;gl6iU{YK_0cc zkD!^8iG9@(74be5%>E!JL6vNsTJZyJ>MlBksZV4sn&n5MBJ^fsi;=eC4+V+Ek9&WY zROes)Q#kUkypbXZXYSEi1iDWELwnj}V!i1=r};r(^N1uJpU|PkTqa1_=t;0rp7h&| zlt-R1V*qiofF|jD^)&ke(V%&o9|%sj|slSd*$TZ>qO-kvbheFo5(o*Ssv>_T2{Lc^5xK7m2@(YKB0W(`A>O?nO7lvj&7@0 z>F9;t{@F%H&m*ruH#7ynhJ;1r1H?%psxmYDJyiASIIfqktNnP$6OcbyZ6_TQWo)uk zpy@uVsHkD^v6?uHK;<9!7sqi5j9HiKT%GL!4~vow`9|BY>qfiufjrs%or{|CHvv%D zKJ~1W&_FAUzo7Ad$pV?v<)RfvZa*?CG+M0L=MyC&;y=zA*^{XA9OjwopZ@k&`$rx6 z-PJUhZv3?)x|G`UHG4K_R>a-Ri;(wm-;SZ~mHhFDmxguT9CokEpMj&n7$#ZdKI~eG z<#-H&)#?-XZmAd3yHeG8?A3-V+eBrl5>7v+Q)kpX{Tvj(_X9zi)-hG1ZkkP_NwFaF zjhw9?cbCU`sk^}RdcUQBLR={JZmT`r#C_V;B2+nO0>cMdY&bh)1Q zC(k&xPagBSp8o{#4Zi)H?iuug<9ZC$An1m>kgR?Xazb$-gWRv9w4d3nn5fsB3gk@w&57(203YuHY?UDgy;0z*p__OX6#;AOH)S!(h zC=3}M&@gE5K_gVV;1Jn5-OtOtW~rwyijRdp*69MJuW?V#d80$ba|oLfhj;)RAchH9 zPt?7ZF3syZ&oD$wuxx_UIJl(GL`UKb@(0_7IV2p~$2{y_wwptk=j$f>^@+2dy1;k> ziGUZUt31#L+eKw9Mo$K@h6)_`GOKL6SLBIpPOGhs=Rv4gi^P zP{!&6<(8lRy#5`Xn}^fFAxJiMZ>%yqfXvqZ{6~XGt(u;&OkhyKES!;ECy)?Z=QrY- z0bU+r<*stNeQ>qBj>YuH#}5!%KFcd&P>WhJVJ}+y70dg& zi(DU8{8pap`_Zp<@=Dwb0K34Hr>EWEI^;RU@9&gi@l8tDAu!6)SoQ!&3siF!w!yk0 z_s{m8OrI_^g13$w8TF{xvFPXnU}Gtg`~4q(0MO|J-5{u@Y|_n~AXp`z*;#-MfkAy2 zJ88Yi+SK{*XIuzrS~lv8G7&J7v}W}WtsNy4VcJ0$5`DtO0wpuRz-VE+{~_WB9m@p? z0Az>NOdi4+h-;EFB(&`iu7bi5qsSGB>%!fA5caReW$7IVPh%M^!>)$P!I?s>nFG=> zGZfZfxb2K^w7)W_fMMl+(%kcVt$IkE>5?4_r&G*6Zl=lOjLxnwqwUS{d~f;*i=GlB z0PBz1OUWqoV090|lIzncPp81Tg~TU?1Z`#?+nD_zJS=9TVm?G!xD&K|N&pf?f*M{V zDrp&*eC3|h@-K{oBO>b#^yAaDJG&5R5nW110=k*en~xynvkW{l)W>pT)*+1Wgm=~* z+W70l)uA;7i;Y8Ae8EdHC7#iVR85OaW_*9a%n%};c%o%segp?DweW?WZiEzYsc_d} zp9~pB&g}=R+A_ieNvpO0!P_?m39_wQmTendwr$(CZKKP!ZQHi(>ax0Q+f~!&#@sjO z&AhpB=f|6$J9B@T85tRod*NG~6#Lq8W8Mg@aRl(G{jv>8&EEzi4`8NsO`*oCw_^gpnkPpOX|eV5~mAIb=STOOYCL{cuzz9aZW_n z84dI}F5j*5o8=>57uQT#-|}@|AHCzdfg(*odUz~`peeTM#Jxdma;8+jftMHaUVUwJ z6vkO9D7p2#GrUf;Ogo}3OK>DUol00-8+BCT83J899R?h9^qyAz)n?7D&{^h{$Z)V+}(i zgFN&6`=OEeOKW>kli#9HpyQXQWA8L~H`P(=g~v#eV&l#u7yN+{3}?MXdyXB3S-nm@ zdk(oAi(mvfR7S+?h(8#mctr&*^jfVCXVqnQ;tC<~Y?*_waI(JTApW%eS|Es{se;-( z1Lv@UgAMyoo#tL&q`<(F2FMgx6ER8qG#`Ny5-ThfV>SNr2_nqsqmFUgw<)i~!q-pH zePQTc{`wW1_waMSQOhXj`f{*Jr)ALR9zf?MI<(gQ94Td5H>i;r3sml4r8KJ6puG~7 zM16lc17(#kXjW(O8l!DO>wXJFjYydmM6Hy_?jZubL)!-XnVq&RMW=S&Ku%bhQA`}? zfK&rS6Cffhx3m6(Z{tSA{sm8#f0Uy@v@QriYIMO2ogyn$fwxzs3Qkqxgd(WKn#I_? zfn7aW`>5nL=3Sn(N-No>r@TgkTahG?@IYiz74Zgumt?{vNflb!6bD8| zUMg+9p*FeXqL5C$<-+t%bMKoTmJ-J^^hlk0$;VqBnRPSRl?4V9i&n2illWG8f2c7d zm|;73nA+al0sxrxu)6a-M+{iBqt!#7jEJoCNOmyandIoBMVxjh$S5|n4rU$-_m)&~ zb#gRzKB5cIPmDsp?D2#F27Vzn@2BS{vTq*qnaAs0wG8UxpjPVd?(_o0ALyQ%WdJj^ zJ-~8Qx82+kfVn@_@rMu=K-7dYiTOZ%&82s8FN=eLw51t;y5QaCly=&w zA4h9Jh3^!p0StSw(DNtmh&?JdyH$;#vYU@{49le{mKm!g-(~PZ3mW?1rkPGyI2&7^ z(i&MHa@lL@$?YYfB=r(-<3`8C9LCd7k4q;=%!EudII4-xTN)*v@X+f4+(!d}XU?=e z)RyoKl#KBUZFBYIfvrm~WWYq!8rXQ)aWIA?%>0dnGqKzzb`Kod)&8tlh*#OYcW64! zQ~U(Znmeu07WA}PLvpX$sD5UF9}dN6VCnoE({gZNrb5TK3nkTj&_zQKkYnF1y3Uh^ zxlqVec{X2uEaqLh#&j^y)!p#Noqt2Q><`tf;1ynx#DYxvrHE_adV}}f`IGu5ggN6( zinioM#%lPT3KHxbc6c_Om&$7JkXZ%x@2-9t=hB`5?tI;vkB%gZ$bs$V3sZ(Bq-m>i z5f!xE0kht{Sz0ewboO32sC_zjG|&c5=1$_m%2c|eB=nO4#3+?c`IY+$^b5`AjI9Kx zP1%?S^B@GCI?H*~A@X3$?)ZVjklF*5y0u@HWbewoT&isrODamM)$5`my($}L^A{=F z)0h6O8e(rnl-KP`O-uU?db5|itIF!w70*uZlU<*eTb}K$waX^02bQ+`SEp3Xzt8AU z)S6SK@~x&-IM*6#6x}dsu~aMPCmll3Q?*>XN4;v+C3uz{%{w#fbv%!M<*(zVwy(?? zjoO%vw_6^!B~vy2u-!t{%-1YpATlCNV6&ERtXapjedKngGnRR`bWm0bCK8C!%FU{D z4bgIwwBAvbi-uilp`o*5vDK2AQQ;b_=CU4VI#1~N1!mQ;J07L>XR33EnwzrJj!LX8 zqqcTfZRS z=Hh;P^MQ6V)8?6`xn6aNcQeL=Dn=JpEAO96z_hZY-h-NwV7i+)9-~6#qsh#uaE?}H zF2HnkIPWA|F?bzQ7+ZiPIxWrIQ0}(%RYuOdO4g&StSf(M%dfpqS2YgUYoA2qh@?Iw zr)%ANIIPv*J^PwqOK<<5Dgnd)t0#xyf0}Uq!<6$64dHLD9H@V|a{f4S{&ME%{3ROv z)BW@J=$`)uTh1Sc4l4n}f0%gwcH_SsJpZ)l{414%nSqJpKdBte+E%eB?Wmtudb>Jk zWpK_5k5_2cXk&KHr>kKMGLcuFkOQE?^fHCFFE_$`sM zUvyuMcCn!ik19*JlG`hf`8M7Kyqtu{({ z8Oy$RHfwk@)eBEzHt$E9IG*1M`PGk|-!?W1Y@Oy#yo+g#B%Zlmz7-7ZtbCgH$^k*K zC#2-qSB2Yk`l5%3%uva>pSSjw#l?JQPjj7myss;2?k-iHzM#6wK>lLe?=76~m2zKe zODdqcI-f_rPs426S6(Xbd;K%}*KS;TIo`MS$DPZlv94c%y>E@aPM|rPq9_}2o4}JD z6ZO>R9?ZG8Za#W=z?MDi7hdO)rChDx62aY6kWP2Qky1CV^7*J;-uhS6zmH+;%r0J{J+~>2IwuR7^ zM}8irOS$A6dD>2YCoSdYzT~2oz@})p5iMBHEKx7`04Tyf040>FB#>7OI~;3rV>RhF z&f}RNkU0ZGpvlKb11_e{Anc3II0vTx}Oi z6LrGXn9wA97qM`~KSCh&J1qk6C%lc?9HOE>!rZ~3yu(tkPX8W&Lzc*72(M4PI>XEV~8l<>-_0~L9w^CqY2Wt2+f($s{cP37x<9b|% zx~hvj&2mhZvDJ(PiWN43C#om|Iz&CZT0!VnNE0{>B~TP$EqRcMTu;ECr*RYj2N~;r zN1gQY205WgaJH}F%qv6*D%`?WWd&gDPU5_}DHJ^;n79`oV#G*Gs#XaMjs1jhoo~dGDg;n znLO*1ZsM%=@Abh3{>TL;SEZ~cW>4!MnSE$^v>+V=L;2exo}r-9(QM+h<#Dk{)AEF~ zD>0Pq{ejLTQtP$_U3-Ac6vzf7U~oD0Bm@EuPW#IDs`QHLEJ!uOWYb*GH?>0J)-|FD zr6IUeSM3?E0mtL7^DD76nm0F%@yr`6ujs>&?QkR_-P?}J z1GES^me?y25AZjig9)b~cv;{)pqz`NeZsrldVqWZ-Vdhjp8Ku@MA#avTV|&vvJ39% z;uAh(qB25tM20=E3tYP$SXo#TA8*?!9KjULkGATm-l=>=22vH?2;w}3ad`X%>okRV z-WOpNxoxO?(+dr5$~GL4Mfvohii!-8+v^*kP2Zo<%AlSVH01ctc(n#Io1LQ9-g{iF zko}UrF~yac2>GPdnO}3wnrE%6k3sNX6`w^R*c{}*BQejwD0rrDR`7(;Gmtjo?Bg{1 zTB|L>Be^>`#7sq{MWUf>IdMfh45FZf+S%? zc*MxpLDKp)E&O^I>`GN0mCmIV}-*W{|<8 zr56fcHN?g~4Zk=D4DWZ+8+mYM1R48h$4UaVV9T6A<-Gs?Et}YZB(8YeR(QP2Izci-gLQ#pxXU zps$9}M0PwN0KPq~b!x5R1;L{8yc){0qvi&CEPVINQtq6lwAM-LE6>K%w3OX(L=$pG zVwrJxbXsk%B5m|5N-WRb$TAJ%Ob0wig0S2GNkS9daEmZgasmli)H)^cFJ9cVk((~A zA={F7n3I@=VN9PC^2JU|>d}&$Ua|e59hd8e>Qw%!9i=TfjqWt7%)S9H26k>>8IyQn z%~pEicJ(E@V~SE}Y|1+Vbj(=DLo$TEY)wZN(Rm9sE9s02Rc^^jmn&dTLFa~kcvwzd znBP9k)8S0z<;+g*@d~1M!N2enSZPGV#e)O0W)M6n)pkuxW-rke<(6;Txv;ULE3g#< z#XqL@%kdf2!s8}8(8!6Qv(rZNip-*&>I)Z%wK9Z;REPxc&57apt7Ro{lIoVUsOmfq=5dAnQj15ag3H3 zyN#R(Tu2O)1IbFSYv~1V-?J`)kgq;!2*slX?j=T}V*<1iLazUnt;yeJ{Aaul|;w@}oboeg%m*h3lphX6^@~5ypoycoRccq@ouHjgg!O`MmOLnr;lU+UD?D>t__H8RA1pj0M&0PodDy1n0P0eG8YvzdOjmPv=jN&_0u;uu z63d1C=iad}$?(8%N>Zq}A?vzL7$++iq*LsN?U^mRg5;508Niu^j)o;Lm@&$B6Xrd? zb7Y98L~)j9{s`ja|fo!pZ(a8@%gATge9n)beIp)s-pbET2pEZ^jx-PJ3Y*(an3e`pH|sjUPw z@N=z_c!j;xm_R_*!XGqKA2~g1(LQl7nx`}f7Ab7$vaTjT(?jeUCSGCycon4br?TN) z1|IE3`7z6QMS8_8G}5?{XG4J89_4ZQ0kMWD07Bq{Q0bwB2mQ!gPCFZK2pfAxVcu#G zDRjqLQ@LR$D`O_q_9g zuoQv{OEMfyGRt9%S#91<2z0QrXB>$n{2`nEx>Ow~2SS}KR9fknA>%~ThvGg459f-e zEjgtwbH_s!5R6PL&To5A3gbQLh&_7bq*q=Of-5^u8K`)Zk)ed)OK~O zDL7zP5y`NaLiv0RJlasdro%cFyc@h7ExHCrgKmP9s0h&A3p0u1uJdWSBE)NOW3}~j zha3*7Je(|Knb0D08!F-2VDfW^mN%P3D|z%|$zMH5lljHFIFb zrdMcf*>ADcbV~&_`$LD|?Gd@WrCm=(Z|72tt1D_K?`~$mBI4inG*(9fzz4EjMN758 zro*@K)snsHH&;FZwJr`%OW?s1?ZR+-v{K&4e1x)=ao%7ZUN)^>LfjpOhar^kHh^sf zCramXy*!4{d6MFNFmW?lBKkP3*4!o|t!N`yymDwF{U*xLpIBPT!mE+=tD8HHeq^g? z6@oExR-%=X0cWo_senAeoftQTx?<-OzF^yhD*NdLQI#3kb7V(NzU&AemMg3;O9!x` zqpou0HFBj=WLxjWq@Kx}V;VqNPEY>vKBDsZunAr|z2^z#n?!=lapf^Ji;>qg*TQb!|v<7m^M4L>11BX!Vul*Oq3hUoUhkvKpiGlr3!5<#we-SHx(H8#}vGP~t zKlzRSpTr8|-+Q0_6|us^z)Jt0h?OlZtJ=*L#LpSM{-OHLb5|3dvQbux^HqmmM?&(z zk!T`{d4BVG;@;40U*G(*>6mBLR2I*_OUP;Mo0G^L*|RvD*o$~yTE7D67KmSdOnd1p zN~FJcvG0%ceqViGd>`s{eWks+;d_VlF8X>M4{}gY>4i{_2ln2(D3Kj+YP@zQd#9_7 zx8GeGJ*t0%emfM)tvsd|h@IXC$|aJDkNcpI6uY|}>*?H3Ph0tV95-rL>?pGJaF<>= z9_wk-atQZ+ob*12%JK3TI)B|9oZNml7S7Im-8`IB{=(0}{nYzB)cQUG0z_Zh6SZ6; z--BdCdzBxdMrjo65s&>!DyB^1BNl@{^$GsT5fnYkxNPyU8=13ct8jK}TqAL*T<@fK ztRYkWsoqRfUass*9(#pC!1CZd;Ee02%g{jn>68Q=^&`cX$SAsbPitM($$3tw%WW^y zMNSO+sHPSaJW+QcAblkZE#k*R^G<<3jd}m|TmaXU)oyD6HpWf4AE^o%ACCIe$8IQ* zPoIm{r#a8c`gHm%1M+;#jhCpAi7uH;kUzCt1(k}ZRjnwk#=zIlkcEm1Hw214Qu^}; zQMhnYKp{E@Nb-J_T@V)puKd?knUlN_i9Y%#xM05))^{HKF|wNk*plAi)KpY3K#ofw z4mo$!qf1!~O=`g_>4TJx2S86&v#4Z?UBDI5%!Y{vgmhlF2^Ec4o}+t&>Wqdz#2D9s zrk8qX;49MiP!P{(9Q0-|slD3wsM+47dq}>4_`Ms$?j-;|&PoS6AOV$uDU_)IxXa7I z2^}AgF3#9QyqPgz1r2tjDk*4zieMta*mnRaAl;wL68vcxK&h|yQ zC}_Lz`IUg(6&!V(RfM7OeHdVLiM#rolB*Jq&R&?hVLyEbyIZKNme0H?1b)g3Y+G#j zBh0KTU{*P`F&=GbVnY>0w-{kz4h5cPtHuWu!kp;yXOSB;0`rCL$;orS65v962EFxi+TK6wQ4w1@f+f-;!XP!^>Vb4wo~! zl#?jFaqaSLurUF(nbojGKwE2hQ~Fgv-BhEcHkQ4 za(zt*eD$9Oj7siiu$%-WT_jMZ1>uC6-n1b(hb_Q!HvXtKqnq zsb;W2>cK^Ay{-^J`kLB)CIc=|(eO#OJ~{H&Tz4?o0JT`+94%?RYc2WxK9meFYR?cV zFFBn-UV~EXgVW5TnB=)Qw*Eyhch8rz6IBnT-s6p|AHWi{ql|MDQ$=B|O_Gg0voSF} zfJ$5Cxwwim3`qHsOop`bByPTMyONL7kfh3|Sp9}$H4VgmRg@}%KHxzXW{yQ-$pdp! zz-7pa;zGcK2dZ|cBVq1oG8o=)sUJ89!HS@DU^Dgu4A%jxZIDj<2WFn3E*FY~Ck2$Z zwQfogvg*kBzcuTg9$@)#3d6T5FF4V}G^^(zF}0KUVUW6q-9}T|3RXTn>OCkI{Rqw0 zh*@*#c?l6}^Dv`<4zLS=1FbAwQtsJ1PzmwYPZcnPb&Dk6VDi1f!cW}in@ldZ#|uiH z(E^#0$3*9Pn=yl<7pW7dFoe&4!}nMV8|MV?W0GkG?1Ss1!|t#%?{pQ;o_teQrWPcVgPfRUSe%r#7b;llbl3{b*eM`BLzhH-=%h&&peQzE zek@n{RoF(&fcb-+4%b2k6edYAa&kq0g;n(j^)}Gb#U|!zB>~s%TmO-M&d74#IlT#X z>+Yjkz)HlruOAS=Z%se2ARpVK_8O5hhQOG`s17c)?cj&`_q*?&U zJRH@6ccCM`erpeQK(|nC8&+~|{icLGdZJ6qriMIu<~)p8bYuWA5pMfmHoKp`!yx(B z8Tf#XHpEv--On1QF&Fz@IDM)@eYS6hGrL7{r7s(MeWq#G5U&_V$(NB0ZyK?#-=v~R zk3nFCAga>XUDdU#xE7PaT_ZSSH1nUMlU98{#oWJb;IGwWYcHp*!omUX!RXWd!T2K} zrFnNdW{|KCuGPPC_%Se6>PMPG)t7G5buQK>#d4-KigL-37_1;qw|t898oHBX{pmN?+F54_I9U-cA!agP!CvYR0Q zcw8(BY0@WmbDWP|oR;2ba@0EOIF@h`%#oNG*YXN}U}I2=8%@Qu^6b%iMm3`u#%!br zZgbMGAv8F{MD1!5By;h!J_x6tw`T>wv1{sL(n|Q3GXfD0nv4xS9eP*; zF*k`v`Z7qzd_<##K;FoW>md(yg_vnYnso z^aYdO1;ps{Pu8bs;DQmKU%D`!R2#&7mI)fR`huC8Vms)YIHJ)LmCM5}EM*XK9YLTL z=lgNX;3?a@5t`dA%f|6Ba>B9i94SRSwAhThE%C6Z&bqZ8#}5IbySbhgnUjDK>2Mn@ z0)u0C@cXXkq`eOCl3dnqm=y-1v%~}%YSu7cST5%wBC|!dSD~HP({*0O9r5Vq?PRUs ziiwBK$@}3V^LoNipTctngRY=7ljj;G0|bPJj|~#8ZAl%n4kco@6vjIp0B&_I8lQg4 z;>Cu~%u>kkBO!cSqWk%lsbu&!+Af2X11qC_#cjyc75xW!fU!btR5*z*rjs6`J#`u>W{&y7i4~+Bgps;^b{_|G<4JhnSF2(E@drT30FkzA}^KIfimxj+AmTi-{% z*V(Tdr~N;oHujh6*YQdZM~lxk+gJ6qT>j&T=BLArU6yC{q3_LhbzaBz?J8h_(1zA| zbmMZ*vt1Wwb^P4L^G~B@D@j?m8;h(SrWCiQUhK{D*00yXZJv#0@b58hP3%z<_E$W{ zSi4WN*jSoD{NDTRw`uU+^|zM$O!(HEZ+shXr@KrtExwDbqZO@hx$l?e@3VLg(Y5NM zv0k6^ofE*64py^ri&&K$-VK{ip+;!0m+8jp?f2=@kL8?A(+ex+qZ78=RB2W*<;cyD z?p|WnKV30~ffC`>6U128o%_=EkcqY!8e4-Xsw5V?7q87r$>C8`K2tnRGta%v<>XtxiLYM9E+Aixu$i%;<;t~Oyq_4o%Xc?6; z_&Y~qIoqhC7~`w;D70G1H!LVkCs#`M`usOL71z+NmJA(O*1q7rJx{R7as`KKSsMV3 z9xSDde8U7w$)ccLe?R&-75MH@cCPSzDxjP1@nkU!2(g(1*$#wW^?^_V-2TKkVK9hN zp38$A3vd|ZNzLJ+K3rSI-9cnZZ63u>XJBT-SXm2;av(e-A#z03mLlFx8C#yLqQZL{ zu5*FahP9sot-*6&ya)`BYW*d(qZoLQN7MZ)1Z;n-eX*875BM zCIV-ys2>ueMhA~%8}TI71^p5X;gh=dG$A~gwt%$x)(&jTh+aH>Z^*Y%t^l4uP&f$x z6vp#2Jzij@d8?#}oOXXp$TPAvsxT5*)*;~M6$X<3?#KxiDhJ23!*Qn)@@*x& zfXjXVGT&Dk_;fR!q#2aBF{h=yqAr#KcTbY|mo#f-*h>;sdE}bClQYWbSB=AeHUJ@2{GYiIQ}^P z4B&j7=A;6S}fTlQr`qs zGk79%Mu{~q_*ed$?T->Qj_4R3ht1TYpFT`*^p3mfnAy^LSKf!pny%nhOl|`Wxt0}v z3^h0&VoAVT4B-Uws-}GPGV` zt^8)$HID{9(!6F^5_Lh~3fx_Skr)=~xkq6I++kOHhRq=p2b$N;S;VRjX-(cRb%l+m z3314#K$>6oVFu3W9IG&EXBt5(7n0QnIm@W@UTNZyUZ32XrXW@s_670P+mPt2FGkk% z%p?&}^d{RF7irrZ+4Vx!F*OsWhPj()acIiKb`k8+m$jI9gVsUuHOq2d?ctGTxIM>$ zhr;B4R&$8s1*deRtoD%cH8hVO2taEN6ynP-OAV{zfG;AZzQj6%pPj(X{z@w|Rb63? zuafgwGk}^ENQw(N^AK^Sr{uLWnot|!tr8Y?P)8!p@5VC=uNpo{4fd8%b{f<~JFrPv z^8b-;;R4Q>(laYevG9gt-el77OUr?LvNf3R&xRx*%v9rmGx#@AwQc4y%wIS;ZYgW# z>#;3x=9NTC+1fNeRDvs+1mnI2ro#|uY4o-#L;A#Y zWi5i0TkjdFA*T8f#Q7=J774`0s#frVl-(7vlxZX2p=8^zLyMHROcCe> z!1Waw2zh_#?}=@)_8Cqbir3hiNO2YqtI+4x^c|lB#k1L5sb7c6&hSg^@zHAlwfSoV z$d;hVTgNCC=eE@|doNLE40zCFOHMBYN7zLunz*2IR0)-9axUSGT3R%TEHy-+I(&+( z>`Rfzvk6~439}}Go>`ruprr+kxTEhgLrI|^TY|cTETEKL)hZRNJ%FQGllbkUvxOfG zWF{E)Q>PnJ@G6OANb3YlG`GaDYjoxc9YXO!l?BEB%x{oBD~kou8qarRC~pj!_H*PY zZydT#;Gxg~+zATXv7H*=jegxu3g(G>sUE*n1kO2j)&C<2gp(NMqj}EUb=6-aW2|zw za$OoP1wYdqyQ)r(c_=!%hE|tfG{$8uNnaveSO5`nXrV{#YSvAG0?}YvM+n&7mu|LV z?=}5)HZiJbkpG3`o0H|QcS(PBByHf!z(FKYN8(Q_tQ$;PrRuhmvu7XkbD)t5N3U#A zu7F|FNGEe*Bw+~X`ATI#F#_Wu{{2-jf_)dZh5c5cZ8SMTG#OrT=ojstq3RNG_0M0* zE_*^gl%lF89O#U%F`x0MBL&&WQ-gl9epIxg$VPyR%*2}VOF4US=*9`^YT8WnW{jvL zNpFY``@T3BsfatqcbsoXZ3GzGBpKAKsHct2i?Nw;iTK_EHE#e;Q*$i=X$7QJ)U*Ta zSYD{hN5m617FxZz;PVz16$XV7df~E+h+XFi)xiVfdvFIxSEvZYO3-b`6-$kOQpUL; z>kGzcRqG&N%DB!UZh|M`kL7|E*C87y`8=dK8K$Dv1fKhIJZLHBGOih?I>ymwd+U*=jXm*6Z zN{syaIS2f^UiR|Fk9~8Dedkthmkk$x?$Rr4EQLq)M}EBGU@=q7=JU z-fU1~g!%9?T&*3uP!pP&i!9OLnkoAsK*Q@KjG0<5xx}T}6?vA;s@Mcls}%eBf!q9N zSjs*}#5g8H!6q}Bi4zaAbc4m5rw}3KzLJW#6n*IeV`Z??4^KzU62N%{@h@3pC!&IH zM^YpN@1FG<1~-=XUC3lL5FVXY zyo=iutKiOOe&Uj%A$Zl`qB9-7@j5MJFB7_hEJGGIr4{z%%qBHD9CBCEby(yy3ITxa zv`N0nl1#{<4l^X~bpc7Ptbu$;q627aao@_WfxE$s!wP=s9KLuKaEFfobmbeTsoKv1 z@Y*od`Y0i7Stie?^TtgBiOR~~;xXwCo&BOsc^#tyK^o&xry0x0#^{H=RMv@CrNMxP zz+NHOPgK2N(wYymceEJK0E+gdhr^QWNe-fVU54Y#Hd9*Q0N!{>C-njsJ+@*TJaO&0 zbb=O@>&q0Z>_jev^;Hs-?AYSLusLRcDVz=Q&(eMi9z3)uViF}QFEx0$$^vT;!+VN{ zDZX^JET_aAr0MA}?Cd_Oun=k8v|SOyej6&(PSFiNL?#7X2}O#>Gc)ggVo?gVJ@N&z zijgwIaEGkS=H~=zkFa>r>k`J1i^SR&$foRF36z?qcGfi?p&Px_ht$WI)ZHij2-2e0 zJlqrPYXjLwxi;0NE@0Ot7UMQ3)a+<8Br>~^zQ>qLijKohMz_B<5kG<9nq|k_x5iLd zvOUoRnKm>bq;K=hL7|=)dXh(emU)Dlsn7yqpt9Ihs;&DatcrnIzNt|AB4Wa-1ub8L z0ku$v(kuQ^A?vWkf_}G=E~hPScS%LWD|PNH+1<%dCo5jEA64ZuW`LsAX3kWr=4o(> zQ#pR1#FWh@Ak=XpM5`5wvUi*Y0S@s5?!7uk=U7;Kc%j63BMjSNI z>d`uHMSgmc*wfl_DgrIJ{>7+x))i{{B0VRdv|S{Co6!U$!@05m@)#d^Yk^OXDbpO4 z#A#Q;p<>Q;SIw_vkNCp86Nj&NB;=@iZKDTb>fHc+5CG+%bq(2*rGP zj#&7QBe=}qJ(!TpgKX));x$Djv)$&RNYlNJF!^3k9L|-EKBkx&XAj$nWC56e6``)e z=tV`4_Bg`Jc=7%jS|nV6@>bVp$YnRdFfTzdKf0vP!$dzabD*PI{EA@asKGGTG~$u5 zr~GSffV6)Ji|WE+j!j;2tiP#wRp~gMRFMSLFA(SG*=4Lsi;65H^9odR*3q)U zId!>25wX8c8gH+?SXb3&kcJ_O|AIgXY%G1b^$l}~V*NjXFyr5oUj8j0{J)1U{sVIU zTR`}~Iezi~>hos&yX5*WK{3;x*YWqf#bK?_$b%8Y&+hLC-rQ@~k*AAjUNf-c{XOG; zVtsaX!vGry4#R*oSnaEC@Ln|)8kb5fEQ=WgG*v8@N@bnTiZhqyhyLDsd@r@vtG&*v zgAJ#{?`OO3?(bUP`{UST-|u;~7p}M1Yj2kt?-kXrwboMInVf?vp69RedX&>gj-`@xL z-)H!E45E!&rqLhoX5i6q^5x}9$rV_t6O-&|9HxP~WpY zuiTVKDxH9ZTrvFh7&G^!!5al6GOEjnO}lJn(szH5=-?|~<|#u14`hVGsafGM#N}O} zzrbn?UT;s%3D&0mOkMwZD31g(B*f7P0<;8*(Pu2jaB~LJOu5ui`+4P;OEY%^m4c7r z2*MxTUtM=uC5Q~Li2G6IpMoqe+VY5Pb8c$G1t(JHI?5x95ClTWquG?Z*Jbf}74U>7wwKd8Z|mfr{sLmwbTjvWgf@UW26MvoffG}L201E+mI9{=p%62?jp#w=s^tAdMutLzf^5z2z89S8mdj=BTJU%!W z;z0?IK2gW!hgc~GzPD33wNG;$W5U_h@Nd4a1k&kh_z1BGg7_(g;Hr>NO_bKqABo$@ zjZ;WARA(f4Z_OjMQ?NaX>kYVEC%V~zRM$0-C`rcKMP(IHjC4%*vPx|O{P66RA zp3?m|Cn6jVUI1S;^7Er{60x*Fyp#1^sMtVY*8LR~>3^bT|0&&^)} z*!|5u^Y+lIEzQt8`V84>u3{-*zI#=sY=d+92}9gnQ9h9V-$N|C6ZNgl>b-paSJAk_ zS-%s*`ujhL|B zNO+X-45@6FzUQ-j9LW+OgMR&>Cv7As&Oo{$|H{zrRQ5RAr9Q!3&H4VYRm1mQNNqA;XWi_R8)i6?MQGxcQF1LW)$17o%nTt})CR|9|DRGxJ z18$v29Lr$d>2A}Z5gV4aq|>2VkP{&LhT zH2D2wTxuMc5FBvp4w8`37_d`REtP&~Do-OA5%5&luK7cMC5$^rT;|UTLjkB&52dSC^_?vlV=v36?8chLu4!^P7EOI@K-)b9e8k5ko6D0?f$( z%p}Z6dC1igkIy%323}g7U%b%PZNesjK084aqH&kO$;gLcVr0kjp`3rynyf;()7 zAh(bsdk%82!Gg>>H3gEU%#x?gyj-1PnscVym=F>t+xy9}EP_>;WW$(zt>$h^+?qFz zlLo|osG(JXv}nYgJsyLyh7(N#gH|n*T`xmPG(3cc^#PG@Q43V5TERn_hMUuq^GRaD z!2#B^AxB%kZS$m}z#XRHerr?hhZHQ91i2$>YCRHvJ2%OD zM^MITvV53~ghG{lYDcto@?uUIp-K*DC$Jv?ej))D;C-HHn7h=CSbE3Q)R28*3FYPd z8dlUfNK}C0wV;Gy#{7$U{AFb{Gt+{7G!*=li89D20Li!C4ZU^j&M4(iBu>P9Vr#l-ZUu*d5atljECd3yAWX zV#Q>pI z!Wf}EqpBas7B4cOd3@7K5ZMc;FJV$=fuxn@N28D)FA-ZeX-3cwn2MzAh@7fU+uPUO z;MFc{Lb;LK(-eGB7e_0GQV^hPFw4Lif8i}73G*ib9#re-(1?%Frm9!D)QI(0Dl_|X z--A>eU3ZR_Zr>i@oHRBf>6&TiZ0RGn^mLhHKWi~c&LwT#7Z62xj__=igDS5@IJIAs zF>*nXNjkOHKv*(&v9|wXDy(PGBYH+o(cv#!p~!@kBvB{}4!p)cTfa4l?-omIe#t$i z&NGUXO)cw1_$=z{wQ&Zzidg}n=`Y4QkEgvOVhrZ@)7B4}*FhbbbYcED*U}xG2$v1B zlwP%~xmgb-MtVpA@i&SyoUx$Au3-$(9L~tW!6VEzLpnp9yeZDJKAZ^BEOJu+N!Vu` z(yjy++{!DS7$tDp48AM_Gz{dBCqi#JgnJIQHLjXury-!rg<+(`@%;;y=yC*SIyjXz zJ;+#V;7UeVov(YG6;v7$723!N_c?E{ubG%;Tvv20Vg=>#T=gTpH0a7NiGlLwEiM5*TvO8iqe56lAp&nyf`8Vi7%He?aAnbID>r zuuZoNuTIMiY2UyuRg@dls{bXR8QP;?3_$NZ`72D3Z8Gudv`4ja+pmudTPo^$9%E(4 z=^tyzf=<;f;0>eQx9mC>Ju;^-k^Js(6{=c^ZVV#vXS*atryZWC3xg;Oz=(Wl^juvz zjNR(HhO#uKF*hx{&Kuz(R4{xDh>T~3)0SS@E=-h`^ixgdt`^P_ipn3rEq#(k&#(ct zCbS;`66(pXT!IkW%fh)Z^}xn0f@S$$_KZR+Y~_z8G45+q z-Q7l4M>~Ovg+Sg0bA|m$i+#Fbkt{Y|z^bd_>ICY@_z^f#1*g>lFGyI)v4~ycS2SDJO|(_blJCI; z4wyBD`is%q^KOJl^dZqvEJ+Wga7ipM;zM47`ho1!!VFGWk%YhnexPENL-?Vts3Hgv z`tL9JI^I_9wfa$M0k9F!c+Y6Ccc*=TE2h@1kr`7W1aT*0r7KjVItU_Tgz4$q3Z2?Z z|H_|KGmZvLa6@*&WL?ppN~2F6N#|E7bA?es_noTys1cBa-LT-t_PD5GNbW;R-|e$I z32@In%nwkA2-Rhn1m6V%@miGAz37&#r5qgI-ih#6 z>y_`w8`U-(PFml!NY&ac3Ih6+416lPv%`NtfFJ_QMbY;3QH_dQM15HoRW`)=xh*IK z8XTi+n>v?q-eKpoqdW7vJ!s*srjotzhw8y`yvlhMN#5-2*&pmv|s;a)(?p?b(r0>lc}`X{C_PjjUj;P2)tY2CK`bnl24Z znP~PSB3jM3;}*KQ|5S6%Gnu0HU~=_Piv}(|`kv9uV1lkkxuh$HmeGT>VAVZv>WjRQ z$LnF3V^2))Pa)eFv$*JXe7HDE74sd%E>{Cc?!D3IoPsSwI=d3fV|FEt81BLxtIPtH_|3<;||Be&? zE(-rEoXF0~{GY|s!)%Yl%@L2#@6l2K8pZYGw!!{QtML}$bXTwW@W_(HFjqxY4PL>L ziQDJeiTj`Mo9g;zp|ryklbRBj=fs7vhQ~~?L0VY=Sql|t@Eb8 zHr!9do*j8#qzEy2Q6F2pEj(oZ7Y|K0MyTQ7pG~pw|H$5 zH_zHQao!he@|Vg!t=e@jW0xcNTKH*ueAIY-hYKH%)O(QRs=!mB9%NNidOX#5e?CUI zzMf^?RoGHJG!oTmG4W8e%9#-b2(CPMPiISrW-Ggzr zX5!_94wC20F0UNQG`nt2R4%!+)79qaqO)P&Oq(UYWldDhzJ*D#*~Xga_lPh(9s2cx zt}_pA|9a&Lc`?7bg!tKIqy! z8lf|;#Ypan_6dNwSwj+7P1 zMV=0ey8zE`87h(Y;3)A!63xH-y8YsR5cf_&q6Sg6X4$S&wr$(CZQHhO+qP}nb;`DF z+|zwux<}FTFfsX<5j+3Lhx{XB=UVGCFEAlrN9<-m){xr@h8D{Pj?_R_>L$BwIqzCO z?8GcNc?350x6tl?3N66b-Qw3~CE**>_BjSQ+9qakNo`tl2%+bRopnTXW>h=7s;pqm z>*2~(Ekmrbsw(LKd-NGz;3A6R#$$IOcHfvV`I}n@Mq!%{Lo7(7rrfUE9 zcgF1G)*Z}dp83v6sX6;rfO1PS4gCs`kIkL{g?xX%#6jmLGy;3O!=rQ&jz)x(a%xMH z%5Z4$5K&iac&B5m$J1G-_hSm5P)@LJsTkudfnB^C1-ED@F>YNj{p32b*ku=6E_j_;rM5>0+o#24b`RwdVz zo@cA|sCf^SGt@Y!psC$vwKzeg!^uI7d~}foIW0U1z zc6OCI1`9H>#+D5YTP-Mu2~`t3^X0KdT>F<=yoIepb-hkH;&lTa(`?oP=gm_l8`sxR zPq%4

5Y6AHWh)*O$kLwZ7~pmty&OcHhPqII+saMtcnOzi}m>#J3MwjZzq3J4!Fn z0+u&rV)=umc{nhY19JaD;$NTXV8Jo@VAN&jO|1yopD@=2;Axl5)ZW^W= zo}@!3XU^UMXEI@?N{3iLt$0vn8d8@X6Qfm61gy`--J{Gnw_GdaXAZjL9fCe6`iwaU zzL6^>176>h4QfG@a7`}(DUfBgU`5;lCuK&?(y3|b+N(+y1(NQWH}BcOCuYta2J!bG zLO^oj^sHDJ3*E7k$luM0GJ6~Xt|g8bO`IGXE@&sPSwn;iZ8<0nMr}$KHEG5Ht(4`n zk|yGFG(5GJw-e*DY3wEN13Y49cNjR@pk*@KFjT0bXasMHsG+aw9kMrveD!@46Mm7< zBCGfu*J~#diA@|pgD3vPSgsHFm23SLq|RLYfzMkAD?Dhe_fFl>!Zq5(luT&s{s8t< zcmW>Idz%{g=J#U|>ld=A?D>#P&8nnd*}h>Gg)!^Qi&)as?~Y9$x zj(;@%ls$3`FWS@KGGU0HB*Tl>v!JYqZ71ScK}l)+OzgFv{9K1`P|GB<7jj`$hR=BR zCQ(0Q=r6rwh;@(7-A&@`?m(@Te4ByGpRngqJp6M{IU*ro@3x-2yg+jpgPSD?TDMHf zy18*eqeiiccT9Ii7qg^dN{)7EuYDItw{xEPy`wFK+evh&K=MK~0}{G^s8m=TFdn%)(hV7L z7JrrGw*WbU_J>nhph;b9&L^E(wC|Ft%Z{)9qCvZ%vJxc)Co0a&%&n{T5% zx3vdYAnJX89YS zr$1#WqloGGqG)b;Tje@BSu@LvNzu~At-;sED=H|}HOnQkN_WEtu+y=TFl`FWkg!|1 zRZIy`wiX>OtL}&JCw{UhiIJREPC?<=mqBcr+(cBsh}2r7Ffz+9GaC5Bat8#N$Y>+| zMj{3YU6V%Ut?&+W-MJ@i2V5-embaX7Sr01{wBByD%|D`rKoX0%Z8gRL*<_I^omix2 z04Sd(cYyJD*IxHfKN8#hNf=AzG>(=&68DELdOc^hT`Ik?bJS?@H`-Asp zzy&)b(4Vd(dUn6O>&$RN%n+)qB?sI?J?^a_OmTwz(1H|w@aqK8-Fzp)>M7*1MT9|t zcZLF6)`?H_*oImdfM)3Eg5I(^zL9)tmVP(!ihp(CV1HKtl^VP22kaUnnP^a;6(|A)U8+K|Yy*Cp9!O!I6e-XNHGXY4>Q0jlpJHS7D|w zNFwmvIv~#Y0keF7=Xp|rL1It;L7#erug3DMIeBa;r6D6m(9k4p$X(5Z`xN#{Av9Twy|S+25602vvuy((F8In*vQuu82vajWqDHhCwbb`1ZGn&jclZ(#`*T z7Jf4X=xT*~!G!S#J@*Jc^G3l;mAIiOcwYWVes%6-N79LNK)HH%CASZ*w5|xBZneTY zCRIEnnRY4gfV@zUcd}B91}_rKl@&#Lmjy0#;x9R(ou+&pi^6n7_^{^NHYUQHzLymm z;U1Wz&K-KN{R03}k~z2ca{>at zVsZ;1sCQL=gWpB@>jGViO8GZy ziFqnNPh+M^yb{bE;ek)&t@uF$O{gaYg-}r{`iQ=m9Pi-z7`6$k;1_ZAq}ik5o|pDox{_Etq}53P3Awel3Oc}GQg5r6HMo7fEWNfFN?=C(?5G`(Gs zJy@w$IEY(Pk7I530f)P6Vknt`+GbIqKZ(6CR>FEuELF8!rjH|I-cXxquq2O#t2C4& z|Bl>V)m1$4$4Ylzf&$ZY#nin-j#KgHv;m8mS0kNhDfzZwNmWzF~S3FW>2i81k)Rz?A5#744}yD zUI*aV!6H1{Wp>oo6+3q)onb<=7r3;5pWEtSOwy8+5Ia%$rdqIb3k>k;&+CRG?e;P$a-@)4!J)PS*Y0k_n zIwKu8D#^ClMCXx1j|bZ13kj}b_qVvk~NmsF_?z`_vD9XfT?Vmrbsh{PYngg8*5 zQkcP3is^@ph{vV(eW&ljj0ZF7$-v(MfV{+$G5FrT#2D@{J<5!McZ=j`;~i{FD%|jF z=^ZyrPE)HpH?HlyZzQ@I)`ApOgpi8xT4|zto%Y~#Jz}p3t;j~Z0kn>r8^eMw%@B7E zj&w8B_u>q~JSbevarGO~8P@All1c7GCEoyMe@{Uj!Ea`nY`6r2PK_%>yIcoePdmwvzAHk$Y$tfmqrY9%-jh_QRWFhKa~+hY zU$oKpi}kDZeUS`?@viADtI9F3oYy>nBS&B37H< zC}SQLT!R;9m-Bf$xACyski)#cGIutLW5&P&DRc1yH2U215#k>yBGsspL<^b*0YbX= z!}$dhE6*veW3wBIO&oj0ij8}AG{n=>BT^A7FRsVp@CBfLuQWU-yb2bFOY~WQuZ+pR(LzXpXXXyGb1N5TvODsL3* z7DsLiWEoYjs+8v4X zr8<6n@$VDD)S0A?6C*vvADopEX%6U6lnYgzn;6_x3HqWDA$5=WLo}85|n9+08bv|z$DO*Z>+ zpY&l~_#B>ML~;D86vrWGt*|Vx>Z*-Elz5ne_#S~6OauX?2v>oQjpZC+g(|t`ODo!l zypxzw%fWqSUmnr{#AACW>+J|Mr7z^Rq9iN!7oWT9kTBTw_6FL8_dHt`PN?mJOeYaJ zZUsZSE(0ns2$5w7Nl`Hw;^Z#B8jRnsLSCUS>>C$*#FM$fI@W7B*U(UGGZmv==HE^I z0Wn2UT2=e7)?E@BG=R!sE?pr1AeZ^S0;yfp0cY%YV#bDt@fR*p*WT^+DtZM;*!~hQ`aG z9&+X%m&nIfxYq8??TBS?1azZsI{77Xk;{Kx%caw5A)Yn!L)pm6Cx}{0r`y*xEVKiE z=HzJkxxKW`LGgc1pKsFOP^3$ZW*}khJDZEamudiu)4U0wvUKWB5PV@8lhOCM`#VFI z+yon^o?RBzll^a6WUlm>!ePW88B|PgEXyhQ1(pPjkWre*<%nsuUuT_WsF>r3v&OML zi1xk;X8)cq9-Hv+O~7wJIT$I0aPid}fHDWbzISw4=@AO`zqp zCK;5`o!l=4{@^G%sK{?v=Z<8!jPVxiDrP$_c1t#la$R`EwUL}IGL(xNdiN2Qic!vVOG%e(2=r$M#N z@jOmjgdo276RQsoeSrz^T$RG-`IB|RUa@OwQ5r!0 zr&7olR=8Sq38T`C@+y+t*5}o!X{UM;(rATJ{R%hUd8iRR_zy)t3Lle%#uke&mQuVf z&=rm!s}G7z2x_2BT}EnME#ihJ)H?iN~m<+>EY@_ue1vvcV%KGsuy8}A_T40h^r6mQ6WZRc zCKfnUZ-MHD&@o08k^K+&b?OOo?T3bJWH-F5vZ2F?d@ek$^a6Z7sK)vamQ+!DU%w%< z{*Ns`!rzMWfd=|1GD3&9ug*W7>ub8g#93-j@ygL}f@2=631i!sEq1(HG_ zM|cC3;xw?ME(b69jq<>?lb84h+~OGW^2SkwPQpgI0_DrJRHS8j#hN!S%mXjMEj7jr zFBN76$qK{)nGs=7He1mscJl~{Ion6?fo*H`_`{L+zzX)&1EHM5ZN#xJVhWgaO-ant zX59Wnf~VM$VjPkb_@EVJDT$PzR2q_Nnb3-oqacs9ZaG%WaZkg4E7P1gd-&sD!B1L@eHzbq7PWUvAWKpbrt=7B=>1HAFbpvi(c3=XJ()C0lMP z?D0awv3a-x15HTNrmDC- zl15}ocB0!)kEVwC`9$yQ*;2uw#Gzs$h`h8fnGi4pTw_0Ip&=0mtniJ%q49;SfS+Cu zb_bx?8X>7=nz`zf=7;6y%CW>pb5lWx~W9Pm#ZYFVg_=JMoF-))trfD?ByWr zc;uu0os2o|9m@sSEB4Gx^MmFt*JF(th&C}8tAR=%Mj%8=PT9+JNY#N4`MNBDnDxt!D41XJVLQCw(AjA}AOi{MTVKD2%1moW&vdC|rw zgR>BW(?oNm4p`?kqBu9XbPdFFa$-1RdLPb#u>VY1scbXSN>{XWQ|yboD8@|Y?+tmQ z>Abeo7U=89oeEG(o56vtE3&zZ+%;V@?~012@%e>*>nA)Ddw4J zC?T2;*Pjp~fSMbgltg)4`LgBi63$mGKpf5QsxjC#-CSSjI11Hd4u0nrcu}*ZnN`|` znZ4|4Q>~VF{(AfrgqJUZ9wU|1J4|%F;h1z>p?#G5u0=B&D?*B*4m#<9p~EWJxR_g- z{zLA0P0_mVu5LWSSVX`7yFL1WGq|t{k0iwL-+Xt=loYOew%6MIAtLcB<5iF5*K!ut zo?OD-ZqL(Yc@&4dW`gL5O-wv7f_iTSJ3Pken#Ge>h;CfeHGg$_O1I1bDkN3?vd%Mm zSKHuTm7_lsAE9%ec^fY?bmv&z`=PImP|GD&;EriJtf`yvQO6URIQ8z~KSDHLCz?Ja zlU|KUOR}7wsztLkjjbfN>~|#9vDUFRU&bHKgHbvtypm^gdWVphHcXKz1ch3OT z=1~QBYYtj=k9X^Yh~caWVGGad7=P|9U8P*dWPjsz2(erjDXm*dSAWyzo2OY_6dvNN zqc)_=yQUIkw(?-yJ?pg9&{mCv5CfxfZ~Y2Ohc52^R^akjih%p#TtajdK{q>^-SL(N zqvB;KP#iggar&!IQuJz@7b#fEga~8kp2zc@Fv}a}@7zdl_!UWdH$9ifu4lsxo~Pw3 zA+sl9IVpwHy2JvtTPV?OTFw?zG=+CG4tJ&KxR&N0iD$wafi~O!ZfK zd0CQNhlDt?-!Fa?2WB-X+lrFHKb%%MU&jw`KUF=Eweh7+wF}UoW`Z?3&$*t{Pm2S? zUqAI362}bvr0x8p7cZIWpuw#D0Lk{(Tmx=F4?}E($}1nJ5_sIVHR*@V6Y`|5Pnb+* z;RqA`R$GXPKXkbSp7hYSyn@Bg7Q45yBE<+7_w}4Q2OtZ|!WZApuzGkUp)0OAH~ly< zs{EXD$Q1anx;O1KV!8}dZd?yoTj^TVtST%6na;zqVWY}A%EX4()xAb$lHlXJOSG5; z4>wMyF2Rv)wI6+(!qnfnh7{(q)@yJkp1f>h|BS!;k>>;kXNqUCAq9xeXrcvDhOC$& zu|qhCb|MIijcQOYZV-ZJ^)PkFpksnb-_+THNrkuzcGfG*$$!g9W`E95Y>k~4nB9A> z@ovHw;)Be(VjGY}iAvc~@(%nF|9xbN-DU83pNdqKpa)dRH#~VR{z9l2HxD~T)f-c# zdOb)~vHAxd?<^bf_x12)Y%Nz=2h>}OLL^v@xeF9ZQ|B-pf1i6o zGas)vU>vJvsC?dUK-UDxXF||6#AH|a4KwnKTRH_MI;8H~-t38B|MEXJRrhaGp>pF2Y@EyrL0cOxxdQWz5P^!+WjisMe2AT_R zX$;N71f&JmKa;tt(v`SJ(bZ*74q^UjaxGKmyWk0{0pdV#;jM*}bTMOS64|*QXzM3p z#P$3Q1M7IqbZVHf3P8`G1?2Oo;4qg4wp@f;t;ZTe6~?WC1wIVMgy?W3yN?>sZFXgW z21VdMn+s`ict_14E@PN?zEnx5aworGwAFHZ6%?WkFDf;lUQ$_^tV2Yq2X zN4lhKR)E6IB3KY^HQCGzWECk@b~vUVZ~|GyOvwS5zcm#tbLa#re8D_=3dTte051EM zwS!{tY-Gn_L4oG}< zK9QboV#`ok8iK1#$SPmIlIWCDJ+h*GL!zSs#xFzm14-~W6 zFz=T_f^VJmqTdkr7&Nf-7!*UUWbZqkPyRc-?80W zG|l7ML%pz_(P&TVyn6bGLuGjyjYD6tUoi#Eds_WB&Ga-{H@N&H+7*yxFgM>V{?(9% zH}lvw-ZG*A+@gAYW=-oIpgJRumDgNV5#tK0W)o;&pCLys;*>hYwAf;&#j^ZS_Adh{ zOAEykn9?fBd{!{0Xtl3%UjFhL?8dW+^BReEcR22ZdJDtyTW2$L%l)-AR4d1|YSg)j z1==Na!@aMvlyw7Exskg!A5WY1X)UwK{y!7;30yXK8Rj?!SW@H1>~nw9>g^GO)#a(D+ZS|p#OwR=*;uvl z@X`A9_0bvHUHhy1qh8DVzS3Ep<@ju_bMPzoPw_&nrgqtQ8@Y1m^4{A0Q|j#k+W~Xo zj*i5Z-%w$MS=Bp<^!{$EV_TVnq*~j0*+``}w>nY^*5F%bm?zZnL0Hlminv^lonrmh zChl0c9yI!_SYHaoI`*P7WMl=QJhIh(fmB(k{J0W0g{C~Tn+<1!#cv=(=@(;zUe*e& ziY`R$Hl)ljMtcwo$5<_!K9j*NOr6LDylMS;HY;5Qu&IO7e^zq+?9#DCpeY1UM}Q47 z#0T0aJHeNs{Z8?mtsYvuPaO6WcabiTbyni{j~$qtTVwUGSym;66rcKP-F|Zx>Oq*y z{%;ma4A{TZI*K=+u8!tyar~XzAf#0r`*wXVwQGR&YGgyP#~U5CH1HW*)Cw$yf&KwY zZoLmi?+k>%QHXZ6DiW55N`sDO4ZYw$SunOH60Q%=?9Zb+Dv=NzD|KBf3)sR3p)Lb+ zD*}(pU;6Do4U3DC1Z1{Te3ESaQu6ojnBddMtZ*1=-(F-}4HE;9kbFkVB&DgxF0)p+ z6_9h32Jfi8{aLJr+9tF)1>1ZoKi2ZG8Ucj%%I|k>KaL;_yApkObke)Jy0p$e#)$=CEA-(u#3FrPjwkGh(L%_> zzZqcL=hIRxnt=F))A>Mz{!mQDML>;fNId8YdGnaCGHax3OVkN8K%*i>TbL)=6vXA*-@Gu4@x z88?9GWG^Z>o!7l|XjtgNs?RdiOxbdTSi?%D%5Nyu$I1)};vN#cF-AdJXxi8s$dySRB{cUlfGC*i zg3z_nDg;+aH8h2TR_YwgqOZa15>y12bzdo;uE54>^+XAXL6d6I=>uEEMREKB4Zti~ zQ%SyN?S@8nt0B_Q;I{&EL)b7|#WND1%=H<%xT8y_BnabM0cXKnvvf3W7kKBOw8DNwcnt?J>GP{6edr3_K~y|w*Z#(dUsOUb=Nl8DsL<5cvSIl_^d zmnz1wi_KrnQ|{VxIk1~8*(k)B&r#85KK$KK-CXB1)#wuV4V1C8bLH`l?OFYwVVx%S z!grJ#b&S2sgx+t}9;6XB4(~?@#U-U_kW~|{bZow;GNm@rcGhnKqt<>+RagTap{wxJ z)eD=zoc9WqT`@5M6pe8nda_*5 z`|Y4y+EFcs@S|d8ADeP-i7g)h6mB!%MWP%*aY6xxY$5UxbilNhnu9E(6Wrw8 zQ4>vA;Yf*ZwLeIBD`xUV#7FD?dq{nm1Fc(;LKXNL`t;&ch^cmF?x#>1q>m18 zL_A>vx@N$Ofl^6#`ye(NJ5Wbo=;GBx6GU`@2l#i6{WxA{5ADZFSpvL{`sbyt`Bg3J z*IxIX7yG1?@^8Y0+ak6zBz}pAzYk{c6L=+SvD^VxhFCEW`d6k_n`a5RM0qc%rxc;K zy&>v(aTmRo6lOlylUOKp(*XlZd4c`MWEMW?3Av-EbVWmUoilg!^-byJ-@~7-JJE6P zYgsL}mh2)q87dqsh!NAN_ELEyp$$b(;W^y2DlU*3Jj}YNg~Zo7+Ay>gEuQDSqAERL zj+c4H5&GDsNXaZ1K{wG$kR`^{y!o^LNQ<4X?g8+YXZ6AwbSQ`ya7$o*E&5DqhcSd! z{=H|OXFaGTbT`LQ5dC5<8KICLrc~QCC{C@|*Fxx_Q_ZjrhrWHKP7WP0FNRdmH+j#g zO7abMP~HP346h!fn!KsMMy-p{65t4iP~M#Z9JwR!Osm>8t-8G$cGK=T@KX+W2I`1WsapV{@WTr$Bp)2VC6M=jcv*3YZ_BfOf_36b&3M3?pZqnll#~Q5%tG z%F|YtU?NpLLCzSQk#;9f#_wZpbUO zrsq*TgdJ2c_KIJ6b-wq7P6MH4V!_uGv=0Ti8FNJ`z?TFF-1Oob!#B=UO_%8Q597EQ zkY)Gfj?r;`yI*LovGCBw`!Ejx@LMLB^0UFcM2lphngsguamZV%bO*K!G)@8z>Io}t zVPZ9u?`Hi3UnxvmOWdLp72LCK)Z!dX%!gXlm%#r)Ly$Aob2RqA@uNtotrwuY*D=rV zX$g#ESSq4l=bAZTp&1&R42CC$y-(=zl)VcqJRa+h$lt<5cZvxGIY8VHeiII@@yiwS z%JIq-_Bvzh#m!B<=O*#fwqf>9mgv;{c?pq`5=_HmmI_!|8#w2R+&@PL%pax6bAHRT zkzg4&fwPr7fzD~-l3_%!!NNBYNWs)LsX+xF(XoV+*QX5ZH~U8n4b)4Wj{2!js-WMe z(gIef1N4CK%AQNH{AzZO=OP}Xn{7Yl9=8LGRnjOJ{f<{>8QL9QU&sZ@jYKkU#A^;1 z7UG&rzuUNx^+_Un4y7S>1h5OI7-#eQZ#Zm2|HdPFom7M{?ZXFHpGNeU4M?pMI^W9H zAZRzmI1zzuS;dB7JYD+>x4(BdT>Rf0fnNMIczpPJKEMaEfc?d9%g_Ph3=>s&YbPT3 z%T*_GI|;pis&RIxf-Fn+qoBSeo(<^LTQEH%P52igwZqvq>&kWCsL(Fxe=r8v4DUw} zVO8-bGGPFJ81#{M>TW6CuQw&FKm@aaoUtcTTJjH2KVz`#vTACEPwEY(lDbmF%O_K; z!GrARG=x#{PN^3ftYr@5LDgMfoG~XM=cG7-4?`+o94_l=F&1qnfB$&ESlHOA;avs> zq1lkaY!;e7=M{KcT3P^1F9=7$6-V3Tf>nF{L{fw)N#&)@9oG^ZW^i4Dw0Qk0MIR*7OG8)dvz#Xj)W= zuOJT`pG)xcTv@S}fxh{`0G>wf_k43b; z+D|lA%AO@}(r5~Tmy_5!QmSYFxsw%`D$X^BrvI}Ah1qcE%gz%qA{t<}iKKPK5u6I< zrJr#5RPO6o`)Ec_Mgn&o1)jm570gW9zZOsM?HE7tDIo2*Tj#`riU!3X54VRi@DOSi zq5JSdA3GNWV+HKuz7*yK?0iB&lu0iZG=H3oTZ}6g~E4U3nS<u|g73V^1RWEy`D+JikBE&PG zFl{wmOhDFI<1vT)*nzkF#^54AR$sL`5xUBNXtFNkGq25ZfuNm&e~;Q5y`EVC?9gC? znMw8@1GrJK!rCs!x+}?q1A=>`1vJYPWz7P_B+HvU*+vVnM!s9=u;GdQGr4kmKyKa9 zZ+t?snkuD8sZSA}uCA46hj{LMoE$qo9Thu#ttwZikKBk7{8iPi^uuCcQ+*_rbb)Q9 zt%x(hX1tPiq6aUCK4d}rn~A`h-J7}1-c3x+)n+wD8d!d(b)msp5O!sKwuikxh01#TQnWP?@&oAW>y@sdj}hte`EVL@*pZVsojDslQi7uW^ZAr=J@gfnIYpk^GZ&n(lA{vyvR z61d0wOBf3-k2e?@!xPKwIzc`KLmR@A#N{)&NM$BKfrTD%_%LJ{;Lf-XX7>t^T$`bP zOoSxac#0&@5-m-r{^rF_ruN51!I>4YIw4nY7uB7|ggwY}PB@;-`iy`c)N|4YX&~y6 zdSa~n3OQmgK5d5&5hQkPKlqb4P-&Yt`7aYnnR6qcSh5+Z;~CsnMs23}W0@Sr*ygSf@*S3M-?G9WGexVXsr> zJEMh>8r1Qvl^?!>sEN@dnIg-)l1r~r!0_i~`sNA!SX4rmMRs)%B-%=>v@0`jG0rKk zgdrxF%zDI#8+RxJ(W*#I0gWV~)DPBu^VmRAlrTSh5UNq7$;Kft`v|StGdRFr&bk9^R0KputwyO}{ErE?E0#nX_GqD7`Fu1e(PNOcf*7>Sok$BkX`;Up4h zWQR?n&b^VGK2#2zkL=qWLdAoQVn-EUNd4iV2o+z?f6(9F^kLl80Y(e$xX_4l(Y2Zh zcQrCinmkxLV=L9u?Sz@tE(i;uzefjQh|B8Sb4jmwJBa>yh}VueG7bC{QUi{-)P9h~ zM{mSH)n%c7J;Y`E|NWUk?biu|u=4y3M<58x53Nxn9n|6I_jItWmB>}4U%Qy(qYE4X zPq^7|(Sg{gCA=A7sRw1OFU?rUc4a$~6i5b|MQBv6g)q^gEx|&<{z#@8%NEibZbJTP z4FWCOK3*j1U?S&!Kpn~r+@xHmUO%sR)w-Ief^vi1#mlBBlT&$RfHEp$oK382BInNV zfc%jPmPM!$l+eD8O`?8hs7yEi9Nz4W0V0bp)*x&zn;@^#+hZSk3t^pT-ZMmUXn{(* zMnm_qo(tW^i&4t8sLr(Dp?4}($bL9ffT)_bZIdR?-n9Mq_#7R&=3&*nCy#P24sC_& zRz)hfeb@q|v3x$AH)zlDZU{>14QkfjHE#^8s^+PY0chQ(}m2PPl z@;t4~+1@+mF8~2iALLG{VT>U+FdvUG1u1pr`jNwLmZ?9iATPhZho?nnK^iVskFPA< zRs`s`@YzlD7A3K)mNgS)GbYmQ9&rrAP_k?AjdMyh<0drylZrI7n3NgHNeNA)>A#}1 zMH2LUs+*dmyRQ?ghAp)dZp1q3BYgo_B=2?c>^Pg&J=fEtDr~nH;mhFjbKT;IqKkzX zgzop?Z}O0C?+Hqd2n=X28z;ACb9wUgS+CjW#BCgW_-Zgx$xypSF)A}ms)_@-XM^ac z8gp9BIGHPj-*}4+W2aXi8t&5rROO&h<`{mk zxfre+umPR5G{tgX=R$6z?rOiV(s2@3WkgJyS)SPqR5y@F94yICn9ZbKW^&Irth)Gy z>|ITE5r0F)6h><)n2->SuH_il@~>xSakh`qrm&pcNF~T6#pGx$uNo6WP8OkcZ90Hg z|FWg>d;X$Inz?XNDL|ji++fjBt;OzUFi#ros~9`x>hov? zqFmut^*0xUFfJn@2?;e+sK|sO>6hj34CWUQk)I%z%(yB%k%%jJF;v(xMp^$@$P2PK`4_eHHgre58!IJ|n5GI`2e zXgl0om(|B-XeutL2dI}V9}F}5Se1(}9?flSv$LF2R@-dVdO&q8J{AnpRW^CzU3>s- zGA>)V_brkc;8&VZc){3;o}s_5?%({KTo_aDBW-7`YesNimOp5v&(88@E3@$Z*S!@M z_W@eJ{`pet8?(7CuP2VSuVII%5n_9+-VA?vr>*|?!hIKM7p3yH>8iY2T;An>*I#c$ zR~&t9@V^1B>FZjTvz{LUNvq4w752TrlE=i$X#u%>~eEnvD-Wa4fa$whq~Wr0aazRyIR=hX1!wd z*0FK#tFlIhy4`!o=&Ahja_(WuTBotuTU^r{2E`8iO=d03|CPg(X~Mx}YkIIxM+Kq7 z2w3{Sr7&Ll0Z1xf!2h2c+)V#(Ij>CrN6zbiwvYcu4etM%P5b{r5bpo2uaoJ2od3f? z&-~vS+$~w}QP^WBV}7@Ng*IY~(N`8SyG-O^#$pIurUdm3kf{X1Yr*)Sf4+a?=h}9v zdc9897@#+mbXJ~kigZR=r7wL{RV;K_HGHKvDd%3onm5ukbc%mJNxm7r?LH2& zRcccuu-m z>eBgcnRT^yN&0e(=_Jn9muINkkFz|eU^i*4SH=WJcD6(TRyMjvG7ViJpd*S(mN0@m zJ+kGj6l4Kf!JlFq z%Z-)WNZfLcH#Mz&FT$Ef-AVeFI>Vc(pmGo?HG3(qzjBaV-m8N|fh4Pc!wMILW*LUY zN&s6Z;rmT$ZT?2WO;>Eq(ywT3XGF~1uwtzP>Ej7RUR!XF~6X;;Q{!; zJ~0byN^j3hIHS|2z8fSckc-*Z#Ta~S8`VaY4okNzV}J|fSB%+*y?gvKV41ylZv!6@LVI0VBZ^)6;JslY zwmjnLT9PWhe}7qg&qQieIp3bZ?5g1tlxWQ$?*J$6?+d?k@#85TMp*^se^j<6c(bB`2xoF*KscVJbFa^@O z^plN$Lhu^xmLXrSpiIcu{-tm4ThAYvagN0a|IP*;J=!>95-8q1o8*b)^n+#NT;JGC z306V1AJO3De4M-h9#Sp~YU?!%4OBh8@6Uc7Sf-4u4sQlXt&bcRT>2ne-3Xi)MI_Ntz{hs*e*xHBxkNf44X5l~quwd2lxHv~R2~W|Eb`Db zYu@2~#^0&(2nn9%Kz>nmORqmjXp3=o8ejWF5DJ;W@?`vQ0p{j(Ve_|F#~gb|1V{G$ zR!5Ne#7AV%SGx9~#cr2UvA*lh;X*m~XDCRPnhW#3!RT*Q=!Im$6JNq?G^r@L z8qo~!E<(lV-sltxuGC9oXA-wVmIs0pod-Wcf{?XxWy;G5S^PNViXkltt0x~N$6bSZ z5Q(Yw6ssfdpChc0c>O;JQUw&v35C6Z`XP^RFIFdN363n*uYfoq82%wfLFb+0I7GMH zq_^_SQ*5Dlv&qIOD?&IO`TBtpv(Cl5&5RS}L^>aQ4r_+Z%|N0`14^dQzxqtSItu+e z?i4kUL(~=vYOLC-qC;SY=(sl-K(ke&2THc(Yu7=r6txBulhQy=fWP>T4N8e z4=fI`%Iq9bb!fD&ukXez>UbxkWq}W}li;d~yyjs0I4m~igunYO%vFASmN^XR4G)5V&E;sdYYR;kv-zbt#0h3g9|$Z#FYRzg8>~UG$miL z6idrPH0e`OuB5!-r?R&?MM=NcN=H-X}_5U*e|NshX#qRKKR;_*lC4Nng?f} zJjcC@xuZ2zWFrpsicl*_t_%*^A-GcrWNhFc+h~$nMbr3WwPNmXk=Ni)lCr>RL_+fH zBH$X-<&6@dstQ7)%XF zOC21eY8P9YmJp*%L>w7yquL|&T{1K#l)n%5;#1zew(3cK2;8%xQ`Fr(fxyxxz@k4A zbdU{;f3P!j4KEk}+&bkvq^05(3I_$aL)5L9C;kn#N{%}lBHcHp{hf8V%g~X*$>zK3 z$^^Zo=6`z!Y}L_oUq9_JqUq?s|JbS;22baVbD~2>WF$RPYKLpNi1&Ez6XXs!j3fgA zl8eF5Os0y+$Z*@()E}FIl-GMmhq<^wt#{Y%761y;uD%on0~Ah+9YH%Jl#5&I=ibGjv&Lzshp-Xin7XL0C^}>V)X>ujB%I{ zTbQD#ors7>0SxJ5paGHx9>3SLmF>5e$Hg7C=d<%b&`9zaW#s#L;C=%bcGZhdrbY2r zTBnt21r*M-ogP{%=8-1~^eiqM650zjxWV$qt&0a*qs<$?P$Vh5 z9sXK*3ss}q6WbB8T&g`6M!!_6kH(R*TRSA1%Vq2aECg-jCnLLx=UqifWWY`eQQio0R@0_?8hW$<`V8?z~|#%3^3Q9RySuX;7oz=^H4bX^?5H)C#PykzCg3B-AIn_?_&8JbVJFD8ZSvCX{wg)%g|shBJy;O7gYm4Xh8IuG*a-k7GuLMC1Pa@2-yd(kvn;7WY^2eGnB=Yg~Q!gjCB zuIZ}X(K7)~Ej~wU`@BpSqRxkP2T>6Ji@0|R5+#hbG^+HXh_&}x-^Q283lg?K$!ewJ1e5tp?t4-{5JOP2 za^-dN%T0Fez~#lDI&s(PxecR4WtyM9XP<-M`}bai8nX@sfm|DbCIadD`nL-yS>~%Q78NHvYqIf zO)acJk&93|Fb>MbAzGD}u`C!tGgV9KA55cjI$)$jO8uxYf zZ6CIP>)_DHJU+KA{V~9k(_ZL!u_4Xy6~g;6aBkC!Dk3`s+13x%dkx?mL&hk39a|42 z;GEI-f8bWDFjUtPrZ|+z@T0yTTAk&R&9*&=+E!VVZGp zFa3nmI{Wy^pKiIE#VK^(tgQM%B4(s&KYP?0*)hYs8bB_)5f{v{3o_4@*j>DQ&2ZKHM<7_&6Mn2tZR%o8$K|;&ACj zhp1mAe140g13=ukK>BUZxjE{&t_ToM7+lGmiU+xCZV=P|4%3uzzf`p6i^L993wAa+ zWd7-P(x6;lCWCZLvn(3X>-ossVV{7La&SScis-*wxh0vo3?W!U1xd57)0~t-xsL0| zG4X(tc=U3Zw&HG=0Ec$Rb^JTxBnu)9nKpX(-tvpl3PS>6~^v0}VukP2{Z^2)A;B=HyqkCD44=lGkd} z%E*+r3QOlK*lYr}BDxc>-)zPh5rZ@2Q$dbsH3eH8-3`)p6`vUFOt*L4U%Mmq>;lIT z)dMmlRH5BfihTwbNR(n~wp=dCwP<&OL=l-SBdJ?6#~Ys=d$Xs}5t?}+ zO1U!N%uiC*>5O;|VR2=rEL(-we4dxmsz})Zo5EDN%?;tYOaN& zf2-W^{})Dz>A#DSVq@g^uYS6#Y|ENMR)>(D3)Ry~S8i|YT$hXameo5CE7{r$b{W>R zNrO6_!PO@ke=@&=AGkyJF-q~IQljkZ91&h%ASpZvWc4A+Zf63KFRkzH&$i8*W@}~K z@~dm5<`a(&%kgKo?d6Yq-)^cOxo_9EKGPnhBVAt#s_(0D-ER$*5f@MPq>mKS^?T{H zG;eO{(w1A^wke9ic5X zN*~GvUgx*XI?VwmmSY#N8vl*liLGby={K|=v*!(O?awFaw=*i*t8>G*2!S#o6d#$B z``N5M%A5EUrILDo_4y6;>}J+-TR-Kn~8HRo1CrGQ13eTm+nu;xAVyR zBP!5hwDGG^FTd$xnX}564(fco%j=0y3$$=5wv7)5U7PJ5I$R6zXV2vGtnn!^!|{p( zpAGClNb?}L4GUcv&{qEJ6wuZvpsa${yNM}W2~Bd-O}ytM%dh@y z$6H+ELE|HX2RMJ-njyt9BbB?7vwA9A)jR@UvkAGtbD=;ZmuX#f6#3ws?7XIkO6+ro z2mU&d^c;eMr11;_u{AA!4~nRgWfTRo^1wp5D3mWq3gm1h)I$1+9Xm`uXQp1Z1!;>On{3XyV`f{|+6 z>0AOW{g;3dzO?nbM(su7zUcN#xc3d;Y;sGCG;&^miLLXMdfhi~<`ns6r)voP>6{q? zF7f*80r00LBhq)x^p&`QSAZ4CLL0KMw}55OKxJ^;kViv6o9^<6QH2%Nas23HZDsZF z1xIMpP8*a^Y^BHm3^A%BE`Ha~QSJb5lY@_nwxt=e@c#hd%Mtz94P3zhR@c%FJQb=& z5fq@}LRbF`+9&tzT72%@fS@1ZZuTv~UkAX`?G8^FE$c*NLVm>6E3OQrFV`swC{2x) z+^V$B%o}LKCUen9)07w;a!~hWs^4w9sdi|$jo69ESrSoXc6{6~`ASzlG=5OcHvQp9 z5Gad@CTDPCk+>ylR*+5KK2eYj#7H)VDJ*G4-TNjBgrJzBhSn)I(q*?0V5;jcJL_k_ zj>S{*eR2&`XaLRV8+RqpStv&LCDB?lD8r-;d3* zkX3$P$Ccv>z2G$L`eT&W9h``Kx}&vydl*4I_qXE zmrjRLqUeN%55q$MfX!%*t*0EE&p2CSNzV|qYlP;XIRx$=6|5e(%t$Qf^2AK}mX>1r zLXi=XK&q-AYH}^ND(@LY3_Un>*cCtX&t(;!y5-nHZhrcBL@@Ou!7vdhHIl?@5v;M* zs)c@J3_-Ibsd_H0e6phow6lClQ%2}F<<%*GK%}-tl6}eek~C@u5vT_H-ecy+nr(<= zCPK=Uk|*+YZnn7cSD$NY6nAs|i-xepTZ49cUiaquR)x3j?@Ba)(GdFKO*x?s&?cYO zi<(z=8$>r~Vdq|*REU8kh(~CUp3I2>w=l2FxSTg!N)bJvtrfD@?4CX2?9`anQ zbS(1%3}5 zBI;n=PGw-i>cNYjgwQfV2iNMrFMsEUhw5h*8+`Y{ujeL{sPP1h)J}&k=iT!SR)I!X zW`Q;*0GFqm5BOGood$>HQ5MomVkeCXtL9N^Kh~9-`fmbX(d*UwX6NkjUB^e7>rk|F z&8_2f^w~m&cO190a4mV%E}t0%JSzEnbTAX#@qvUW!CtMxBa#tabP6P;kOo=pxa*Z$ zRDXvn3X#<+W|@az5Fb%%i6{Qb>m@|KjYBnG{eywPO*WVOHGX-FMn#FN$_8%ec;Hn` zNwqIkRZf`r>G2*rO?s^@UkfO$Ng9&CQIEBV_+YLk-qJ=7C@mVaIql_?Oz)Z9UUqaY z?|aHUz*x^mRx7pO&9rvXfY`l4N_hY#_yc@VF3?Z@JugEqnwGJxz*D`HG_3Aq1hav% zH{W7iWHAzRd6cb=ro-5}tCemMP*Gt^oyaeObF|s&r59<=rfT(I<3kX+Nce!BO4o9x z=;d-BjGTqj8;yn)tzmBEpk5*o)!h%>4MDy1+}Jq(30kR6MJ`?>hNE^@Mw`7&^copK zZoiI-B2{4A{3RU&B`?Bi(2$~aGphV=-xUyn2EnNiSYG)kKMH5yU!hg%1*RZMv_l1&4esMO`tp1wKI(-m+Qend$LCs#U<9nfKShJ88;UqjT{`-oWHp99tJ_n3 z{k;U5_&?^w?t~)%P&{w6BUbFq{NfgFxXB09)Q?F{XU6??0sh!0#3Q(qocqh|stb0P zl_XdG-D?IrD0^y;y2(xINHKe`!LJ}q3dkgda*e>$!4nEK#nBXkEM!lZqQSU0?~Zjo zJ25O5Qyj{;LGH*bkbgc-XYsIs{c*@)tTs6*s={ea1}gL81k(~IF@}hJFL7r|yM^Y7aAo58w+(Tp|D~V~&}2wjazp8_82!3r zs+(avKXG^RodD}=H%LWLo40}WB;$>hUo1;5oOVE5+@-)14>|TpNtgGT@0b`j0vYjk z(ALp{B@3SBINa35Z5eW3eT41$3NYq0C;KKOBxoi3z+z2=!(F9u|pkUDY>(Zy~Ocwr^y$Gtk30bY8t)>iJHL zZ)UVnZFjU$YRHt8NY^(cFP;zIO1(;O=FVP$cAyA;vaeGM&o}KI73NQov$|GhYe|d_ z*@UYAjTDYr*4Gy7G$WFzS!wmFRqgs4c-AqGmyeNpZUd_fuQc4}#N5Li(JThEFz;qP zNS7pSqBji}bV4?528SSJ;>yWeiKM&p<4JdMvh z(l0S9y&sh&DF;5C9k$0UWH}P5%}6<-r~fnWvTaE_P2|9c@61@P3v|rT~CUm3d+{xPyd^F#*%1rn(A!*EVd6l zN0#Bn^RMNS151SS!K4d2-C2w=lzZxdrvr5a{1S8TsUsLJR2a;wW_R}QWdk!e4U8mQ$Ft|DL0{^Dgq2d|4_g|rM#eOpBy5!jnf1b_SH+VcbxO!(o{yo#kVqw0<>PZFP0_SdWZ>^mP6Wf#P`Ayvm%N<% zk5$N%!3+3QX{f9n8L-cALo8INLR?sDeF zbn15bW44OY8;z#w@W_^Zz$VsLP9H~2M*=HnLKls9HobG6@JoV>jCz)nv#CQ>Mu8PP z_CC7lDLSYlG!4qi;Wuc^CZRSVoIu?HrBTE&v>~27;^_XOYz%fqwBg^NtgY1L(&91h z(b$x{Uv`fILtjv+uXa*HPjJK|NgQX7j#ai&*dyV{Y2b@(?O2VCHw`;qhp&ynA2i6D zHZ?;=fe7;b)to!4c~vgo~dUvQrq6xax)%I_}pmWc?HWY0`J@ zwSKQrmXBe{XNA>c&aDLmBlID_?KPRd#woZA&{@1DoJggUhL?CB+;@gtQvB{HsG~^@ySvgGyNq>`w4rJ5vv7AR;J_~f8BlT5%4!A0HR|^SHw5a@_C3>@M_hKaeO}(_`{grXEC~8KSNnP0t;d!v z1-xrfFv<|JEU(Tkxn5)VHE24}B-ku6Md%C-7a|gTGa%04%09)7rP*X* z9@@A9W4#*H?P0bu4Dz)-K~Lv5N00#}IM+RZnt{^RYX>f|mW%QSa40j%Bwu%tH;bE~ zX%1GLPBQzqP=Vq*EC6kbksk%^zw(rb|A`@;+@?tWiD8*K7el7~m&QB+NGjwjXeHH! zFjGD>`g%43{*Nf@AN}o0(sWksw?Opf+dOQ|j2}_HU)i2k)Rw5TYQ{#_MYS0y|6EGK zCjTHfq!yLKgPB!)D>wjk5tZh^u$$$;z8|XZF%?US_yiR^I$7AK4XRy66jTY!VC`$FlAhb%BwYuY}TnU*CD2s0j&-qLlOVrOOBrs9@q)5CAp3?TFY7Z}zca>0I-Ui{ zELCRT?`}an>av(4*J4KBXY1lepX?T8!6?g{a6}bnq{eUS%Y}p>oj^VDAdy-u$B)qq zex8WCwwQ=&!vaxNB{ zVdvuAGh|+N4$|r#DAWZo)HWr5UPmcvsMH?NIXqyw^R)ZTY%J#K0HlePTLDuuah`l+ z{AX)UNbc^JhB1MKw?e27kn)nD!vmPwVz!tMm&jP)X?G>8B9jkF*s$R&gu@>feJFO2 z=ZsWz{7#I5o|um4^CTP1>bR5`d;U&|drk4sAvwX|eoR8;xTr0mE~UghF?GX1X@onf zt%&AR2uqkiG-8dyfOeLiHIiYV-RMHZ-$~6}9bsq&1kf!i*j))p^z}?yn$YF2hUg+T z7ND=(0@6h}!a`EzNoVSXtps`F3MK$7wiK2!^*1`KzE~;sTzea^*kOA7nwRkcYKt*zqjz$&!wZ>|U zXO0;xE7adF8xtUV6p*vkCB@&jm{A0Be(lsHwd}iYD;VsKBsdU}`@#aDeb&IUe_B%? zDRD8G>?!cWq&Ps9x=Xfkg~%E}>u7ZUk#l4)X7S;gFYJ8jn9bGo~}?!K2tuhb=%b zS3?Dc9t=-t7jF!_B_!D>KUu!YQ(=yFBrY!!zmrGV7a-xb;E=U&Ql)!LlG)igAm_N5 zulud!>qkibQ zDnUZasHQf3!d~Z3&44HaE&M|y`OP#|*cHZ`&)tAqRw5g(Bm76Z`Dkh!>dV(L0D<2J z{3tVwDQd9HRSJWKo2p%Oa=JRweq0}{>=B^#^`{YJ;4{`{>qSsWaZ{{eggu}1FD=uaR7q5um>5XS^f62N8V3Iz=aRNR<=SH)QrSqq5S+qkY#e1B{_qq&ZUuNP5Y!bBH@ndkQF{7Vl!7JW6=zC)6keS5QI8C`1G!17CH$1+4;Wj>3CW zqG?EKb4oZtF*T*p*ksz<8?K@+OhB1l7&=We=P^W+Is^tv1=woN0uQF}a+2a_Rul7@ zT5a2$+L2+A&U?x*Y6}1y?}6ONGM`xt;kEC(p~X~sIMa>QwE&5puu+uJ#z1;Bg!Dk+ zu8d8GOwtPT;2zU^DTIpH7;9GPk2M&Hk`h+A-*z9*v1b}Mbsb$bH*V-ww}dmAq8G&SH0_T=ISPoeq#I~lY% zlROmj4u708#gHj=jVRNUZf3le$}VJX5=ohE&=40=tbrg^dm3qmxb8IK!+A_>e7u*+ zHzIH4{5-9ql~0Q*{Y~~8)eJz0rEm1|iYwo}8WrE##5lI$z-ETrZY2vR)cXRvlr^ z#vmi+xY6Jwh1+Zfoih<@)gVXDp(yKJXR@Rl#pA?2&DaUOozBMfTj-Q{8OO;TBlLUCR_4dlY#Tu5eVz#mpkv0X zB5GM|xw0Ki)h>f?yxs(j&c}76-21)dVtIU9wo=E3Ybu+*Kd%#8GpHyDR`7UGFcGD=Z zqIuJ_3q(=Zx_CtmiuDUJ5(UyZP>p`Ksj%=Y@6zOkqoQ@@;xet;cvViy*-*Rg*dhoI zbe(8vuKHc^r{%5#jHl%pC#YI8nd&B4)?;K|p#-XPeeVIMM&+7|#O%n+W_-T;-`&1K zi)3fWLLFG=@Iw8E4P0wyeVsgEG+JuA_C71_*qS5Ogm;T|fvl|SriXpm28qppcsP*F z!C1I=iwj#Sr+Gt&%{gMXv+VcYh&B35hkh%q9Q8LYvFcaFu>OfC|GDF9&eOK&qi)Ud zAde&Xq+DGXw=&&^k#_M0n248ZMYE(lt1wUf^_}$>z}nTa&&Sq*0=tTqyGSrF#R9kC;w}= zmid3CpZsSp^uN=s{m+&Ef6z~u|GV@PMh<4S|6&dw(y+AM{H34p_826nP221jiBHFA zEsM*ODEK#52ZEL>vN6gjna!dq_$~h6opQKdA6bfD30VUF8N`{M%>M9!@xAlC>v^S| zG4TJ9R$=39HckP<8?UVX`uRTcz2*Bz-SHv++ycv;*a_vj9BU~4d+qCZ!}fhVjaF3IS77bc75#nO*VEY+-hIo)_qMcTyYhY9^ZgEL1|Q!%Ha-k*oFwlb z<4UXfQGQ#o@dOSX7G0#Pf8go+FcK^3Jo8|%@!sx_kGtu2)`RuH4Z#Pj-v!w-DU>oJ zxkDq_bK-$PHcv-HU)Dl&$5s1i1Evuwi!tiukb z^N*X#f%=~wN#4G-=q`ZnOovD`Ig51vY6X9`+?s5uRY34=P&e^0UsTB?SF;= zrPa3d_5C6@Jd-vY7k7ishZ38JMeq&gJ+A%+&?=|y(R8wA3H2LuW@3_nPv>*Fa+mKH>IdTu{{TJOWp zqXcRG0aetaV-BXb){PMd__|PfnYjw@)i1puw_`o(Bzi~4B2OTUx?UOBG)z-&-NZvwH7Vf8TBOfls^rF;>H*kE zD3=Gzv)KR6i=LGuha2!kyTwW6w|?_0Fp^v0&<;=IJ^n_VBjfZXA6$GvbBZ`34p!&M zgt?f|u%muCF)#{;uA?1kmVLg7j{i_nigN@b&H_TohS}wDkYSt_ic@SUt6Tu!RoYC1 zHly_;(#N%+-8!y8?==PI)i!r!?41>~6lxH<<>J&=L+fhcG(*QfV8fx!cKCU=RSQhL z`HrE{B%8*z%zu@_#HiUu9!T- zhPFopH{dVKUguFdh7#5|W{;}2e#z4vkkpG3RtW?AUb!_&m@A?fq0lbv5NAOpWCubn z8wTo2Cy)8cF~A zjYXJBbK6?TDZi~wtE#FxmiZGVCd z0krR}uGrZ!CCw}VurMu!C16~_dFR9?MGgbJv(Se(Lq#_UeL*#_oKy<~;^v#l;4aFH?>eMOh1|I$3f;%EakfgR)Fp>eRn z%LvM77a5eig{qApsj*2DuJM;PZ{Uc$p*+MsAWU*PulqyNdT{6Ds1~|D!*ul17v8ppR~vZ6r-sSjsfT z=oB?EUKDnu`Xel(nP}Mz0i5TZ<{_~;B^LrFG zw_PqXN}L$@vn{ z4sU4+;Dpc|C4Fh9AkU36D`j{e=JQs%pjMC_2EP`$x)%dW=o8zUidlh$2+vQ%RYV@a z3V67d*5#tZGs|X=W9fz}@yqRyxtFO9%{bsgPdS#!dkDK_l{IC-+RpiV;=4=s*H!Yp zFO+O__9Qf^*AavtMmN1*&Ua=U@zsS!hKeF5Z!8kH{IW`mq_)AF3RdiMuvC1|aq}g* zi7xaxzlzD3K6j1_h^rK)O)_`986@jaG#Sxj5HpwtJHZc30-3s~Wk~cK?@6JP4P6iZ zvMYKF-otd!3DFfL#i78pB{cKjrZ?S7(^GTSHtN*ap0PX33CD>QT^Lm<>LOPO5a*dm zFa9`El)gs!_tBrqybeGHLm@6#Z&6>ycye3XW6C`5t8nW{0ErDGk({L^_YI`Fs$q@h zc8lRF2;*r&Lb6A)VnW)u&Oh7Nw7m$Y zG5LFQVGOUOKEIfTB zhG5Gu)6wX0Fbgdouz4OJmcD&&Cj_to&N6S*M;y>f3^=C(N66J`R-6vw?bkvYw-dA= zMnNR5oX{me)CcZJ;S}C^mV?QnfmfDfi2bnQMERqwZCKM25Lu&^ORg26W>@cVq|xY5 z9N?aF%gF!L4hzhpY-kV`q-P1EOr^p&DhJ#2Zy1#l*y6|!d84>NWAwZQUdHBw3#yM* z(}q8qF<4@tkJ594;V_{H>$UVj#TCP|r1bfZyi82t+MPBW3+$x0qm|}_$LH_V_Fc=c zI;P=Bc1NsR6a*t!fP5RZgq;n}wPRv^BIeAHQIIIXrmbh@(nWW5F?|FocBuT}-Oiy86MhsWk=hUt zxIM*Z#^$%iojLO0a|mZe?mWSI@9=bb`}7~oi%}(^nHVM~tDY&@JyJ%+CSivk07IE+ z-%(8qdQ%w5z~`~WsuAmuVN*X}zm?Dj<8ZBGXBD)_ax_gZX{8~;i2~nQX2H;x-}$aJ zAUA*rmJ2O`*V{iEKg28f6QQ)vf$N+=Jj#>50OCMza7rQH8lf*y1R?cJm>0Z`zm{{t zKF1l;AWb4bViM=!V6e;fu1XrQ6?ktN_u|N@#(i`q9R*9`PGWFbpxn1zvKPr~=zRX? z_1h+;gC7M?i6RB-m=YUtdos9U6CP+*oj<1{9AaM0s@9(6O=;()&HLjq5*J?xVszu2 z%?ukZ_1^TBr?E22b7QPke36yryse(6=sH6Q;!>`SF&za9?+v4z#_L@i8)F9BwWe4V z{SyvCkMJa#NsWRMc*z5?rvm1ic+k3w%d-qa3n3rMR`Syj2|iQvIF$$2dNJ`p=Y7>! z*L-epL+jC(|M4jFylImeRX9<{MX)b;ouT`24lm!k%-3+NnGy*c{e{oJnf!Ys#uu+4{6r_jbizqMFoVj>}VdH+LV{6G4O z`G1_b`u_@MWMicNFVf^cjHK8j_8~npHRuVfO=8d0E;d{pDF?HS7{sswBcMWLwIJy5 zM(BVT{Bu8G_nlkHj#q2hTMc5Y&;sx;k5anj73CLI<=5$NtUub{*4vk^Qv`fX9h9~e z2iLQQ&e~TIir>CFhrXjfY(M*|J1B1}J4YZtR}VMc(-Q;cs@eC&v@S;sKS^vO2i4|` zRKAT4Itxd2<9r@p)t@V$bf#d_DWKJ|9nD3$VbmBcJUK4Ldq=U_Z8QX-_CimU&--qx z?Nu(yS4^}Q+B})JIcFgdzL__!R}@K?vFEiF8!ac-xrYvqzskh4p2TUGAT6b0Pu!bv~Y3u|Q$sd?44(ZCT~~X*ROP*WQ}c zHohDs=Fp2}ZI@~8v}cPo9MT5P%M(z-0sc=Wr6qFGadBf2j}|wZO6CkMFSjay9{iMk^QJV?`P>_fTy%mS2;!>RRU+yb zW75eaBN@6T#TzQ>RLK&3#3DY?!K*4)HgHN>b@%m!(RgY2rI;9RiS+b!_v@S60DTEQ4v(Le89dTy;FmuV=f9cxAb0azxEsC7nSkdw=9oRyd=>yvTF@XGz} zi`4~`KibDWLfUw)9|JsgjiED0q%hL4mfbPujqcBJJwLe^+|y#dL3b3)Uj#9!Alzk? z4ZNZd7fxhX$j{!SSIkre^|$;MkjbIGcYMuC7(^FJl+X=0nH}; zY&*LxvE0HfX1nra&FqaDT>*pMKFtQ+Jq)bw*kMY7HIs4hZUt37yfZn5juc!&d0&Nh zu~}|suSZI+GvUx-U-azq4-g;I{HFw(uR}K{V-0rFEwr16hDL>*(!qH2yK={F$A;g1 zuC#kuEED7mQFW5a18zT=@^7oL*_rD*RsIcit(Nvr#|pTiuo;@Q3}uL*2*LNQ!_E$1 zTZD&s`tWsF&Lr#_^zmiWhtFpXzD8Zq#i)24Y*oQe*0|$bz!v$mpU8o-8zM5vbyF=0E=6>IR9wxsp+rLS!6IOab;40zf%x0Hh;3{_GOC?3@Al3af$U{)O>s-_} zU~sMB2aKQWr4oi_EC%sLYqNOia6WP) zfQ@TBmbbL~MNJKnVQE&;F9H4KZI^nlBv}hL=&nK&u=G_x7f(B6ECRthPxRA z$7-1=AkCK**)W6w6|Cw2Fi`eJdRFSb&z}T|ZJ`wr0co%iwjgSoy-1{$m>O6~(~AI{ zW>T^F-*^Vz_HNrySu7_)+9SOBNcV4(V57otc?5NXn)fJrZC|VODgzSq-DHT~1p{<= zjM|u6?ns^yTkC@c*2sRWce=t--?EF&f1vc|Y5s6wkrB}Ud5Z7j9EENf!G)L7naNj) zLa?|;l4G@lUkp(x%aE#G3V5`8tNQ)7XT7KfVhmyHjaK%b16YY{U9VI6MoocZ6o$`i z80agq9+;k{qp}i0?@_lS5ZV%QO-7(bZ50s-Sjs%{_X5@B5ob3rK_7crh5o!NyW!% z7w_G;#@Y}|JHcKyZY4SYrt_%#WX#yn%&=g)#HWBZR`iF)p_S2gQcLWjg@+PvqiToN z_LFfEMKoe3B4!BTtKZg1fUwU+%6+p!ynfN8$K=p{;C1>S#eZo& zhs^glaOYIK=##rmWtQQgP-o1EC5*mO1zEIMJL10Rr%!NooaY|0UnE_y?Jx!gv1RAw zT^{4}yvSbAj01DTx#~jSV&girH_jnnTh1H?K0A({tx~=)s`a0z}1IKKK0gowHUu;lJXkCRm7-_c=#NLKmoi0 zg0i0*t*3L%@fP@yGTnA8ZfpCUiv||WOE$Fa&7rtR=zypCvEqgq?{s7K!pWCg7X=m} zSG`{2hq#QU`wd={hG78rK0TLdIX382%DbVbRm`#0GaD!L@6>Ch2eoHlEheGAlnx!9 zqsT7i*NjY7J?%klkht#aa?PRv`{gFoGiH$hOT!jlLA?Mw_{7<*nAmPf1C;JWoeEbo zpJ>whA(c=(Dz-}`yUJfVd``N@(OB!_apYAwtnw%v9;Px)n~1a%b78Jx*wZ$ybri{F@Vn1Mo%`vBUKtzwF%^SvNU#Lb%r`!q+1UYMwDb-RsMI zRcl}T?;nHIyqW<@))=j1_mo)0+ByBrDH?ZuA-3)U6D{m>pj6%3BI!3B^B)}WKn5Hm zZcc4Lu(v;WeRIckqX**K_nK#kN-IqGCnahk-_QJ38O~wO3lAXbQ!nCKpddmC>Sg@2 zi?z4bjq#@JmuxK)1qwL31Au`#Z@z#_ra$i9eZGLM*1eAhNa9$YCBN?W?K*r_&8Mk- z2Lh^OO46DAm;G{J6)y5wHvZZL1j1?vrO|}`9Z?uW2fU@Eo=WL;r1F^n9TUR%mTCV% zX_+D>cp%Zss5voyIihZb)KnZ@#LBxC9z|qyXIEF@sz-(}YDdKqy)zn`OM^`p;LIbgxoZB={Pnti#2IuM$&a3CMh^F;r*Ill+y==o41O&sjhvhNK3X^;9 z=>{{rp<98eT{WAbdJ{C7Bzp}AWXIGW74MVQ3j}i_W}AUh;O{?4L8vDRbfGNEkW~ou zFc9|%;K1VrlXxB3A&lGK$1%afJHG^>6VsNXpWP`!^fj1(UenNzeUM9l5#UJ#?lgoM z&U2vU|E?%a`3%qYaQqvj*5i&VTtwhnBsf3q2bC4`Xx%>(?V){9)sGZf52h{=<3bB0 zc*0bTWVB)f7An{sPSk*4fWu+nv2dgySP1-x= zO9=7deNQms;P<}keG_gTDg*HW3uB^xw6_$8GB9gh;Gm*OtThZaOWwgXZD+*6{LQ5l zjoDu^akS~!CUS|DjnSlkSPhBSIwZ3%a}U;;{kpPeg|I88ybEnwU6~J0Pyo|QC5tO5 zVR@n#QSWi}uhq+3WZccHZK3dKuSL9lRXFuVz)*uPv5UpH3~-(wGX+i*Rf;W<%(oLx zQ<+ZyfmySU7=UhWX#CJSzV|TrdjTh8bfBV=sK$!Lso|PKyYmBNwrQ-u!Y=}_Yn9)q zuYl$&tYO_vyDdpBP)D#c$s{pJiR}u`RvZ$9csv+)SC5bEed_NW32^X1rcyYRyJdGl z7xzj}(>7moc3JdnNDm?zmfb(VODEM2v z5%jqFcd=kLzyl>+7DR1e;M9yC6bX*j`f6^g6aKWd!LHGuP5cz;ztaJ!zw{AY{aa097M)m%y=GIhfaC@o6D?jX#1y*|;~` zVElgOo}>O1Z;7Wtd=7n*Ef4Qdd?PZRNe#DE>T*|b{n3>|#MC_%IF}9H5IKVd8OQi=MQmDrfEGSuP)nN%jH(i=| z!dopZ6l1RrW*Kr8GWDTkm4*H#Y6&IAj=*z29f9;$Zt~%xM>q@8Io!#an^w5Jk82?u zC&&_v(=9zni>l)5yYq{i1wJD=Z30F%vu!UGeAcJ}G##mG3YyK8Onc@-Lt$f{yrPB2YH@c)N@il=0n0UW)eGq z>cuy50DB8#bO=AS5}Vb?+O9KhzH>)IJ0M|DK|26ZvH>;ggN!4Kz1057M`P4QDyBQ< znOlOLgQCv;Ig+@X8j*3Zk%gk0xSW~LD9C2~_6Z$9&NvD|T!{c7uajCQIIkF}2!O#* zP9q>K^1+LG5Sr8jFDza06P$>bN4j9vwwNf)gk@0pJO|MrVgas%t(z{at%4Z|exT!K zz0LLQv2O=)@bPVhdGIr;HF&TfhYG~+v+^6eM3jRR4(S-mb$?2yjxQZj8#Kk_s*j)N z-9sv82R-Ah0rz=#wiT8X*0G}>EBh4(gkdI!-~n}@FVnPU(^po1yc-JVpIDds z^96Eo*6(x{CH=6XVmeq#c5%hud`j3w+`nuaf*^qjl~})^&Q$#1E-@R7q|TU+_;FxI zNjgG&&pd07uFKhK4Z7w15vSu5d9#0APAJBtkCybTHWnl!tUpWUuI*|7wHEM_lZ^w@RSW%Ov!W-xKG5VZW&cf=LiY#u>ZQgZI5{ z*DUPrScd0EX_S3}Uk>7htA-fu)uU218Be>9DnKP7CMqG>o@3n(q0-vk1y0(NXc|1?Ud9v!^aI4M0LOO3+g%V@-UK5_!**8@S~J@Ll3EI+Zm7fFgB z&hL7^7pc8CeU^x7)~ukn;%FZ>BCFh+2!Xej}Bv`3(5bC121CLyG6_`i62#~9DrwNJFWY}>YN+g2C4 zY}?gk+jf`jf7!Ne+pei+PbS$j^XAPyIXUOc{bAuoR3Qtah1h`}HCZ@%$tS`dl%)xaVl%%o=D20sU#U!Gml--VEPnq$f+4S6#_C}Zm z-|k@8;IUM&hQj5cY^yWp_)6HKv^A?s0 z7`{bF4@lPTLqwP9@aZq;7(|F(78E{uy@w`^l}_f467Mt=O?G6$h;LHuIGU$3~xJ3!oaH#)FajJnzKtyQ_1FGJTyP5Io{H<}8*>bv(^ZBc&LtM&gp zJHeLua6yh8{*haQ@qTf5Iv^dOn`+4ex-hjMC+ggHYquXg-z?okt&8>8t9_BH%&tlO z)OYL5NranYy)z))r<+cDw!=}Q&;+^wKjuB#xnb4uKbPBdVqeiX2V*S>gVqKWU;YA@ ze%y@yFYxyN$9WDc|70`#owGi{A+T{fjRS>FTN~H9Juu|zLgLMc>r5Ze% zb2{)>Z+*d9nSPV*^7JrImnVY%$@Sr*N!P1)Do>Z@%7X8M1}%GQ;Y=-u%KMdEs@&s7 zy0a1h#}@wJ=7|p8xSP^o{q(Sl{r2%%Wr4Z*TT`8yccDe#P7ix`$@QW6Vb7Ky2Uc^; zg;`_#p;M;U7yN*h#g9Qj2So+4%|`GY7TJtKDZ{XR(vzhcRpk`RhW^UBKogyma68(%~>jFs^JAN`MSu*z+GmBrA%XNm~!+yqOZzyu( zk^s$%6hPEm6K6$o=q_tM?FgPjpG$}7xGLS+_}-I@0MZR5PmFfY&~-nYewv}tSqk9 zdnF92CCUvI+#Odm?k^_^vR?IBBmBT?>#E_Vvk8sALQnGB7|BLAki(Jy2G7uNt#TEp zM1!A&o(%|})DNhnJDow@f26#kvqU8pq+ZN|bcbpS8O@2KO7pxahKuuE9e65Me-*SE zlv4qlNqCxyFO`97U;)twp-EF6BBdjmqe=bX(=Tb@=o!y!;xG+mSE>!pU=C;=2(^Ki zq$>M;qmh*pVc#7GX-(|C^c$`fyZ_J2IReO=32k16787jg)L&Or(6{@cXGs#(1TQlZ zF(u=qQUDAF2$ITukb*wxe8#}UNuYz4O@fIH+uMN;Zz*q?D(?kGDpsJ}I1}+4-UGnW zaVXbc#y2*#CuUdJr{9ezc3EiDC|?Um+H$z%kIGak9w|gF-R43_{H*@sEJ@G#ma+H1 z*nMM;ZGKn^Tz-UG-J6N56-Nk>H2rpf1;myWHU17~;1yY4S$W8P+RmXiE>X(YKqRJmZvjO#-s@Y4@VWQ2(svz-@0d2smb&u zVJ-cpv8`9o`R!TBaKd++(vFtdYk4}4JJzpXtoVbaP~`p zeU9m_Q^Nbm8r1##&>yeu0=x0V_|qB$8X{dNKY)&HLZ?hb72YZW#F%go{K}SyXcQ-T zDl~d~p>s~~sOX9x%nD_qYE}Gdn)4=5YkbWNR zs9@MH7XKBo6_AUvK<(39GsG>68gC|^pEYF>csc)hD0PEzu}xBkV3_K{OGEZkb#!f+PZcH6k4kxM`Sh4=F`t55-|JKe&Uww` zDYlvzW9*kl7Indt`1vKBZNH5Lv0L^Sx6?t>c~z4{0;pR25cl5bj<-}sH`l5(10o~R zsOH-(Nrx3yK`GRENVt^(i(Qh3l>Dt>l2SqZb9)pl3cuP&7R%Ln3p~Zb%A;5GmcR;skgzqde29u4py9W8hkZJm_`S)vpC^M-((h_7>hGw z-EHG$)#|2zXac5B(O@$uU6l3zui*JRhj%-)Fm*Dm6NZ=|=M zHjwg=B}?GeT)=AV`-?2B_h_%m$j)ei%(d}lL8$r=@sA^-NPMY@n8Zf@5Iv_y^f4sj zphVb)hWHKP?3ToTHZ;;tZ$~cv>M4Uh!BNk95!*IP)h2^%CUtCUa%fZQhkCVlAi1QR zSKJoG_Ax8s6y@(wq6JY13maS3n)59JKMizOcmhwB<}UenpfK!?w2@%_XbhE=mj2(>rf zr(@v+bUnIyG7T)+`S^;ai6VDG-)j3)m_?a~bQ#fvl=}Cmpo;0J(s`ZvJQFes?7njr z1vQac({_soRrCzDK)*#U0G1?7(eSJBlwC!-Fi*B7IUX&yUIUBT|G{=~poYN?l9`w` zZhW(JTrzqhtO~=;IPsgg>OszM*eXA^s(gZ%ZlZND8bCxroMDy^kH*)u{=FcBf-e7A zyWfe9(CTH&tONzi15QTF{LV63D?@Z<)r)pk@jK_bE&w4T(>vHnOj?Q^nMQ86VXrxv zz7FX;6MBv0p*r>cT1C)Qrbtqr^Jr)))h4ReMN=azGVCBTg@JE@lbwv|h{x_O=pM#X zpw_0i!)}TlP|N((=mdY%C75-rUaZxn?--JPb7eQh3q%&(y7b~t)0n?7O9>U`#{aFq z%2Mh$Z&`hDWi0CKD-ettc-{UAuJe7e@963q_%?#fw(a=72f$O9PR5J}mcZ{rJp1X! zFeL_DZQ%NpR3WYQO>M4?_-E4^2m`cp($91?E}@fB-0aQDVxMH2(Ibv7o)NbsKnae7 zvUAvb(~7!vEdiwAqaxlTvjA6V>a&1a1XljO=rG>gHXf65R9Qu;Jf?I4u5jdIec9Z< ze!~)@beK?GzrV%bC55?9<*q0JShLHOXh?7k#gB%^UUvs6YPHdhb)7XKcH#*GgkbaR z-@Q;%E<#vv%J<3Ks`_jrf(-+Qf{64S$O1MrV1X1I$Pfc3e{?T7lp18A$~9w))O)z> zNvfsSVK-+w&RC%wdL^y2p!O!H75H6`s1Xx92}X(4W47YqM-A$Ym_@3+C9~}*RO910 zggn{Kn&7BEr%iB-X~4+Sd1Wxhe>sN9MOG3q!jbB%zE}kh0_DqJc+qIuPS~6iV%E6S zlhn8zPX0xI!Y-D!x2xT}aLm)=c{$4eiA}6TFiClHnGb3h{X4$4aCal+S55W~@tYtZ zf8AeprDDaLN%Vu=8$thmkwsdpoP7d?vuo5Wim}sQSjsaCF`|Zn{8wdYR$8Uyi>uD+ zS;Z6nL^7MX{T->gW=2C-{0bJmtDrOvYR)F-rd@Sk-d%dD@t^dZ%nhxe_Nr3aN$NFx z?+msC&C&ad@t(jis*%^oFbl}ZIAUFh$&F(x?~Q1g`8=ciV#L;cD{t2ESPO_ZKdB)f zmnI*d;6x+UpI!r$C^(;_YkH(AHI#E|$+(r@RrJttIcnbxr07-u<+>q0V{u+pa{lFy z_od*}8h2%>d<*zlRE>rR(%}D;cCd-5efJ#qJ!A#r9G5{G@M&TIqwPA z!9Rg)F~o<;a4+cyBOz0S&F=T?=^tU4u9vFAgdr{1NSWYAy5f$*1A|GNte!AA8UsR~nY14!}S&-h6hbT!(`;264v9wmuM{Q7gQ)nqs+1<;3N3Rqnfs8$wBeESuzwknwiW- zxQg^-iPfAB?1+*XfjLS-?h5yIXok@& zIjV&}-jh&La8X;g{94>-R@pY1)NDnSOPG|BK6Kw2hNd*-v&xCIoJ5H`$pkROyQrYc za10ocy**V$V)NzJ&%)8yGsVPAhFeQ*Xojsj6{u2hP?N^JA6H}^SK#PnVslW_vTysm zPD+u?g*d7W^6;EhNaR8cW66nE-{~G##9A$?&pzx9R7*{cVuogJS8QV#K~lq3BQ^-j zDAeoyMiL5dMp7~8G?aKE0B2PhQ&fNuk?dZ@+iiNvaDTXK`MO(lU`|Y>STYi3Yodvs z#bJ;-y_i@=CySUHi_R$SZ|$j)d@8^c0p#{4zS&FBsr=l-&VjFSc|k8*b(CPz2O2r~JVzXjty1f~DXF>l`{N)S2pfHV)@?19HZD zzK~>I!BCPtJiLW1glj3tFUc#P_LAR$0-ck95<38Dq9m1$ikUhkRuU2nzpjd(cNl8E zUW~3vs%F;Rx-E*wA?HcHfBWCOrw>@5~T0N9)yr>%d3`@|eJVF}IuDfv`{% z3J0mxSPG`mFF)|WaA*P9MbDO%x5mWM_|%zV!g`HE1>H^@{DZx|$_=J` z3slb_?i@noPxbk;=p;693;KdG8CUJf>y0;a`n(xjkEIykO$c(=bc1*Y{YEH}x{9z? zcJgq>iAb@H3)7azb@6sG#?EPLdUyA>-;4$|j%=uLZ$$(M{+2Cz>G~L2OO7%VE}4$^ zbasDqszc#0E@Am%s+LyRUk>ZO z0`Bjxv+O>GjIQc$FpKHT_(ef(J!z-CEAMmOF&jPIWn`ozEcgfmVL(6BdxuRejY(Vc z8(`rj39p}G=Z7ns9Ax{{#rDf%yyzWDc452XP2KA%Y9FO(Q{IHa!9HfUYa59v@TP0} zJ3c&QLsHxbaky?RUEi3d`I^4CsLs?+hgUoI)Y}IdA%4b{893RfX;<2h4*k~2UbI`I zUDH(JaBQkyp@$!F11r6#pTZ0SR~}5jy&_m0ux`1T(PkFghdN+u()4^z9m-=;nYKY+ zqlvzZpE$KrGWDFS)m+#Odxqq5_{B~mPlC7+ZMGVeIC&t&0-$1WnKKrHH(I4yuIZ)9 z!NG`F-slcS#U%$-N_WALc-em9T{jlmF=;+7oJwrAP(r}>x~|BmJvy8i%l@SYHN5aA zqoYHmRm>57@@!_`WfP;uL42~zu=*q;LOG!~I&cRkT==NU^BTK!s<9q?W-x-k66y#? z2=0t|a$RX=xCp=S_f5Bk^D3}mPpZXIglNLSKyEF4Ts4s%urPph8ze|muG}ymO02U` z{}jd=G+KnFQv;aJYq-i_j)jh_at9ZYCS4a(n9%&0qpC6F+9ZT^{+^(R=F&}k9t>0W zN9`IKC@GuhQyOqe7%eH6m5A%O0R$*>Z6;=Z`N#C>%;Be;4OCH@&SjwLJhGCvoJKnZ zpEj%5UNKvo_qx8<&4=wgiCk(oV;C5<^Tk4(O{tR7GAo!}rr)v;$zhTIJL z>0Af#2Jgz)Z1u@JM{?c0G;^$)&&bK<%nT3_=mYGUgJvkt$MX<-6gRp%_`EOc%yAzo zY}Oyn4xZbI|s#G-kL7mv*E0(|=N^J%!)*|DrCR7yPE;WZi*QpBZP z__P8s)yzzpUcZXk-l}04^&7+Y0sH!`rQU0HnDmpTp(o$cYhAX3ATjA>%Vx*HY6RP(OXZ z6s#$%1eM+i503AXAhQpwu)L@1_iCuv9gqTwkP`((P}YU^mAOP;zk4S@@~r3UTlX4z zjHBjL5nwf--a)U1TMSE8#{B$=`UQhUH%lHUYKX#0CC}%1#$dGUL0y3{hT2~AFAWzd<v-n`XleLDARvWpH{lTDy!V+;4ifzc&pLy=?k_w zPO~>qZ$o*`%BKhl^SIV$x1=QaOF z+0ZQi(#-!mm+%i#{~vJ){~po&|CUSmFSYxu|L#Qi???kSmj9#Y)J2we%(|Gv;MdXL z+`@WR&&TKx=DxJ7VMwbiCkeV>I!>#75b~d#7p9~<%y zqn{u5xUgztAB0JB_!%1xfa=aSg|7B$+kY_zTfXY-mgRj1HD#_7d7WPhzV^Odl^L&} zQxCffS2m|_Uln>=!hJlb3u!9zn5ck>*vMe)X+_xMXTuJ+#}(NQo6`ZnfGliU1p0}C zr}9?Q!!BhCz@xUk)cw9(Q zuMPe!lPklyBedMLhvMq&ZOfbFlCbx|g`{u7N5^QhOVAcjhE8v5u}r;L3L^fi#0UBT zR`k+G#G%OH%!T6Y;!=U+DauHPp2Yv6O4kcYFC@`g{q4ZUa&daSGTF>lL)bZ*)ySj8 zT>LwCX{PVNCO<^a2S`;TU-54_ipY|DR@9Aldg}_=!g{X6)Jz}lX_K<|JyUj9nmN0= zJXiCIx(>ftS{VFBmkxQU)Yexr5e<{!^!3y|$4ZoH%uY&dkqM*rX{W9irf)7<02q@1 z01-JU6-k&1@EM?~dXK&*Vy%Jz8#!6(9B)*8!!R){vHAd4X-O8}A&LaCVHRMY{)2U* z)_fpMdB`1!6ZICj<8<`kQO$PJM<&NK>C8C8P2jDBCtBtG!W| z-;1Y3uNSYO2Q7O?@s>!xr(Jzr4IKN|udY92R7FERXA)r!+6tSg#2|n^#euOThi&@*7BG}>{RC^>6MsvOTjM8ag#mMZ ze2Cu9BlCAVk$s8;``^HVz7+)EB;Jx^y3T>2`e5b_oWi7-wQOtsHHOK z&x}Rl8k3NuV9cvO6>?$&wb9#95pn}|dORo8NcLj0`SD9x`h`vkGFsK=!fz9XhI_JA zS(GDWoN3V6!x@ult>L0>U?^?2aU#Ckh|5(!6{W{8Yi*Z#4e&uC09zjLMjcX{TaN#&Dyq4L!c%45Rq8F2d~Xp@P4({~CZC(jpi zo$>yuL)L~TkOFD!4+J$wvWWHQb#fd(IZIN7erZpx36v@+U9W;g3)+B5fQ-yUL(c9D z^ZPNCae9qeKy0^M;FR;n9HS)|!@^u>3G&b49(71{ksl1@)IUsU7)eM_z)~3wlQt^@ z8Koz!;S3jt7)_k92G4V;-m`mBlUE^I_#zo$2fqjLstHDuKlQCKe1@2mA!&smhp8b{ zzEI;8;S6_@aJ*A~Y2^3GJ>z+v5|&nz_{QSIM;J-`2hy#E9 zmCSkV015rfE8SPRJ6#uu1%{ERk!nYIOp8Stxq@0dlrJ^maG`xOVK7$v{(IGUc{b{| z)J@Q~gUya@zP*CiY3~-BVoLRgj^GALR&DB9od%z7K(?T1*ZOKsoi**wXo2~Fa2jBD z`brhdMY0|=P-4RtTY&r23vh__w4@Pq3eJMTH%I@nd33dr4LU=lXi=c?uyl>hy6P5n)SSh8 z@ekmQjXT@*L&SGRu}pzd3I1ISV3`#UAaH}qL}$H_NWr&Y$nAVtJAp!12ESO;fW>%yGL%GIvrJu zkIeuQ#l8H8ZR^xlRzV!Cx+gLQA#8Fx0l{m_R?Ou+bjU=X_q=8d7x0vWMv^oikt>vi zna~YpH7Xez3x@Piy zI+S#IcftVRN;732n8NIWdjmALnjj8HVRf=Rz_17@S(Pw?&z+uI@C*a|<;TyL+(QOA z)UKwY@}rZPnwX;*R-}c_c>agBI*`E2jc(s%IHl>1`7~w!Nr*xBkv9p}_qg}(&fXnW zYg8F39{1}x?)V&!~ZO&2FtUIj#DqhO(rZp8dUQu@yDQthrYnzVVUP4)o z+>l%~J~D%p5ve{B6Ig_u9O8y*YQ@|}8!?vQdk!jCfC6uznvf70 zjZB6}t9Bm>FY>V^(rtrVzb0ufujk7gu+&8fw_`~0l;kjjbcr)Up;5m0Vwp} zz8UJwnQXZ%$dPy%)!RxbSAE6Qt~3*ld^~4(^TEr@RWa`SJ2KLYfn9JEPm+hfiY4B952Z96O|@}TU;d4j>pCm?gD6jtbyapsi@86JM* z4S=VTkSF_SXxs0zNXXG3)|U+OgzmzVk*oUjGQ}qtZN?Bx=L^q6*3nXqY7|cO55v+R zp$7&bfQoCPa@_SHf^jOZpWOl*8~#>H+DV&@Iv&IB19L{TMvMasmy&4J+hnVe{;K6c zQol+qvCK;y55T*Cnp<3aqnoA5tPBo|(6EAYng_qievjLcbQ{AG4R^xW*6%l*i6*(@&r*8JQhFvn z=qs0wV&o|`SHG{KfAG1*jzqr+Z83r$|MkQ>aQEadbYZ1i!#-+6&{T!tg7wtbwhpyx zuU)V@O+{lYT{kwtSr5m2hFk&s6&J|_=ENldn6KwCI3#HAW6(sR<5%78y#>y=OIAfd z^K<5VcuE16VvKILujl6;WaNGECL@BcmZW|S+#8ZFx_h&~p3Ig4vmjX*wBb;i0R>!| z%-=-U(hktlzvLc;if7~Dm`h2#2-KfbtB*tph zNS{#l7}Wro@VY@4SJYo@0x%*r9UX`L=H}?R>)5MjI#G3|&58m8?#HsGw55)(jw(2d z)9`U9(wA=*ScP#58Zl$9!PXhOaUwnvGAz>Jvqc8%h0#$HIusce65*2H+K_c5#-hC_ z_Zuq!qjmR0K%t-=Fkf=KLf(Awh2lk>6|rhQ&c|UhLZ$-Vh51&(>^5)^Ew-f7jjNUE61mt$D=w| zs%osrZOywic)PVn=d$rt)?{S0ue}qF?C(B!i9H>lJ8q=Zt2~rm28cl@v>B-pM`JYh~b&llQhkSw9_M4+EpGW$7#~RyRio za+f5Q8QN5eU69FiDJ=c-s+mm^1&y0I?%>t#+`KxU(fg)+#k#h?gW8yuW6jI7@k_9Q zf>~dh2NhB!hMA%d^Baj`jK_=7&+obp7cZ6*DRLULqHB1wxbj%TaUa+lUC_mxrfBP; zs(eI)XccN3_yJFu@a&wQkf2d?(2*c*3u?eEN{;@}mll;Z$Iz_~t->5-(U-_?dyQ7H zKWrrZ1Wtk$w@AK0`}hXYh(cZHDvNHnE;b9q^G1}LUtGnP)yLs<=dtdK3zh8AVo(uK z?_6agneFh|a3FnLtsN(qeEjTPW5D@r#JB7=zXayfNh}b&Bu+LvB^CMzZB?3?zgK)ZUqd1{ZRtZBIMw zHmwMQLifW0Tx_nyxbZL=-<_K5OHRzMY6FQn5^7gAi@$^p?J+8Fx}R|4k$&m~)6as{ zDQB|9R`d92t_$c^|Gjw|2D?oxUKg1f#!C7t)1Y7*QKb&F&=-|6EyR<66e~9%vtviK zuADo+gogq@3-rfgvXl1o&hE);LeO@?umYA=&~zdN3oJOw_mdY{p}!|>lyj7N)YG3~ zwxZ!U%Z7=PmSGsi4xx!AkCzU~+g(41g!Sio3HM5@g+0=cIw{5QXsDXvLP-CEMaCQu z5$zrpFNZhC{=_JHKPH&1Z3nyrQlF@jHn`JYf9E-Q2Io7nnM{jkHv~?Ie45H%2(%I> zLVw9AZ`yhYLV>8H$lg@kfS2x2b^{p*GR(0|@{BV5$sJUX1hpGG#tu7{X=8u;OIJWk z^yugd-oW6MnEr%06~~Gw}v6zXzOC_ zSfrR;h;kg*k>wutfO|*0doXQDi85ig4l$&_-RgB^WsjGXa9VnKyz}Hk7MAf)#((o^ z>E4;@B6pUO%^^gKgP^>}$%(i9aJp!#QgP_tV+WtmkHwEerj!tRIN{t&;QNuh839Ww zcQv4UGBi|UGW9s&!94L{I#rh2XeV(RmXgR9Zj01zGxl52V40)q1I>CrJhhQ06gR0w z@fWh7>plst&vr39Ilc(;2?=}A<;MU3TFU8MV8gk24ig)Le*2QQJ@DqkD7H;&7=Hv) zOkOu7U)uCpGt=LRZhqH5WUU}3a7B_NG?Z)&U7kP}-8TX(3)vC^Xx%Zl->Asy6QXnY zE~P*hyizHgL{20%b}SZTVd2>)k+(hEzAU>W6!y6V`$&jHbitv`gAHB}j=tu_L7q=8 z|Lwr@|Sje)5my4{CO59lj}nO$+K9DdxQ};6E4Z0*`6RP z?^P*PmOHs%)$J6mH)isQAwlxY;2c56AC0WWg zw9#Lu(no=2g=!X4nf3zDdFN>=GE>``jY*%U%5wHme82N6_4-6D{^HTz8hd}hzKh9? z?x6na+9|8*T4E)RY4nSuZ?48@MLSyAKG5QEIauwc+lgycwb|;nN&kqiSK^et?4I;i zUcp&*f(PjP`u;FNe-maD&LZ89(`DJJNsPs<=KHdXdmAu+W$@X$hSZwn+`M+$hIHRIC?9|Y7ItpWFa8Z)c3+OJHOrdg265ciLgt|9?lUlJtqxJSdV zR)u%#kfPFT%K!+Yk4?(xoYKIs(rt{&l+AT)07Wv5Ms2!QeWWAsz-C;V=5UN#~G|{nO!DjhwV7Pkdcz} z>u^{G8T167D+BDrkF{Rrpn{_rEoYR+N`MYJJ>AQbDk$n3@?R|U$I!rSm4J7ASkW(+ zmLUBo!PFB?Pd_8%jfYMtEP8*9A>{A1i18kjTXqj+R7JSmW|O=5Z$0X%5YMEJNg~JG zyWxa^lkG*;=sBgru72|+ z))YBc^hJ6UI9d_6Kg{Yum^SVjZdNkfOn#M5=^7N9-CC)w@e*yRFsD*UKe@*-JxD^n*rtDeSCGu6kZzqIFL5O0*SF5Jb`nOzo!hNcY zU99dXuq{pXaXU3lJCvAb?~ z5A}bNH%i*p8gL@U@YIOLP`;=pcpQxo@ z)V`g`T)rSD<08#0Za*&I{P`}*fBska`#q-nmpx6^xs{kh9-0j1ZQMFS6&TTgsU6n3 zpY6gd=)O$kX~Fp+^UHC8Y*&pA!=kGd*Y{fL=jdI9!vvO!2Q9A`&FhELDoavYa)vMi zQ@|}D^Rl-7ht!ZaJKGGZEk{!L88av1On8A4rq47t&Q94v0BO)8R_Og^*Reiaiy9+* zyDlC27oXmrMDX>k1CKTPL%Ld%#KfKOoty^)`1viGn~e|fPNF)A>`9*mpYjnO7Qn)XH&+-)9p!KnBmsI`VMUxW%=@KLGAMII7rAMC>V1 ze6&}3I6G?VIeuKxo@Bjs9bme>Hym1*EF`a(qKoBx{|V*Mvq z_J5_r{zu#p>p!us|L!yS7a{YXa6|uk^M9@N{|z_v@2;8ujvHd(4E;a z%l3CgRYz6l!O1!9>F8bWj{j)FX6^jNw}Uply%KKCJ3;-;TJ^}+J;yiWOaAjkogd}J zm46@bkDugb+-42Wko!~ct8Jq5E%xi2uxrcO6~N~EOh-RD9WrL0auq@3`yA{0d985t z{_y5C_jya_`)v66`jZXMn1nkauHCfIqKuJzfUqV$O5?@4^JRBH$49U6#bm5;{UC$B zl_+Jxvg(a%>wOoliQU(<<1@@susX$6^Y}o1%OP#1G|{@w$PKCmZAPuy*@q&|RKqLS;r0cyTY21Lj6z>(tH+W}T$d*QVC{fb zz=K}T#`tmiZtT_AM`Q>6I!u4=cu&-&IGN1X#>8OMJ*o-0c*g*)loIKULR{!WMS(vs@8_h{2t!qR{*TK$S?}jI*>~) zTE#9esrsD)EUif;o!x7DdV`m9$UMYISj+>&$Eg~8x3FaP`8j`HYc{I`})S0wi|uFKfYoFH$U=e zB%nPAhsgt3oJ0ibECb#Jbl4$pA)~7mEUAY7Hngz~W4p?u?caFIdS+s8u<*DOaIp`+ zIaV`b>x;qXl;^wP%N{9mSAoV9+hp;*vvB!-SM}4%B~ZT4`M1~Yfqa?1_zXzH#oGgf zwfb1TqvB6F?jGkZu%jDlV*vAOGYC&g;&$>XDn2QUV=$YASrq9$XN#@3sOIZ%OkAz>gX5Y`~1%w`w^Fo&1yuN@v{=n@prnY4w$NV~WO z+EwVjg%KAE-b-)rzbdJj*I`>z)K~5CZlQMGy2u;MD)joZE;GhjOk5|9I7CGlHy;;U zXM|Lu*FDkzbb4xBNTVP_?I%w!O-*usCX}JRDm4x}rzS-`4g(XdP8>}kFO1XkTD@&! z;uM7-t{Q!zCw64AjjbP(Hx(LS?A*?|+ftf*6k0&DJlD)j>$@LXFx#nh;{T+L%6BkF z!$BQM^#h7)W6TYe8yEX!fm;Sl>Dcu?J~IC3`CC=A;eb&eI*+r@ILK#n2aD*LeNrXa zn$VA3yk30`$1LaK?wvX?7?Knz!5rj1G&3_t?iloq$@X&Eae~cza#V3v9XClH9)|A3 z(dM}GXECNU&1HEu5hfhr&X5>BccTxD9}B@$50@;3D8k@7F!8bZIt?}0S?N07GsG_* z4rAW*;n$DUzGz1BKv@D2&+SLlF*2)ZnB`EBilmE-2plL#*d05>a2c)&jUdmWttUvC z#{zB(Mo#37$Q~HfCPNo>z|Ti5G@1SQ(|@8k;KrEDH=Y?=xw9Rpzf)-sUj$jbU4T$% zr*bLDkJz3_@cyzd?2O)Ptf9r+A|Fi2Lfnc1=zHN;McyqQ+fd2tpKCSZGH%Ug>Aqtj zD}W_if=-=VHF=alMvpH=HHwmHm?y>V za!9W>T&}qg?!Ns&#)bC?&^n996ko=Y-V7t$&zir_L&!+~jJ?xu?qF|=>9`gE_8)BE zKR;i+fJ_+_sG*Y)!!(UTHI2*{*#;GM9)(O&I7@WgL@HZWiD~S^RDwlGrOU$~v)hh? zge31RgM&g~51B?PIh8wSKMPx*PcT2$4h3O@eLGouP7o=h+so5rOtx!gar4sd=`ATM z`>8e^m@U?7$jKVg*QD5}1<@tY>6+#kAQMpCS1knd)O5qJPD&9afti^lQw zwSns|C=(Aa7M_>pI3s!&c{peu->x7ne=gRg$lRyd*ean$#<(y_@wfIT#=?9}~p}qM|O%>UIAH=$Aw>9qmu)j(?;aTSTCu%R`=C)2E&I2y#5aJ@evM6|f zSApDqop2EN#mMi+b6;^#Owgk#J0B3%aI}lCJFe+rY4pe>bC=F;$sOHL#5Y*a8O<+GG-dZeUNJLpGDDS8s z=cfiQ=eahD)8VQ5!9LdCiwKjfKh6}rHA5{p)gCP6jT`tboGx5|w0kQkUug9ghsFfT zi&u8b3NBcKXs*+_q#1c+-4~x1PC57q)n1ci)4dKf!lik1AXE~#|6-Bo;i{k|Jv2@Q zWF?&NV~Co9&Gdu3BP6T^KfEXAa%2bh1yQV#_Ir~hOA}pgaKN43+x)awCiYilE=LUo zaGNa=GxujvG9Yb*T51`)nYB7Q%M#j%Zw3>)L)1cAfS2Hu|Gu}vLEyA>s+8&RglrdI z7rYihJu6f&?+Xp$=;0X8kRWRL zvV!mf+ERq{*e0f>PlKjWw0{HM8Opu@EEvw>4?GE1;91+)iVpi6ZN$d=?O-je*Gsu1#3>o{jX#IzsDd2=`e1xZPir{nidx1eaq` z96>G0Ht$gVSy2eCetj5Aq@mo)HNRV6pnUyAbwXRn<<=3OwCnoJoPv?@2`&pla(m9$ ziTkrahJpGq(VT$@xFK@#FLL%!JR2&F*ys{=y2tmfQ61YLY3g}y#FCESCh;(kbQ zs{EaDP5#-DGGq}!jFml2cXxmMXi5n9wJ_&#Ld<9roroN%-Le^vA-bZY@p49dAdep~ z4Cduupw7_-L9`|35P`r+*kVagXa63E(3+M4ljS$;m>qn!9VwzR`wk>RM)-V>uyT0w zeUoThZtsm*1kPTG!V6VI&g?|UwizT2S^5!^s5)R6@&M)=Qt7E3hAEMBmW;Q>0j;1B%cLlBjE`*o}}9*5t0j!R;7|vmulE+#J%V23N%Z%zS6DU$T&2&sXdBO+4U3`5XwFyQMlfbny z5jXn;lC5jBAK&~lKFw6)q$5?8M>ozLZbhF-QH37c#3X`FCGz4S%`{%S&6GDj>X17R zY1yE%JYf%W86UFhRHM^Nx-4n7^7r{wt3?(Z|2aRbg0p)neO?TLYDQ)@oGSVH^A=cH zw)CSAG*0g6UiMtBd#kP9DI;xA^?8#>f?QQDdP1Y}2?{t+QiAd z(P}g7PE_VEu(212m2xVW$z2=O0m8!d1vL&hHjPX!i=6KUCQ`VfT{1c9Bp`|NDlWG{ zyG8xCDyn5%05BlzAw(eXxi*CY0}fSBMYTKPKx72B$vK5k_CMWL4%v7>YdkPOdi6Gl zmrl(ab_!wjSk=EGci3_G%1G3tyDdVT3~(%vYxGo=>@tdSk=+-%WBpV`!PrOoR$cvt zcC<@Mwh`50HYscEYu`O}#~U(E_}F5gMRZQ|G`bpM6;Mu7< zDEL2Ud#4~xq9{?fx@_CFZ5v&-ZM%zIwr$(4`pdR$+jiZaxhHPSIWci#Ud~&_j+HAi zG9Pm1mur1%=L}JN8FJO66J=*=)4NGSjBtygFUYGr|Hz(P0 zQzbvlKt&lFwZho`N6Q~9G_{Q=d>=&xd>{j@VG)CV=iiJFRt`1+5C8qd6F%Q4zK^{R;4qyDOuE)!1iI4jpo(QQk$BD9ZIb z%=s)FF9X(ihtblSXM==mnbTNh-HGvz+2*HJvQtXX3CAt9Fb>*eg_rp<8xia#%L=vG4-%sUb^Dnco%Wyo;N;Mz{zpNf`(gkWqPzUxf2gu7eE)p?CY8 zwn}5fm<%%fcS`%{r4#pP1qlJFT7kQ)Lb~O6v${q3=t>IZ9j;#-Ibk$uUMi>)6vSe# zqAa8LX!92U5WU9*8iI_ck`6PR$#*MDLL40McBZJU$UrQLSMlvA$8MXbRgjH&`Pz84 zR1bUJ%d!ue?SqEq>huaqNuB%6byLp8X{C+8CE&lUMb-Q@C(RD}WhC8BMCVyc-Y!^R{F;5tPu3>- zBv$+ELP;yw2YUS!jmOLm`e?c(-+E?P-$b5hFkA8>_={vFSs~|Ht7kJZi2f!8Z-&>% z^>8KeGF!2#`<_I7Liv4CFZ~7ut&?|f3=}R2MWy|D5vSgV9A086(N!HP{h{42ON@{9 zFFImd?_c1?yDBi)#{DENk~~RowW8~DmIhgU@{Cp9kaBN`ylKf5Z?Vj`Vntg__5Mxe zgNY=qL{aeg7K~V>#*I*V4!t;gzhOokJZ}4LN1gtlTE_C5UNP}?ByCW#1~)~TbirDRhSQJ>sHEs6S4bfjBa}ZKJ7;AztunaaeH%81ZAnT+jz9Zy8;| z0xuBTqOR6ao^uw4t&CRzS_H#?2q{f4bp{i=x~gy1+5X?-g=1s|!<@m)XiZ}nnT6WT zLvSk9SvBhD;kSu}*tZ=J$ck@uZ9gj3*e2DTeqI@&tHt5)?uv>&f}kl2pStw2Mi&Dd zsW#q>b(K4>e2RR3yz0F{7m&cvxDBPGa^X}YNS^rYeqASY0oZz4%_N5Zc=7OrURqq} zUB_y(lj5y49POBLn&54%UZ0qMWjpvr+!7m9W&GKIKgQ8VygVH?lOTUS1_Mrfr)n4o z=FPD0+OU5U)GA8!xs7FUaRIDeoJW7Dqv&PXJMSiYe&1oN)OH2UBel3mP(JtcMQLw; z4aNYlTG8}N2sBcfdHR=otaFi{_M^16fXS&u|~R*=~fXu zB{-^1Za&;JEW7h~TIh3XpJ)NdtjscPS&il;P6TIUc0Eau{EKG8YAZ5x~I zo!w3TP2^VK(gbQ^laJS3tcj1#^|%}xc9TaxBEX@@T-xRpdtS5|P%j5>jMgtdA0t+c zVAN@C=8%u)W77T@6y(shb~m9Z8qe8q-T0S*k7?tzTTMXQ%de6`8~^D>`pt&MK8M)L zs6}mgeQJ3fZ|z>g&XZxr{C@>&(9F&(TS5G3=-k>?1oItPrYfK~wlY`vC*;{eT1vqx zx^ceBC>_m7v)&|pbZ&+dkzYR0)v?X4gf;m=kic0K|8HpIe>K1V&uHX-nB4z28u=dx z@P9-j|EmN3{|=4(uXXqTe>9SfmFfSWky+NUo5RT=JrC-PbK}?Xtg;Ww7@UAGWUqWV z*emX|QO#BG1UB|n+9IHsAAz`&YVOb#qr@#1>26TqMP-wva_64DZ@o&Zf6|stS?sm7l4e>7Rt3OLc*iw-)-R*D**QNQQg*+bLs+fr}$? z|5je?#I*BONzdYIYYcLj>Ib|DKRC07;tz6~F7hL``SY;nQsa{<@c9R1 z1X0&5`ZUT|vc`(MpfXl9!SDyp@QQ!u>z+PzWIt8hp+1=6hOpaYbct!$bpyScMq3-* zf%^Q2;%3*i$>_87$-lQzWGQXzo@U3*!=VhtQWs3m0X?kVspD!PlZx_w=$iVa~sG`s@ngm7Nis{6E4I4MhsHz77 z@U(DUI5*z3M2pz?$Gqune)$6wUvj^#{SQdb(g|Vv4(2H`aW(}pDb%&2|#9GtN- zZ(D_PbhPuwwYZX;us@Ixy^Bs8<@^((23aokLV3IV<%#wuR{y{F$SBvrnpactXO$%$fBe(n10ATgeKK2c-HK%QmUe}OD%-=2} zJJg1(7!M+kw=W$Ph&V(C86S!<7vX>X%0xZ!NDmH>wI0M3fkG3_=e8&a6 z1*bG=GVXfPW>-1Njxdb{=ya}$ypxzbih9asiw%l;bAace#xe?whnqe$-iuHXJq^{p zE9@^NWdA06$E9Zv-KBd%VO5Xk1&m}3$`sw6Cx6}jmW}52j5RRCI7+xjp+l30QUmW( z6Lj*KJdA`;ZsRoTeZGe|aSs3H56v~RJz-zu<@-AJ?q*UI9H+`UKPb1rVSthk-T%al z<)or1gUnQ3C=?Y-yPEza++fawL+NIrGyljI`v&=L7y?1 zTpF5Kvs2kCzW1ZyU}7#`wcuXi?%N~kO7UY38B0-mGKkQZc`|6p$7c;P=4;Edc;nY@ z`Ou8O{(t)TM%G%@5)kNADm?ZTO`cIORBx@J4=-fmlLJW$R$e4Azt$k(o_? zsQ))nOqyh%qEBqu&Z$zlwZN#ZdN?cjs0vg%5*;0p*5s=ZlU3iwhA4qFvX3J1B(EqB z$Yx0ti~R)AIF~ULTew_Mc4cRnH?!YeLpI1I5!9k#veD^`raX|ih#GmYW~i)I=~;c8 zTeDJ_5tW)>PAXg)sSVj0xt7laT)OOYrFWwc%K2`r0UoW=Oe%`c9SF zYm#|{>rx_~w7NOG5+>gU1Z>Ud?F88+J*W+xMR&}O_{Q9QZAgh_s+#JObK2->Mz8Ds zO?JbrN`kyyv^?B5npzbjwmMgJFw)|Ct17`j00GH|>L*hSS{Uk@ny)2t`@01it=smL zfYynoDh7ICqiEw+r|en;_QF^AC)GLx#e$tjQF4Xqg_xZ(;b4`jq#GBa%gEBJ-iaNx2a zx(lY?fCnngetKeN?~aaUfaEHkrIFYOw5)hW+8$ST*9SW%oK)(fbTuJbqSCbC5vRwi z7R6oXkz#?)ChE+)=o-rrrbl;jHH26f!WE{sv{K*k=vJ8_)nNw6^$YqTFQ@2b)jV{^ zGvwv-;9o_UNbbt=XJgYqSJyfZULG^A*ovF$dNa0?I@OE3kcm-k^?LKCxeNic;s(;1gN5mNO~qHb-Zv@hx3s!f!Mo zbmtx3Wqb_&k_q1zL^sRE3;{%$Q}x8haoOzFnBwDjN2%Q! zI9`I3{irEQX#iivj9r~Fkf>fYI}-KCmaPEQkW{Q{j3%j%HAsy>=GhblVb1bdI3ttL zGQ1TBcy)0E41(8`9(ZJ=98@L7iE3&qWV5&-1rk2^^hm zc*a55g0oZXEchAx$m}fmehVx;C%BTCIyRYsn$FI}T1TwzuR^7_j<^eGSWTJ?N=s=J zsh!0dht-=l+E5Yd3DQv1)K^?QTvc}doCEixv@225p3K7iWkElgFOZnF+nya-T+x8u z`qKKmjJPurT5l_Ox+;0B*8spb2Uk_7nyf zF^ZW2{CVEQP5UUMV>y=Q0Unl*rUXzEb-K|@++o__s*{#m^2%`q3}0!|b`7conh#Kn zEXDqFGiNaUT_7prBfPA=eNIP4ApUN~%fP6fFIzZx*UkkJpMpc>X zhlHffC=9n}WXlNk?ce}W?A=|ddx^3-i5aiBQGwq!c77bJXg7c(d|orvEl2^#Dbnt% z;ghqxquC2_^L?#hFvFXdC2SPh zugE|vnm~QD$&_dg8n-?ypQ`8*gt8^}YTXt>%cv;DbtNc3sL47ct`Bpjbx!+_gQ8+n zYc)xoa1N$Wk_&L(^GB3O3Wav%{($vWYsZl%Fx^e>gr=^k zx%t;7XfJ(Pt`juW{K)+X`6~YwYJEeZ2g}BpMvcqLm6f-Eg+EoWTK=!FAVT@w)E#x` z-wqJMEy^Myx|^Ia2;{Cg11EG~pY7&dOv<{DuVvq~#hNg$a+;R_I}6PUE5G?r*(JmG zxU;E~G5?;hQATpRIodSk#PsMK!6XZTw8w~Il+3|FjI>mI6(buuVA0$vo?3||2A4YF zRI0?&$XDHTI1%gNbk&-=xv3x1uU0d^5X_eflj5XV9ywXffC2y-R0YNaqiD0{x`wNHjDd) zeisqsQwf=uctWW}RqbOwy0`mE1@ulgO>DNB6_MvPxmb?>=BJTw9a0lR_vX83SU!UX zrXR{L`O0g}8_v6W>3<7Pg*f=q;JSK;@62l?Joc9EC|saWUbTEt(w^6NR)4pby!l&8 zYWaBbXu^?6YFS`?`^3Y*pZ|Mh;6+wxSA^7f+~^89J28rs7Sg=q>oS+TY~SVFY$LPK zH{*KKn?F;V;W~< zQLr6EArDP~_yn4meYx`b6P9Ns>PMYDudwT`33ut(H&%#!a<0B}Sq}fIX=Z%h6t_ZZ ziK97`d2*ar%td}~H{ifGZn$X)+#CP-2<}m5p~$u1`8=b7*~Sffx#p0l@X)B z^~2X;Yq&j1N8=vHz{hrgRYMF?Xj)#Y1f0|NPLwCKq6k`X&?C5+Y4Mn)u$HB}6d>!4vrqWrLYFQ(tmElIVuw=3t zobj^J@Vp&bWu$}|MuqMge9AT@-a-?~O5T6-kbZ;1pf$6qScUd50*&!G2xVwGY-ZuX zWnv5x36bTTQO})@P8%~K{f&VSJg~rWrN#0pxwx`EasYp3H-&4B2Xf^I4ch{*u*sx@ za}tVpemkO@@9t3N!`gSaSSqB>gJ*@~#`L2Fw9lmp53Bzs-uo*J?v+ip`$DPbp;N0s zk*(e$ibsTgHu#Wx;6T(YHJE@CuV)cFIS(1|B5mb-TwPdb&K}y3w~6DucNuX#DNIpx zi9qB8?5lDd1HG}HOWgpQ6wk#nceL{p1SlMx(8ZGrpje!3z7ly;qmS59K{ zwHf0i_3d_P+WngO3EzLTVHmwDD#C$JoH$^Dv78{3fx=9wF*a z#VuZpFH*NsDg14+9E4;9SRP_Yo8+TOy%0e^3BFbQ9`em@OL%~rWfOV0o`j%VI#8y$ z!w-bb$D0kWk`2EIIzN!Rrl7jkldoRtiua1+H(j!e?sktS0e{RbM~H!`^N)HUM;3WHK~2oDvDN<5nBJK6Q zi~73+6(ssq)-vU16njIe$rhgPcjHd74VL;=QwvgQ6QoX|#fL;Y<3Ji?vz$kM@js0m z;LN~P=?;Xy)}ss>Y3q)Vx`|9SM7=My6w1SV$r*mVhm?OYdYR~Km^hoB<~##0(jRMV zExvV$diGP~@!p588&)%@CTfG8Ib?wa2qOTEvqf*G`hM2Tgp731W~*#IZM2Ls4A}iF z7hEC9&rfhx*;7#x*+zF$$vP+TLHD@a-e{CQKg)zcT6his4P|=|Mn(qI|LM{_DKYC#R%p8q4Kny9(UHx`Gt}vpg?~vGQjR_FS6~FSO1c;AewPe z_%rc?+HKxsI-w{^^J3~qzh+YF?jx{!PQ88Gy7$3(=~h1$C^t}9hruq_1Eq~O4Q8I* zAy-MVqjyB&gcSbbG0ynxv;R05I@{4=`_3}ShNon8(uIYa4Sa|0Njy8B1oy~@aRiT8_?cSyX!M@~q6bAm( zPU5PbAHB17UeVfkhvK&%Z?i-h(a>cXU(Pfbm1K`CuKULD8GmQ`di-DCQGjmXSNF|G zU(2Mw*M`=H+bOv?J5Fmf0ii@wOSMm2=SB-xli0#8m3If+N{jB|A>>H6)~v1df!)S? z*nV@j?ec#t=d*WP>?m6^Y`<)@py{i;*fQDT)Yt;VZN2BJOW9*SY_Sp#J8YhOZ886? z3fuCQ{tG^N2H3J~6L@P+=dL^0ZlGCR#d%0nM}OGvowq;RzS+h6N8&lc5pip_^|GhA^ zcD#DWRon9##?{wVf*-iCkuI+Ph8_P`i~0YI9se(>$^U0eXZsIe`#)mG|5aT6e}x^h z|4%I^tSlV=hZ8nP+Z@9h-#|y2i8HXb{d)?m{)lOMwRW1=oEDBuC5JK|d z=Wh-ROOa@}R<-MkFAT5-kr@2R5DN?H%lCP=V^2rR=*Bc#DYL!I%F0NmwXXA|yu?e7 zAE5Obak7f(7qeJxb^$hPE(J5f5{Zo2BbpoW;K3^aATo1vma!yD-$47CRf_kfHSWZf;5sXQqGu>xzZ28r> z%bmDAkG|AgZ(T2R(a77qnBBme9=O%IPExFYh-&9vv&5t$)}~j9} z>u9UiRCc6$ZVNu??zt)W=mPLmX4D`=lI$Rcc^rQKvkvFA1Ujt=+q0iXmv*l5Xso^4 z^qUh>r<@2Y0NYqscB@MJW~Zfk)zKbnQhY*qjVb$rCpZusXj$SvWAROb|H%*^60P$j z*QagjQa0M+2P~@Lyto+AgjeVNBv*r8;pdHg$Um=H1ZpyXR^KvjYxs&g$q4ryQ571t z)n5{2=bJuU-GP&o`&iU-!E4{uD~6tr=Io@4j~%b$Zk#Pc3FH&j;ljPbemhZ88PuSE zVV9_vb_7c`^wSMg+8Gfkan@AlXZ|P~&5~2;{9` za1LjU+I~-*Zihni0QVELJ$=6Be07kR@_)VpskteDi+`jTz?As{XD3EzAXGf4d{)eI zqz4AS-r9dzFa*R*+ra%ie_F7ymcw@%Al(WJaq*4KJ&NuED$9dAFG4xl?qXb~(>$*3^gWDZ7DMzwOTOlQLK_r>ug5BBQF?_>yHG}tfeREtUv}KT}dJ$rclZQB|q?s51CnZ zSZASo3qCLb&)@E5ano!HR@(r+IH=}4OOn3}X(d16x-Ct7+k}h$bO&~bnn6)mMh)nV z$j0+a?rPji+#M}B_SF(8U4pbyl(Y-?XF;Mmhk}Ee8A6SiW-93>Dj7^~V;lD^v?ff* zC-FT(H<|bBhnBmJ88mXvbPNOSopdner3BRpF&10(NX6(m#}kye8~2=%vgw#5KjSB^kBtxDP&-C znCbo#yL@=!tviAz=49M6(=j8smR`mVOOKxVI~6J?;DUU(N9KIUqP2<7EE_mVk@ha8-P05@M4k$ z+(=gTqrtyQ$q3hw4IXv8$}`wqg|DLwrYkAYt7NE%r{aAaNU{h>>dtzHfKU78j^F_z z7cr%JLd>JibX;O$I2|g;^Y5eq_bY=&8fTljSKd3NoHiI_uwN{Kk!#}%*GEjMh*c~F z6cq(ow4VLkDl!j&Qz$WHz$AbADn^afl_xZyiRe%rFL1o>!5;8g$YUD@VWo)rP(b0+S7oRjHJ&T zWQ5jB!dLj`eedYc0()+V1Y;DkEhp%^B4kAWCc+_DW6-t$W-jdN`hF_P6x5GI?&M;} zH|xLd9=QeK>>gPi!ISbIVF$}Zp3HM3cUYtsP+}YvRt+n#k^4j8jLC4}MrfL7!EnsE zdeMXff;aI?bLDEA+EhMbjJ`cU{41Xh0tbijEG*J%`Qilgf?2hY9c-!f&$qQ{807gI zBQd+CI^*_kL{bJiE`NtfPe-M8oR((3_2;NuCX+g&vvbg; z7Glep^bTf&X%fK&O71)xi;PFKvX^LK^u?;d>vNk;-Bn&1<*?UE(-dtEE$V@RlM1}D zTN`$;QtSbb@7=FB#gWq>ttr2^X>tt;7r6_e$}EGTe4XWc_WM145G0&mV)fg@DWp=T z>-RcJz91}tDXtNHj7T*iMt}RFL{3cEyy_c6lB1&NEH1&R8|oWwx!l)&xB3rb1IWgq z0mva0C*d;$WFn#8kkPN*bklz*VPuZ}O0$eK9BxWz(`70_6&iWAWDJr|kf&9gAq7a} z_P>Q6!U9Y`aQWgT+nBouI{)71vZF(>zpTBfBZ$>;B+i%>4 z=hXG-kzXfMJYpTJyKyLX0rPKyw=YftXNw&jrt5Q;bbEY}Nj$qMY&%N#nh&U2Tgf$9o!3$;cuLAqiR)Q7#K3+4-Ln zTzgseLt0O#E3xx|^2Wa5%Arbx`tj_g&;}HCr;$xpC)0{*2d=6NKkMH-d2eB!IR=x9 z5;uO)Hy|MaKsk??{Q_V2OtaL2WjU=z74aDMt;l3Hzw&S?h97JiHBMqXWcR z9d6CJ_R?q>^a4iFYYhj5DB5B~%S0Q zzQtybz}02z`p(^cLj1u6lQZ~O%1x;2>Xr09aJ+!{b6C!sh&>Vu2(*3Z#k^q{xTg(1 zI{!#DeA9_+?ae_=nYn~I=|)S@k3Jxym3Q=JN}+Lg4?TKv^j&=6N%`=o4>@wa&_SDs z?_X}~Vik{O(U`W9XWH^3>O?CkbU~7pu;2_lJMbV1jyzVngr5^#3!q_C;izGFS3vt<3_vnK@7`IwIwU#1ULr`iGn$s{e@-2YM72vADFmU2 zKf_|oAuhXrz-=D&uQU~yr-hoOEl9`bGenahTetYpBg7px0UKRe9=nJR-PoCl%I0YPV2!Qp>k$2&E0PN>tO2<|=b`i|j^Mk4PlEb$edM z;1xr_(h%sEOS|rqc#OaM+Z5xzUq9xVk6%tC06B2Ox!3Hrj_3t(a#+v8n_4Q%>viey z39f>vJKBonp#5(~?;t9}=!93)tUB}WZs17|vpvE0VV=_+x-!}<^CEBKBi=%8v|e@u z7ZIaN-}ZS=ab5Wt7Ck=sUt7#11YSAu>s(|epcLxh+z3IncW;UgSK*=39=&8hspx+W zbdOnk<(B+p{ACK7igMwN%Z17si& z)Q38}c6tfWcF4>oH*^@=N6J(qNZhaMJ2Y>bSK2QiwCCAU8A>7pO!*vty;lr;8BQ?n z`@%Nw^gdeciP3{p`(ymlg$m zY7zn5GUgOidp)F{a}Rc>z)DQpvfy&lEVS)GCJCzI_D_;w=}Zk+6A|L5UuR&-_*Ebx zf+ONHxh@_Ze{_IZJ}$%MaW2jf4Fw@0sG4Qeo~BG#~A zYoez7E;Z?xosty-3Cfp;J`s=IxSo#k!A*m7%C*O;QKVNP4ZqqiHR7WZz?Xo3XIRa{6qpo$HafQlS-dG$Lu=D5k2111sD^NmH zvTp}OFoHn8@v98SAu$&B_2bvlBhBaa5*3!xag+{Hru1e%2u0)iJ5(cD7rMmE9aF%L zribai5ach1pfkr9oyuhFp)y{$LJXyd_OOx*x@6*6iub(18FVTn^*#4Fq?$6n6taIi zV~@g$##KVQj(DE^sZQFz?vG!SJkvwq0~R2TU?wo&u?kW^9+xpyeDelV5k@h6-0aY> zQlcxWg=vOF6Dwrw(I8KuRE_&1hYCtEl|{zAOEwAPl|Uc!*;ovMfWAIzekK!q4(S-9uLYIJKfoZd0(avGlW8W$cabD3%wj+d0q%Wm^=r=C?^x`eqhXX zh{Liik$hSn(x9UqiZ%HFnAlGf|XK8qc51jrTKpX?I80(2Mq&`94Eu)csI?@ovm>5bYTAKC*EFV`b6n_5Rx@RKp2 z{SGm)%gh_crWrF02?_K3u8!*lpU4=3y8e!q=72%NN>7xD*T0EN$rxmIv9DMLCt^X? zXJ2D=4b6d3+~3&DFOZE(j&bd^AGke*&@|dSRaFPIM9v6Uj!x)JTLki4{^lB*8w2Ca z|3Yc7*M`4#`}-SecMxpX9DI!-y6p^)?g$>BFV+cOpfA{87yY%JTI|p>Dlh2Yo5w4A zIHy8fpI-b2I#yRHh*Jsdrj}e&yR;6QFUk#dtwUWe@CCwbKRaG0WR`Vw-Np3wh3DY! zt#B^Vx*WCnMwp6HIat+KbQ3YKP~!aJ)Hen?!Vq6SY`43c?Q3cPcq(Hi}a1}T|3+q*g$n>rJ+|DUbT|4C{7 zC&1`G&-}mI`po`6ZGGloWMli^TAz>pX>tEo>+`N=_d-=waR{!H9^uGbwND5gNhm01 z7V;}FCi*^RpDwc44#DWnS&daUTPRB-AjV&Cc8Y!NvZkWO_q;fBieTK2+K&=o+suUOLnGp6N;6N&eCFeYzmHxc?xS$oOeFirq<->#F`8+F!hq~v_OO24x&9~Bb{buLun(o@=_>{Rj+0XZ9O_lu7@sV!(O~h0s;9h^ieJ90! zn<&#)G$(4@Yjl&%nE66EyZE8xFcA*Q>l8QVdu+5)>(dW zt{~p8EnYxlK2N7m#CDdV*umA2xlpsoQ9aS>@b>#O#biPbBAd>I;s&7LptLwBCEo<%)GWo~epRf<0SH@Raw3h2eE1L= z%*G5;8wB{tu;lN~r6Gv)6@>JSC+jKtq^IHm29>(5p`bH$e_44$9WV^>u*(KD43UMf z$hxRwbUXiL$NB1X>6URDICw}%@wTwM-8LR@lvoUA6Oda}hQ1i4PV~=v7m$Yrep}?N z2NuVKTEnQ;*suAeHcS+^q6Czx#NsObZ8V_HCOg?=xkO!Mwq~50mdcDTW=->Gcl$<1 zqmHgZI~?2R<00L*YXLKM&+QMAkT!7kVd1_Gp>V1e#Q<4q!wA~zllk8Rn8~J)MJ1f5 zd*2)=`DpTVtZRoQILcij2`q2_z_$MG!=Tgxa8g&Ma@1y4yQDqtEUj(mT;!|zrP)vdpgecG2JAFX{I{=%{WAJ}VM$E_P^nYC|6%xd?( zvDl22fyM_*MdZv(N+{{13^H7vL&n2}D>=x@h>3W3q;@-%^cou@z|4qUJTNE60gm81qN z6QUOtJU)eszM_zCznZWz`<*c%9aoBuPM;fjWeGSd&J2Zt8$nN|BAq`K87T8!=+Vsr z3#z+QlH&O|wC@C+Tiq01z4n~d_9XJpZ9A?)%qYN`J}f;F;`t(L*dDp8*2E2!Qi%1f zdbgTFIz=jGsL(UwI;VnG;PDZda`_Md%!8Iz=XQw@=%CoQ6HWEnj5JLKRTQ5= zNtK$@NzPqcPW?#e&PBJ)O1|wH`>}`-`6(6V;r(}fZut6e3+^k#_V&|g`b0aV8v$9T z#~f>~R`oC13P1qvlrg9kOUW=YbA2f)wh>mqAt4w_=s_#1!;JLNZz7qH@n(nd>>0_d zVA_FSooYc}KrKKT5I{vpE`3BCk36zwD$sXewUZOaWKtc1S#g5hD^1HFEzl>l1uz4I zvN#`-cj3Z7h1a0yix1BU564>_4`i5=iz7Q|T=t97rz<#4{r6j#7-d20E&^j3mzKZb zSH0F#1q`ae9{i&=Ya1uF-8*$n(r(VG5bbaAqLa4qp49%p2RtmpSn)2@&L_z@uQi&8 zdl9ygam6QzFX)ZIMkf-Z&DBN~l&K@nPt;NP_p=%}v4(wG$kKHX%^`hB@sUuutB7Zr z<(Xf9DEsnFdY->MmGSsK$)87-0?*#V2wSHMqL2q1CJ^$%1t%-gmT@RAjpCov>Pf}ZOr&z1>t4SlTi!dOrG;Tz69>Pi zF~~=oCR;TclLDY27#fakqmvBRHSfq9L=JIJyayh2bL`J= zH**-}zX;hxd@w#suQAX5h7uwrxaKE)B84JM#6&9&OFcIoe=bpQK>!OMl^2X|f*3jSES;?6A1=+c4&9 zRN&$A%*j(n#oCn7+5ab7t)$xCU8RHyg{h{hwtBG_!hXnVkJ>mm(*@v+_b2v=^x3Kq zIK_HmqRk^CGuc|8$V;n(WxhYXsBXnTYt^ zkD*YK>_*X7E06PZ(dI=w+ss6 zoXvu(m|pCZ0ew|Lq=&&=s6B=wgT+ve+3dgYk9uQ`%(yn*A$x2*R^RIn^W-=`DEZNH zWyZ4RKI9S`lY^PXtS}x3ypG3%ahsdj*zvh~dX~)7a#2&E zODmPkhH*U*k8t+&cQS3C(`<@pdEQk(Q8l;lv?Kk!pJn50Bb9O)^O1hs=^KcTNhxYc zod(R+EEJEZHA~mKE5TTpIZi3*97I8ysX%)b-7L)z?+rP!AFJzQt6pt!WfY>Ky1;eJ zu?S;P9qFGSlzMF#{MbKdT}Y#Zm}seBP;F$7&5q@b<%@z)7H+D&*zxqaer{qPGlq@w zuPx&Sb;Rl!SESs-hm&bNn|zq};tgM^nebQKgbB#uyU_hTjDh+K?26TOyH+E@-pCV3j+W#c3bDx-__|P;Z3*`D_Iy(%$lF-mj0d7cig;MvLk2obH zATiRx4V@j3boG-iJs2^0zluvpV#nG3E7G+_vyfN^QYPZg{I_dtXDk1q!Yi1Fg=B?ZPUz&sl?0A$dT1mgG@b0()Nt@9qO+6 za$Q?lMu|s9sUSa;oe?`slx^bk&*0JQ3;8{p25It1H0`&K3W{ZKIEc;R!va*xPa#kVW!aF%IkHIrdNkDuir0ArN8-DiX>4k)_5mnDI} zY**~K8TUiT2g3l%o)Z~}YF_SBpTYG%ASeN`{6M!RLby9{ZptY(Mm(36tAzZXX}rlMT5>@myghIJe@r%KnT)YH?R%Yipf~u z4o=wHMQ=B3icPMa5Z%=%o5IbCihk< zTIp!~!+KXRCS6h0gj=r?{|~oAy3=2Yn8M=T!ZyNR?pQ;qmm!l_-eUO55e)T~F^q~L zA&@MrR_dUunt;CFjmJ%{t&~%_>01W-)|YTyq4nYsBD^JW+n49Wr|||{LS5<(%DaR& zrq;Ymsj&s%l3-^PZ{JAyBW}YRf9%JtAEnj38U{$7bIz-UrovW*uhFk!i=FMe`29zZ z(-lLLifk_?v=iPq1@g(x(zd4zuU&n=*^T%VgY~{uRESPTCdRy|Th1qiy`j`KeYGZ^V|Ko*BoD|OoW$B}d zCBvKDO0gHm%bnwb2#_~1y&=buMld#T3H&iRNQa{uRpGe8#o{ZfqYP+g5ZEbzj6?$h z2ZKsu%a%8I@txt8(}~1d4Rm#8ZsD=BkKn)7h?O5^ZNM(o9VkOg37eV|aW*50RTY9O zLk746La-e4X#`c^oGdHd0;}j+7oQJwa~F}NO7wKc)hppXaW%nZ*}P?DC2Nr%YS9F{ zl)yx=(FOomNZ2&5jCYS=BFb`IkUtVrfJ7>Iz$+_eEK||P%bEdiJeQpwv$sj*fGwt{do zZX_a_JAE4nC8p!-6DUL_^sklK&{AvN#Ej%@C$i^vm8zMGu<6aV)xv^>zfC<&;MxG_ z3|b~8D{nhmTRB$OE?aJgZAB9hhYM2(xAXHAwcZXbCm#=K_A&kcL)<$D$<~BxzHQsK zZQHhu-PwryLxjor3w+cu}a@7%aCGxx;InTUzF|5R0ERA#=JQMJ~}{N?*RV$tB7 zLT8ha7luHNTsAD2Bc?}&JH$U(ruKBV3<}z9Xh-IGYYxN@Qd=48h=0u0d`Bq<_Y}t($Hp6o7Irmb^OmYKT79^wlyod7bI%1bk z^qO$+p5Z=Pp7{@wWj|6a(UR7I79z9TV{bg5mKHmB-9nhvknARAVbES|rq zSSQjWE@{~|nn`zom=(x*g?|Ob_DVU0#6>yT$XDRM2#vS}Dw36d;cYAA05>?jywx@e za6UKki?>%~wS(!fceQ28G^XXXN0m0jtfebUKZtE6k#ojIHoG<=d`vXfS9w5N!zn0I zhicwOG3ijXYBB|&_x^S}sgg{UC8{BT~9X&@tt>p9H46u^Gc7SvLYlp>WxJuu4*<^Etv`!4Jf z6t1dQ#xg4Q(vSiu83j_nV0qV?eFupuM=Tqqz{H7o-|GuPaw)+Y+kEMC(OWfNO8w?& z?n{ZXOihgB=R?|bLx>k*CUdfP(G@=>%9Cq!4C>a!t3qRGCg&C%J7{Hs_Y!P@~_12iZ~4wjx7=#{%o?%7RK_Nb5uaI0wOSwVuB45Wn5Jnjqfik7|WQX8b7E4e+zx)7bwYt z@w3oGKtn#J9Bvm=Dg5P+(Xoi?tmnI$>NU86QQf0|ao3R>U*mAZbr5Da{bfhdTg;Tp-cI=sD21=6DRptm)m>!!p==H;P;L- z%~0&Q4~_6Wj~Tv)JQgR~;%-`wK8VSR&;Xxb)roLHst{3T{+($8~!au8izfT<=8<(Cc2R5Tp7U zu}I?zdWpFzSB({PQsi8!B_Gk;lrPoQ~)FUU)A$Bq`f)n?=RBZmo+sbu$&T2dR{cO zx5Oa44fPwY(wOq|?X+6-HO?J<);uDe$4hXi@|#z3?-8I%$84y}?YbhR6u-Fta@t!S zc4^1t3}JA$wBtrtZr0di%XQ$`Vas=4bOdvC1!uQD?rF7HZVb&=dDs}YU8gYNYshYR z*jKN!+Vt1+1KaE~3V64yXY-}!{QFJnjiJ<8RZ+FRJc9Cc6Q z`s)-|o>6(u+W+Y47EAx-G(Gw!fac8`#nypp^q;u)*{taC?XZ8g95%-&$b$PW>wfyD z-dLk69jH!LZs|-^vd>F*%={-*JM3oYhl!z0VDD0E-CILG{MEHc%j}w4tWgNHzlAB82>v}z4@Iw{^8Xll68wB* zBVhcG@c8)tp^zpvzy9fu?Y}CO!p6z@KS`zN>eg;>ICwAD&gj-&b}?V&ESb3)Crqx? z`)_LYtbp2Nh28=|V_?#-x%iEK_!1nWL?%(7Y5#WCUk3yO+ek$cRf|_Gt#DkndvN`vah-AJ`(61ledjxN{q?zA@o{6Rxx3x<@hGf$-Jz@PVm&y_ zmwcJOwy2wb7^@{#iM#f4VrhAbe>mOXjV6|R8LnFpkKA!_&KvGL7^`I!ds!nKs-y}n zx}ooR;ex8+tn(+{X6z7;2P(BY>0NhQQu5pUZLh!dZV}k#;#~OkCco-;TP%K@^9uXn zPiaSJC>HVKjpM6wTig4lw?$Ro*tCW< z>?x8DY4!ui#$B6(trm4rz8(i2neV0j*pVAKs!Pd)`_)WF-T$?O_+Tx5~)C-fnTwAAl_hc{qm>~?nf&@|Er8q*_U zH9c+xuN?L01>G1_kN0ahXJ0=`vdB)K@k=xbrYe!G=Ko`ubMfbtb$QSN|H6y7BNRXdAD40YAl_KZhQ?1-;xoUMM~SrKvNw zZo#=Z;~QJT>KRlufI%ds3{t_4r_Mq#z)P?bE)VNWuzEHUBM_JXkO;G0smfqbK($T@ zK(+ks5k(!I_t!MQ621omAlDIBv zhJxe{Jh5MIgj>ih$Hi~$^#B1V0*I|%og7AzzB@{=p#5^(LR|(@a6!6Z*b?a**!xG= zK^S#Lgx0gK=Vy?8JNPXBLXdTbEEMGaZWQ(kQ=%|(3^4<3ARJVP3dTLiq;j6eOE?-# zwf38?5u^mLeVxnqKm0vbVa_%t5|NXe-mf>)18=79a6U7uU5mmy18M@{BjRP1R6i@x z_CkTVM!r%3sv?zPA%@%p$Zo-_@3;}7cEG#oIhtn5^TnttWEocx2jG!Nu^Ky{$#q-C zKouke7zHn|F>XKE43acn-eLY|=IXHNcpL9&;>&_USLF2~~cb@&k5xa%^Pszq@SZ z^Ah!IFX!;Re0ujTc^CT@%=yO#gB_0)F&Le7n^lUMi-+Mf?X7=vTS9v(_dSNED&=o{ zWM3V%7T5x6_+!g04RwEY;rlUWLh9nrNHiQ%oS*ESY8c!b)q}xVjQO$eL*xghU%iX< z&iErV<^JH)#67`EnPvuD9QII<&N5YoU)8|wYNz2JcLEcmIAMAqzXlkCSdGO%7QrW> z!OqAX05VE7cK!x6uR4=e&=X7i4T7v-ugf70re?2|Rgr)1nypg1>3ly*nmCgdaTOUt z=c>kf6V$+jI}+$92pN=a;`B1%u7*s+azGrDmtWC#0Bz5{T?r4)yTo@wzWf@d|= z>tm0?36YWhG45hr!{2r=m9G6XMHS4LC_O4 z_JX&)f}YBK%A4-36>*gcv&Z73MignblO;It z?iuyntc)I`reo`8U4DY?26m6@SW1zjdLP+|Vra7$jaOH(v(VX-uxJq56?`z$6KNX? z`QzU$(t_KAdskl!+ec&Lf0V`8HicMLX>?x=GB(9;Kpl5V`w44^aT(`}75>7B9`)NB zHc6_~x$g%-1R-|4NEF3fQ zXD84w)-k5Beo~9u10vg~a1rpBAwK@X@B!<$J20?-b+!NWg3$!KF~mf3w-kagW=}8v z&g09KwtCVcG|MhEFaro0G+S9L01kzmj*&6+z?4{hz+Yrfw`?D!OBlS!>ut-ww7f=Y z3oc1o+l4ND0+88mdo5D>2D0IA3DZJ}3iWdw>?bUsPP_ma9Cuc7^5&wv;jB-O)>em#^$PN-eA^%Xa_?W z|Fn3Jo+SPrOC=;Co``#>`oJsz*x8>(pLpAb$NFXC?n`qW zY%||7Y363g!CxVPbfLDv!Yvt?pnu+^ceN!u(7GhG&Jyv*YwjhV33=qb93J%@znVBM z_O!GA=2%KH0^Je7IT9WuWI09T7m$OOINs8 zQaQIk6SE8slYfA+dQPwmevUDJkWhIMg3*m~qJhjCR-zwg3$N{g)li+|&^?{e8x9Bd z*`EX+%kqJ~LL83sKHvFk=F<%m01LWgCk>GZF^l^`$SI3$%ep{ER?U;@x)(?yBqz^& zpGIh7SpKz#u0e#z2PwbDKJws&=aBmW6vPA9g&p_q4U9+DEbTJN9-BHJ#=31&2O6Y7 zdUgpNn2VT3OMhI4#FxWQNC!umgQN4u@x@S=uclM=%&k|)1FSk30fCT{-^9Hp=q) zwoBqs_EE;grX=1VN;tE}W9T<2k;NG<4gZ9-ypDUv)5)_Qzw5Q9PckKxLap%=1|Uvg z61P!BFmkqPq5z{Ib#|?+6q9U?;mxmTlF+fa{QQiSYDj+$vaT6tzp=-w@{kEP0D+w2=h%3{;f=di|Q z13cjsY)z!6Z`cSN`_}Ge(iu1!6*d7N9*7U?%F_Ge zJz0mqx`y{;!{!-3B+!zsA$^1a+_Z$oQ&UjAjvWj-f;}iO(gN(}(B)eZhFpd5x7_7v z5_`T;h7dPoIz1%-8@(#8iVwF&O4bt0aPM6>&3OLKARVpnnzig#2HG!zb*f3(?Y>3< z^w#bvO%j@GxOc><^>FNZOG*&+K^hnOCgfO&0uZR7D4Hf@skJ7TfI>4cDCdltFIt6{ zIsX90Q}l`%)jJcF`vsXG~#+yZj}M@cJD^BRAl=x5;Dg(m+1iqT); z)qNSpj!_MBZo?n&WOb##ssLRQ;yXvwcD)s6;@zCN-5v!@UYK6+1!(B2+mLXuZQh+x z(+u|CZMw$Lun*GG7NoxZxFN0l1x(sz`s`(u2g4q@V!R)z$}tt4!DWYTW&rLs(>7l( zB1PssD6w)v=v__yip$@t2jHwUfL$C^+jW`e+zb_}G>C6qq2idUX@YVhB;#yG(_Y9_ z&H(m(1xtkW-?@VX1ymaBOhel>HA^&^zJgu~%CkrA-~+eE`Rh(feQx7P+^`E{bc?+l z9|^i$Wo+p|Ut%Og{3}JSUTu(0$tI*=A|Ptp@Dl&|!Ciu1T53qTn%P3F?GRxIVT>7?#vUB~0AB%V2+k1V(q^_rxH6NCSvWib zLYaUFxY$sm&p9n4i>RQ274;6Z0>N{pze z>d7vUyD*Z7YF3Gfl{G{4zv8Cs9>%})m_1Ue2Msx4KibPlWG_|>EDsO&@0-=C_KCEq zuM@NCn-bpjO)a6{Ld~qIHPl&4nVZ!#yvmnU@qY|y4H_3~Dw1)vcTWFenh~;5jvu*O zaHZX6X&7{J{Rm%4_4h)mYZ!Q|mgadf8~Zb|H@0aZ3iLHZ8@)&Se`&|(L^?}XH%?UL zCv!5ti?+}m{Ol_Ko#JGs?zfv=3T{8OsdpyCzUjkOQ*ijx-sWkYv3mb&paWxy?mWUJ zN}i`IZo&$TsV^LSyC?ntn|a0ugD>m}Fo&#@{)IjPv0pT-8KgKt23YG(ffRz{7V;>K zzlVTq%@2t~Kjw;R3h@?Qw|}j`QVM^m)B(_%3(ZnD#-ee=L@r8n&;D0bl^wQlmd9kQ zcmalH;6PNo>>o0!8DruO(}z4m3$BZxQMndjui#ULmQ8q|sb@2S(1~cpKEnDqalIgt zJ6^0XQhAI#fjvA?9K}?!I)c3_AYx9gZ9gJ7;0p963u|TU`I4rwh)Lfel!{y@L9xq!B1=Yn0HjqQkXV&XA7ZIxqkVo21<2yVF*?i8KS9m(=e-hzBX-@X2Fi zgEa$E@l2*vO-(+~w2Vhfn6$#LlABcZ26Z<8|7LiaV zr0sg@6RQsK)Iy6RCi2d+2hO*JR?F)MD?r!3+TR8oFslUiOIi;eTxL{J@P_7)D@(E* z{9Cc+{n(4UOAqY8cBCB@Su;x?3z?TF9O!Q7fCki^^inIX#i+nob;-N^exEujoaM{E zhjGE5178Oz_BK{8iWoi2!O2Rnmq8+s2sZEm(3?ku2OVFppmlR2;URV9?Hf%9OmHR;`y=wFEHC$ea#7&^emFEh+`l{8<>H<)My{ap zO&35ugN35^dxs2QTX7?{*3RQ{Mec6JyU=c!dB2fuF5G6ye;0duhz8#l)iM$ajI*4I zLfMXc*rsZ^&aOq41jPk$m6ne7@Sm^z#0ao3ab7Y3|!b>qgaFee* z*m$}i(6?fw#c>Vf`IGkg3ACy*6iwFS@HcFK34~_I{^#0|tVfA0Nwx0=V)9Kt#i$Wy zl*V$j^orhrzrnNnu>N=E}WFsX@S~U-)2bBl@BGE)mQJ<%!7PbA;0sKv*W?a z&@-UO@LJ<3JFB96inM9J>E^x-XptLX@{Pv=x7F+HmNd(Y7Z~!KZJhLmQ zkcv2;=b6q0E-=mji9c?iKP0u-P9Ix|`x6Ip>{KgzRN z-+o+7s&ejx0%Pq?c!+nzONLUkNyFF)JdN?RWzH+-S{pM{jCtxMh_A)FU*YEulkR7| zGq*7Y#fQh->EdX_=lpU?{{dL>~DWbjOvQ{a#HTo`{AIs@YV+vaSMT>boWxDTEWQUqj7@fX^2P+t88!Q;} z1rLXBF)0(llqSp7v#eflS-sT`kLUC@REof(uw`8q9%pYXTg=TebUp0hhJgp2*wiL# z7yv=V)>M+YVZqV@51@R9+nRxhj2E?w9aRmy#1G{pU9&n4mBqNYCBvq9btC=SRUH!5 z64iBEerv4kCSNEn-uwz#2yQ4?+|FN0?ERw`#CDez$*%4lS`MfNF+~Wle>8xGDz9K` z98jrm`B!r-*EKQLX7aIj5ivZJ?VnN+fKiN>V!tGq%}J4h;3%E~iJ4-du=6a@6^?e> z4es+=e)n?`S(A%VYJw=~NyR!pq9kE`O&w{LyO3yst&(L`y-ySSZ3SF~A+^SON>JBU zZkEH`TU`yRowd~JdlIDE=5G-T1sD>n(MRNO>2+D;T)@74i}rbg#}BL?WWM9_^z2E? z`^WvHuyCKdsA$pER20`ZXIOk0H7cLJbWQaB7@$PH(qeKbVPtcpEG;lu%5%$M-!tGj z^^6!_zJK%e4jqAp z=~qU<2e!`Df^|5(F%%T0gWn1GBA;5)((MB`_*2%{YT8-Y?ijiK?v}OI9u!+gtT198 zTiQbXlM8<5F`+EaZ@N4aBdA@%(`zrm+r*^O143O916 zXru=f4ftx~BY_fib8Gdfb42jBI{ZS$(Y7m*`S_E4*y&ox9{W8h*t(wlG;)v&Id{xg zsgSQ$aW)JJVN`X*Zrf4pgtlo_y(XI{J8!C$Zb95%9s`|b>DX@@JEJsmW*P1s%f5h| z%Rslfv%-SAsyP&%4I}XW!F}#l|PM$}G^MBp{n3Fa1FV40o3z3y8 zaYne#mg*k!cm2u@or#W3{@c{;|JX#)(BN*}^^!PKr{*!ASP+8?#~_&!=7QsrGdJ*h zXv+8UD~%eY^`YG2(mhcQz!oXyb$rF``evye7C;QU%OnCEKJu=+YZlOeC`=~A;G%tq z!5dQq$)IH3j?a`?-`tJm?L-*k;m|v59e&-;jOPkJIj>1;CnFM=hv75T!qZ5R@Ri0@AQemp<2 z9SxVqfaoxenl{&|i>8H|Qry)3I&N?=k11&f5hOyE=N?6_%X* zsQj0BvtSaA7z?3Ui?|X8W1M1<{5Vn!Kj$L>AMXyqz+rJTs)5fmLmeZ?A+{-;+Zm2B z$~m%`2(=~pU9a#Ka&%7|T@!!q9#N2dkx$Tapoy&STd!8IL*2Z0PJuK8&84_q4JRQt ziB~Q&d*b>$R~2{0r@!~E_l#d-?~WTbO~`kGa6{*Gm3^obdyJqxEoZutZbrpVCl(!j z_2)EEik8h+8HcyT_WG{8W*_ra#c|PIdnX*!jbFf@H1^Q7NAZUy(3^zSofme~SFv3& z9Nj8hUi?a<2slI_pT^+J=zoXSoR#&n;xvbT!qGLjgbCld_5e@k)3@_A{#))6s`tcb z5sN!@b`K-Wr#tGrYZ=3(({HFRNc=(I(|1ef2~l*cAYB8k@3^o_1?SIG!w)guDvZJ( z^DaK>KIjvWj{y|KS&Sbk3ldm^Ndyca-a*rN(qR?bGe1*IPdg_{T{TMwI5(o|MyIU~ zqx%F46LgG3ol_T~ZV>IV%7&6BADr2-gesg$%V2(@B<-kUI;+y*v>gMd({6NvB)V6= zK&wSN>CDNVdM7$hUA{b2!8ckXWq zM(aY$e{>{xxB73Pl77jp&TmF1_cHL?Ug^pjmgPg7)H}Mqo?=f{LZ?;6_FIg|%kann zvl!`?YmR@1W6B>UuV`_qMQ75n+*7>%iP|2-&2nN=T--)?-0FnQ1(aHY(Jo>ir*S1^ z_%mVYZAmiL26Knj-k}t*dlkWLSEd|Jm?+bE)UQ`?#K=m2?EX>2s7x^d)<_e%iD6 zYo+bWfirs>j&h>dSPwfMOLcKa9}9_QckI=EgHMltZ2l+s@qZ($^`GF!|5;Y+U%2;Q z!jJzqWwriK@FV+w?}Gcka%y1XWas=Jk|!^9-(xq#9KN!BK~1#hs^q!x97f!;aEFzu z2sptb59$>CL;wSr9Uy?X{r11{N1j!em+2Gk*P0v!;ecEgez`jTt!!_X{95=DeplwU zS^8YLYJV)T9Panw#alP@)wzCu>i+)wS^CtyM*nqoT=V&L+}z(S`+omyy=}d;F3b(L z>0$0`7202i*G|UbdqdU(cW!Ux8}@hcEA}b2^w>J(2sh>&ao%RgdE0nv)1{ja=J$8r zlif;92OP5YGa1{sySqEQf&Y$A!`8K>2YcSq$!Gaym8+-at8X)R-i+6`@%t6xGuvmE z_ea;I$9X{Re)A?S+vR@jC3N1K3F@H939dr*!{ExOS?P5?(c z@1J2V)A|bJP<-OpZx@%QkLY5x9)>EE>5(Uey;d3XP)YNy6r>HCE|+j*7^-EER1bFm zIvoOIHMZ){=5Punsd0+IhY-xG(-Qe?wWSh(N8pq!h|=m0nu zm={o!&dcmm_L)%}qaAe;?$)b)7ubECOMpqiG-wf#&(k7=QviQe!h!z!XUc&!M=GJJd9 zcG(d~tHC(>)w_W1STe~>c|LDj#nN2TT}G$?JrX!{!g0OS!Z*WuB7%zI=<41tfp37I zN3}BGqXY4FHgyBhFrz!uLX5w%qr`&rUI{2pKOwr#|FoZSSsZzL!2BrOy{S8%vn73X z0mJo4Y7j7OUB8(=HfN}PvdDdc%AcHcyG)D87xId#Z~Ax16*rZW_wtpd8mIoXSao!nO)zS5id zj`FR;P-qRA2x=#JDz>I=T^498z*6qVF5P70Fy~e5AYlRA)Yf2oKNfarjB@Cctthi5 zx#rGptYqvuEnTU!GzGn}BOdZq;FM1X3APgGcoKx>^O`gm+Sq~EQXSbb!W9Q9n^{?6 z)hPf}l=1v2#@^rz5jSw1OxbRaIx%>vE___phZ7JXdx{NQ7UY(8utaE_q zfe?58A=2i>*pD3!yHzxk3<>LA_|ItVC3v9DYe4Z&Q1zQKKpJ+4m>SEi=bp$&!CwDH z46*bIWNYVl%f{L9>)#1TI2NZ7oy+vHIQj3e@{JPnHM(g~<45)1+eF+LMGTrmP7qg$xrgMId z$$s~o2SXPx%(z)>Tk!jEjtt4e?hWis|2^!?jxO^`af67Q!5PV*2c3W0oC1W2bIOa6e+;9MR<-DOz zNvy2P!CWc8vjPpwXeY?nHX3+fIZAUD2SG zI{7PO@jAanBd@BfhyITF<2rXY_2ryMhW#ssDyBNdB{=U7Yc)CpDOZd+=`T{fVyd&V z4lZ12F^X!c#&@Vs^E+6I(_tm0+{Jlz4ffQoxQajLWaZbql0dZ-60M-d-~s-S{-b{( z5uUFr;TBvUo-1YEG~gK=X1U>>-xt6+aRnA>fb@Xob;rK|qKJCoTVa`|$OJ&{J5Qp4YuHJgI_W8`gtwTQ?wZ2(|nTrf3Te%Db&%eG`RcmhdZt8A4VM4eO)!6eEeo;gBstI%DFVYP&@^aph8=qt*4} z(PrrT$zQgPU+4e?UMi~+k>kNG>vIR!h7V-zjWv`F{GLZTZQ5yWGh|&xL|ID_bh6t? zbLX=Eb z!^{WE{t};>>Ft9N4t|jwtgMszbBg6ZaU8Abs6_?gAB2>KN9C%5vIiF0+bFEc3^n!q z9-$g@WvLMW;a*&!6_Q3E_>=|EKAqe#NFg(h1EG$L;f${B3*0%gt(p2Mj+=Fz@s+BM zz!hw*ON;%C`1vwT$V^)&R6QO&10^D*D|4^hJ%Gr=+ya$0w~?9W1--x4TL zx7$xr@7?_fBxEcISxsg({XFeXI5@&7?Dt7mhWFS71%Nrro_3Fg`Y!z+zK?CeWK8)n z!BU=1#URIZA{sLPU}RbC5jQeV@O8gz@!?~|I>Q0VNZn+@e&w|>j;dsX1DkTDjgx?q zA^cA11`m1qU)V4X&`gfcWN=EZ$Rv-@IrOSam4M3ZWItDw$-Kb1L-}}hdrA72S^Cj@ zMb#4R|33fPp zpzU2NCg69d&-^=~gZPi&#D6;A2I#o}9N>wUB~tRjeP)l$)$P7;uFwr5Rzn7~NiH|+ zBMkfi0(4G*ryR-q>s`(HKBc?QWV=s6UIc8^0kOLwly~K+ZL#^1X{B zYV8RgV<&bNKr|T3FvRO!KX<2UhKEbJLnql)M59NT&}d zfyl+>!9%Yrp`BQSIMvynniEd4Fl-9KZ({7#ntroiNH2 z43Cg|j0k%VcSG0#EoX|}D?bFpbZvz&SXG_RMwYT}1Iib5D@Km_y0p-)n!kbR6P1KrfNdgsQo z;ZR5k1|ZMrXr&$iJ9t7{75_-qYb31{(5S2Y{nAO=DF==vQXP2ZS4~QrO-rvro_?e; z%kJ{dww&-ei^yXnjP$GacP6Y&J3{iMlOiauzgI{-KxW{s4*NQP zxfXINB4|`dPShScN;uSOxmR9}vzI*Nllkk1F;}nlWjD50rzDd9Mgl}aEv%AUsk!wc zVw4Z%2j1dlLID)D3$wGWo zex|Fyyj?)Qnf-muB-=b_+t$ZC$}I@u;81AY)bl{L> z%2?#EQ@p=Gah(5-?aCwS#B_MCRTV?p+7^mv1s@q1VEWvK@ZBn|T@^u>*{QpnU|qsu z;h7o=jv5Ck`y`)PiN4lfy}Ep6Uh=5yM?cA~jxTbbAIa;fH?$^Dy;FGeS4sg2f_pd} z9SI4uR(In^a|=(HiO#m>!xdg(>&O+^twKNHh|h_8l<{lDoq6cS*biQ)hZHYDAa4ji zO_`lGV~_cZPpBl=Pr&NL7ErNG^AM0iHeQ!4{dx1Oof9qSLA#r~v)_RPh*Z4k39sQHev>X(fs{*1KobU z(jsQ8h6CIb7nKIrg2`GOj{V~_e+pB-s^C~bcFeP)@kwq&Qg{>_=ma)k8s8YndX6UI15jYU+>BUeOAyX z>)fkd`RR7!BP`f=g$aL3xd^i62}5mm8KqplG5S31z%YsP((o)?J6QF$n;w4WQR7!{ zs>CKbQ=mR{Vw~CS&i-u8)S3leS;*X#W=wZNM1c0LRdL}ck1+@`l6F#FJp#*&(1f?c zq$Z!zZh*oet&72TB#Aw)y|*uE>T{C|0ob^~^?lUTf!mQxbaFdh|wBitgCi~auq$dR8%qFz(O)&*Jrdy#jFfe-} z`!L?E!smm9pFCe6L%t6M^xn0Zbp4KIQ&lr1Upd1p)5z1F#!d#hp1`vTcG>_CPi2^T zybR5kdN`;|BLJ&w-t$&8V;xYs-fnDr_F#Gw%m7 z%7{-t&DR`m>oe5-ZztAJ1)w-{!Y4Ut(a-WCTb-MU!?CKrLh#V|{mTNw>|vwq;QE(> z7%Wt%Y5;!z^Tqup4MS2fH`YTwtT1rr2k z<|vaw)RE$x_Cm+w>Ou;@cp#MMzkODe{fU{k$swGT6kEcgiTT_Q@>G@?GO9q}27{u0 z1boGSmKacAEmwB&I0YFK@Rs^D9S$NP7vTO%xVSlsJkHb)pHI3(KQF#gnNdpVIpCNu z>g`kR1S~B^+M4Y<_mIRcw}fV%Qh|G-=<@T5ydI1|eKD2ZDR>xnHVZpMO>1!rde@(L zz^@X@yraZb()Sk7Gc`S$e`Z}|idbGCx@Rz>it16lR9=aM0zgIgYJn_jY4 z;KCXpRT-Y#kbiUcX5_rduypzCmK-Mf&9B=T4nKb zCIq^ccrO|tD8ng5Be=`Gf&OrsheO^k*@p~A-ee&8<-8Lf_?(G#HqgU*FNy-_!6+_Q z-E9C{7f*Kknd#?Hj-_(ykK4iF?8p398^2U1;(mmf&gry?;WpZn3mqP+?S&0W*Z=?@ zzfY9GKhnJ$TqvE&&ke5`9Q_hESOGnTzW3w;f2CL9h!_{A0jdjkA``6VWeSVBNav1% z1oa(n+qsRVnB#rxZB0&tV-TurjN-yN?o?)R(R_k`E7@91#o_G6BN_|(z|IY|=(fcJ z^#GhMa_2zr3{kEK5@oUP?1|*Priy#I+8TLJv70VBB}A)>IIZ$4xomQ9OxVkbuHY%j z*}5(S(e|`LhYwe*3%HamI#e;jCdyDUzJGsmI5w_`FH^|3@(Zih-mC<=zppol=54VM z6jy9wlM|z4&{5Z=sBZ(-we+I-NR54#;3Q&)PiI!XSJ_$4f41t;&L8pgtdvb=_p9#X zx-5H4=mm{+B~7sW@7rx-Z% z`e6iR)l2-0fJ}iFmqS5MNfaJGF@-oG1JiP6PDWq>mh`wHqV=#gj6wGeX z!|P2mdGnW@2lQ9d${VzJH<`9?*Eoh0Q6uQnNF^A@Juv7^g`h!m7bt6aw(>Kk5G8?2 z!6ZV??P4tXM3NrMC3ToPUC!S6Z#VV~a1}3ZYv!$15ku0Bh+m#4e?l!-ZznzTa#-Mo zCawDRQ)tQ?lYL=I0(V4FnsNVqWJj&a>0euW!Ej>0L&WFDdT4Spc-f-@!&Ql1XP`P_TC}m zaJu3{V8Rckyz>g)c622ov-J62_*`G?a(yr9yoPFD^B0G&K-*Eb@UOu_!AA`>zjatPABtz+;_}F%un_ri&Gi%JX|^^qTIN?``?0PAq8-;< z2auAzUurb6<~=H%0ka()E^f7)yS#a(FuR#3~uq$!ly+0z>e#{gZzqqLsEv#n@cJRk5`9L5ACvaH2F#JL)_oYxu^l)XhEneVT zw!bn?3b(tKo9xnc4FqRU#N9(@xI|8UNjZFgPAli`oCTFL>?%7}xGAIIV?f~VIL)~C$-*Wt?;axOOTavLddWhmf|^0gWnaO{A}{X|BgeOu zIBOyQ{!bLDVs{71082c)hnQTS2l_?x>-KYS+wFrXMJG{{^NBh64Gn?H;WINsBv($W zF=HZatlYo#7tmJY zM&%9Mt*`f1fFR!C~hD>%u0HvwH1k#N*il zS;M@ZzRx)9ys}vWiEG%Mw{KuxGgFFiEemzm+W0*nb?I=TV|zCa<~`4E*YB;CN4_@< z?Cs&YYp=3)@5}FZ+i&>q2H)4k(6#RO9m@~ZuYPWPp})3X>kD7KEsR&5uin=Wu8vjD z)!i+6<&TBmhVLR%WWT8==5c_(_+P#dp2aOUOV!XB-v2JgERB@>Zin!esr2!6VlJjC zBa!<1j2e=saSjzqVm;ZCo*D-()EYla}RSE(_n9g8xP!v*Mjaz>yS?VzI zb4s{z+MZru?xD&wH;~?k9dT9h00GFZfT;z%m9wYFF-wQJJWw)K1kM`tna#&$A$^3U zlwK=r1qYW_x7i$AFJBGmbJ<+HEN-nET~wLAGx^;}v0l55C<50(ALOloKPUfi5Kw3- zAmtBq7safVUncs^m`tZIY}WLJPlFqC*+6^Y=JY^^forn+=9NvuW-3Z1(3rnl4Pb<& z$_1Zx5n?60i@YCD9aAmTFI^0cem znp@7hq`6a9QR!KnIDN%F*jV_cOg317zf%Gi@aYHQ9;+W;k8FEBmxxc3BP|`QRu2ln zqOb!Ecnwkqx*RSyX+ z-GPif1B$H2#YC`eInsz+HMU{xD?pe@KXcMGr44*;;`8kZZUD{^d`kw~uY^t+)faZG z^~{<-a?u?d+}) zxiq8`MrhP0a8Nt4v_X*04Aih5%&CiTzk3qO#41REl(7y~GLt)qJ+y&3uqwq_=O3NJ{TA%S3^+&}6% zYe5nBdtXfsSRPmGi0R9KZNzxN!3?6eKuYk$#$wg!z;KLqbs#_b6!RJk!Fo0Fh0pAY zUm`U~1R;tZ!&MA!pIyqtelYGQnW3vKQ75GtkI9iu_5^ap^%eEuu=A3uJ`RyRQkB+e z3an_Sc$7IJ-2NaWVkPy+b!GMGw2Q%zA<}hOTjW}I{7kdw7LhF=gs>f^h`AlWP-Fy~ zlz~t>|EN|(D?Yfo-!nzV6Ff0QN_XXfK&RxvkQxH#z6%{0+Vqny#G_q$R7z1JLCvSm-%D>#!SR?Ht zYC_;k%UzH!XN7&vD^n80I<5IuvA~97AK78Un;_43k;~k&)D~s7#gC(8kX?J4zr|r+ znKIV{%VHz_@Xh+B8=^)_O3CnUnJ6#|g(}e08q`#61p|~5*Y@dq0Uq`Z>62wFySK=o*L>8lb;_o*V1WINbY!GLR#_PCf6ySp1Ok0T(YVa_*TlVWE8>A5Y z>?mvJ3Nkcg9bmu{zs!-E_4TPnkVvMhGe?Z)src>46|JeX#XAIK;SUPnRT{rF$b<~e z)&IS0mn|2Ss-M2fqP0TotTL(k+n%;~$idx8%e2+9bKgD|2A$t8hdi#Ut5cL!EbPRU zl+i)8NgUDSxSqmL;HxrEt84?SO&MIUhlYMKrh&9z)1y#1@89!+FntU&WPTbg4Eq(p z6ZgbXgBNZ!oM-59`&zo6J1)q5%9u^lQ%ITOj42oP)|jMD4x`Jasde6=jGV`^Mu)Y% zG$E-_M|eD+Xr#0{1p4wXTopw$8T1LtaaVXU(s=+@l?6bSUPcr#oGO(10E*G)r)<{G zX2iO`9cb_H8!?qEja!G}h;n^`1@6zXKvO1<0408B2s^5E^GNt`;O}4a#hnW3LK#IBY+?WinW*+M2M#Voe)$N;@oq zWz%-UrO4*OH_T}Rz>OPV`>(8J{hDLB{JAQSAmxkD$KOU0y!T>gvtTNg zm-b@pZ3ngDZ2kf@_%;ZEoON$*OEryK6MZDgRNC?kzR?8-TPZF~suQoHD+(mvLvN0R zd#xJJ``d7lS?KucPdZLRUOgmF_J$pP^q)0pcx2xWVg)BmfwQf<)AyAiZ<@D&J!$fR zTxCpr$wYZccOmyNc)gQYc7Vzd?SQFiL~10Z8YuGqJm1zJ)z&1Gt=Tqc<=oS!jRhg{dgmB|M3-obr!TWtUz%Gbv! zJ6H1es=8#*Mj&efKH4suIyL1%Ry&g#m?%f|u!0Ov|J^Rbdf9VdQLYKvJD3d5J2_2` z?DGa^qm;!8r&$^;JyQEet(p!@R_wIVAwj1b{c=EX*(z35QQJ`6HEb{NMlCR5;YN}n z{a^P4`t4^zS}T`s>W4i zeFPL8+%Z$CPnVgDwT~DvTjk_LA8FfrQs23Qo|y*PNlGy0;QzxUw}DR|jx4GlF`F(m zpJJjsBH6u5GS?QDNgnQrAdD&j7$x^N%HVS5(83Ulu}lX>j5C6|I)%egiroFUS*_J% z$fli2jV3^vr^{-Gn0K^^Kcz-}LCA@v-uz!H1dji382-`acq%2>ae;ZJZ2gh~PrRi>* z<12mu>5QzqB1H=f4~i2+1m^hh8N}OrR(bsHXzs2639qT2yuJLWzq~9rV=x&&^{w5~ zX{XY1a#=u?<UX{}WL4 zFa0R6b!Q8KaR|7+Y^Vm>O4&X6n*X}Ko5i4@Pb0UV*+eN)s9m{e4|DpM7qp zvnY?J()iMYE4!BcjD1?HK6?4ZSn3LqBmU1|a=V33bMm`Uz_zO6^HU_wO4mfwrA zmu~mF#rGM%#x$r4H#2^(y;j0A_vH4&_F0i%y)#s&eVsqWuKo3)z!T8Vk*jv*Le0_J z266jKPW#XylJx0D?5!N?^|o;B^L#HWn z6=znhdHJG1wHtKyqg#r|Zri=LFN&((aYOGv-%hA(TF3|jJQj&K+*kBA42&9juj9@k_=ZWQ$0d#S0aBQ0RRVj50 zo<8&>Wf^v-Bd<9&^ya!WEUsU>HYAy|-PNPT;q#L7KgA;xHVB)FQb)(F#u5$x2m|4OBg{f1o)U;I=UL0a`|L$=W|go%89!FzTeHqRr+ ze7I4f?HdoLQvlOKR^7*aDOk?LT_qXte^P|>a_#!z?ctuVd!eERp-Yo=cg16}Aw&8H znt2)!f=%2(rE9-^P7iWu2Me?)-V$^OZ=OhZrixKA7Q?Tphg2>ALgBs2Nj{Pvk+Ezy4_=-N4_@Vc<^F#XuN6uG6 z5X<;Ry$|sm4${1Y4ej+TDNKoW55vHnarYP5+sYQ2hUlmCxz>{gVu7GB0XW8xd=F}9 z6^xIlj1Bp9a)1ZwAtmGB+-14vFoDlD3Sl_BPUT1G6!&l?GQ#OS4Ewo*>PrH6jVlIlFV5DG97BfEQp4g7NoX^ zP~Q}kdD^Pml3leI)L)}j6~Gu=sb(jfnJp1#iRx3fPN6=Uu310+dqS$dt*D4&E*^nm z-#}2vJ{n=%@zKTk3OAY94qL>H7o|Nu50F^YMmTnadgywLLpQb{H*mEV8wkRR6? zGIaH{G^XOoNO*(=!5PINlTToCa-E!96#DcF#^&1QgNfmaqjBhfX^un;*JZHlNVdZq zwcTjSG9Q<*N;boIffE}GYZ_;cmz23dgt1bQPnpXIYpQ5tx1-irB(Dk_52`7aOS>;P z!&ofEKpq8DX3rno`xg##>9w=jP&)^w(5_B%!m=g(8MCK1l>>v)5;x)fg1UATSy?iZ zLYKawx$;v_F2Ok9D^-lIa=yG$#E(l(Vi_cV#%4jOP~5DF^NOTYB#Ev&Xvy1D zlOH87#$MW?Ayl&1JIq`J{AZZnqVI$#-iKp4w#W=QaU#*yLqqa60ToKH%)T;I#*+39 z1d&!Q`*_({#clb#U5Y{~$B9a^rF+0ox=h(|@cLg@>i}M@9JU-z#cr26xBwA;wiC9h z!5-d(TOae()+h{>%#`XjhOU$a*DDs@5@*Bqpf-Qx8b;l6W=lF=Ja68wWf7((LYXM_ zm%Ay|S?9ty2CqhEA_{CYS^--7G}P^oEFb()dNVPUu#O-nz2KVWyMhe!k-Jiw)O+Dn zvg*(hS<47my&4TDXFD*j#Xn8P&DOmhs^rygUcE(V8!p@UWrjeGmzjP0FRo1SO)hmY zd)tvX*r?mM;)tOW4HA2kRtbV;i#wtZ2p7M6i6lkX{H>f2Gdzk8X)f(oIj#XCwf=eO z)ut-47%kMF9|r}B3l+NJa#+PRwL>gdgFk%^>ba7%Vj+woo?X<|INFB8nzg~Ck5nfR z#MD6eqp-;EL3YXbEx!+#%a*Lws&;YC;)*L6;|U{wjJ_-hO) zr+-I_VR!nI8Ob0|3kFl#UJAN@-a{B^pyu(7|9t4{*ip^B*8`$ncMuV{@0Vvpe6TOu zoQO^8did9&>ijalG_7a?nBU=MOz7hQlA)XTO{kyXX_ESA$-qPjGrueo$+YQ0puT-kdncQyv-Sajo4^&VzQ>sK@FD`hCeB!_Y^_LCT_A zYWqs|D-HBIubP4PmQnSXN&J;DF-Rx;3j55N^8y>1I~V4y4lVKfe~SqZY;#wOT>4^e zq(SFk^?f<61Zx4!GSe%?IS4m36~+!I&J8wwS{ps|$C2-r*@9cq6_xvCRV85PEBws6 zDPNi8k)R3>YMAfXiA=7(RHwYkrn9X?w4M`L<6#)QTn1@r&y5r z)% z;)xfYA`y<8JX*{Is(80?naICTg-%aP8rNph=W&_gx(SpUj>xYO$Xq5Bx{itkTN*xw zmR%usD1g7eTtU#`Fgso&j5-oAoxPq;N^y`cFcFNkP2pzcIOc##&?!BrMc0R!V~t&f ziFfGNdNI3QV*FzESsv(z#%El5eo*p`i-qp;=v8fDFp}9>BR4wT9qLLKJF)IZURl4e z;8D@VUhxW--KpTUnyHU|Gc2Z6qRWK}PT@0D1nSDgJ8>l9)&U6m;#pN?cYrt0de@&|~*fCkd4=5`xiTvi|Q znYDe9Wr(z2>N%2y7$je$ww1E$eOtfUP4?SYrV7(`SXTp6pf7*Y!HCL)Je7=G+9Dd9ymP-eycKEqn7p z2d{3-V1XzWyYKJ&-F;#1{j`f_Q}}Xb0%FiW7IYu!SqZbZkS9pg2f5Em$H7^*K|2R? z@qPKeA~G$Ax4%@Moo$?_f{3oYcCF*i9N6=Ehf@p0^_%^F-GW%~5}r#)C_CB0kJ}uk zH{OU0GgXlG+ZA&>k1!!9)*BQpmO#Ru;cxBbnE^$x(>(5jLwK{>wL@0C>gzEt(`~iv&PmgIVW1Zlv z%=rOz3`+n_>s9$#|C}@x)aqp+Pi&wZ#xFO{9rF}$fm6S>0H^!%uqjQ~7=PeSBzq*kWUWMW&*ykgo zS;tRWfV?)n6FvuM6*qv(VHKn(jb17vB8f&EB?CKBW`bR9SxLDhHj*nixG##`;LP^QW6F1@law{w@^dxS+eSLjJpq;f#E zxRWn9kXl+;=773YVyFD(3q`O0&?aG?aCB4fOHdC^6Z!2MC!;D`UT95!s%huwSZRWo zs@zgn!>g@!EzUDgWD1+`?@^!N{9U+_-|vZJ80XkjH|2%nSaq>MHv^#JUdgPCq>RV3 zgE0}E!?{%B#Rg*6IKPp0wT3jE5lH$ZvOA_?J^8(3UbS5oqu7fT;^B#J1oceJa*S8^ zGWU)wTbnUSLwR|0+OAzs{wiiI(~FuX;_b?$W}MsP`G*ky!Kn0Y2WGisMf;O!gPUXT z9}+1}`mSA3C@%>!ED3|Raa|h~O=nnZpBqv5$la=;VGcZ^`z|lRn}`Mm8%_AEG23XPSBKgEbXl^^)eD7+1MMuj9!1s2dnB$4j~QNyNsdrxvg@8Q&|0IkFnG?2 zv4t>Tmp#dcgAo#Lt4%>KgZchGpVx1oI8rn*(gFKaCixE%&7u6bVqCd}n>gQys$}XZ z^tR3I@h8KGLAZr`g{Q5Ao@uibxRbc&E+C%7B3STJ6goiyIJLT4AODtR9nDY)id%%M zO0YpgYS(}GR7*iVJ9qf}+OhM2tnt=-6$i;@spObK!MEMP3b5G0&M$FQ7DG_<3t(ee zwTnjDs6uSRfl1_I2~bXtDFWw6!#C%kE*3MDUEU37RjWzQzMPi0F8D->xns=SV+kSi zab~(Dvb<0FJ4u=3li0`&2|lv`^4i#Jm&egupBbD9n#a*IfP*~W$)Q~1HO2LFQRU%r zUW9~_FVvq>1sL7;@);LUp4NeFj>TwyfJVumXf(@Kdd!J8=vGAquDpNH8XbVLmVw6) z+(GuoeQy1IY*H(@eWXAUH!b5?Ko6jopZg@CX=uA>)~{JZzN=xVTiIyENn86cPECSy zyJJL8@a~C|c4V5)S;+Z-!GBB4o|uWw`8}_w!!6au81qL}3-LF5{{vP=36?@~jSA1o z0rxBg;|~}WBud3nwU6w-$WbO)Aw4H(11zi{{K-mRn2CJk`A1Fl4WY(_8grm?awAs+ z={;dxZ&ma7EdM2L+N<7zhP`)VcKWM$M~~>#W=QX;a3&BIZNQ%}{1gX%>44tj@XQ2}Hm7SoDDRb4vwIKSd)HJ~X0~&dWNLV~{(NEL(fOlV z-dBe{Zy~1(%xAhkb^S#rUSaeMNB6TRpQ`WWf$D4Hf}z63cQvW-YDsCQ#-`vGW@gjq z@}L?1TLLOkhc^LT+#E~%I8&q8$3yfMW8AFRK2z zTF>|7DeE*0rKAIjU}~y=yBOQws7l(=&64T`gqY$M>63&m_o}6OnSwidlyfJ?hTnx6 z6i>7$;Dw=K1=jmOE=lcezmaJS^K2mn~(i7GlN0$_d zEwL2Z2Y#?DvM|QdE?I|y~cuAbH3^{+<^^_-G zV+L4C6Vj#uP~DmqLaPu69XEmesA}%;bv3W>)1iCRLN;e!rB#ws9J#+hA&d;~ri^jr z&l9cx_+zJIoO9c>(nkKoeR=eIX{+bnwqpJ(cc;>mP%1+IphBf`@HuFK23917398ZW zzH44PIw+9@icv)o$2=P>!)uV!nxN)!EO&-I3-LZZ0P%xwD=}t9FTP!%S*afnCfAg4 zP!6slg5P_j<&Bz1p!Jp%kJ1jt^5uA??%z^G&#LXgoxwHD+Bg`fuv+j>r&Bv5Mvq3+ zN*_zA)DkOAXgl(6Gh7mB0HC_qjcFT}_}Va&hzw>-SV(6e zSV>IluZcIsZ-NILOc9vHolwgh8T~HuzNS$#3m6;bW zXoS)24qY6&O@I_DF~K)~*SCSgFFZc-kAIx^X_bbTcU7EQ&FvWQL1yE>b+)$x_=L+7 z6-3fr(&;&L7<-Y#vduq90{dLl#ubLxc36(mcqD&dfet?aAb9H*riqCGScef*Ca!oh z8$pG<%?@reGxZWKVRG8H)HD}&qG8IJ&;@gBeA)H1K|G6&0AF9;L&*-E>rx&@j2U)K zU5%88-tHLHSk+91kGFS6?k~ceP>e6w7V_Q#pHPHHMzSmK0od)Z&abb!j#4N1qh3Rd zYr^zsmtEDY>DtyPgatlI1j82`F36AH`jGXEQyL{b zNw02f8PyBo4d-DG_>;LTvue@3UyCWhBg0oSA7$kOliOWhZn5; zof>5^<{m34CR;UPaKGg{RBNUiGA7&O|2L|6ytRQk?H3_)o!Vxu2(L3Aq%sb2Qn7>V zDSeVEj8bLIKRqQ$zCkdnRWKQ0saH7cuR`mO;dIuqPCC*Nm73?MZX%}KNg=g}3#2TU zIh^OuN~$D}$`M`aN)=9&Wkaa@qN{hVsKsY~d$~|sCjK2J4I_ZHIrS4Lix&bH?8nHc zL$d#PyX5SV?a@4BAa$6hh0FR8u0IDi)kwabWhJ5i1>vC;b_zk&5cu8$t6xZ9{WU8A z%5eUE7fB=mjYcw!=ro&&@J0+(UmVtWA~&=|!XitIL#Rl#5}RK~=`-Y>^x07(kRfJ9 zVS19PE42X34M*lYDf2Cg5nxm`79X8mVi?u)dj6*?f-#sBFvsJzbH^XgiAjTwl?6$P zba6-x)lQiL!yCnMGgc58|GC)}u=ZXl1VQ&!z&$`qu7bZ=fSSW?eIYXLw8=BNCdg<; zM*dYGs?V88sf0xQd&D&tkIcNw(a{c zFYDVGI|>JEP-8x;|896*aUcVw79puW_9c9`7z31)SCeEgd4@9BfE~3!W0iifrqHRS zBQH3CJX;cU7D2q(X74-UHhNf{bd*ssf&^iQd&XA8p>n*1WraStUz2OQu!{*VKp97k zL(~fuS7k=x5en75^P0-@x=MGd(^PSw*H(Z3jx4kRgK8N`S2S&uPc@&m*dHck#G6i~ z$Nb%|V|=UtNwDyz$u-Ui*y3$S$g7_4anfMUi$d^;SRV*7@IEqOO3SLCiYvBGs5x2YOjjvZWq5=;vg{7;mXI8}&*`_n zuiTVB2pkyWF52_0nn;Zffcv6_i(V3C^qP1_87x=lSrGy^EX-gwG>nbbHu);ru7hh- z<2F}5LM#rds5Tb)RE>7^Pi&J5dBrKU)p=$w26t~##^^Wy^l&b?&@?}UcZ7m2>UE4i z;V1mi*2Zs(!R$xXpv&z3+a7HY#G5i9$4;TwTR`{(?}>}{bvO6eMLBi_udp}XXae1%l({jvsn zDD%W|G>YEt$%`YmEv+to-S8)$4ptq!eOiC+>2KfAwAp6g+^>|qOVyTR?{QLnNDH;I zCiq*E95q_sO*HwRXbrpmfCm{Df#EVxlN#Cp&Z6Ft{_CY`)L5qyX;{EPB20HGE9qW7 zvZM4=WOwQ~5sX~19B-)?w%kvLtG>89{$!i}n_1s?IP2T9zM}}HWdxsB{scZwHDWV~ zXy+Vlmk~Vyl#4{uADz29O$O-SJ+gYsr=P^n2eC0r!}0N(*~17+r+O=|qa!Mt7Qe4{ zVhw&7WP2nke#yqTyY76{WDFx|soZIi19y2Mq2C#Q; zNRTXb_{yfv8({;vQp;8c6O7~m5^1Fx`kc=DjEL+q?(Eg zr-v1Ca`2pG^^8}B^7n^d>C&dx%I7Vm#6imO${FAfm7IaamE>bBn@8gv+<|N*eq}xP z33Lf9xfZ@hN~t>sg`KX3B6jt%7K2J=Pw0};nSuC3_kM}G zySRc7<@Yic!=e>TylhGHoo{0Gn(sr2Z|BcS!zvEc`XF7-fLazKb^b5y- zR0RJcox^`8u>Ak3bNKIa@Bb&A13L#R=l`a2xYV=zFYl!vCG>h4o6p_MwBwjp6hlyn zWM7U8BC;U~NgXUEqcbO_dLYkt0O70(!?by$kL1kM8L}sl;1+q-e@PvNb9;gElL_Tj+y6uV)=r=EW_*>*YZ|c832Ss!o&Leu4sw^Tp-}X=5EE3Ih~%m7zz!zT4Jfh{FZRiG=rSCs=gV=SDCaxbco><19 znnFTI5O=H^@-so4cI%fp{G}Ixre942;R{U$AR4_S6WU*o`|`U)sZ7C;2;_HA0=-p7 zVg9fLYQ^w;qY8miUZdf~rzoejL=kPA072FuP^p%NA=j-YJDG1~h|R1E&X@1-tC&-C zn_aE}kp5EG(T2rbcg1RvzcTAy2+WGAbpv>ev1smn$<06~=yZGK!(fyfAW))-P#6q? z^H++mI8Qy@kWCIkPBuZZwn5+%aJbq#@Qc#%(YPeBv)_%5z_r;MBXVg#TM-en#2HRzypujfN7bsNcv< z;YhX;l$oL?c*#scmIidpaeLAJTU%{>YrR?w-%r?*U_IERD z_a7(fJfpr^xDoK<)OW4<%Xi{~VicU*Tm^qHfP`DwFpy3YCLb7A+Qj5pA4sc?Yvotz z6nCO|AH7ap%_%VM4ZdzU-<8&+_u8f?>`&si$7{&`N$?>uSxN$Y`|wX_AZ}w`9~<86 z5C?$BIa7T|-N&%At(HxLB~}JPgyu;9`|J-L4sz{JZ-)(dp1nZUV{0j5(dejY$0TS; z^uQ1pOO=tQ?@Xi5H-2XgnrIqJLWSp(GL?f(FZ=l#y$z&8QkYAye)(D9zSH2 zLI;W2g%84L8F15|;~9`=KdU~*e9~R=AsjHK_CqLBcctarsx2w^NQyZ2{@Lvl^g?*a zG;$ac>HngG^BEP?A2SGL!g&5QhUDY7(WddI+{uAb|G8|gMkDF-){uk1__-rcI<(QCSS1%VuZ zH;X_0Szf|P)3EaD--U?Ft_~(SBlG0o`Ul^2UY|Y9lRiIAEW^kHy0B&NkqGAyKU-?x z5RQ8o+)ABee$hUrrMQXcI*gYFa&m?JMM6u+M|`?RyS=O2XhL4QU{d@JD54~oWG|v9 z8U3287-VLnl2LoRf=bRTPn!2th(@kt z+zNWRSNW`?QXK*jbnFU(BRYHABqUsfl+Uwuf<|&JBBf;7z^$aqmN8jecaxm<>`VQs z*$zU4%MTDG@^dT)tU*c^HB|(ps)AWXb~-hIf%!;H<4= zdE`GZ301nQ&Gh&+y?Sg}zc?zKKH)aSx-WBr3u}n~Ie}fS28W}A>^1h1#f!A_s7|hm z*-Wl&2#IJ)J3VjC--Q=mnzxGbOS#gIK@6?qLb3*r5$O=?NlF^wfR(lV63DbElMe=a zJb`5hLN3&0X4l0ka!^)W#6NIf(;-B4@TO1{N9Hne>}NG6Q9EsVUDh`M(M!5%ZIQ#j z`+9DX?Sws z7(o}34?QuFz~5UMK^^~ve^6dG3M@y+QOEQ_WWY+2yaPRl;z07;J1w?EVul28Vw(bn!z;tjX;yk%2$7E$>uxbyPOo!dwAH-}p8kiUA zsPG=xcTQk(>?9e!E-y>&#*zgH$f7A2;&8q_Y)ud<`bZmLQpI+v)xZ!5t~8|8Kus7I zT8@zVqq)C^AI0!F)i)z0VDBcyp}Ep9wj4qqL`prC(j|8Izp*^M!|R__Xz3{D>2~VH zC0VhGnZ#vGBYxiYgPbQF3!tWJYicrtFPOP9c%ER_(60NLPUhPd93kh8Y~`0N1S=+6 z&a9zY9hah(Z=q0Rlz`1$%4a4hNlKc`6nT~RHZKJ8e$~>^ttF#(+@j3wL>LgwTs-gd zEfkZ$226seBYYuR@us2=SsimNG!mu?{u@t4zfdaVEF=K~XAO1$2tBD-38~8I5>K(s zuTc((S_yezpR_p`Xd7-2MN>DDGJBdOu$5HagV+-D!q_MY?njwjI1?a$?BS6{{cWN->E#)s$J&UF1Z&knLg} z=)x7tIN3*+h6bUpJ?my~KC0zdTS7ZsE$f>2P60&eXYiclxMosu2N}uo>tCu3Nh8~p zgK=X^I5j5Jk0eu^wAHfXQ$^R1LujB&pqKRrD?s6x=BkO_t~L|^o|rndCm4z<$Eo8F zO-aNkuR4^uFf6TrAWU&*s!C@S9c~@#CZ_>u{TIXp2pkG;dIyJ z6zc^@@QhXubNqX1nb{ zx4*HX)D_LAv$Ja1me@!`!NvF%~$J9%MUW+2?9D_B30RZfk%S$Mng_Av5)8#V_LQP$-s5 z9WY8J{+HXRI#!HM4z?jYWZ+jhJ4WrZ_!_+W((#qcNQ<%g9| z*-nmb3;nx0ar0Vg|Gt7R;YIwvLxKNTV95C+7`VLXaI=Cy0l9ozYPVla_Q^PeD9fOW zuVuETLIky9-G@Mkk;d~isD52WL_nnAw%6>*N&kV{ay(~e#UeVg$l7y%mh1-}b1VBv znF-V)%58i{Qj z38UZ5%V3x6lA?_7exaDc`(5f;@uN$24zi?l!;`nCX`XMjL6L~eg1(WbUN+6J<ydH3}z+W_SEg&cu<0Z8|R`e-=~GUl{D_cCwrG zhQG+O?cIRK%3yrMYuEsC9FmUzP`^jRH}DUPgE9E?NuuVAP+5=V^6qI#3ad@7>_QsZyR2M7*Dn{M<6X(0YS;bY}!j?7(%DsUPQiXw6V(8n3I@{%jgD< zh1=?e|2K#F3nTe%*htGCBd6TG&9ba&{k0p_7eRvx1)Ics%{5@TFV8Rp6YkOXbC)Sw zhu6hWDi{Ld61-4WsdRoc{v&0l6R1YU?5!hcg3Lorbb|Jqesr_-v<3|ir~Sb-b_Fzk zTZ9O4+aaa!G0~c$!~l7mq}r zh-aC5DfoQ}{`b!dC9vPv%Nl_APk!oFv7G~5^MD2w-p!(xQg~Z1Y`6zv?|y(Zq{S^g zf6eTfozJqvd6N+yX9B3nv`$2=cEMPm!EXh2DyD_7Xc*;;N&Hw;%7>L#0KfcitwYK* zE@`|HnGyum89&xF!xvH?M@;b|bQl`aRT}_6d2~g%5r+a{hSF_*z!d+?TjQ*zxxiiQ zUkF$^Kt_AxI*aaRUjNO}^d3G!Bl&$eZL0*jJTwb=S5{O1W*Xj^Epn-qQ=urxhyW2P z_MQiIZ3C*lFSl=uCK#a(|BtQ2%ifRojJQy9yw*kd844AVqWcHesqFGsd0musFDUz_ zDf@mb^MeK<{qiNRI2i@khOgImI7|9AD*dl z<=(tOyCD}#iB3zQ`e3_|enyr8iTmZT0}M3HF3l9=_sN8vLcgmv{<A>GrF9)Br*Q< zFqv?V;UYcP*jO`^V5saZs4UO~w;yP)WtFNNge0!v z1zf%P6B~W{9Qe@_(p$oMQ4L>$syAQ6c1nWlM^b(p-#!PE4;+f=J6}H8g(klUeLnUb zG)TR~1$h1MfaKpjgzCRyZzN_fz%mutBL+WU0YN(}ClbDBQB(jJ&ye{dICxa&IRdAD zoohaXV0pl1*+f%1NQ#KT9CnO2V6L2d$2Pie{elpronGrm8$bMP`Z|az+9X5k2Z)~|agUO*H~`o5N{$06O)uek0g z++C2bcdr$yk zF>eaGp|X&hFT_ClI@aWd>aKi&soz0;IaSapL0-7*ILqED3C0)&K8BYst3fFbJ>59{ zJhS|dU?AQ;=iGopCy3FynG91g3_~ygo_&nial{_jPbYUe;de^2M+KcFo)4(wyuCKM z-LLE><)sDb9)g1W_?Ksx(C^3RIEHb@W77!b({{nD{gWwss2@_RGj>>FN#jU+TCO3x zWwr~`AeDm_V{rb?n6lnTGYkfFHs#D!bSa97G?fye3EZU5Xb#{53fcl>AMQnGR7W}o z#4S&twUIby(frfeu+q34TsH)! z89}ebD#0D!nYM@E5VLSD#~pt|pUB;z>PAsd#Fe@>Sz8=P-&mI~2=ZfvwAC`5)B^2d z9F$vjmTf8FMy^l%p*eD&k-vF!noPTrz1z@m@^VEl01VB>12NyPi#No@;N{?U}TtQ^l z36-aNv`G{AF|Q|jfv>L>h5)Z-#30WVOM)?jCY3@7z*81@uNUM)lIUmY64X!EWqFsg?gEd5UuKiW=yc?$+hcn6wgz;= z;jeHgLLE&N^3)`rW)rXw{o($5BJXJq&~Nq@5`3s&b2Cz3-RVBLQ5k;=;$$BIUIjmtOZRxcLtVn73ZE#ZjN<6=Wl>@(lCcI~DdJFVnB=x)`)f|R4LWBJj! zyp@^wfVx%*IA*_Xpfn$j#+v}IeXGY$#233G9^ZuS+2ZW-#{)WKGxLAPJ2 zW8|%hxJPf<%jv94r(!Uy71s;BUGl4Y5iYn&n+X|64&Uuc4rRP;;dk zCEvM6p85UF1y+vo_N~Y{885$LF=|(=)S-?sh2YY3$Pt|_Zx_ixfk$@kug2hoquH(-s`@>ibmmmybWX7l@= z(${VEzYsU=uj|lu^I|w!8K%V#ZMB{cW#6Z3Z8f&1j-Hi@njeq9PsF~SaYori#hpnB zp2WpB$bw)5#aRdrJ3OAwBRkrw)N*&0UatPK|8x#wg}OWfrPvlLb~cx$N_2R3>#Nje zDwpvTtjcc_Snu?mXGThoqr1f1dpEu_c6`0ne06(1l+b;hsRZ;slOYLlewsNZLH+Oe zDDQOF-E=!)8dXM&9fK2!8l9~j;jC3=GhJG=TRheFO15fj^ZKro?cb+Lwr}8-V8ITW zZTsqJin!#@<&)Lqi6MR>JD?7rT?s6=gEd*Q%TXfbD`;r{UfV@Jy9A9EkwFy*0;7eo(2pSXo#pX1 zhOQQkBNBng!8MTq9r;5qQ!$z>4X zd$D+3d$)&aY-Isj2)2wKu-4v>q}x-TPH3LUJwL~?W%ql#-{F(fX?9Iz6F%Qzo>%^u zA3hc&D3!$Y8}4Vy?@R6`J}d5LExMP%f0@af|(vRO%zi}_krP3rG1f)-^$?o_`K890_$a@*@O&#A zht2K-RC57*H$#-{1Hk?Ed_Xk_MlMEEBo2HM8b#TMA;eG)B@Z>Tf677^<$EWo%w#vb zk!OI2p#P$==&Ad|%+$!xTa|x}W&djr#}lGcKX8U`Q)9vfFJt6mxzDlUdA~a1y1&N- zfTM7Sb~%!Tsn}64pw)W*rOM^V(DR#wGy4@3ye+}5TxsB9^vcH1JlsuF{m{fD{somZ z4jD$4o*oS4u|EkQ4oBT)^xAp*V9!O({1A~4rgqVIIOO_@#Bz3rTc(p`-U zBKdPm;#Hp#We!GkWG)iEuMpjq1m}*AA93)J4XX~ZIV2zeei-M5qnsHfKTl?kDx0bg zY1N3^0@8}v^de--qX*usL4#-_Lb3QfD2qn5T=-8~!_{-&A=Sj%_R;JyJAo-_Y}ts- zv$b>>Y3wbJ#58F$bf^CVB&WEx*WMfI6Kzb^XScun=s?6|7lL3q!XHCIDE~I5K$;VM z`@VgNQkK#6Av ziV%{Q(KpfGffWS&S&<4MzXLp0^ptP)#CLt6@R^ZiF6pZq7krrP(aW+uq~+wMyvD8` zH{b)r4h!Y@+)#XjeKhxe>ng_)fZWpLt$I6_s>Xg}l1K=xtWX!b?a6h`x#y@p;(wR3 zN&m{Xy!*(Z^Z;)Cq0{4YsM)e%f}VqFQ$s=0#fxSbe>-UL-`1x;VBWg=Ee{Cih-(H2 z*D>&pkWgdNGF&tsy@&7?CDIEP+Oc!Ad;52jGBlW^dY-kruqYs1nnQ~8)PkD8;%TOU zM5Kiow6&G8Im;y-tz%n$2q)5|EerQ2~=pZBMnPuI4&;X$**JY|AD>eXlaPp6+ z;uIKIR_>#Lz>R322O zy~^aSZR`T(_Bqxc+uHlnt`F(dQmP6*v*r<92zWNNRE>>vaF*-a4v@MbYtA70b>Mum zS{d{julqN_nBQYe`rQPh9hR`L!i6znnnI))IbBOil763oulj(uk+{F69So=6m_mrt zqel8lH+6%&9dfAmM{cn^0aIc0e=6nnwSm$k(F-Eyx1|sHJj1-GI(%WA{JpVWHCwM_ zPBZ1N(#jsc^>=Q-b!O0eH+?KEKHY%YaTXh`=m}J!3Rd4HZg?By%fLfhho%Sf_V&%b z&9=FQdF6GzIAzY-NjzfY*%=I%^YDWfZR4d=Mc24H`%Xec)(G4bbJ*f?I`#~C&Z0`A zxUnS<+E(5?%|Gky2;>qcwKB})$z>XCm4ITos269BUpvy9HQ$>RG?2_DAZc^5&|>Ho z2xnpPF&t5D&@!-Mhb&`SA^l~uM#k0v~*hI2RqMv(d z5j#2kqAnTSCaX=u%%Cm!!2%}r_pq2c9XKJJTo8E_3NAdIP-Emzjn2%<9IiYHE|TOx zvbP8IWRE+S{`6^+3ef_L$s@G&lES=gEJyeud zugv8;fGkaGCrQhGChUAzI#bvHuXfG4clJYv&VldR94QgS| za`7*Rj;y5=dPy{c=1_g@kWwsa2Uzd+(WVV!{Bw33Q=_gm{Fc5+Yhm%UMJdE{o}#t^ zTG|%-7M>Q^796n{60Dyrz+XW4wlh1fST8R35X>iIC_c%9wbW-C)=?DxjQ45 zDU*V3{fshxif$MWd}?WvZ~}SYzPxam%6%6LX;s7@6J#^<+MGNI zIe^GniDPgEx@gkIgc|phxwVnaPo&n$qvQg2D!|njsU-aHEBy$<9Y9Ntt|d70A_; z#t6HgC4V3uoQzlyqZ9nsLp}5xz%_=TZ0)ZU`mjo10BpO#hc+N3lul=L`Q`nhIINEe zGK3d9-&f7NoVM9Zr~CAGgg4L+iI;=j#EB-S#-2W|nAv~-wX*@m(@tHZBxz4k;Y4yd z^MFXL_pl<4eG0uG7_0718#w5+M93{4lJ=tW(pg0rutX3j6|XL)jZkK;dq5512qY5w zBURXTvqrm(s#M19z*T4I##19aqr0$J>`IA38#rjM^L3 zWh#1Ph#vor_&9_nBLmzQh0B{%r1f4K43f2 zh(p?ZG5d??mrv`%3-*QN)SIL24~m{DB-5X0C^Yfe`ZOv3q8)(%_6F>YRDf{!3!c@! zt2|jBbGOI(K``GLdOer~7Oi04UdGGlO>5MgIu2@F>8|xJbEp{-!Rjz%lZxvpeYKa3 zqbkzFl2DzydzQ8_M(migAR407upR(?Q(1@L?(JVLC|kA zIQrNOg8LLLpI26g5XLeM+hgsh<@3P+D{%jgxPU3C;OsxNw6XN*8=*vl;Y? z55heBqIu|w<^sT5C=j8SVgYI1`;&&~!=6c8Fv}mPWB!6YNU$8gFP14na2vo2fee2@ zi_&osj5Oz3##q@)Q1g<<#r6pkA;363egjg*+c(dKAtiZo<~V+FWhp#65;lJ-rY%mn z%SxY*>$s9Dh7>*9sSEAOL2PQjdxtI2z62-25TIew>KnUo>bdszEx)}#O09wvVwsUB zXONk2%mFQlK+c~aTCW1o#nHW_3s{3M_5u;u_S2WXt13|wb5bm zGcQQ?CNVBo6Imkxyyn1iX*k7h%ZzK*iJ6Ys8+Y$t9Xh>)C`%{p9A-JCULDhhS}AM* zBZ>up?7ee8la7=v@ol?qo?6AEZtC_z)W-#S znwPXmOzyIpEsA|y;fJ7ne_iQ57-zs~AXs`x!x&A7l6XzERxEkN%;-l_TKJQydH=EA zg*@=2s%Qh7jKr{%LDHv8hSQinRsN)o<3}Nzg+xZ>4f*NY8wiLhA<77Wrghj)0qnBI zwAT?s55@89&oJ&?!C_NFh|CW7LR4*)V6|JV>&w6_^X=#gN6cwGhUb@7Hj(h_#_6J+ zdSGJhOE84ZY9)=B3w8z7TfxSnc>wYpGN;m|?Iyp`QLq|f(-gc{>yi$fWP#;3xdQva z)M^M)unqrhEt%}xZ1e-b>*+Ar+PaLy^!pvm5GWrnsbIOeoMa~Zy_A`wSoNX^+yRuv z9|_G+p%WZl01gGtu=b>)IWBstJ-Kv1fu8-M876W|P|nfuN8U^1^^pPv5ZysqHzXfRO3c#cmuxrNydg?>^oP$f2Jmw~wMXBDxS{a-R(Rypmh;Ic6RO3g9O+2`*Tx9TKWD;# zYBnZU!DRzk7a+Cb5Wn%wI}Tc!B$Yx!k8S_tL=^` ztOwI0%^cB+7kQS9cI9p1$C_*Z45xGCohE4lkv~%y2g11Wg5m>y;(#XD8`Oai`|#Q4 z-|G35go7tGmvHJNEd?WE+3A~$_eQ63P@`CsR{XHHtSX5}gK6e0+O z?mTI}&lmD~r65=yEGXhnWCI)GUYKRHy z8Nc)~k41I>Lo$)B>{DZDA;JNK$W3?H2;PfZ6%uK9+iY4@$&EBxv{}&gaU>=?eB-D6 zhcgRzcUH~bqH-8 z*A<_{)$%H^{YlI?Gy|&4$&yoA;Bo6Xx+ytk@x598XQ|UU{WaWU?-6$2%G)Y*IgW`q zn+fKnXtKM3B)faadj}eA#}~9<7E(D0?M92#Z?<*8Bz6JNa2uWWYp;5^V!bX03`^JP zJPUk#cZVJQwtws?#X7Du<>i{me?;~aW@Bb5#n%(yr39CTb?F#8fXN74_b2N4ql;UqOqsZr_kZag-^)CT_bo0w`*(^B4sk3N{Xw)GBKqKmP0m4hEX&M=fEr zUz&PKpVfWth9FVK0{)geFP`d784rwo5o>tcXXut4)VQej49Uc#RAk&uuAscQ}0|1Fl{I_89?qpGHUA z483xmQNyqb80;{w1&MDcSH1@;A>f!gZ0UG&oSPI{5{?h)^gf2v{!vKnSezeZ!lO>r z_twY5ca?4ptt26=+t4iN95DMi%cWQ)f7IkfA(idCWt6h##W0ZVy5`|yixWmWBW`{c zV0nr8%IkZ*49Ja<<)k$q!`3eJvc!Al2l^Bc!AgN(>{>YgNcb7uQV2P}Z2#hztE_z| zO9e|Ux&J^`9UB-p%&CQ)n<61390#Es790 zz3E>S?|^sjJ~}BfhF%%)W8e;&{~m)w=w38S8PTnfJmPW5TFX%FNDKtN)o;!u?~rt6 zz4^E&D#RuW5`rO!W;;9$yHU{F+4n{@EA|n`$WhDDI{k_C$=^h4#1MApqHN=&=`n|& z!jZrErqXi^t>%=8aB|ps1xym2;S$iVF@eC}%2}vXn8&bn zYfYbVNn%w?oVZ(PORC1^!e_q<6;JS*rddsiBaZb!enHbJsyzBXYRybu-BMpM*x!mM zXq$fLz}u_s(Bu4kkBYaIZ?sLyXj zklGwu9UlxET>}*4e+iLRM$8T*$sHBp3NqPG=N`~H1FipInL-6G9KclaJh1?MsnA$R zBaCyxavoi?kK9Evo%zbL4r##QZffBU)0TUzi-RH#QtvLITO}ATxp&d@S)sc zsV#0!OnILemONlTxbSsI!i0h2v)d}+8~n|Jx z=w3U8%*Z;(Ezg|^H0Mn%0sT(NPMdt0ubr0-Q$8RHITMBMiJTV&aWf_kv(%*!9w<=E zVjAtKD0(xV|H_}OW4q*8H>W}Nz4(B21$=oA?smm(%ED51M3aQkcySkLw7JIfl*gXp zPXG=e?#(kSLd-nMZ!XzP-E2&F4voWC8p0ua%<^Vf(6)O0ioHniEph!PEx@96Ilm%} z7c}_;YR#T=dX&ZCZ!n5Ys5Oy)Oq|tf334lX9cDT8k(BEo3h<8c{)?`=2qj(OZ}IfM zeE)m>r**2=%vVZfQP>=(%FUbvEK7nxygEtdM+u3o9%dg=xdC^96n>1{n^wsgIRZpptaI=*> zqMq>x2%B4?5bRDTe6oJzmOx{VLuCjE8EBu`m*S~W->g9*>+wjUa$czV3r89X7*7MQ zydcXi1#e?a`5xVZ^otPrWFDDX`1FAvEHGC3bnj-3xG(L=5eh`Xp&6&VV9|Os-N8SG z=+~&%$@%527teBeY*U5+Hw26?y0tLHM1=OnYO#is&!Wfu-8z5c0S>H;rVOk2GRP8sY-lv1t zfQb{7rV zr#m)h%AZj5M95wXx*Xl-!#w|5Ao$$JrUAZ?-3;Ctqo~pDxo+R5M&Irgl-XgijCxP( z4^QR=v+H{a=Plq_4qB2p8#%vWB&b_FU`{@ovAGIm={8%lURF=}P@X8;9*@9lLg$Px z&mVbD!gVB@x`UK)Abbq(Obdj_)?DMNKUb{eUFOSKw9V$&i`h{w#Bab)uQvM;APMW+h&X=+ES!JzAw z{2QtELP?745iQztEefo4*+i~==gi}Sa5^h$n0(K!${RYKc>z&8q!Zar-R0tGg5lq% z2}5M8@QY({i1>@erQ+&T&d(R41i?rxyp4;ga-&Adx zmA_nWR;k7h@mdpMY47P|$IJ*?q9k6qWPWC_*( z)o#h~OTSbT`(x7i0FqK&O1;v55wYTvl z@`lPTPg2(?M^)qMh5gl%`6<0TO$e_7w!m+wl?&Sb9K;oLukdm5W)E@V-V0ESy&qtV zS)`Hwn;XFXPyEn-;Pw9=H{gG}j|oqr`N%=rc2{qc?fF*dR%oc)ovUM&tL8zfSHOI#rq|n; z5Q%N4*I~ug>|kgpe15tu?)lC6-`p<~$y&=t4?WYFOx?=W6R zGEslC+Y^bvEJ^a9?IB+WGmYZDFzo6LWnozsb8o|0B=AbRHFK=d( zS>VVG58MpLdxMb`uKJ?G&7J{2fmvmG&!Jdi7z)5XxP|Sq5!i5*3$?b5I%_6NYdWIueEPz<<$w#|sQs z%mnS45a(pdXtKg_2dV=^bwp@rf@xE+gS#fV$7&4cSQX3^h_aWR>Lc~WMoeV^AIz?U z1|23j?#2H~wUdUJfoqD`T7=C$0Q5nTRsW>HZBDa^nP0@(_7%VbKkmK3`i<4<2_CcF zcck$-!0NOI< z;|_&bfMN!?UPLe?0-bv{bPi0^JC8RF&$9l^TBc|wTofeA8w#`5)Fl_vU`FGnpmk6B zSuKvrv)g^wqj>VO{h3N%6n^F8TSkX2$sLX>gQM;0M5?SWnue=c)oIevVsQR?YJKK~ zFd_`XZdT)IhAaZ|XQ+ibU`%r-;Tvjlm7V>R3j`cu)qpkYk`Fxu4rs`ZOB(;3gdg-C zWExl-L4{bB7AA!SZ;z9o?J5}A2|)?Be8jY;@1pgTrviRzZ$poGBO~KwoN)eQEk9u- z-v1pV_P|R|{yhobefWS~m+)v)n~o!vh~zK_7d+tbf#r$40N*_t7$`5q2L>+8AO+kv zOCAJ009a+JhCg4-0Dn;g0jzbYck78z*`CvRQB2%j>0UR_Vof?k#2A4^Na}YCK*GZt z=Me1s6@fQmql^0u^gTJp4TVDd(e^^ayy%TNvNfEWq&B8>4eTt)TcHy~pn2(|vfs=F zPkOQ&q#5=bpAE_&T)X?o;%$A`6S)iWi~00hw|cVVOk<^c_dEsEm?Tmlao{)5l+r)|{#)d4`8pw6*5II2ryS-$~XP z8I|!>h{z&Oc@z^MOBMrA0?z#h(TZfPLxzUZ(RCySx+w-z^>MaX+uE= zVY{_A-(i-qd3$m$KU}}D@;KH4L?}vBF-rVsV*PULGONji;Qo3m`mr-)RJpUzc~WH^ zdsm1eNuUMr>LSnbAwItGW<0zvQ^QU{cFoVyr%FBcCIQ@ydgO5h30n<&7Jq7#EUuQv z&Xv{abRl0gMvTxeW_Sz~Q!qb=s15FWkR-Sw>!9$oAlNvlYXBKuJsTR56qA|&i{EKL}kSq-n`BE#faDHDaL zEb{6=mr&qLs0-zoxel=oNo(WQaZ_0vWHrwoGf9KqLHDAbm_aR0z4>j zfS*BiSleqIG=r-z0;Es+?3S%Vxdv9Dlp|TV8-6chJ$>jjpW8(*!N+zw)!0O9?f9%< zg;RVz=3_!Qr*2o~u`m=bOFGT|(Nj#?!6CEXaX`XLkifoz-n~Z!uhw7=gHk=HlG{xE z9t3Da8c5K(pqTXX-RV-TPk}@o59aY$;|dB+cd&rGtga;}PBTsm>I<42qz--f_PEa@ zv4u1;?Je*IY`dZ)3lh-3R@tn+e@Ekxs`Wz{BTRl)rcx7Dht!puP_d`e97s7sTboLa_|`3z-?D zw)$%+2mv`{UZ|gdyO6}Iv^=UBC1jU*nU+GL{0g2RO4}d6jDEF}D+IUK0zFQTyXU6g z3iealCNzUQ%41%}bN33ynDj2>&<12{!i<;z&be~daqF>4g*7mXUeP{x)d}CQlQ3a< z7)LG;UFK7MpA@{Ppk}m-np3H#yhwVnwZ~49TYW~3kkT9!q1@=6alnHfFxk_1!*D^p z?5+ABf%~iU*bvi{s~ehfSrEnnj3G-^V1vkMenVWk)e@$wqHOhg#18c8j7aSQMO_7D zwF1Pp#3r@wCT+)yA{0kk7koxh!Xa_d$lRe(u&lWLaYg~DN?MqP4D3h0$!?W|*mE(o z5#Gq=0(qwceN4;@>uPRc9fS-Y>G$pJ;8Og*ALdE(_(pv>tV1wFw;&$b48Sl_kDGhh zCm(nF(sts6;r)0`)BqqJZ%FQp7I0_vT>&x04h6%uDhMIRq>#Lje*`v?}e6pVJPsAIrM)##tNRU~bip1cxVM6jJL-z890 zmqJDp4z{$iL_w6Ok9w8q@Wru?Ua=~=I*PO z6}gviv2B}U*k-U7n;<(TXoxk=cNa%_iD?XX|NEB@Oj2ZHgvSambQU)RN(+sm{h?;) zBbL7%?f3Rsvn(wEZ-t9}fFRT9a!mu;tZxZ_%_9BIQN3Fh#P@$h#_Z5`#}scJ!NY>r zJ!Xx@9Wy?d9Dn2Twy_}C{HcXLkusw<=XJV?(ljn{(3w}9kM@kEV!a*B8{xq9jj#5G z*TPGXG9m~B!gT>0Xd}uF8`SAjshj?`ISs-#KKSO}xRoWTPDHEXsX6xkSTb+6&|#Jz za8D1vk~+B%T~4*qwKDB}!`N7H{V?5vUp8Fxh-j#(QCj$8BOo{;T02T%3>*vQMBIIN zuaZp%E;FxJm_R~*8Y{Jl(r*|qSQ`(63}r_*5Dks0|-6~!m0G&R8 z(v9?tMx-I|xW{W8Fac>C0H+JWJ?d!dcdB2OA!bzrhjaFk%um72`pM z!6rfStnPJXKW-8r4lq{J%eYP)6@c3A^B#g@I3 zCV}=epx9PJ+cTZ-E;%|33B65hx`a8s!n*Z*5A@*g{`*y4Ua=SFd#NYiElSvLigm)x ze>7b?DYO291U%x5pOw;Znzkt#hImetT}qcIWIsyv)F(FFl~cU+sR5Z{7AF#?TpdCo zgninyp6~dnH1k;^O%a;SP>5jW4V$_I}~6O!FJ@0!m`lKO*||V6P^bq@^m|~#eAt$ z&LnI#@k#Gcx~v`vGwpoSqHWqr@#NQtPdub-q{Q%CH2NRiuk(DtAb^qs-S*#Uz4-DX z5n5Rbdc3XEk-P+ydZYB5%zdi+l_Lp}$(Jmvd{8IgycMpav$s6fAfy1Wn$B0a32;Tb zrO5NL0VLxpta?=zz_X-_%O;O8PhhMMD&Dk0^GaOk7)1jvl_ANbhKVFd2&_1M%_8rE zqI?Qo&@OLy`!e=CE)_4ED8I6wGKu+uTZpgU=mXo6v2lQm0Nf1cKTl`Fc>%CJ9dO2b z1E&#!TVE*ko@T7fV^|2p(U1#O%|grZb45%;DYpwz^9hf_o1vzMD)%(r*ZdM(8TdWK zKT`!owv4xMGd4C*&Ijh@s1KW|oKnl$l{;2!9jb@8QPdH&8r2BannD_!8BjLM5om-2 z^y)!DJKTx=f!$;8F)V(catr#Wh37@ijitJf@&-rx8+<(P|F-AX;~fyuZu-uo~Lq1q(*9l<8@S81V75aA&;1K1pxO2@qHZ;gaUEMn1C^|<;M zN?IM&G)d`4#h#S-fXhK8&n#C^&g^XkJMjxVcAKUQ;%(1X!MC~ZZLau%`&IN*-py+OeEJ_>0?5|+KrO|4v=PtS-_{(l=f2WG5+v$X8$kc>$IYD}i9VvJaHx=O^QDg}FH=m%jNJVbNSh)a`kMGospR^uuRxLg+q?)@=E$;>e%F;;8VV(($fa0d0d$Z~|5 zC_e}NPKQf5Zb(j@Ho4ZfMx5m>f;Ii@zXWU~K@*od4Jc|d)g0(-1Ou$%ln50ZqzAFQ z3+ZUa=cZ3!$tZ7U+}kxhiV>;I7c4`DLv*H%VfEUiV&Su|up)eel`@fOBC;?(gL&6u z*udx?m>GC0VI6bJcuDT)b7LPV2s*?_d;5p0 zChkWDLFzNiEfkgz)sJ}GzZ=IcAX`1hVGn0ePZ9_bkR?qvI7A^0>KoN$g+- zVF?f*a8H_BUcBJRr$ch;K{BCo4GmYbw=dlXL_2Bh_$NtcTzk4^h)*YB=v4cC`>XB4 z=>uFPK~@W=X&+XI5&`joIbFuXy%={wB$do=y6EVgpME@k+w@6d)jHf>$!5K`gFcE{ zI)a+r>tz`KQgy59UD|?d2yRS)wg_vReoAR^>B(__&Q{i#Xw@kO&DND_c(ya^}K# z*8wSL0T&j}4@lUg1DdxX`qW;<_RN;942L5+n`XSkJ?L)En8Op2k#{FnP%eTPl?}q3zR%$j@)W z{9vDNQa*&09s;#a3oPuBA-kcB8{22#oyAGA6GhM66BrFdc0utXdep^Cm8)j(HoTnv z$)n?JZ~e@>3)HSHKV$-#sXW%Yg4k>lHzYt~GeB%ZuNrq*k&6C`3=iHfr)Kt_C{c!K zCE7QHwXDSkbOSMQY(jNR1qOH2v`W2W8x@NH_{#%{=!r!Vop@5q1Hg;mw;Ouo$FUs2 zY+>0=Y%+v3lj%*Y+UG5(GtVR=r_&b3@>BS0>&D;-?C^W^fuXfhyy9>(AjhW94vz3p z<#;O~Z9SoFry9RaorG;lvM!=pgYD!2w>(9IS|c-s^6z=97ys}l*U`@P6dmKlGE-0` z`%D6|Q6Nn;kzl`|N~1bsLItfaKM`8HCx8#E?ci6SAhuvls|KdSvJ2y}9c&7FZ5!}SF=pd`99sBMbcETikAi@y< zyMB(X3s~R9X3OwqE@qp>5~~``YdU>#y>$P9!u9#Axs&U!faDu$d2B=7g)U*TA&Ek) zBEil*kBk*{#_F@i4YL}Dv`M;10=!H&W+H@;?g}zCP<>g(c4EHWaVVcJ9CcGXW%yI7 zKLIyUc6Kuk%WQw#NG>YU1-(9w%J{~Z8}Po^FAW;LPHn&Go4P$R7RlRY`qtScmi2a4 z>twuF+$E4($oF1a858PnNC~>{$ZYcSuRn*w(e{QSDd|;>!+JFaLX2GUaH~rQo5ht3 z21L1lQNpKSd~43cTYNf?n0`EEFuGa~1`Tx}JmRH0lil-ANEEq4VB$e-NynfuoX;6X zLj`hr`_z-RPzol=of6OjIQ#YW(uSVOM`JqR`LnrozyhTP|8%XSKIt;`-%`CDKJ(V) zldOX+|FMpH+2olMWiU09Xr%WB8PKEaMeN?2aibkQUC395;238*GvWAZ#n@;%?vk5S zWoIUr(cjPp6B&yqXP{`wfs}SNAOiO{PoE4i^VJouQ?dcFhm6c6vD872-H95cQk1#H*$9f{%s_;;DQcn zNlJ!?x$1vFuvL7A3y0(gi2xXjuUMuaXcEAeX*`ox>}8_Tt45nH$jwaSlHP1Ur}y+0 zMY+1$zmgB-1qg?=pq8aYt!aq>hc#qOiPb=-RLu>Tuzq-evU)sgzHnV}v(WWqrctvO1?jV$tG* z6NrhS&c(c zK7z%lO70^-(IX)RR-Ej90rC=wDIKlC@CI2%B2_oM-V0@&yFALS1~k2=ZyUL>7b7sC z$rM&&Y{z%V1z+@H3Ue3RU>UioyJDCRnv<@gX)NmSuMvsUTrrB^?r2WD&z5#UI&D-; zTQvE2ONYs)UsU#QFM-@LRgl@UNMy_kL+cs30^T+k~znao`7!x75H`gC>Q zkuK80G24#1Ceki)r%_QJB+C@k8|gmxI^`7VAtd~L5`@EeL@7C={$zDN6<8HAEq<<4 zv|f#JVixGsnLcMxS~qqQPRlDc&0o{+r$Ge1bqt~A#bK%sV=GL)g>!nW9Fy%pp^|5Z zV3p|rbJsH0juI@^j>4U(1Gb}Nq5KNOi2xjETQ=h<&n3E@dR%b>0Y5bNpdcaPr zg`L_m;PncFZNFfYhS4PqT1*0|=em*_$zG4_r~U4BNaw9+sq8J_Mc~=$qZ{5pk~4j5 zpMDR(%B(({`r-Mu0?d=V0_Lj&t@AJ5Fl6ri7Wn?$1yKeGIB6W=F@vbE}+`tVKe(ZM=^Zk;CJI?!NwB_*A2_X2sow( zr2mmpC1q%Dxv)`hVB~r>TWj8usfksW_jF{71}3r4huo;TA$q{7fVC1^lE$J#+N_Ac z=IDcClkzo+`9LaT6Uq}BSxC1}KWc=~#;=lZ1ACJw!MSsJ=UrH)QdSiSsVH)+O zS!yyRpZ5tk?Tf-IIJ=EY5@FKCB0ao-Yv6`uQu=ruOCp$h-G!r1Gg{(UpromDyRkoy zPxX_FuZ8)XB0TLjLj@LaRwpiV(`TvJXxHLJyd9@>xuk1fgcc*9gI)*3BB~e)A(hxf z{FH8ZgE6pn;3ty!))y!f;wapCqdb9GWVdV|{Gi|vYOn)LyJ_tTff0AV-|kKzI~BgBA}-^o3=dgfVKD~m+^yQxFN6L>qS9a^%99E|+dLPve(K#H-yX-*Yge`!Gv zdB9)8SEDKi(an6QEMp{9tHJxlV9M7o7Q8Di)B0gG3S7Uc{h%hS@;4HB!xpA`mk_+g zScm5JO`iJs4yX9|O%Z>5S7tvAP0l-k(>3Bh66pE20m1n^(87|tLF+_c#2r{6auLak zSs_|AcbV7BHYk?COobU5yCite<=t<#I+bV{&rw#9dLl1`x-h(qWG|TF#2-6pwb)hG zTGBQWfT3EuY3Xd36~_z5wsK`RgXZ%Pl!R@q;#SX5L=S!kw!=^JXP!0%bW`Yyc{t{x`G?w@i8NhN;NLkIb9jwj#qivx9S$5>@ALs#f87M{y9W#U-tAqf%mb z>dgG^%_d#DrV}}jQh5<8e@5iAHbQx%U-^Yt?rQMHkJ-h%aRK{R5YzLUU0QaF z1XnQk>WI?}3GQ0spH_@bav7oVn(n74KEAU>*NJTaI$igVgioyY-9Lg8_1(0L^2~%!Xn<9w?pD}blp-Q@qBA;^VHZ))KJ-VchOchcWP{lWMQDZ? z|7jaXRitY(h<32CiedzyaxB*&Q>ijQ6{xX#`-s#U!|s+_1AA*&ERKi$n_*q|`XXF5 zSR%-41+&(cfWL_+bZYuQI2yqQhIr^txRvR0K@J0R$8Dc;8lC$2(ViHxekQQetF z)Y#mFG@uEHbG0m@Ue`vvg4Vnc0%mlJV}R@e9;^bB18e{q>uTkW#R0$`q`%7v zrFPc#YW!iTLYyZy*Uu0?+-Ydhc{s*`zf4aYv5b+)4=NhFoG30fyJo$?Duj~hfg>h% z2-avMw@Yi@0De((N0fh=bUU zTkkQQUeWls$xapqW^A z;{P=og6;Q*o!U5z%~;;jE$pc|CSM))qQ%G;jXc<}a997_O_&1A9zFmHeu&Rl=pS)- z79z5#&_7RLJ1`y^5@iZ7J5hxd1b);)f;-PN+F?1&MYR1=LqgwnyoAWR5=WRjC9tvL z*K&FJBZWDkPZS~eax&LxF+-f=JEc1%mRH$=AvtClUO7RgJ0-2_HNgdaA4*>;1`TyV zP+7bH{Rxxc1%S~ofl_Sq5(5zjCm#$HA*@S6UOx1~c3e$$<agxFNHAFTIg;7I&3`&WZ2=1e{XgYTLOfFV?T1w(fceMTPKJWR-T?9$sz4N^gE1D2J!BsvD$s5HZIUX2SjU;Q!yl-X3m}Z1cLl? z!sI9?TzUJ-DvKbWfJXyuAL^`L(q`PuF`;;-A^R%qzCZmT9YsoyW7h@F!_D8(xG3}2 z7G)b(>_>2zGA*-`G{FsoU;=kEy0o9{WzRfiA&ts?fxuH*ZBmMBq~IBIwZw3ZR?9M9 z32@F~emNYoKGOkwF{A18L)xTsf13D{h($c%&&PZqj1~TfbQuzN=Bpw){uNu7d}q|l z#~p#qan+8sXduxh+U_icC7HY&>2gg?hd%nSTlL~x$~tM|<^zX3P0BUkHA-{^uLzNn{9dr(>*;cIka>+qeFFa($R>uBp8Jl0oq%%3W zSyfE>neg;KzKD@@-=>W5)^)oHM4~Pu9jP@`56csZ3KF7zfw6z*7CY5bvxfDD8p2|q zMtwum;cc@Dhn;EDKzSy|Luig``bv)N?zA{fr6uLo6T@SBuP1Vb1ZWp-3txzCp1tEd zm+IK`W!rKWvK8Fd8J@!}Vl*jYautgD0ZWEfiIEc`6=We9?i#v`Y?1|pBqWw^Aj*UD zS#vCU!OM+YMt zK*sYb-rYuf9GnJlqxl~h-$65oSE727zzd2~Ttq|im;4JQEEww~;@2EpYm~GZi*Y^6 zc*}%H?qPcWC@3=7+EyuNnnK3%x(Wbr*}BNQ$6WFGNAhax2nchQd6M*1hy~PZqkXt= zOTZaM{q-v6Ej=({>m$z|@o25Z($H5Pj))uCnS=ylRv&mwn%huZAzkuFfv-awR+A=5 zJH;fUw4SF{+0oeX!#7P!JFg_d?Y%prM^9Tht@=vSlHAX>MWo)%)a}m#ah?!``N+@5 zQ*bg&(ayA`d|63Sr9I%r4oWs>JqfjRjTG6Lr@Mk_*)Jx@l6}5cn2&zt3x(3FXm_qp z{!R9j(AvR)>vVfaXvJn`uHO4itllpmv~8w1eKuw5-{WXamn3Vi0@u!CFI!uYuB=#yv=R;edAsO#i{ zMB`>p?mPYi+cr=dRs-g~_O$NsP=C1EXt7p61wh55KJ%@dMXwZf^cPniOK*?9UR|lHjDu z2iF3Q-#lV(bQ@-=${f`X#yaF*L<1!bGI6q0v#ZnGKa0?)SJ4Z|;GHF#qdw{7=_+RI z&EA40kzGWTNCLZ_cotMzG+PfVH@LhRl&lfFMd|w9(lT@HwrPur(;-)iE02T(z4h6| zc(#;*tyd9%9WBpTUH=B0zsnE;EZHy9BUN{9=_YgA{;Z;4UOXtkJf0 zpn~Gz`VaZm{`7_w(U35gohySpbiKT|kX%3j8mD3G4MNsYrx_0-?-B+V)vNLZ;EHGAA-WGwBl<%%NszCx>r-gS+!0i-GOAZYt&+@p7q(>Ji%`7Mbdk34Toke_I0^G1MIUb${E_K85y(eTPBDx7+om%$-DA=CI?$j06EJ)Y0bMQ@81vJaSGxmuApEt5Isyq2@d9&Vfj$y@Xte0BL{E`k-Oz&#CTp`5VhO5aFN*J^DE z<|y{96K9XjBi0rO6yFj~crpKg7U2`EvG|Nc5uxskQ94TK&n9b*-!g%s9)O_}K>cD! z67GT<^`u=^oD2b3tke$+7A7pu_zhN!!Rdc+k6fO)M~&Y_TM?c5{}qmo1NR;5P$h@D z5DKF(nCcIXwbIc!CQWZ@Esp`6$0);qJ=IO?Y%@1sle!g4xs{a>LBOvxHtm?t?um=~ zYIYwbQOB{p|5-&BtoDg@mt(*7WEuTfW@9xBp(>`)zE4GWl!wXEMw<2FYVe1rb|HbN zc2|4%80s(*q%f2?$c7JN*}b!$VDQM_&o-R3w1vE1iEgrLd@90;Bx`lGhDW&g3#Xys zQg#A+7Eh!!gzM1FcCm2O@%K75uV}nsFfaN(%kc{EC_VMz5zj$$np!?b1Jmvv&fO{D zJu6U|E`KRNkzE1bz{NJL-QU>Z-3;)vf7CE7qiy?VBD7p|zYOlM-9hm8xm3sLk4FwI zP1isX_nJEv8yuO}ud_ZW!w8%@Vs{8@88B%&yGKt-Xk+SEJvLG?M1tlyf+~lyaHzU} zZEg-ShNiNF=?(l-+UJU6->(Z_hWK`RX>D-44h1P0T19wV=VIJc0gd|D zNOeuJHO=^}k+4Q%e1vd6ie1`3-CY4H(-JF-(zdTPS@Rkx`Wi&NfT|ar)$cVMiXP`| zF!qT#s5z&!Jf~Lgu#ai0hNDmCtym?LR*BYGctmX7s&4cz2JBUmZ%vdW?{Y5nr8(z- z(v63P-UF-(x=t1x>(keNG@~DW6dUFHX8-jVM(Jkb8?9T)@fMgIdc6*(g|igg z3pfri+jq}fpQ{o1+^EABafGghW&z*P8L$ly3R{rMfe@RJ%kZ>B^bpx|noISLnXdO)sDV zvCh$KY|u5gvhN{q&i2_wx9gxhX8vvRFdT+NC>mnO1}%i3i2c=ug@jBh_jcvD;d_R( zmjDJ=E1n*`LKcY&VNTi*7ATX15G1s6!s?&>Uw+=gQy^Q)R-s}K>?!gHY6c`Ys^Gw< zvhsms0BKTpQQ9D1{A1`42f;YZJe3a&8o_zNX!3NX2vP6Q5Lr^eO5o@C?oj~d| zoFkRg62v0!Zk=Q*F=9#Lg29nW^HR^Iju~F2ZTp!omp0FS+F&Y}kwmI_*yw-Ih!QxB z=%R-lqb=$<8BEclv!5khMSlULnYv@$5H03#F-~a0_9|T-)1)mHxMjSzV*!?ULu`Er z`NEDcR4h`ZhsX%smd6-<^6IhpC{Y7Nj?PA>hii3ms_^8DIOzWv=}Wr~Ca&WD9$>;D zmhluL*@Pj@+r?=8q1gurJD8D}y@7@IyM8#RYW=jv%tbBtsI&of31-}5pMEjGQ2xXB z4?XH!mXEcVo@i?=AY8I5(<7Y2K%{6l=^%RVlF%MAS^dxOpm4Z2m$j?~+lvFMRNWyT zQ|NB^hdE`-?xlker-jr=waJ+kXo=<_~Z2e%;zq7fnTfN zOcNK%mq)0d>^y2rCDrg>7Us{Bk^(hhM@rNw!ncW%0@3}^80{v*&EzRx-=mXqWn~2l z#!IgX%rq%yY1IX0CHhHE{9{O3@4-#H86H~Q=Q57qQBRrI{z)eaYom)mVnsSjKcmgy zI`YiW0(N_lg-K=(#p8V=0rF@sB1|2mCDO4|7Ql1+9oVMI31os9*ShyvdPGSUS&j?N1Qu!TM|SEWhD_aTu5voIep|#cfrM&4c4+Yk@gk;;H^= zvA59>ERK9<86W&^@|hdoV%~~6wI4+1QLQA`t1{Y(*G}?JiS9fr#CvF&fN|TzGDsPH zf*L~1j`DjGv*?@@a2hmt9uh#wX@?Nn;?iXFGMyAR$*J1Gn$g<8JICLL+Qh})bg3yU ztFz_tn!iUfD=r_1I1LOljBOrLTFm_o?q&D$1>v-WzgU0r7%wp9k)-rY--3-6_;W=L z)*6EkN&BPh>uL{3s71H#*x_Cbd^8QmCjK=ZlI)>P;B(yqKN4(etM>Cwh_rJZ=iU+` z*-NT2kyNzPRuzo2X-G^%Y5yx3lFbAykAlnNJ>|Y}>H6o?sG8ejelAH$_u)AA`RY&U z^(`3OJYVUNJ5}#-G152faBv`2VT?t!QDA!4bF|aXZ)}fp>TPt>;vLXE;C9b7Va6v{ zvmVUu*JuhKDhI{P21F|dlwsyq{OJJFd=5uc6n1fimlQ+){w$IA@DnPca~Ct5GdNSc zjw0_lyL~OV_UcroD;;yLQ|-4^VAhPi2iUmnhQYWxtQT_b8dP#wsL!t?Pr!u9xVgc* z#P&_4?DR(>7y7h(ng6x#>OIHO?j_IWQ>ASA`0PC3yap9rG_RW1AMT)5r0Lrx(U)4R z*TAYF-H6+odt(!E3SVwR-Qx;w?(bJ36)4(Z-zxt& z{W@}`E#UsE3Z2OdYlF)TXN_K0z}d@qz4Ng`M>%1vvA{l>C9arGvwA&QoB&6*@;x?^ zPoc{Wb#fQAxiim=o*m#?H(c6X4ry`{ng<-Sr4~)h83C&N4VeC}9Z!5h#Y_j)2l{cd zmLUNFs#fs&nL*oUQG>(36^NCouy7Hvfs_Kv!*7u}=m5O`7%dDX|1Tow{~Na5|2cxr z`QO=gIsQ-CcK@s7|F02r&i_-kT}B3u|CUmBrSlQ@D}M2v?FYJIHrDWbwQ0X?l#Oda z3)l=y5kXuFtPTgWv&H~K>F@Nz|M#X+tY;O+k)yIBt7*q)!S!Rn(Zv#e zo$`N@TU&yON z%N8C(qn#bx?il#t-s0xbwZp`XYD_0Uo$$r(DiT;q>!*P`&}@nwf8)cgwM7$u-EDVV zvwgP|n7rf4a;5uY)%WQdm#*>Vd;YsyXY+HnS;uaD2)%{&`}?+es4U8?Wy*JjE@!9N z&wS^r-RA-BGa}G~N94x;%v49;Q+zT7T zs#a>ToS?(Vs@1Oj$YJH#eN&)#*nN{K&Z^9=+4$ZQl#CrS5qdG%A6GsEX6&s47eey^ z{g4xqU(^d&k?XH`f-f0}7d=n%#Bm~m`=m)BNF>@32p>1lnykfI?ZyyphSuo83A4i*#`;UwRY$BFx*e; zsk83QAcS2@{@y2BA9+|{k9B2Cs_RQBHvu`ZF$02ll0Ybl0~;uu>@yx&D$!R$rYeMk zy+$5q)CZ}i#Q3EtJCSJTpkAsd)>7jB`u0ASWtOO*Ru)o7N8}OkzBd(nV71>oOJuWf za)F%oaiQqcgrLiP?L!jItrB^u;!-4TZv7^(8z*w`SQ$C}tSMr5lYmco1uCY8Ex#wC zC(|Zv{DvgMl1v$d)ojf=b0a}G#JKdqebfC{wNnjn@DxhT5oJMz`~pm>9y>HCEJ&l{ zxLYZ=Q=4H@P3U`^wx4=4`~*)tycjz}{L%J#ZRt+-+2-3r^OmR<{s2D4Qowop^_c)4 z+pdc#&$jKBP~Da_y1h-3sB}uzF++lJ7+%l4sk>F-n^L;~j5JA8Tm8gF1A~&P%!Tn;FGU#DNH^JbL5(j!JT`Ux{av0xbo z*2kQc$&XQoNu{)3G3CxoHO|k!^%tJO3}oM2R6Jb4@{=4ateN)F^@e%i;$b_?BVS-E=o|QM6Whr1i`6K`PSa0q zKOvl!>xC<~8R9kSFH6kC;61-?!?d3{qh z;qIL0RLEn|^OGI1CbC5{aQ>bg+D^ z<>j~D8j{}_irOH1=|5*Dgm`MXHt*wpj#B6i3o;*Eu+(}CbhZ1U1R932JbM?1$0f+L zN*M#Lu)XdGk_)pW@rTg~J1N9M=(!Ys5<<#Sz#%}|)6bH$+b};(lTsT`xDwnF25)EN zH_pDX+#8p6B9;vZNk2vTMve}e6z+9`;$0Wj%mN=qLKHymzpw)+Wqzr)0L^ z&4PDeVVKQ=8PafeGbY-gQ8_g-dru*vj3^Vi5gO(5#E;aA2-qea6@rAMly^MNS`a?8 zt_fQx;=@77ILBcv=c)PoV5%U9nupYIz1^r z;JE|UEJM>3H>C}`LFA^9+l<$WmCb=?*)}ozDskp#?Oho%0dt~0C_ko)q%6ex`3+`m zc>d+|VNy`96Opr-Pe))-IpEV3+ZM;a+v5uim24Go9E{p;iuI#^4Akza)KbnYB|M{u z9{(ZzSg^@@+k4rNg&J^Ds@XCD3?&hz1Ow$)W;p{6ujH8py*;_oahB#SB z?B;%byE*q(3s?^U(eFsx{CRly80@lZXqyk!!1dJl3TSQi1Xfi<8))WbkIgYpR1FGO z0C>KNILP$+b=zzZfYBH6B}k~{C$t3g0)w_X5%ug31PG7x%Jv**i%sijFKv#31vX27 z$+aAqr5-x!z#`8-K&92Y;fb*yY8eX{EE8?h8ZBRxS+s)3{JJb86lMVMgDh2l{#PBX zjI#(`S)TwVbmw0Ye1UN{IE#rw^!Zo>p-qa&hf9hm#`Jayd}mKF*aKq7rT@nB$Qf_bjmm#MfLjZ4{Bq$1x9_aK<$aev1z#Dx6$iA~bxp1>ug~0Em zAlx8g7zZc!4es@N`BerESSnI9Q6yGN=rqiK{I>q}c8DTKlc&L|g};Lyf358;xCBEo zZ>hKMQ7ZqS?$xl0H=IMm`qMmC(11FbklgTOy**N7U;_M#lZ8n9U3{=k zJZR82+tV22Med-my=%5wJ>&Ov|7NzXOuPgd2Y^k-&ot;P)9xREV3})N`KB^6vV2IOP zX16x@WfRoT@r9@a6SI-Q89Y1r7pVL}qw8TTMCxTR^G^l+>b2K5WP|t`sR(SNd4vna zG#=(40!T#LmWW_9Hxz-Q2nX$H@7H(|z7Hk@CMBi-q!8I=D;-y?o~WHO_{HrDUfyJ) zk)049%!P7R`56b|ZFasvmk-WA%HFU@MC#)f^EVyrQM@BZ0MVDsZ*zDTG+uy-R(*o5 zmIH-o=aUy)zi30#%~P^UL1tOv572znK;2YieN<<}15B+Qf1A}I5ys12AX9t_h+2WDBfQVv+X_*Yfv$a! zgdSNGmzjTacmnLJFP zhrA#_gGr~!XofkG;1HNtEy)TlEBqVr#<;OH;go1Yr7<6*n1=S_$=gF0pHtr9Va8(4 z{$o?oVpN?%%@cI@H`m_mlQ3PrQ_U6CbF^|%*obRMQN8#Zf)+uvdjom5eafxu0bv+T zA}Jt%{NNh`n>copgcuvcYgSX9;vS9oC~INS#jQUI*8qL)plVb+1ip6V&|-3br3p&U zBrDwf9P4@6(|f1})wJ*{nM2AT`NJ)XZ2_p2orlNX2>u*4Jx9j)62So3 z@ji#&pjb{L>pHHVM_eZDJ0WtYG6E?&0x~@Ahc-hViULs?6f2;I zQ0YefiCQ(yx<25IwuU5 ztqo0U-YY&QYfFtm`TF88q(nPP;W`nNye=UJfk#Spyb2U9&{lqA9U-lh+CdRGsBRS> z0@r~L+-daRlD?%y8tcJ;oRQ4s?Vtdh; z+8@1k5RkU4sD!HhCM!0@b#zBcb)Utoz^8yDgN(oJlScj~&KuapoRW@qH3nq-w2oB1 zws~mL@UE6nzR>v8F7vV^j=zYnP|MV_Ou!H9fbO!U-2@C(*wny&3Tfv|7PBW_RL1yX za?qh}9HhcjEj{`|*JK~)^4A|Pn$XpAInpKA9cLnySjCGP&7QOxkkyP@6ye3pG1zjL zxLbmKFp2urP&>wzk_a<;x|~+tE+lNeG?2Ww&*Re3SG$JgL}8W9sJnntjxS_n(LYE; z#-uIKvr2$k-)Ct5S!531D>r#2OBBU-*l1nw{bk?6>{lWrn935x4&yZD6xr+=lEGI z#WEk8e@U>c4MnJMfxPFT; z?}38`n2%r<@&NGYk8u;;ie%Xs)HnSvu?t&KXh12n$F2ziST>mu$RH7Kip4<~)Fiy! zqc+E{qI2k$cy_XlR{@k=9EM8z5S>G|y8zTtA*&%)pI#);XF1DWQTsHN|BuNKF9RGd zZnt1#4wQnIe5k=+99Zn0XY1JJ{tqB%6M@HSLmN4TWcxcj7b5)(xKGi$Dn)RpqW6M7 z5fubiV(=|X|!@yyCWzovg>q0 zyJQ}+RFB`PiQI0d@X^%)BU1W7lGa(>;zm#fAIMOJ&q#+> zX8409;ep5V!xrInJs}TFE5(V$Q$aJ6qc}2`1CgI&>P)1+M|Xz$e20{jPf$465Dt5l zHQR6lk}-JL4b&Fd)y!MZ4~0XF-}IU&rzqsX$w&STJCdfkPo*-!FWtNf6qK=hX z=f1Zl0H4!I2GBhwus>sk+B;C}^pU=*uJy>8kSMX7aKG}QAm_AsFkw&Go+&1Qfq{@# zx{o!bxN!%*CuAQ*^V{RTL$5cSMk?Uu^(RAO(m%i@;MvqP9hKk-J7cTy-XRXzbb%Ae`d%8X+75&;v{)KC_j#UoD`;n%6IUBlN z21kjLs^kP3&IEv+7)Abq?qe=VC`-Vj2$H!fV|rQDsxG>4VuQw;kvott4N>wP$c(zP zkDe2(@Nq(jYh51`gP4tKVl*Xj?>CtRl^eqmDemon$c{tlr)fJn73Sr8u{T4959HoD z5RGt8?Gd?0YU{7@->C(V-dG4D&=#3@*kWoo@kw~(UN=6WOO>a$js>Px@1opQ^a-^o zdVVC+*`tH(^+`+`TiXh{o>*~Twn^nUy{&l9;CwLY$%7puq%0ftfV-@X9Yxo${?Gg~ z{F3f$p-B~6{^BFW7W&cjl{lgqPF_&X=}79#<~WW_uG=+xuO~2X*2MgT{x>GI{ZGMY zfpaBSMA2TaI!)X8X0*n%jrwZn$&eRwIQOh$$i(5wAj3`sLca$-S47{yx+~pYpJXK*Tg z6A0~&6-zGlKdpb^S^~xwh;Vg5Mk{QHVmNGsOj>z#h_~k14Wx8vCwO;1?fE|9d4tSudX;7ehpa~FeGR0B^(*n>Bz>vJWp(ptuSS>K9-jqo-2Jq zmX{s1^7=Z`P1mJV;r4chYxfvdt$GZ-LRp-s6dX{c^Q9$zbUSIcqwxBm7i1_=HU|T# z@cz0&W@*y1ICCLi0sT?4^o61$eF=H}mK0@4Q<4|lKqIgbsouI3mi%c&O{pDYukNBo z8T#U;5t~Lw7_m@Wf?DVk`aL^*8S=8aqY3&+`@5KoO<)0|u=9RzNPKNcG`F)w5{`4l zrzcV)2C!#o^r%*d<8cr!1sl+9%{!CRG(xfS^r*+qKb4JfQY#E3 z^OV+7D487*e37i2`d@JNQ`(%OGKg<5V5a(>yQZAj!`83cpcybFVooul$^loZyuy3w zy8}HLiocM!MvL%F`|&4^`#6ukToEJ3-alYhBcdp9HL(W3IZ!LTS1N=SjLxAY?FlMU zjgsFD&m`>Vvkgwp#tO5|9D2bg#{X1No<%Hi)g#F2ob;(X1BPdvgOc!a;BSV_C$O8l8zDPv01$$bHr51V~9O*?-URNp(cU(mU2821sR00?*cllSE_T2leX(?K_!UVc{LKsw~u#wFZqjocEX4;<$I1n)$k8P0G~-0X6s zn|_#3$-0h9U@UO0(-3A^5iPAc*==lh_+S$K)p;L+Lkf_jMjai+Pk-Pn3`k#8YL)K{45*udO8oDC^pFt~yw zHE6SoEYPTB&s!Hz1!$kAJ>JO3$jk_ReN><8c&}G4jWEUxo%J>$-ziEdQ^$GGct|9M ztHChOXmmr2!tEs$*b2uB}Sy>2>m5A3CKnM z7hox#{d*Dcm#LOYf9szFnvdWf5So+ZHaeIEmoQIvE4Wp@1PTE4LBIYcnb;GTYGC3& zSiKKM9@u=YXf@3Z1bjc!&(=J>jvNKFK}G=HymY}>GA&W3 z0`i3Z5!ka`M&Z06eS*ZXMgtMth?o`K_J`3l^C@zbdNL;xH}-w}rD$G5O?I=&ZzSP^ z<8VmChygYCgcfQ55qc+2=OdPs2M?E#);}IW(k{0)IE=MJrf>F@f*)MY&ioGo3{ex@ z=X)LmnC9%Fozc2F3>XdgELnOr;qC;Pz&X$e%9cOfn-3+vI!iAnegMh{D%rSO9Bx%1 z8&`(nX(2WW_&E$z$$_sq8IU?NVpjM+QZ!U7I?-kDyLCx z3tyJpB<`tnX}uq_pMzVjMB)%sMVQl|190A6xyKI80#l3&X?>y+L%;hT4Jf>yLe|CL z;dJ*3T$jq*-<@yym>ru|{m+57C{QRtME1r=ctfj?T$D@1?4kxpb zkt-G;m0@m{3v46)SFtrg+1nS-`-ljbt~yh4-zmLS`H5Im+sNDL z&IUB6%(D_x57GOJtY>U455Uj)oF0@fiVEv{YF`74=61?1npO1+-j`n3X>RAw9rV_47NsBS`--0r)cs`GVtw5c-&)9|TP zQdsOrPXlZu@Z)Ctyn&8biM%E6iGB+ERX~Q3BZ<9G! z=-ZQ}kmt$xV+M-{K0~>%gCC`9zLScg2R;hj|J-{nQaEhLV_uRexLE-f_rJh)8XyHz z_ADHns-~Ym(k7k7f*O4C=5wzG*hgWe{JKKv9dbL;PNU;p=N{iCI^#SD_?YdQd|OaR z=} z{bAWKd+f1nj>C;m&zCCvL1k>g`f{k(I7UHZUvg4d`Q7yP932HJsvqs_UDX7J)ZP`~ zvoODAY98f?%_8G)GoH*AwYe_TOyfj;%2$_6zcTNK`Wu?QOErF8I4*7`d`reo=KO+i zg^yAu=1%DwtaFLIw3|I>csG*XTb5N5ae@C-=g$3D6z3kn7-zRpfTk|9*1q_q6F3VuU-uep$11s}l2Fd2i%e`>$-9f7xMc#JEH$q0O&) zxkuxvCw=LID{AtMeChpSD2WeK4FiHqdM$DY&2GX!GHpN`>j||(4)f_>Ca1A_=$2A7 zKIU-|=MQ=H(~AlJLT_Z*j1f-M4cfO)fp6K<1=NpH^-qHPO~cnG2g$>D+#$pHUHAS> z&VCmSfTI{8a)a{>ppuDhuG+;->;BziZQ?Sni+cL{cZQ~aOz~vM1n65!QV|&mF#*+ zvV*R2(rY0$heGYFsK6Tum2UrotrFF{ftuFVX+DOHm@@gUicGi(p5&cm zJ2$o{@K4wF(AouVB9_k3TCuLz1s_wrJX$Bd6VV&3mV{Z77adhu7(O5Lzz>#yv<0w%2L zRe*#Y+75S#Zo$`Q#aCf{1KOq6(H#XSF0nf%yGBmREtonXF2Sh4^P8cu&Y^!+@-Lr1OC4)*8pWQ=gDjy?(?t#jO`h(*Z8yxUA zR(;YgoDw`EjM=-eFG_IBU@WjrH%U)?0pK`jCg*I$FdGssnv>YsD}M(~`1rU|GARA9 zq&#L7c2h10upiySewI8W=N%;OoEsTPC)6cAf@-2&*tfD4Z*Z-iU#j&8D9U>eYX#)& zI^_O*#IGA2r^l`7@y$;~n7~{cMRYALm2mN9^gExp84?(P$y{a3k z89+N3el|abH-f4oIEM%SwDy}|;pVT_<7n9`{q2@N^`{t0oNa}ij3)`X94a0SBIun? zDW=3MGG7A*!R^8vs{G93qPfK@DOCwlu9SqTn+7=6e>^=`uyO3%!XMWg8SU3fF z$^w2<^@5K_%Yss@3T^i?UKq4XRo2JK%gK_j29U-JFb{Wlc$0eSrD0linnnvor34TATzwflQg;I$JtVRtry8y#6)rPoqP0X z;T6i}qm$|*Cg&(&r{Wn$D2C9Z?Ni+Up6PDHD>h;xD*Je(R>w1noo;5|4(*8)t;Z(S zXPeuZ$3}Aro!R;sPeT8v)<`AKKmU>x?P8)iMbC}_Z@e;H&l3j%;*I$z)^AF&9Bvnu`Izrt3k0FCqdEuGn zEl-3)71l)O#{R1VV&+W`WkA)-v4#D%yDi#b9$NqD>>QOC7D?kdBKU6wYS9f??;cfA z|FL8tYKcGk&3^__SP%mr0j~Mm-`~c>FYfq!aa7hZhU&>XZ})`vNe&?IY=R{>QgDVF zTrp|~Xs%J}8^~B{3l&>BM5t^&q;OooYf-xt|6{IS6D+NZSFjwUafHD@U(wA>XA&F5 zjwY6W(B1W{$KJz~cb(gskC**Ghdqw@{?8y=&i{>U#s30i``=|N{tMaqKgm|Gam=u#d+r2Ed;u0MUDasRfd3F7|S8;r2~`wING<-hw3{@vi8#Nz)yeS`mw z3H;YG&tJi{{Y!V|{rf<8|Bmn9JLmh|;Qys_-oF#d_t!GtU(0-dJ?H=H zIsaeJ`TvgZ&+aFhpnvcD4|4wZorC{1>jHm07x-)W4?zFBKU!9?w6HOia`FZl z{Pr9MKM)LthW~u9-2DIQ~}* zP0GpM0>tsVO2*R7+||a}-N_YA@E_S=w4AgZZOol4EJ1?*NEjn$ftU zh3P*o_;^4Zx;7T>*1w_5!w&{=^YDSVx%treV2_s<1}ttqeh@Do7l?;T0K_A}3F3h{ zBftd)@p6J;uX$l@VD(^b5SW)21cqS_EC{;~_B?*u0dw*Fu1DkL{%wo@k9{6k>K7hv z*uAjx-+TE%d|*!4{PO)bWc(TTKj-L$r6a`M`pY+Wi0VQTR>FD2hSuV`)mOSOx zW~d`f)gR!hog|p@RIpXU&VPMMuUhUu*?6K{XGyGfyncPb-(C2w3eo<6DNP6t^lQg(2Bvg|_uSfL6NV^7YMQNOJ z@cFCEF?u@%pX+y2gU_4flw@XO&y!o^6m`0E+UW33uXXK+dFrU7XAM)rZ&X5@cB{8pxqiPp@| zn-l+&(HB-Z-z;Y7YBwzzhUvfyM7Z(PWO^uy3UYX$SVZja5+E8TWrH~;+hwoM2KApY z;5XFOHA<8Tmh|@>OUujc_S-)x2JEzh@qnHuC)W>;XJoD`JIgEG&c?^c;c9xPkaHLww6b11*TQ9A${-VPR-;{<8Em>kEtOdGQq{Nvqi4Ywk0!g> zZ*L73BjF^-a+n&}Q-_e+eE+_F$3)=3a_zRbl02puauV#^LlU~vo15p}1*9CJkIwB?f0T{KgL*N>R4I8Y?Nks&AQa4fu;_ z&_8vRxwlhle!0S$2Oo{#xMAWd`hebS{$W@ zO+_mvbx+_HLoL=jh=qMZyCU5d?Tvg!)SH9jB%h^Z-J8RCDc@@_acL3d2TpfHzNb#7 zM7XCsL)!4@wM*U7#`qfIO>&02A=`U`EsxzH+ZJ?#xiJ@IOr1R-M=mE4=}ma1>~{!G zy|C$h8O4axAwe!B66{TWM!W&q&?vjW>Fpu*fvcuEbL$n8!8pS<s`fK*_)X8qaQHzL@~ysKB~vHUB!ePwq@yHz;mo+qaPp|Cv8&;@s3wT& zQ>2G|aTsY;rNVGTqa1rhWQg+cCW2F=t>s4~>!|f{*rKd@?Pc)ta3+F2%TH5VQA&Vh{0EGS_povOM$;T+?i$)$0kZE4Bk|J9$P02(X^kjU=8mD zaoT%M8VnRssYoad4+^hTxVl-EA_}715Ds@(&>9YT2u&1%(A!jr_q6TOe$fK&ZjjD+ zH(2(nFO^H=FVWxMlb?}nyzFI+`iMhC{rHYp+Arz`?o4b$w6`_N>(nRkt-g%z`$v*9 z&uJhy@)7lH;Bz5R%87dAz5YJ+W#s2V!0DS_vZ%TIWVt9mHd`BD+dFT>Gj5#+7_7<; zyqaobK0n^DCDaB zUpUv)o}hq;CVpF_O0|=>=@3A`yCz{<$4IE5#>MN-EDbm0wV2O7lxtU?1rcsgtrlYR z8cdUyIETwqJ<+$@NTm5q$6%N<2|mD?lO4@c->H9fPue>ZRa&Je-k+&!HpYUTB0p-V z?#Qy;hT+0&#*;pYZj&PO@(zwj{v{!h{aw)|Jp22iIv{&g5i4BgM;r0(Oz~b;ag?L5 zGO7bIL#n-WLnqtq7D{9vj7`vB{(i!1jaWkvPHYwkE9g6xE7jn$*qq?+=&o3UYLdrD z&FGt|vBDCL$hWA2p0N&~#**Y#M_@C~CQ|HyWCeUPAuMu~N>%`GxdxMlwklX8*pU~| zTuBC5>8~lg5;J99e{byE>Xqe}S6SoUE3)R!2(rVm%ah}?)ukPiDw8Uc$$TpS?;^Cp z(_0c{E2BN#`8*@|vy2vK2*)x?QwBAUSOXssalo274=(Os*plmF;`$=_8RvNzZd{nU zO#R68ITW~NEZHw1hHwkF=^uODnHdOoD>_I9stj#II)6UMVv?L9X$15^*ffoW2mO)^ zc;?EIxBRNA~Hm8YH4t#kND!GO>SAWoa0tDuZmso=3rjJP~0nTL((Vsl`!=l9Pd_ zfnV?HZ^dB`!;6bikS?GhM-lFhSgxaC{gm;k^#^D**bm(mf0K+D-Z<0`@tl5;2o{6= zkaQmKH}PV&nF z)s0asvgB3JAyRXiUJjW&g_BHXh zi-#VW6ez}`#(GIsbA;SK+e8_3k~D(5#T=}Z3_v^=Ve+LKL~NV$5TkN_h(dZTZoh*2 z*cHRJJ~~R)li_KPQOd95V81k2%3lN=TW&J{VMn&L!|Q~S(`qjLYCg6m_+`115s?^V zpry)}VkUE01oxQ4=gsB?j+kWu#NylFVw{~^j6~SRSez(rq}~#2TwQ~YhxcgAjH#)|H`|K+i+j~w*nl2V`5?(3{nY~JnEe#xlshcFT01mGI5OvU*Q_Hm@9elscQ;e>USqTY4@f|mZU!NjTtKJxFec!mBXa0;lsaxn)I=TAdNg&HO>B@z) zQ7~zrB7;I#z+3dSK-;|G70cn$q3{v+SN9$}9eO9lZ5@(E;%1=v;Emmn47p(9Ml!(% zf;)%1EZ=tNj#p1{0h%0#(^FY3@*W+NXA~`cElEN~mFA`^*prB88JGGgd_2ORw@O17 z^&KLUJEWAQ7bP@aDrBV1XqE0kS!Yj|cz6oVws`yt(zRTu3F!O^GPPV2l$5;pixSo0 zgVcaaho7u;@t!zka0C|eFO?>LI7Krv?9|J~3lYie_Tf13w??#V%Wo3ND|kPKtQaO5 ztu#iv{uGT%Y^b;;ZZ|p5i8q=fR6IHUaK6-7JomF+wxQ~mxV*lYR^x-TSj96wVw22@YBnP}3NIE%T8oW+8^%>}kT|F@ zmSR)#-0htBmj0IQ_WhT&kq6*8(=DD)j-OI{xKC-WRl1y8QPM9CC&mCe;ZW<_P#M*- zuoskBeoh-J->y4FcZ>R7V^Wu{2@`_TLzJ2_ry=m);*_`}ZzJifImh79#rWN!@`6gctTXuhUV^_yPfmX7s zaC63YylxzJNTX4c zaR|sT6~K8H7iz)b6V*E?R<#}A8YJ?95+F>8Ff7L2SDh+zbF$NWuNEu4NwleWj_;E$ z0#Exx@0?ulMK)sx&6DB~N0F^5?|u4xL>rv>5y~xvPh6Xf5p`wUYJzwy|2HbrY5$wA zmnqZ(rLj97yek?(bFlA*V%;!oyL~yw57Y+?HVsQ6Xw|PXHXYJs+H=WolV5aG)UJ5M zhdU-74wiZ0%^#^Mj*n0ZQ;)EQ=|dWtsoVp^=Tr0|mRIo``{84~h<15D*%WP^9Bkh< zm8-8JgRrI$rx@h#ryF6nyAg={ZQBSQZ(|yXCE8aUD-{gq5 zO7%2OcE7&kIpSjLz$CTMG4UX;ToRYkt9!;W>^|n6&eSt$6n^?-xabNcV_cx z@0!&z^F`1H(_l%#p@g^QUIS)&Xat|%4|NsOYtUoj9;TG4P?8oXQ0^GoX;nWjv-;@2}*!jc02FJ_iUh>dQzQ5p?=bq82B7hA+gpz69v5w8jS$;RDm21-d>U^RMcJ%4DQT}s+d-^x9xh5MI6|pS zj8Hyn>dWyTrVhs5ayC>1k@T^-VG=1b&%m%p3FZ+;Xp!WIm z0@zQ&Z<^U^EC;i4kdu=h+i<$j_l{9c$cRae#sr$&wd{i^L)aD7oam>$>)s^_RX9W4*f!*r z5vG_H9&Zi5{!%#G%xG2mUeX$^h`MKf6!mJqi>bYTVugN~rXqNno>MHP`OQnwICZMe zm1>8EivwkJq%?v~-9OggkrI_8S5*hI1~HannA#MNjo6b;%x6PTyzpN4w%96g%4`x_ z5SEvEUQcSp4HU%ylC6T|6O|6H3w61k^e<7Ch{*iaH*|0BO(rwO}A*F-($^U|G_yHJ2)Vj8RSCET!AIV*<(N4g|HS$wyd1$ zGj?DgCIlT|AgT^xUjHcf`IR(H<|}w)bXOG_diL_@&wWCK#={$STt;IX1ZIX|`b!owb-aymf>8DoJO+TnYtSQ-|P==-e~b1M{-<3gR@zZ1{>eUVYz0 zU_7n9(=bRNkhL;`xSB?TcwgzfhDm3D`n`5lww=UDTO%Xi?MoNY3%Ivx0oj8_&+JX& zr~Nsr6jyiO;>007-nSLtnb&Jx?pnuRIT1yu_@Ws3 zLZ#tc+M=`!r`yB%iKk?%gO1cxy!tdJZb6D{q4zq@$|NHfRQ|oMcT60e_LAksV_w+; z&pF{f(gJUou}=Ax&xJ!eO=_}R6m{H&_TUzhTVafg&e+{fln(baFAx7~OD8w7Y2C}+ zDoPuh?E8g`ye4P{*lI&i-Ba05PWPdpn*&#+SK*RVpsZIh{!?9a0UlfCwTq^I6<(3U zrL-g7^)ut4Ct2e7!r`%`uhfD1)lyt>?)k27U0?hxX;FMAU{Jik$P^SSBAfhL@{u$h zQ;j&Z-{3t~^(umD*}8G4p%|7!3Ehze`<|dg-KCEACdS=ic0@#hUmq>@W>kh4I$Qqb zY^>s1T}es3S;;+j`|!MGY18`y>iQoYfj<n_wxPS)B# zH}NHPzIRDG-Yw={Ze&EqT%}xFDL*X#mRLFWMKFzfZ`Qt8u$I%oDnq9-X-~5}hDSDD zqj<=HlDuPLz5a`qP9^Q=@cwy>Zm?Wgf_ylm1v6df%^=y~YhH|l*pY8u*Pnm7#}H@u z@h6TQw~>}xPvN4^==s?urGBCbQTUKIPL|(H4N*|x;Ypjbu9*bOPLxdQN2Qv+q$?g* zem30Qt7Bl0VP9UxNk}GHUDeCs`JO=Ijk89_k+a5GfAlkoyaws$yR(K|m^8rDNW}@Z zI#HkcYSGXh9>psALimO^MA0ZXtZw`)x9~A7wWHeP=L_Quo})#xWRb3Kq7H~iW*F^b zB^C_wUZOQimN8e@4sj1r6=O{#NvAbikyP?nmvB~qOAwoRb@9i*=`$jg4XN2-#);?7 z3^HNd#7U|fk*i@V>Cp1%);r0_MSGnDVlQQ$ab5Bsv+^@BWyD)vM1;?|CAZ>_!RFIs znxrjC_GK6JTG& zN9t0B0d5>c%-NX01o75RE|)^yj%=UIm~U7JA6|qB`lH%%q|4oZbXE&4t)7r{mTYgT z@Z%D~=Ji0YTKD~2C!zUD^8GVe>7j(w?*0e)e%l4aOOH)#rv1*qSCl+kDiiCy9E$8L zp@M9XbThZi(Ezu1c-w+w9+Ex7+R2NEw3tL~-iDA92dCHS4RqgQzr2j5>nHQo zS7PlTs);pzwb4^nFP)@AjXcZ~T}a=n9%=n?v2>ER8GQR~uYG!x$2c842P5W~9StrI z>popxYN|B|f7@o{3|wFmM#*O-x!+fsp3LvN~7 zeFtCfh z*ckkJa2cOsbtNM7lN~H;6Kk8pQQ1jFnZN;MmC$9>3E<-D1Uk!to(t0 zz&enJPK_rU39B|a^3h00pKU_3E(VsqKu|=!Q1`>h<)&R$Uwau%ak3E>P7OFqu!Pil z`RDUcCHBbSMyiq{!7_(6HuD+_?u5hshNIERQlPKD(tDP-Lh!OdqP==t9I%k>!lZZd zV|&vAnVSd6vsh#JCs)haC)Om<{9(#xoex@!o#Hw=-7*!IWb6IL%AxZ8?=U!$cAiP&u7i3+z?!3QMshQ%+)EeUO|=^DHStJ zw-6+=NC)xZpK1GC=@=`j7VQZ~i!Z^_VH+h74vwJAYonK^@zswR81(*3aVWEGHygVF zCP}iTlwv+#pEOZFU>!{#JoobQvf^Kc4zlTx@NlQ~=bHT>;Th@iR;3lRz}xrME%Foh z;w__@Y#xwOGP_g?g0T3JJTK#o=Evf_-2TF-&utZ9YB8hQK48pn5l>jd!L<)@nG;XdOVle+v~)tt zLp?0MEy(R{uQc%@W%PC(zUQZ%Zz;L0WQp$HJ7L`h z{1Ijq7HcYfv1ucyKutMERaGs6EcFlXaGi2?YyDTpShu5HG4#VJBW$@l9IbnaxX~;< z8pO_9LetsyA9)-5Q*;e>IZ{cCmS#HY#dB)~U%Kl|#AP2r3w$(3SK{5piz)%-b_^fS zPkO9}LCa^=a+_So>C1fiB7&d3ZxS0;F(wAszb2Si`*hZm+iLj4^iql{+Z=wdhw%Li z{`eCgD!-4e8*mpQoZfYK$XY^4FOY~Hm7w7-nnXnhDsDaPZRb;Yt}@*r6^7*vJ2j2@ zh*m?(YmDG;ukGI?&Qowz_^TBenm0;uNbSeY9;ZVR=*N3xU%6Vcm9^N*lbZzekU0m7 ziMUH4Z8OYoFo8H3slRRru&^c1Dpmz)p30?B*A_9gaxXb*q2Xola=-D=%cWCc%hyg! zMoYh}G0B}TfFjJ>MxiN^=IaQgu%#eqzk3u$h9v|niGgZ zQHj>kDR1%woscwtD<{4XkoDZ25gBqqAxf3WZ(&FH2V`ZntFP#9Mx-&8+2L=-^CQ!gB17{X#}OAw%% zp-4S~UZ37Tw5^CX5j#5i|QBk6>|airsInO>D#yURdqUWPwZ?T`Y@W@ zRN2Bl(Q=17$Q^tOe%X*^WE41-CB1O;vyiaxy&4y>KmDkz^e{!=Q6Ienfmpd_J~qv> ziK0ed@(5_Zs2zu&nKEXRbMy+sA_T#7-Y`)6BCXsgWxP-ckz+89?-VrN<%Hx{rQ9S` z!Quh?066BMf_kw0S;>oR1`kV}FS)}i7<{KBY}AG29=3KfHH-yzDWj%jGdIUWXch70 zQ7)4#Pd(4o<-HL&{Tm4N%3dC@tT0lL)A8txi5J&w2`n#odi?l_p5kyc%ud;2>#`JK zTMtWQ%p*S>F^mTJHTq2ulRl<y1I?& zV;X-sAHFGl7HbEN8$)sCPa?Jsjo&uEMZ5ge2z-le?t5|SQzXL9dx9D)__{Q?0j~?> z>?RZr2wq~BL3o8ygeY0u`m-*JYcoQmtI@x_f#dC1E=+-?YBL4(EX3qy>Od{*i_CjM z5m?|#9Uob}%BlanD&Fv!s?M<2NKtazBIzmrV={Tj>&q8pwQEEhts*em+IAWDHzl+H zC)Mp6gA(nB+Wq7@{N1ENNvZ-59jBg62x!O5?hGDWCV+BtpBT@W3Bj>xf-waC_2wFqm81bj|)aJ%l( zKQn#~9;H`u!l=Ex3R)0x_=#RgZgT{5B2{DrdWFUo#IwA=!mzVVmUcrns4GZ4h87T5 zS`ikN>ZZNmj?zzCXs=)?Wi}|r4^x|xO^}K!;PfrMztEaJygXm?4mxU_XMg{O`c-ze z18kS4CJRZpXO)MC9V#kWP3aw3Pi!T@;IDm&xjIr5cR)mA>IT9_v5BEpeVYS=aoBEd zJfq;{=>-*s<+@)9J*Tp*X}GmrBYUIU!Dx>e``fR*jFy9iZu&!JDJraIIC7B!y43VOAa!%V+Cej&WQ&>kv12&{(Hy^YCWpu?>ao7HpH=J z!7y>egP-)uUvj%zHA6(HacH|6*e$*9LN0<9IrXtyZUW-~jo@DE>N~Qur!;!Y84<;# zDOR?%ABRngakgtO!_}2p3w+@O=G(?MAw}ug&NCyyh~wxr4Ku+XdpXcF(K(hP`s2rYbc&ZZmfnQ@z7@ zx6FI?y1<8GvfL-D?)Dd)Y)(y>m%IRZYOsB z(L}@S9;EWfBwMeXSLK#~?bhvZY820yrW3vN97XsIg?WYPYM}Pq*|H_N&uQsEj8!`k zP9SsIk0tQyn)EFt75(5j-Pj7gcZDnW;g%*VFB+tHtr9Jf2$LDg%3U{`zBlV=AIxNJ zef=QYe|Xi|ls5$CA{DV{Mt`Z;Hj_C<}v;iExN zAb?d}hHro^*3Rs?7cTE^jsT{!SOZz|mrk$is!@_!xI^6w1Gl3zwwL=z#wZ;1HzcZ3 zqfc^Ofq8R3W~{f3Vawdg7k!GSXhg}l3wll9X?`8@;fUm=ZQhSsRrM(sC4ePH$c}Bq zax7d6XIz;%V;%E%lrps}=WveUjeIC&{xgAIdxFBPR^oh{sVnhAIu;kHUmS!%D?QC( z6=FXesjJHs%lHsK`AQ#t_lT<}0P~Bu9}C9u65##V&O2UwmtCW!Y^tVWsg@Sn-gwv9 zSMHHv2B{3V$(z;7Fcbf5AjlCrRqe{hDGoVS+Wk@V)(#QqpV|K7BC!NlxE=j*BBrtr zdbWCe^QcHLg&)W3pie*YbnWR^b!wEzYiCve#&%=g?X~Lst!4e_(X(gO6ssEMs{U~l zI_qPT!SUVwB47%G>)r5CU)@gLYR+mnqJW%KW|kVrc0rvnv7v6WnbD{0qZ^}gC+2-K zVX@PU?*UK~E@K2Y zq^@(D4PrnYo;b!)imEix^)F2Ghf}Zban^$mPgkY`iH{sPiLN<1>>X{b){<_)vheb@ zO7-IS?88i>N@rvCC$>3zZ2ImRYL4qZBhvZm)Z>k7WmDW?ddTUF{D%;V|v2; z%1-rZ7Z;PVwcW?=yW|VcidVCed``PboTO;BUe3nYKu3%Kz=*LnC?d$GeemIe z(9!-{!7$Q8s)oquP3YF!gou)B^0u|o6yuur8ShdRY~zjmUhA4UN>{Fnq_1Lr9m{|z zB)!+fM@0fZF3?^_aaB;Yccc5%7>L-)M++U-&imB$^j|%^+?imsh*Bd&OnO$ho>6*( z({gE;RR29}EMry0Cyn#0c_dZaSV#W^Jj5Ut=}{?E4$XL(Sb>wBG@P?tZzGw*zpH+> zWFeFCY`5XV9(-|C*VHLF$1JZ!5{Y5b1Yg{=emE=e(BBK=K? zW(n=H`P8|iZ|+{5QMMcyiE za}Bh#o$RG7wch9wm2T=s$38|0DJGe?v!?{U;s$FV*$mndtwNTl|ZO&IMz({uV&M_^kht zip~XNmH!tPotG2F7l(0VVO(*vKWBazha9G)0K>TDzt6u9{@+}47-63q#xDoMc>2GI zzPvmzZaGXAzz5S=@W43i+%Q@%58rPdJM4h*+JD>q*8!u#|F*;PyA40gF076prVaUB z_S+^8jML8hyI)v2n3EU8_qz_pDE@uT!wddniyJ1e;Dd49d111P-}mvsc*ei$1z_3Y zd0>04^1-<7zpsCfxPaZp-LppRP zPIL5GUO&#_`Bjp4N~sE7r`#s5`tNf@UP?asXZ+&9`oY}s>u1xo_^%zCyR0#r*XOYg zjXpUs_Sc13?F~DLm6^UB2XC>4kFv`GSprsy5~pxT4pNd^lyfRxE)_OYs4?3~&GV_L zEwO%hXjLaL;(j(TSJ>yf6oozXlh5uQ5S4m5$>J@71v5z;wv)=h2z3%_oEQf6Is(>OtmlU?3k{! zZ*{xOc=a~4vHfFvOF@fD8`_*K%5BM41_-ie&!c=w~aT(450s5(y1|MfB?Kg{2v5e`zj+!n(KA1hVkan?Rv4SocwWmOX6F zy5rtTC0tk15VN?uG#55FS4_ISwCmIBjg%8A;La+_yDwO>NlV>h`G z$Vmz+eu(oSZkV*JAP&t%R*5LJh#Gp+M~t9BWQLI>-?clkE0! zr`4%rpX}SjJKE#Qvi9tr)Rp#&Da%QuZ7h!zy*^H{0_DM zr8f9m@=tI7DK`KM{!uCXLvFy$EdcZ2e~bsyUy;2t`|8C-PJTI_d{tUBEU#nnnV~Oj zQm`|K*Zxjt&|qQEu<1zaMHcGNY4Qan7(q&kF&M53LFa&>B|#vOjv-7LmDkw`7v(LU z0%B;v2|rl424j8Y>Dq7nTI8C;@9MtjSQs*vnI;H6sj(Y4uJ2WNh8><*zVf}}LsbWJ zN~mbV-MyhHWehKFUVzzh!_N$#CX|uPSK`j=LX_EeIMiQ_SF0KVF|iWHyGr>%A(4g8 zPunmvybL>gJU&b8Q_i;tC5Z99y@}+%HjcdwI4A60XM4z)JHKxv&s}#Ap0%1Rbkw?* z&%RpqQ&KQ^aTk?d2LG*BPU)L=n825wIsv+Y1A|Hjb%EF&G1^x*RM$@- zA-ax~udf%<;^6PZ_rBmv=OaBvx-JUKuYh`;uOX1J@8wT;rOXL~^BdG(xy?V_XWnC! z&{N+oU<77N4>`rM-+G+Rbp~dwSB7+ioDQ!&0UmL0Dm!zK0@3ajPZ<@6G`=~-KZnx9 za>vYq+&OkFW79Ju)9K7)eBL%~QYFYiNulge6%g;aSa{tVjeMEz^DX&Hu@W{BRx128 zZU8&MS`b_ciZkqO18S9VkU#K{U`-0Zj&1T0ZU?=}AjlASh;Pygw}deNyps^Xht3J= z^a0xAn&iWgA-v+~6avhmRmla-0LyT5jf2DhI%ri=K}LXN^r{y@g}_S`C|f5kfDj!@ z-$?@?M1wL*M8csXq;hnM0JhOM<%2u{iwM>Pxw1hEKpu1`okTudEkY`DCk7xM$b+KJ z(diB-LgmyBVg^p5sjX$C4#p`kTKe6 z2wXA3O*ou5(HaCO!qMpl6k+KE1Mjth5`gzIK~(6cv;b9<(>gdc)YAeuHI&mPxR0o( zpW%9d_ z-XPp$!>tmmIRcJx*Hi(=*lV5uN4zy1fFsVDFwoB+C~ z=w}#ofN+xzH;2Z_)X58c&DN<6e9hcR0DR5fDG6Z5ThjzQLvel!M}+Pi2loqcp0U#i zz>dEL1YDswx5Kp}&eM0Q0z6Tw41*ki_5>!;a2bfi*lQvHKGZ6WAWUExkx3Su9pWq6 zPFsKuDwIPa2aW~t6}3bq+%V!RhE7d@FTyUN$y>N+#9dsII5>2~U3`;ZxC_Ko#!hm; zBBC{3u67V1zzQA8Dv<#90XQP`LU*|5d}}ZB4tsWFkDPzrQpDXx2!bYs?7fRS#;&@P zitU4gb+lto1$iUb%9$k!ug9(c83!))EZk-uZQHbZTQfq0y*IDiuU_EK_b=#-HJq|$ z2$HQGwz=!zxjJsx(?ZAu48~#mrRdPslx=i7E-71TywH;%)82Tbm zx>>K~tTU!ZQdyQ*i)&PoT1zvAo2jx<7F!D(+i2S-hTOJ|iHzlCZk>Oj%?$Tx#)b%H zTDm3o>BZJc2ytR{pSx`pmVfs`hrlmJ z$dke^!tjW~j$(iGuE&1qz^y~`F|iGs!1Yh!Zl3A2;%9Z@u2<9_@8Ka-&R1fPjvc*# z0qKsdPfr`4o;D9f$5ys%I@S*bhiM0ut4`Dm^Tv!iL zwRoALqf^-%hl-T92#}9%SHzHyu6!eIlsliyGby$XQ6Q01*+@J>Sy!y^WE-q~3$&S{ zBMVr9Z=!0YGeuLcI`@^u*Xl(&7al~8#Kzjk7rtgL_bgls{9=cAxp@**9;zA*Q)DiW zO*#HJ)ERSJKNN23Z1mZ+8f))cP!TzjGaBX>{Dq{}8eDrI_)7>f=W@lHeW6cDx_L-C zR#vC`mdRsnlN2{k&Lg8psAZ;Mx~CVlJLst2g4QtJQ#aIbWI?v=CcwxM z2I#3{-a%JtjsO7Ujeu}Y?Q-VPhCO4YFEU4aR;`20we56Gl5Ruz&i9c8ILMAm!`s>q zZQqf`W-k~G2BIss>@mli(`&Uef4a>MEGUiXoXTCFGa8I7aIfqZw(V0s$}VTJt$%Dy z4b&j2w8Vj+3m$Bi(H<$~nW9g!KcBs|A)=_dn5+mjahs|zs}dAZ7KS+35E0^PvS8Ia z^JU2M6&n)KmNOro2Z^Lbb+< z3Xa^#XIykL8ph>5GdP?em8veKgs5!WXlH5{9mz(OtqBfKc`jDf)Mb$tXpSj)pP4;k z%4X7gTP6swY$g#n6Yj9~>jf;T7A6kpCC;S{AG|*u>TXMh;lJ%QA4D$`Q4rmETW!f# zqnN|N0I;pHq!Z#J;O4fyG4d@fl&II`k^?$6&J7HC1l$R4JR#k&Op3|f z&_a!23D~pb?sFspWfmh*o&cACU4Q5a+ktdPtv$*E;iYlz6T;WXCa2r~)d53E1s~;uBf%F5aYZo@qm${58OVVnoo?(>yM;M}3 ziv+&-JQzYTH|Dwd3c?|EJyFV`5faQ$+J1CtEVAo}0PF8mzJuNxyRl~*(9m3}AWFDp ztQM?Ge{+{zqtiDMtI}yFA*&7|9PUJ?S)E=%j>zqp9?S1q93>4`CBHXH@`tt~wxGJx z?q)DuV@`X8ni}o;_S{3~Fb|~XNZP6H($^oM1WEzBu7!N=>R&JoXFYNcCSkkdu8l};=h0rv8Z^6NV3XyW(Cxd; zx{3>|qhrk@&!d%kgWF$@dr-#`mqx7ygZOWbOUI{HtTFH*=ZNM}`jdY=-5L`Yl#-d|tet&gh1d3C1+-F9F+@>xv5M>3W1O zkXA7R@U`Mho_o`L7AOl=mte2EE7ZGqnACZqe>`}2H*>9=lX*!%$ZPPm+Fn#i>tx64QTBIGD_c$E)l=2izQ6UO^ zoU)PJ@^oIG^?c$fSW4c~ElUv6FGhM4)QT1wRI$<l1}IF`&aNp zR;KFV$)b1-%W<(oN8LA_Qj7W+y{!TQET{S~R_+JlS|&whAC=k}a_-?v^N}*|c&lD@ zjeZq$n0p_n^S{rSWI81#^hfB8Dw@0KU8F4fq&6f3x|z+r%R=omM7_fLL}|m1xq-!e z)96OjeJRcvhN^PAFP@Egt8?5-RT`oDG$Z@Sp%C}T;obkV^-(~EQ>F#-{#(iq)(g-s zH?%MpJ{P9r2xSKzAs@jXAsV8zz||qUi&^tq6InA_3tN*}vsj~BgRSA*DXsCWX|3U{ zMXf2U*{m_Gd94XPQ^5#ZsQQ3?L^fnLcs9hJK`oNX648=|xw5(8xvJ{O)&OfUYf5W& z>t~-SrjcwB>w~O9(}AL3i1Qxr7+Y<@(J-y z4f-b6OR@v-72cQZl5kfAN|rk(*@5&G$(P^~ZPyYS7-R%|K)a;bP01yaD3<7edmz6A zLoaf7B*sIs0T1}@xR-d)-w`ScP88D@?UHU675XE0K;mOagyewafP}oHyhNm=4HTOR z(HG&8b2ln&%d+u>8GTsa$`!cq zq`i}n(HkdTfFcXXCbE+pz<*bg>&T7dY4RpnMGgYpLhdH_kspv0`4M@Zymn5h|Br#u z3)P#6NjXk5#7W55nX%KO_ko7hmI*O8BIHzs&&G_|#{T?;xId5HIA$9i);NfPl{L#R zp|-zCBV%VU^x_s;Kia>BY#U(V+EwIgat(Uyt3%g} z=Ncr}lCPnkzfP_vUxlwbC*=lm1NjE|CiLMZ@-1>R`405rHgfwHV!zGeZzXqqs<2=JTaH%o^fei3~A7I z4`$kZ0pxetgc9dx}JH>`lZ;3IVR$p$sHJh-^C}DDn;J|=IAblC&u47p7(B+b`QCid>?&r zKcPr)9&;b!?k5jmY(Gd2k%#c#Sv(Q()Q8CrSp%>Waa|pdiULyZNUX#o~zaoFY=LmUG{aa!oGjLb-G4#gmWCi~F|M5>9 zAZ~K^*e7Fq#y(M6$U12e7LX62myVFH!85Vt>{m-6Vo?1jagifq|5TQP7mU22-Z1*T zvA-mjUU|i?ojbN~+qz}*zAEhI}r&&1yCp^g69Ztx~W)FKUW5_k~lj zzLY8!ZD}c{;b=c1`X@y6rNW46J~vM)Ow08n>71NN`efp}2?)8H|8Npj1J0+mKXHFEJn&G))Pwi`MA!5$?@lTGC^V=v4GvzFvL{l7 z(Z*Ea-rxElz_qEOXk$|<5ygYGcaDF7lv3y0qT#`R5IlVJ^q=t56Jq)^F`9haKL|~v zO&k|fO6nJw;MwqmXkR2kpXJ)&Bw2+(>Oe;~4~NOBV45UL6Fn)pkEWauDef+sazLbv z7w?NgvQ5#ZzRbV#H~3NqR)vd;(6j7ceoB>(^l(av^{raHf%5*fgV9E`5V9nTx>LzU zfMkD0m`y`vrO4mkhem9qV(92jl}5LvT+x|a+K50U`Ar)acC#WpwkhSBl_GtsGo@0c zO(+W$X&R&*Lm!aV747JLlvIwrJ5&`89I<%cey$65 z2P3Iu55(6K?OxkMdnsy56~2qF!yh`3l|tLTkXvL%vs2o9UAS8gDm}Ef5D{+1M|9>i zB-m0K9;7`wb6U7t3KEeG-;&8e(K+=XpybbLL1Azdoz)VIK)Ko9fAdH|-T*vCN;m#Y zDm;Yx>@)H2`N~J*x#p7g~x*}Ur25h$*?YIhp+YHMYZSIeTZQN=jERT-yDpjgauwi@MV?e9e6`dxr9m z*8OOhkR*$hX)KMTS;90xYwAQm$MOdsO%if|rK(s23$GrQ2#e#H5GRq2JVr2)!1;$~=6~E1m^7%9x}-j7lFc$i zO1mVDn8%P;FOkD0$t(qjP$yb|XdFoo>65`nSxr3ZG3fwu(zpYtJ(Eo)G~0xV@Rhvb zU0|6ObS>>ZY$B+ieImz9`Uex@+W;NIMAH;rL-lWe&xXOi9%?;^2fB)XQc8-}law5- z#}jKzDMNJa%#<-Ylg2mD_y!)Yq4C=2%#`Gj(AmQ?K>clWc+2_W z;jytr-H}tl(>)Q4`Q@Oc-6?$n6P-H04f$qM>O=JG)PdFg^f^cuY#B8@t*d)5*hPiN z(wfqv27RUmh*r>%i>xU_{#BIe@oZ#aH;)M-h%dv8LR+LY;mKD=k+ixm45>p$7Gf;($-+SO z5HV|E^Hs66j10j{BB9Mv@{MLgN?(Es@sDC-2`a=tZ4ZP(8_z;lWisHqZ7Cz3C^kWq znF5dwl3MAr;NMkvc$)P`w3?1#(iyz~wx2#U`zkGxQ|A2Ee#~yXyb&?cT2UBv>GEk5 zt>Yw*)zX%mAa|IA;j#Op7g7@^{)&sDboQhAM}m)Hppu@!FGi%6C5nr5UyL=g=)pmq z`QI1d^3s{dIjsc^Sxu)kaH?=j-@;9Ff{nHf$@7o{Tw;8%Es9A^&ZmThj)LJ639sp) zx$wvfm@SKbRVGw1o{3J=tnxwIRJtmmA*8bq0{AzWT7PbE!+5Y6$<0^{=9hrjzZg1( z+Wk$z)BrRS$t(-)k?>&H7M&8MA84wA(E#d0KaCFys6Vuh4w?h2!`-W(><~|L-(d3~ zz9hW5AH}E+X1*!4C2>xb7#9-8Ap}CDkUFp++}9KC!_rjh=#E5!7>(fJb^WPiw4Y7| z3-JB;w*XTTSpOhZange?4W_i1nAY{LjYcrxAg+hWmGuw4oevul92|@erkEjUMrKqW z!?0_m4F9$zqWx>>5*ptZ?qAEwHsf)bbm;R1o1z%YYw@&H?r0a@{vxaB$Lhf-YU}Mw zKoa&r$6&Z_5GJ@6vxh3SdT}47Dmo*DSy%T55rSM>X{ZMk@r?R>nvo9y`ZSvpL%rJk zvoUlmrnV+{W*w^)PuAI;S|AFs@kDcNPo!jTEfVphDX9~d4f3aU3c^$8w?e#0s9unk z4yR;Hue^I$`BqvKrh#`DFNPR4iLfDtNm9%^K;0R50Wq&AUds?W(ovI=Phi0dT}XJwouC%b!=N3Y z^`J7)S`bZN4WfBEIzl23|Bg4mHyN8p_!eo}+dtz;f)!^{xJ8=hg(Ogc#+$t8K1vs*|AuRMB5 zJEd8WE2Nsc;q?*)`2AJb6JSY8onmjEH$W!E(l=;g0s@3WO^=~v4niJZ9_AT92dYk@s zL$7gx@uaEO^rrbD^WQDoEH7B^wsqP@><>B4a}t-$b)UP){hVjJ=Lzp|-%{VF{s#g} z1HTRaJgYaGWd9=PRA?adUbr)SPx$RfL*$#0CnNvLjpr`RJ(&A)v?#hQn$F9~+mLr_ z{)*VQ;yne?f_Do4HtAI2?!*H{T}8dciQ>zPe_H%%Nma=uCC}n%)$r8rR9=EtQ$aiH zAcuM6+o>xO-A`am(+QzWk&Yg9H#X{uwNFX2AiyxrEOfZeFe_!sMKtuHSWX*Mo zy>(bBKGLAQ4ySPqBkzp7P&)F?X-8e@X{q$>_uhHW_VEk$y3)$`UVg6}w=-M;^YH-` zog6(rFj>)DH=x)XX!)dmpdl%1uNy!mzJ^5Lg~SV`i5C*6CQ(-2BiSQ%MlOr2)w(p% z+!A?mJXT#3Q9Lc*ws2Ygw32MCqSYvBowlH6W^VgHQ|=pDd$!w??a=8Q*&cVcT|4ro z+H&TvYRhM{R0E&gq-drtZ^%==W6;Sejb=E<=btn+(z@8{w5g0vo86<+I_#!`#^obd zy0d5)UkcE-qCRPK+Gys;0Cm~7IV=x_$ahQ~fSVnmEl0NlIz zC>fwp`8N7#W}hbZnJkdyTKnwuAm<^SDeH2HF~qXx6&QJILzW|AL?J{|pKREe6R zCP#Lsqf6aI8X6iLb#H;zcv8Tk+9&-+`tfv6(4tbbCcQLu^m)BmuToor9#z_C(J6|~YP@b_ zAG8^ELnT44b6_88$-k&NJ9yj+_%Ea7fnN7b-o9MUc#4W}E}h^doGd*5R+uXs7Z0UZH}I*#c8G6c%w ze;+^tdsdUcdtkK@+)cGTCPwAc(8m-N$ z>7>@Zf9xZbNu2|G!)(prEHX8bks>&gBKV&ULyF*krcz|-kYeH~xe`{}CzZl($E2dP zbD`>SX%b9%nN%{QUku~(@@Yy^DHoUR)sv9eP{fBTrH2P1&e*V2bY#G}aI$Jxnsj(z zvc7CsDoGEZBm{R7qB}`#O}@**?MoH292zP%YDuV#al3M0O}Ir-nPj!jm0Y>6_2Qpj zKX>8Hzq+J$)6(XkPOYePMxCW%{`UEcuUk_ydG!s;=I-pMvT6+)<+#n~u(%52!A19e ze8+b`e`L8kJSk{#1{|&|r#@a9Z@ThF`}aM0$&6TOOk>X>uo6_4uZJ!>FnfK6X>xW$ zL~>I7bW;6vx*#d117dReAS37FR6j|8>t!G#QO1!EoarSv)62l)vK=#@Pco$~9l>EK zHl*gdC?xo@(7WE?kOg8i9T~86sAXn7HNn6`T; z5YOldIMWlx(JFA(DwYlz#D`^jQm=Q0onbUCFf8ek<^wV5L`-@yCdFbJKiZKtcf`Sl zG~;8hcl!<~PAM~mHs0(Csy#7QfpGvD=TT7FOp6~GFn4HZ1?d6QAch^B*bYs*%z<*fo_Nc%Cfj%m&qLN6?|;Lee>cE=SO= z8{MS0WjP%In|8EZXAjbm_0ZTEWf9~RCkL6lw9bsYz?r-lr`nQ{7fYu)19=@aXOo<4 zEn0Ng>GW%crGmq`9ez4FWM=ZxllF`eVp4I^tVaisH8LrU&0<0p z=15tD_G?GcFY6i8`|olx?v_y$E9#Q8SjfLacf3O z;7m&3%=&;c>%-Df(mE_x94W1msxS-;M{;xPO6wn&3|K`Oq{4LFLKkeyP$_H>vp{yf z{K?qzmwS6pa*aYX)u~)s$FTugiMk%}@PN9`Ff0`w8K_%WN^43Fpem+TCljpY5OLRzHGOv^q4_! zGkP2b$CTx()|B7;r+XIn{^0ZtZI`WW3K&!?oY_uYtRyyX@Tsl)Ph8%Zoh@CMn}-h2 z>18dQ7GOnFN`6m!;z&ce6g6eU3(myLIJyL! z4O*5C@tSDIWO?(9wC9Yp=Zv)HjI`&B)Up}r9O@-WHzwYslYZFj(j1aRI`Ptrx=5h> z7}C6xIx#sGC9Nk+(u*d^bnaTGw0HaI1_`t3%XFsA4E3`^zBhPyQm2WRA0Q@-`m^Qv z8mE*F^aegv@I@w;r)SlhPY-Uy@qUoqss%b%#1{y=bR&m>{8Yttu3Vo#;?l`;nbHFV z&{@!JlTNN5`LV#NHv}3vBWncCNL%WLwB4kiO8a<&cfR)#uR<~c2WJ9j9FhcQ0%z%v zCwUCE-!OLKIOJxqb+UyZgmTv4A7+tg@i`3@O_I7rL-g*5mo{Yl@y|YT#wvY+r>rCg z*yyyE(_vUfd$g3I2o|(#4Mi8WbPdHgo)?@wFH1*8162@{JKh;F*n*w5vnyA0<+!;< ze+HAriFq=s(zA_-#p2Sx>8#9p)a}w}CCTGaKGC{zgV7?7b~H~kRP>niGmXs~2?WAU zt=TcUP8HCja)cxq0aW)kK_iuNvl$$`^*9F$k@oO0cU20YlmzCyayj8 zZY~PkKWCy~9HIbcq5x;pwi}{2LiE;7_pp@6Okq;#DbaPo!`4m>O&Xt^L=W=S;JAL$ zZBjcX8vV#gZ{c|BUZl(Fc304;$CUH1(74aOtG8$I{?sHe%SO z9aKd!l?XvmBWjOIbhA<-$ED~5g`s=S9N8;XQjMX|tx4!yA-^}`)XAfjiqW0za_6{= z^5|>{BirwTniK^$gv;`LdTEbZy3!a3#r&JCL8s~Lh*|&HO5GCV~Ciq8m4b8$3ql<|> z$52vILB(F-L*5D>GFI4-tAdKXf@ZOioZ3!9i8ZeB)0v$vlbDRCm@}j8tdvV3-C)x4 zg#v8kdF#eU9v3BsU)1Aq{~LkkDBj8#-K}KwQ+2V~9Wd7f;?bykbVGPXmMqIUXUOLZ zIdnyV&g^(7+b&JXuC6HeNmwU2Lw--#p_}c(R@<0e5trYsyJ%|5&269mZG1pJSdeS* z7KTQit6JUHTRQ*HeEBKtB(c;oX|d8?J$734fjRiWbgR^l9&Xy41Yze{AQ7Y$gY+&H1!h*rNFiOX+nk^W{H!Lq_ z77TQaV8uEU3j*sxHO-0Tc4k2U6~)f(9Nz|8ZBN*ps6J@B@tvE#`O3A8Z8yDh)AcW3 z*K{<#>^s}GeP?B1Z0WamY`=9yfqe5FpAW5Ea^FAizU9m#D;IzNZ$H@bW!X z+e~I@PBz^`XJaLmCiZ-~@X=^YXrA$~yTdeLvB_0T3}Pw`4b6RY0NLF%|B(S!04jFQ zdh^_IiZzjH!bU`SHo51A7u=|KM*MWTniP=Sljd&RJg4yJ)Fr(|cilE`eRH02WB=`2 zrj3@24?w7l*4wcB!X@)JRar(pEttKU?#bY}F+wiYq>((yG$qGYVz1GmZ8fy5HLR^Q zwCy!iTWX*!#|x={p|HVD1qZ}9@-hwqf-?bvGaGM*0Ml6|Htb%GZA(hYq<1>neKgYH z&CGmsUEX^d4ui~a6xI(L7ziv~lB7kC4xnI!mOM6)DN2XsxQ_sPU8YHKr9^>GKvq6d_jrMSjRFgECq&euz6G;O_HTD|2XByoy%?PRs z7kV(XGh-j!b=pqdZ;3>&?X(T1V8W`DZAQfsl@5cNl3^*H9Tt}v31E*uMyh_ouzWY_O{yI zS$P$m8@Fuetem>>8;cT4=1y~JRI;KmYK^6hy;G_eR0S#)ZrZYGVWo85vaiF16wdYK zhu}ih<`zVAY8F)1%$r(XS-)ue{EkZ&7hC-yr_t_nI^g}tie_h*&CIW!H?5*_`oisW zg=>ZVc@5(%m#-a<`;zD&pB*dM6GwmuGdAchW`r=77)Jv5xyFbtB^FueP`)WwZ_kMGnKE!^GO zzPkg9Cv1o!PQCQb`Hvo$S%2ZNJxWwa>hr%Yy%Ohe-IpyN*KkB?`AdLSP}kk6KisyO^h)zuRgh!yaCYvtoAi$9+2iTLa$ ztuor9lN<%PSrLa`CGC`?jfxJYG?ZsnbUDv%Vtmoe zWTHN(vSk(Kgc4a6)#%6a8O0JP3`dHxtjg$v8p$3Dhw_|SS&B-MOVPXXbFv~Xy&@IL zQnsRTMzeFGHc1_`*y-xcZc%>qd8xpvLtY#fC_0Prvy-YRMk^*791MK+jB2U@SZxX5 zOp}zsviuV=EG6@}{uGutiQEp;;X;mPs7#wD~Yb0&3Q2n$RmBDTqd04){ z?r3qsog0qkn?3%JTe%PJTe$z>;0?!Z{y>iF^A)*RV=l)Er$PA{{3c2APo|?`>&(#1 z(wT};@2xUnHmIWWLKU47s%&)mf>WS>CE@;!TZv>Mbmkya=xl(j;2+Rc5#uO8IkSjU zhGkvSW%vG+RN1QJsVAx=0uN_Z$&5+E5=Q)sxl(SfD*MBdw&`z~=Bh|(=A5ecw4JU# zx3B0GTbGlG6}@$(e8paY1?UQl5wp=NRe66pK2D3t zdVQstgDdJ-qg5w*sZDj!V?%5SC-dV&wo<9YnuQ(3WE`C;wguPn!x>LyMRkqRV9N>y zLYArD=$O5;qqu(80~_~y%IDQh?{6(P>9F6^24^l_SJi*@qS(FHHLjT%>RB*j>vW&V zgw2I%X+v{<^ST*xwzcIqS1p(v%#LR3Y<{ahI}puw7Ij^`=%lx}p|E-3%trLlE$E|H z)Y~zxrjui|kB&ApNQOvtW*C99wJ10nPBhG{qhAuV7ZY^GPtbl# z49kY3p12LwlOrlM4yn|~Vr{`@+nhSUA@yA9WTuXJFINAV-S}C__Xdyiaxq#E$LhSO znwEuD&t-09x&`oN4hi`NK%8=&ow4owgjQ@WwRR80TQ4iGRIa|EH__VM9LHgV8=9-p zI>SCc_TB~UEiDDBu3b{_u)At;GF+c*iZ||`Ro`9Xm)_s~_~p&^*p$L8n8q<;O*(Zg z+XUcam%*HXwhtUQlHzUSB&&Vq3|K{rs~ z?^2zn#_9;fN7(TX64O1-@QJpgzn%f7d-i|lqVmOC=f?1bP!&J!_tfMxij;=N9=su{9YGWN$&br6( z8Z{xMT;`=zqmd5IR-25Y5Q|6dQmViPXQbI!7Z0i|82jq9uMGxDb=Wc&7oecLOnotX zWR{tnQOg0tVGsp%N#n~e*jH!j5a!>Fq(Y5$Q8&To$j;};i!o8=$e!;2DwW$>z9UDD0lOi{~C3 zTD9x(%V#&ul8wTvG|~iJU9~^ic-dO$?yPc1w-?gA1-3hZdqN*F=}s!GZm8Z`tvKmu zcZMNVr!!JScbP?0y8My>v*OU1PmeYx?oG(_IQ%HJ234wzs)I9)W?>Wq=Vn|* zJ$pcPgGxT3l3r9vDpgkLTd_9ZhkdwbBwO?!W-)c{Y_*H>>PwT6WF<@gnK!W2^tKOGVvf? zcE_t(UuczE;{K6zPV=^ow272l^^xM%wE z`ds+^;*s_X7MHjKZmq@dFgvX#qu=MO-#ff_*Q1vYd|H#4tP zUx4-T)l~LrkByoNW+H+ai%dDQ!~kr?1I|n|wl<%p%O>F#&5KC~JzvT$P$%eVEE%xqIa$fJjVBY8^o~K&UTru%fShHq(*v2jbXAzZ`T;YU*dQ@Ybh8-? zvYOy;!89jkH|G6R$yZ_*pwYT>3WNDoVaxMa@2MTu=XJ2Oa4738vDxUJ{E}$P=CYR;SmZ)*JP{%8n_kwDy2AFZ}r*=|+OyMo`@0JZHeJ?Ok!z;zG04G}Y}Wo=r#nY#o$ycG%{W=FF~a!2W`+Bf!~s2Y>e%O={522TYo+ z4r$K(pvqdNRBE+UTVWg^(-TQEKyjru7}Qo4t7tzYtDv}~n|?)i*oI==lk$^B@O*2T zR;g`!!?f@Nx4W-a`NOo9N#U8V*S0NtJv={iL8gJNJ5ImKr@lnxsRT@_7tRyvKC#0j z*iI$zFCji?&%{~1rnWZ*Om6qW4+d!E)0969(CTYvzCKXf7GC!H0KOt~ir>H&Ahu`5 zXGruEJl4!N7K>|Oq&?n@XG|Qn)?kr>n`iWscAv+K)gatu!s1GirxeBYE}mw-Wk<@oVBg9r0Tr;wr{>=Rgo=H9xgAf$PeXJEx&Y5;p~uPv)e~U z*Y=jpF7>WmR^C$TUAVI258*UC~h9lG1q>EG)|QI7)J&C9*+|Oz)Xm zzpbl0KiN|isjsc{`{xu*?~CR4&YZhhfNA}O@k#(<`9xEY1oW#c#^-EX2xn}JUbm;)RD;+iWz^n zovjTu+6j)#%wd9^@5Jn!zPI|SH>N1pAs{f*FoJCWoBq)tbN4Xp;IanBzRw|n^ zsjv=2Bvd0nH?_n!Hc74^;%8T8!64P2n$VR zH7PN5>Llx$eTx!0gW2vd)9X5FS8+?La_Gxq%Z#`E7;jbNFtf#`hH9y>Tq;jGq`6pC zzR0F50JiQ0|B%X&g(1H3$MF+Axx|#wkFUOGfgu?16c-aJJU$dXxkhzCYgV&e3`2O1 zrBW93>aFAv1eU&6lMtXHsz(3^a=(Z@#f?e2^PljYS3X8g+@KD#(`*lp{SnkQIS_Jjzt<0Hn=f#7VkFsqxBDC+Q9S-QemSXv*szajZ^I@>lQhq0 zt8Xr@Z7rTNZnV+;bUiQD@^zV$C|vr^c!sDAXTSFr9BQX#{K!Ci+YDBlW#HWEggVg` z{<$KioBneQ`QuIIGusie{D6?HafL8b_Ab zlWo(^xxTfwr?Jdd+|fQeZ^`+sq46%1qjg`H3BDWyf4>oGs5k2Nbj=Tx&L}8voaDq5 zF-Od%==BOR%zE9*d!2TDW@7zf&t~RR-c{)7j0RBWL5`8`&-fK7x|W)FPfGgacxH-a zbEcuVZIVB)Rdg48cIYb6HJLNkOb-Qz_%v(8G&^2~_6Z98PyKPO_`iCh9T)Vs=l%~9 z?K#4P5c}vnOZ@@wK#KH~{&^h5wCywW(w6zVV(Q?KmLwy7pjTg=W zYB4i^{6t^EiGCYB(U-@4F%vfkeEpas3#W^42q^DN+4;jATfe`hx^Cw~JHcxn4%Tm) z-@36e5^UHszhzTnSo+yFdaGJ(^S{zt_1`ne% zgR^b9RngIU;iFRh0b?4k7#Q^zPO2|-&$;}O-A$LSndU5U5y3mU>idbLpz*-+NSqLq(Je(1J$>0&O;06fY0ZvKZiJ9V%k0IvJ-bVtd2R zvxI88zwA-b(QU%7W&m*^}ryFRm=R_Df!s(IY~#(y79_s8x7Y z?Ddf4`yt~>e)4x@+hi$b&CF)tOjzJteJP-8Z!6t*I5O8p z>2WC?+9ZG(F+ZtKw8gCMaI2fTrPx%)mOgwSv)#u~f@L%eoXrW5(X11PF5T??n~euo zOpScMtkLUq-t0WLzijf9=mc$K(@y@3DRtTANM5!{rAW#uPmW!$*Xvv*b81FXV!d-Y#Hx93%y?LaN*rUZ6^T0TI1)TLJI5QKBqZ^8;qMN+t;?vQVZ1ZVv zOF7*YY1xUv=~Hy#ugrK_Pn~2=Y*c-E0C~Mhule+Vw?#_};9VkqOpplJPKk-1-z>L( z(NiV6C;GG4eu?gqlVs0c z`m7V18UeBH9amsxG?MyE0ShfL(REGBOkCRv&-J*=nqQv4<$kd%htJxP?e=EdHFIxf zizcm$y8*qWEoJrln&7*J55u7!U#jlunm29zHLK)Yu^Juu`}~!&^1Hj_-6Do+8g5Z2 z`yj<4$xJovQM{6fS)N`I2(dc^`5`HXgB*#uDIgwpCmCE^C>6_6N5=NrA--e{GSpx_ zW|!hNsX#5|79e7Ju9TN6MJU7@OnDJ0%woe*URa7-rSl_Fgt}ezc6Uo83`>I02T46l zQzQ&CLql}C3;t2kggPPxtr26O)yT~@JBP+5l_YxEnkzwXYV;=9c|F^^vU?1P;87Bh zY-;vJMtsqD9n7FNBw#ksHFOTtj$i&Af1g73I=%eJ8drYyNwOl3o>G|u1vxndeq3UB zL8Yd*P`%kvyv8`HQa+P$0uuD**tN=CD!sv^{rm^?8iz_}F(^w+4!r`eiHr~ZNWf&0 zf3JrVSJoM6zf2xGqrM#d(j=Ahewhv9Ivqm5ks0m~)=D*$=i|OYM2dx_SV)THNU>}w zo+TBiq(ViSGF6&7MVeYHO)HXYVO;gWkEAna6XiJaLPQuf*f2k`Xv*2)zLiE>XSA|> zRO}75`L?aLOKd7z(&1^bRkr50PPw5-Dxzsc)aKiqo|g4Rdy3>H#CqrGX?MH=$tQYG zHZ+`q)OpXqKbyHk!>`fsMKO|bUq5?BtJM~=(XUdO`1d5)7cD4~6!s+!d`YaRx=5Cx zsw)09=&@JOUA>8w^qT^wZ~)EkBH?aD<}!*B*G{;NA{HsFUmZ$ziVkueg`>(S}Br-8Rwbt66zl63}XKp{qEJ2aq_+{-nf z9HO>E>v6dUE-z}+rj|&!z4XyBz$%}FH(!|bqQjI$c_cj@DU*;)Xqjn5s*Ot3M#&ha z8%NsDMq_zdVJp4m(keVZ)HcyuPwXIw-FQMr(tr4%Z&pmqjt*dpAZp7z?5G~Mew4E> z_)fU)F@DcOQfBF#@lZ|_mtKDTn#$MLI6sZ*iJcipHQF9g^mQDJrAOI=X_|HLSz;Lwb4Q zzDp32m<%D*1f{~DBA&dRfEMvvO;YLm+%)u|i?Wx3mD!KELod89;s)oD~? z7IaWc(SuFhYxtGZ1nJ{?Vna(k^gdgR-9auzo+^E#Ue@R1ev5qA-(tmFhz%8%)9WyIY#8aKLIx(}BkHO+XPOq&(SwRk*!o4hHKkJlQt8jHssw)ngO+sMs$ z=MO*mWElIW^p<)BJa~m9pSJO+IzKqq){KsM`vsiu98>2fSr~l~c>9Hn^=3O4C6@6? zIK{o@%!DdEsip7YXF0TXN#~Ac1*2}AMei>Ng>a&x_Z5ah1%AD>TkKGj$4m~BT4S=C zKC6o)f<|L7fnPE58;$;A+6R+H-;s8bck!zf+4T9+MsF6ey?hGKcG#E%_Ss$zoT7t- z&MI1NnrfWF8c91f7O(vpwb|)++Pwxzb%oKF7x3qKjn{{&N{an2XbsqR!bC{U1HrIO zqp^jt(`*_2jdY#zEqFmnNiF@>p*+{)@_d}<6M5fJLnu+Ewvy6QcnqvgUViTxJj_uV znY7}rO@PKv6jVkmbXWav9`HIUnQ#G>OhK4RMq~EcuU4BKeg}KtgC=jD--icQ!r>w+ zouY7VG3CW0b6FF9p)+W4AyaDK5%*lab^zOIulv~idMpDS1 z5toZk9E0`L=oQR$c)-MuXjki#2-1gRJw4$RWlL%Ov=U02XP1^Vftb!HyQQ5tqYmPK zQ^Iv-HtNc#%xqB)TZjC=&=_?rR9RZ=dqIm^f$TRDoR#U<;=Vbr+q+Wc4fQ$5r;}6_o~d!95-%AsMH^uagkDMcA7Q& z%vL?V$YrMWH%Uk25_vkYk}$3R2+5>0{onb+7G$J zVIN)Lz@PLzmei(h$RT9|Yz zbb3|jMpt1*RB?xlSCEEM=ptLh1k*I^YoH>NH26&bhj;weXNf`wcIG!oq`$1}UAasx zS+f0(fYYQ@ch+Ww>N+bWyu0o3X4z!*s^>?0UVUwJ>Ca7eqZ+Sws@MJM*Kcp%{?_X+ zt;a8lU~uvaP1C2&?YIHuH_vz6Sa!|bozgWQD&N%9S z&Kh`@szT{$+F=H(|G5FHD)21VhY1=%-@2p5h)pW&h6LM72CbGcV)8hQMu*2F5e+Ui zSS|P5L;2|Eksu}tmnK1{6TFvc4`-d3rm=dd+?I}>kd94w4%>5n>$94(&*8T{H{jP; zpB>Opy=2e9j{nB@xMz2}&{DT_%;@l%#w_r>7`6A@!}*xW>oA^i1+mqS*u7Sb`eatv z?zQ2lB8VRzm&l#+?aC7M)v(hwwC|FZoPtoi)T_1HGzMeTh#`n;3sfp!Ou&%LT+zdA zVT~ppcY3H*sc~vG^gW!K8vOiCO?9=`tKesFDm+@HrrHBN)M}Mhi|qAgn_kIM!X+hP zCF^BVj@K($KK|Gu;o^enWfA|1DckFnEgviQYohT2hyJ$FGgceaUUQq?Q4o)6&fBDo z#^VnCt&-Y?ztPWbMSLu(-9+29Nv=`8j^7>5B~jY0BStl@ki&*ik7MvCLP-SaXslq@ z495qE!k6UiUNraFdg0fSZBg8?^cYniC~qo8Pb82Xp}+ou|GSev&lkw^*;Mj2r`H4r zEZ&ZeD{GGjFpwC@xvt~?d)kBmfS*Rq5oSYxbuZe{P4U4=?u#@xl_8*k`| zJ?yPsIy1kmsUZ+)SkaJNQJ*c{({?k;trA0ERSVDz=C*-~bgR83tsxV2Rc zgLs4*#K{!U7zSuem55=0#xU1ATtkebA6lsmrQO*om5F*7pqH#C#=J{ya2fumxJkdO zfXx&I%;l89^i{`#VcC}q?6mOW2j~MYpKJ-osEq>E0~F-1#2IZXTin# z@?okFXBFhc;mmtynH#VtGB;pPuB3s#bzj?^mU8db3;uX%c~prbXS&kY-y-mv8Xj1ZR=Voubk9a=3mtnpEa#6RCV#l_e$Dl zOpk=>XSNpgZ#s{zx1nv%vUh_Ml9AbQ{}+xN^Tm-PT(KvT`BZ<3r3r_L^km*eeUmQQ zy)OELQdqHL13|*vg&Rh1Q8NI}PJqCfgA)7$y55AB46lCSchU^>wc{jF=%HOwj}oPZ z`39LV-x)%9lb)#z_09tr2!5^{*BT9Y<(R%hD_%K1`)(69wlEvC`x2ScVCr^(4lL;`l4J6Nh{_IA3nU1wz1lhd_Z47(s=S;w`|S+Mq2}wQdt-LZiTstrc{dm^xL< z((sX?N@G&DADc2wYlZrwKl*PQQnikvRT?DO^PX!vVXGlNzSq7-_Iu9zp7TEM`#$$9 z+Fz^T>@!>1cfHUbh^#)mp=Vb=JPYCcm48ozBXc?R(=td2v^F?e+^Flz#lD zSNoD{51f3RR-IoVZSk5^V`^m%J_^ZXgeB`)vW_LfEE!_S+Hws~6AuV`2q6BRM5ksw_ZROnd&yvdCNOR?Wk8Fr=QHLl(`QWmH_aCdhNPH=)-kis>1=*l_w^gSQn>F)cp_h2xpCUY;D>s@o#sAN7X zFHRxtNid~j7>acimVJ8;d$z9vt2MSH2B`-2(`Bh;7^*X2Nu)j%HE~PmYSibaUx6pc zIXgUrk^Gm2cp;Rd>cB7eWHLnJ*M(6$=_FY>@btBhP@UD>Qa#8KcrXL8%d|cY12!%a z7Ax<@DW281sW=FD+hW+3%zSP5!Z_TKTRHUZsmQQRRq#h8)Kvt(#`7U&vqkeEzxNmQ zBkqJk7XjcHjt3s)T-AnX>CR{3dIj9u8pWVL%S zLWS;hSs>#W?5>_K8+%XMxb`DBQe@JZdsI>*1UKM>9KJA6wkkANrgMFt@iqeg?}>UpP1;7`EHkvtxdH-QrozYN0_g=^!uS zsd!^>HX9IagZzro)`p3_k{0x?qDBOF1c*;8a#$s+{ zpE;gLKf9WgaFgjM(tUz$=YX|B)Nkigu>pqry7*d49XFQsU2T6`(Sh07&!lmDYoFwR zVA*BzFQ&p0jMq~+BW3$ZJv`FxlBvYsh6~I$29aFMH)h#lDuil^z8fcr&zXf6IZ+9~?&*W~s*E+Qm?)TAeDnijB@#Yn5bbAy| zI^6P?b=PxB?RpZNr@ona>3yv9j3EtCyYFaVmHo87oXOzAL(PdejEmN6u~Lf9Rp7h_ zzJ9{)>j>8ywk>Liupki@sW9X!r%RpCTYX{9yZW zu5PgzAw!>SUWsH zNhNld@z<|kdjwAA;jK#QNrS$cH(2yx`mI9>cPy+GE-h~?z~5rx?b1M*Xq7@r z7Q^vT09*7~nn4Y)D*H$EuUk7ykJ|#%hnxI^0Mu)dt~uirudLvEu^R7-Z$@lwK3Ap* z-X}{r2X-L>Htd;Sf3or2K7RAJEw``>B7*zKY!O(YV1idECF1kgZf;+*g}l|682Dpw zGa7!fo*_~*5d2j?VYRx(#Wm8}MWvB6lC0nA2-R$TB8Fpoijs+x>o*Si7ZLlBk3Hbe z$%$1WqmCN4%SdqMilV@{FVcJV90qBhm$9f~;%#LneR@e?Us>P9hbPkwo#Cy&IyP-$ zKl4GHd=CFIS=}qG9*P5vzK<0}KA;3vvcX`86}hs{$DG>x%CGwQ71hNz?}wd!r>#W# z#h<}y!>S1=11bn!)$o_L9vxT*hQT4Fx^d%V9tV952iwB@Q1l~yc;m!e(rn2RMwW5; zqidwp9cb}*x96zkKF50czlj$x*hZStY3BR4v&T2w>+9YHEQdZd40rZitV(sMfvF?v(U9T9wVaYk@OP znwhRV$Hyo^7LnfJL;Z?lN7T4-uD;rIn#tV^qS2ItwJ-5x? zO$lUueovk+!y02b!vy?Bw1h0l8Y%EFgCCOC=ymzX4-r?=(sH9<3fmhZs~~==R1dso znm2fr7bxS=XxfLT z!f2kVFo=HZYUbO4gq*BI&-c?l|JbP6YBcoN55POLkSmWgUlS9`0$bVDUTf(rrdzH) zUHsaT&0}i&mC5ky=%lRbkPu9`l5!)7MD;cm$l}B3BRc{M+^R`jPi?1%-I+({3dI?c zb-nI{Gp=`-d~*N|*RzmSDYB>fwn1})Eaii4CL4yDSlO+h^mWM7?`qL{O>01enKNa+ zd0pU6VKF72bACT0l~ZG<@ikdtPa(}*#wX&ibZG?RMfCOrZ3 zth4>JI|^}`Z*O-mG{CZu7yPvjD2qn27igV{5b<3}6?U+_Gr2QA&8QbFJ+NF$@=366 zgYNv{pr@VJ(s9Yy4OLC8zV)5eYaD{A45%{aQUmyzFp>6x@T8>os7Exp%Iz?p*)V;< z#urN_$F&(ef_PJ0LuloXB z&eVH&xraf4*=?I2D%7SzU>D*Y-fR~R13g53#!o%}{h}5NYFFbF=V!33ta&6yR4u&k zRjhn-U$=!v`Di5xoBSI--g8~vg8TlzqopC7`2U2K{+|%_CI62^eF#wcpZxp}|Ay4( z`lsptfYj#!{TosL55fK)qz7{eQjw zZ{j|LJpYH7&&l%_qW&LZ{$Hu{e+Kwh>@+zS;D11w){Y;w31r6*zwruA0aNyF2oNDs zsFqOH?28sWK~;w!ln{)@!uhWACvYdVf+G6H)H@?*46i@k`KWg_Fa(eE^O09@jbticzxRy`RaJ2{K&qZaEsnMnmtXS?L0~1$CBO0Cuh% zVKC>eJKPRswubTz?2g~-O@a!?FXC?7$*e~@tR0QdM;i{7ENadX5 zz3lV+xDDw23o2grIS zZG73iIY12qrSdQ)|KlU!<^@m3GSwbk6~&Rxa+^u)-f7|a$u%)N66S+% z#38moU-;kNLAo$qp%9k$dO$Y(^Xo^1Tm7@|J?L>zO2bw0205_rX=kBCT%p;ZEF3T` z;zKcaXt1b+Wi0%m^|mSa}`5%C_IAHY5SEaT7%Uo`wb}Y5T|IwiZGCa)yc))hN4mIB6BE)x=<_y zr84!uq0kIA!_3tPrKKPWHp4Jz#JCojMO0!Ei^7-_8N=#j6HCHK5*foW_>3_VoJuPe zjIkq&>2!3 zV~`|569y}Va*z}rr8GhjMwkdS0w$YS21bntC@2(@QWK#K13*zOq8=2A)yo_tjgW`& zn&L`iKWGx^E2aobkPL!2f(C*#0v6_UGlro^2JRLUMJLV{GKCQS78k{DnttKn+Yh0N zLAN0ozW7^)6hc^Aq7*_HTag&P*jwHdYuH=b6l<7U))c=P`*nkE>o9z=wqiuuY5LPe z9^ZumgWR-37lPbWLq7(&X@ssLpYv1bU~P$0=wNI$i3qUu+XlHQgqjAsNrcXbJZgsO zBA(Y{C?cNcV<;k=H)F&g+RKFMBApjwbYX1eW5ghwS7Qj{Z&6T?;%o(Bpd)ZF_S;jO z;BTd3NCtx_#neMFDMUn8==wWE9_2!_k?hq&vk}e>DSW8=1w~d^`q6`%Wkbmj?29ng z@wZ|zToLTsFq#nU%P`ilx7tMB;B4`WtWfrki>y%hM~SSE_csMM>x3Q#HLHc%BHF(V zwG3+34ArNo#M+XfsKnTEpkT!|=)!mi`oYv+AcBHeBN-|i^n<0JmBIz7Ml>`c_y=o0 zfT9tpMm%&}#0twG4}&;JovojbVjihRA~XjBAF)O=R8^!L#~>JEG?)O#;BBZ6#eL8| zZmwjgu?RD6u2v|G2s55R9EP@t6H?9lP&koSLHig6ofwxvX4nRm7>+^zQC;Jht(v4s zHz6vF+Ui^(DsOT+AP{trF_DOnydJHvzb+D`MEIRo3mxTq|MWw$<51;0o^0hyO&17~Bl$6Tn$J!6Tt!9H!&9IZ%A zfh8l2mnS$gsyReL0af1=8$v&y3&|WumNK^W{^v4ctS6gF=;#qQ(_ua#E~q{H!7-Y ze;+@CFL3NgdLKE{1$s)DDcZb6TPVJg2Kfl>lL=h8Dv&wehZcDciIUmz^?_{#j=dF5 z&xc%jJNm%Z3cfoUzk5Y}cfSgsPm=L=41ndce!(l)o((PVc6Ta1V+Jxr0!`mRlHB(Gcy9CDj8Wn;}q>YGG+`j z6zuP5zTeTKKLyN4E5w}DhwwgSNDB3X-zm_61iQ@>_XH+HZyfo)f$58=Hh(a#IDy`@ z5pef1^n-;IZXE6ji?SW~#=vx&>TcfP!S-Y_`__=6)p2l0#>v(dtb(hqy~ z>V#!W)J%oIv1dl-D44wH^bDryY;ruqX3L2R!Z}~KuvS>OtUm;4kAk%_(Ck`2@3p6e zeCE|20Lv(Rv}+YO+8^l9#dEpx|K+%Z@4_r;##SWT7Y!vMst->~Y_9l238^(`#zw~r zpRFljCc4@XN`rNGpviIRn>kYvO9sGkslRM(D8hE5Ug&6FlI76N*wTICAHvLTuDu2N z;H|x(^(KMEe9I;QpWa@O;V*y9K8}_gysUyRDk`AY$cQCzAw;0@-MH(`RM}WH{OA^# z55&=nu%(EDDE_5=zdRYmp=m5HNGgbi^Uyjs*j2%Wc z5=k4CmFA=}#qJVUiZw@05@ZhZ9r=$GQZp3dg*G$Al|jdd&T zIC&kw+jp(C;l96~>Rq|#XXqBJU1*o!rLb^BKFUra0u5uC7*V*w{X`jAMbq_wo z8TpNMEr|38a~6}`e~!7Kzp+<{S{Wiotc{)qXi-Q7w2?wd_-}`Hk%2zf|3RELv$Mkl z`8`D9x1I2u*gcIkk6x>6+brmB(^O_d3BUGvwF0|>`Z$?-*oyoJyP=SHCv+QoPPsrb z*(AFDdIjl8aQ0ez))~Xu8{WPN3J42?2EqWL)+JgFmDZg<^Hy4-I%=codlNYO%~;2yb`?#>I9Z~ zmJ^n6b4NWxy_wA@=-L|V?a6PG5_4CR-+;goqdX%55@C0vJgx%LqxFUHdtA7SNP;aHY8@DcKS)x zHA3~!N8}NH82>&J|GS-IfA^4OSjW-5SG(k$4eh*>4$ZuiAJ#C;1XeIowROmf`^FQf zE#vv6Yo?J?6M~p16iQmV!LFU)$DtC+iP+10l^QK9?J$Q%ns=pN(#b1ie2n(obNZDmD0h^~a#mzw#0a?ueCYxve`hzsA*^kW{)!&cIu%z{^uMgQg?{Muwz z>rMQ?ro)gk8DIWr7-b7hn3P&?hbw(`}=NUyc0(`V)#3d?U1$KTEfX9(FafI(j+m zJdC9uxgBOTkvd%YD>bN5|95&&`|$UEIyvy_ubJUm{b{-l^e`vkoM4ds;od^+L+!#| z`nlwwrXr6)Yx?8m5Sqad`M=f!yhopfZg`9O9(4@n@h$Fq#IaWdWQOq=7r+*$_v2@Dg*lW>vr^Z`1XHhCfr-(Gt&*lZZiKS zKcK%blr2;hY!!4BOcfLxY!|!_eEX|*gm$P$JU7x?)U!8d%x5TeM7KO=OdIAK3>(rL zh#U4B92+=ivKvYp_}$VQ|42ODqTPYrh#Sy)I3TnY^f$24Fp_@%%r<_W{*dz-;Lu=& zp?y#u5t`wfiEkK_O>MgejrkAJ!!u|Kh&E$jm9 z0`vmRIt&`r0@O#?zHZg-q;A~qoo-_zz4_RBG7GY5{AL^im|svSP;LI&-3of}b{y3t zKVDnGHA1EP%XdrY!B&&1!WUX7xTc7s#$F%z^X1?bQ@}oN+uZv;U9|pQ zU_0}DyhqSZmS-N@ANaG^@WJzt{~l}VtkQTHfd@etzV@`x#GA(tF$^N3V|eEnc;HOq^P3KDL3m9?zU9CxxmWrDa1WRVc-hL_={tF3N~& zQKWIpR`9A&DzwmAZAdE&``)a0rhR)NW{e0VIXV3D~g#XqU(B54prQ`whk z?|mXv616Y*$#cy+9P61Sd5xoCrlLBf8l_=zJoMpY!GLZrwcM7rN&l4(nWTkk=}FM; z0a_v5;JQ%U4TkIkbW6k|hP6HVR~h!07GnFGSTcR9=b$&V!UOBPf*R+up2OeOM}vnD z5EH0=(raG$IAlcD-aaR~ka-OT8%K*BASupXK=HmK6+?GV%?K)T$ML0vd=2eMjpZ4> zv$ZKX%r?xB98o9~-g*5>V0Px`$Da#7H7*E5zE^K2AP7ok(RI;uC5*lAqBz}hOBN{l z%@syjcXsOwQ(@1f5#IG2Lgq|qA1k_@9c4@WEN4zWT7bq(?i;SgCsWxo(ZA$al>Dhb z=bkEzLUQoAgc;g2(_6g5;b`I<{V9UA%j&W)ig>Hq>KXC`~Iuhm%ZIlLvV zo#`Kace%8@J7I2ZZewAUs;&D`OGR*0SC!ZC+m$))j&T9aoqG$f1|isd?ZZc}$Z-n} zVpNYzwLCQj_3XV__e0N>uaQ}?jD5?Kv9f(@I$~D};roa!n5w=$S+VKKhvL)jyiY?d zj{zAzSu?_MDz&Yqv4kzWCwx`>PObBYq)B)Ut|DZ`*Dfuhrl~f@nn^t{Ca(lY6YUlT zs>+>1@=0B_i+v79He0hG731dpX2s|8C`1m9L!mtg=0Qlt?h7$e8cA5#4r;6Qm|ynC zuIedhIx1bsXXn>6J|14VwW_}<(RU8!=AmFI8|`2WvZVdkCh4GnrpskP<1HvO= zDs3tS7XPYWVB>Zh9y^Xd@@xKct?MH%>FYNrJ8qVTB0_Ae_ zYh1p4s*2&@sD88QifpVjDO>wqIf{~xW&xIcxLdykNIh6S#@tJj!F>JtOM%oSLC7@q z_R?FMq9vp-1BW3RN}dpl0eir+z1k|XsgmwuwUtwddvA2`zDd|o!yuD$;idGZKHA8G9|;MIKa6JnBf)g z@{DFtUD24)TRbvg_p?V=GZhqv*5NLl-TC3$%$VFOz8(SX`Mf6m%5hGP16C-xZ20r# z7h3p@i>Af3kTFj@-n7qg#pM@H|Gw)ljkpR=dcrqTM}iE zI@$cXLr?kn6X-XJ0H&>!4vu)A-A3z^W!ui3m9F!?vCXF1Wg0H)BLmD43{}I^!(n0x z3+1dHUPeMw8=ZfpyuY zj~bSe9@2yE6j?eGGa~&nD^m(0cgHv@i3#G!PFE`1Jxmk5nl zXsdM;GZ4{sbpB{oSv5+0aOp01#pmcK;ne>VykF9@UlFo*HGku=s^WySv)eXAgy&)$vtZCjWlJ59zwu6{Vp*y0dqF zNfgq9Q#{2)ow zyX8jPFP-oEVb@+Q*_Jvmb13FHbDvE09H*=JT`-6whIp%`j2-td-ok@?t;T0*^MoKwM;P~OEM9~7v3SQ<&Rb|0Q6HzNJi0ES)v#muC{QWr zqKHL~JIL&5+myqQ`sZUd9wYuFtVA& zdLSspe9zdaEOnt4AA3~Mk83GM5$IaYXLO}DbIVAiJFv~et#ep`CS8L?_4;P| zkR1A!^T31Xq3xe&a)Li-^8D97i%tK5Cil7GagtK=LGYh^|FL*fQgNvzTqkbX8a3|q zX?-kh;6lkn4&BHpQKKg^cu0Icmf{IX#^V$5m-S)841_JCRHc0qbNS{AAGY{=&y{Mv z`ysDLV<8O!GhwkYa<5KC!`PmUNNOw(Et%FSD+KW6dy38v#D9J-u@VHY2_S1@4*NN3 ziAsD@`bMoHfz-_>Ws$!SaEjXuA(P|Rr~Ojm{N6^=nXSXkpM*C|;pkz=W&+e%3nj2^ zp^t2J0&|DY+lLQYfHTweT$_WY&OO`lRq*MAT6lH%9;SXvW}xfk#8+-2Q;9@)xA3+i z8;&!3xT%}|h8%a;;k1ib*svjS53YY*ND_SwbS25u>yW3qc=HoVHZml=J$3e{6B4r% z4hmU}XHLX!FHIAN?(S2eT(JQqc4nc*PTxG(NmWEt%9P^O83|eiNVwU7Qa$FU2lMNh zOQ>JtZ~NYNbuAGh<(g7{G5*T3Me3r}G1GqKr=`kHuQF=EQ zYq9IgvPA)a46=2AoOI5b{?dRnzQO^DIR^*JDan<`A1ryqVp0S8#6$?HJsP@ai0ByR zEEQMj_wH)T{Gd~h>?YS(*01(LuBYToS}~)>^IsSC$}bI=(YGv0R_%3fPuZp=(VzNs zX6IM818J=Ke(Adouz#`xnxLynJO_}dq=!h zOjQnz(c1{KS@JI4X_Q#1)ge4_(#`5dxA4*L{M|SduhjO39cH#U!HXICLyF^zj{d;2 zs1a*w)jNwv$5~&tYD|Lu98y$>k6iwMRR1fr*K%JaF5 zDQT6B&IvKkZ8)s_SfAgVbB$WhjUOMx#x83gl;wuU(b_If^sJZhgtE0Mo#?dx_2se7 zHlya_&+NDhUEjl~rgAc2!?GbZkHg@m!Yz3px!gN93~|}^!9beu-M8;+RHrU{ysJmp zEYV*nNqZ%+ofEEe-F2FJ6@UB4-XL4+ZiT|3RI5gucV4(VO!I}XKwLaH$wAuwGmuBd z#D1W_I7voHKfIirE~X^WTwOWq*&>efrd*=4U#lTUDl^_Jj01wiR&Ez`m1u?hAJ{8G z_hC)(GNoBQYc&mL3&(2KYupN!Ma*=o1@O^uO|((^ucNYqVz%Fv(f73yxQ`bS;#}U+ zs%aM_feLEo+<6S=h$@AiMEL5t6^w@Ub9iMlOV)+uOEjizuGCX}@o&&{78cb~9fxlT z3uN5WaC+{Z6P8v_8$Yy_UY6nJ303|uir0*&FnO~T^+BUtS@yj6ip>{icub6r9kWc_ zf@VfyP`Eds8251k^QTE9Ok&J5=Zu7Lrtmks8v=$#ywMp&JlTA8M%IaR9^(%V_blHF zWJ*d(f8JcAnRJ?1sKz~(a;erIw|@VzZ{Ig(9vdl}ho~Pz+BTx0Ab*dc9LBwq= zAt8-u%x%s=R92i1LYD_lc`+_|p|M2S_xI!BCMPqf&QqJ_GFjYTAJ+jJ_S9^CPnBxd zsO)_hJF?EOnErCbSW)NEbFsC?#Ls!c_n!a#@qtBpgN;f1aQ;-PTtw-?%wfuR3yt+e z9o-eRExBK`hvkULK|jT89h?+4;-gjUTT~aXFUu^aB;cnc*h+!13`Vpq*^N^*rHsQH z3?wAabPasBR2I%>{E~XkL?&u%BuPS-{3JsBoOdfjn|T%c5lvffm&bvO#E%|Z?1{;4 z9}WVPq`iG566)5Ll%aWZZD`CsGjfZ43Ql~6;c7X}*lEigbZ&8g)MN4Pe3Yh{P@azs> zf=8=&S)zt0OA;K?2e&0`X$|icWeA zXX&%88?Mp4UepO`goqn4(cR%2=w@8iZbndDlE_BUBNAP_!ZP79Zp%BsRN_zOdGTJ{ ztJwn!WEnz$WAo@K^hgI9Cee7n;3UF?3h?s;uW}<2%4o)_};B#((K)OUnGE zVN)-qs-uxwrz-B)M0Pi_yWZMU;P(k3E^?_CZN!8KKAgTS75CDCFH zrCJDlY=@nyn5UZ&R|W^X8z7WiJpmSXZi00j@ljIL+TQd1_VNccdo2e^p+!lYZ{Osv z3}^ydeDxPOcho9a7(RQfEW+d8=+?29RiEA)JKo$iaIh|gT)QqDRaS_^h@)rid;wQ| zm%|`&(K-y6_onhrCtDG2tCRp(jB7R!h15CkmwC56Hh98Mxmp(Xwysv6yt}m_1H`Qv z&S%E!YO1zYeh4ef6I?aWeaUJ%Pu@gyF0c4 zpyrWz(q8K|Ck{V-axqG-ZJcC;=fa-&ta7l>^Z-wEDX*C)5r9g@RAQe7-j%~Sjfo%gze$iUah1E#Omzj+Bsoy=ti;B6blZYl>#nq8-%dyAf zKh=+XR3BjJ+hYRA@}{~5SF|@GW=EJSjM-RSmHix5waAn>lp1y^#Ba;XnkKxqXxm{R z5wLFO*K8WJD9Cb*Y0{TZXyO~sSD8)TZ>DcpD~@5a$eu51pphtQ>GIGu&1ao2R(W$$ zzb}^F=n2L}t{ulysmGSpQ08I4 zrtGEZ1jUP%!woW3rk^D2S_|HmB?3DP(w?LHrc^51`SbL{+wONR3J2KQ_NEEZkz*Je zPe7Mg+4o-JMc`hlN=h;^vUyZ{-1!+HFYm3lTC@psmKGi~W)7g;F6{iI@9)=8rw>NU zbkUN5E%;q;`Wg1yLwTfdb^X-IvQsIhlxu3de8z@myQK%e4HVF0W7F=AaxyDdH|9$& zdDYlw^{R(e@h|4)D@U_V42?yKPzZ(S;99rLrhoV$$X!&vZXT1a3Muu!cXuDaU+vHz z@9BI~W?JfL;iKQmRN*#Uz_M7U9Y1G6OiX;lnwm)lY<9B{^;vl|K0a-&+rEBi0-&q$ zC5`KRT?|4cBA07c8)U3ma_8AqiJ*1T2=}m|0qWpZ3`4S3D!JNmp-JrlYzQvs?)x{R6Pxg zgpff`D2V(AMlPn4mH5nn{HO3Z1xks7g~-`H!Y__o)7-GMEa0yQvJ$Ysm8QH~Z6*TaX zR}EJ7g8KWGGQ6Hwk@OYnePf-K_EQshiU9rPY1(gAm#uFQqP5fAAfYU1-EKouX@tz}%`Fu=gJN!##Y%v}{Z z)dJ;TAIwYFSsM(TuiiLzU|2o9_KCU2!~6E6=#3#PAV*U;mHM$a0R7>dN7I#Ka3u&vNRb!L}@{YI+n4%szZ<&z$?NpE*NYQ0?NfRAJU_ z#<#{*E|D-BPpBAkxF>y`(Eh4TWS4~<$SwgJu(*}s9+Q};j*^;~C<5zD`T9T%rCu4< zk@EG})Zp?XproM!%hJPTJ7NBoO#2L_8zu3M+y`A#s$4w=2-L5sJWn^}QJvpQ2JSY> zfylUpGfn!_>bKxdLaGIOf@AI}e=)i2NpU$#N(PS0*Qr}8^<0)=uZAfw?4_p)wP)?+ zbmH}-Jfp$isN2V(75PN-SDIJGOgVGN z!}ONU$hOW)S8<2|JU#izQDeyMB^YF2=qn1)fK)cut-!m5S6)kcT(4VC&WAqqcy&=U znahZ1=9#g!5~ZdxQGV~r4bGtQYGpTQO{EuI4pKOME)bjmc5M^WMIwr>Pv7g(MqWlD zQvI5|3lLi9gl3XRkh{RCGgMY>Of*iZwO0PDF~TlQ$j2bcB(Icjr6KcGBJgXsRV@_P z9-@ijD`pbZSP`;U$``2d6rWFM``;&gn>39kXkEY%JtlI55w4}(ri;;%I`rT+?JcGb zW|7ws=#gl|)0;n$ior+km^G9Tn!rS6ao{#HSDq2U_C!j+Z}lQcq>LDcJ-;nz=dhmn z4yb(CT$&D-7hZkE+P0w4;$gF0su@#falP_+uE7S(W=YoE-f|zGN8BU7p&jM8c}8aEnhfztBC#GRb}!h*3V%%j_DxGQo_scVqtFIu zD`*gGvQ4#V#i@}`xgT31;Yrdq4x4V%B3lA3r)eIMl;L=?l)7>h;=<)UY%wG)Mkhbr zSeLXp=}9=bjL+7bZWUZ~v#K}2&v)<`tZuK=^|iC+#dsKyT@SD5jgdU;Pjurv+66fG z=SsoDarvT|kfd;U=wdDt+T{a@Oz%H2T9U33N?9=$@x#um@yy>n`qmRT>+ZnA<G#| zAxlm1+Qo!qD`kfGY5a79nZxVW)b`}sI*OV#-aYi2qz&zl_9R5URd zH*#tnMSe8pom-TzIX$yhS3ixcfKkj@o##^91$oCA!;;EljNhQ#Rmym1tR22f-+Usk z(Xh5j#~QF4%R0A+ohym#9!M5G`}1^V@_<5gT#)tJ5@^s9d;&PoWuj zjTa925Xk_QF-pnuN|l8^TUiV8ZvahjOHW@GFI^^NEmM<^CmGePiMa1)r@uWi{I-%z z_SMnr6jli@Ma~r-py|(V$;GbFELt|dNiHO)yPC_%(YZ7l`J4zo-R*B8n)=3h5emuzAPHuzxjlek2{y*zS{T|G(C|7AMybC4CyTnK$%UrOQMZDC#E&03 zdghB_uYTzq4@Wl}r59eCG@4C+J3B6Ke*7FTlIi>-aG?z9hEMrYNA|?KU(u&$ z896X@iAnT5gRG@)QYb_T=M4c2GmOS11+&azV{%E;$~5Rns-z6#R4p3iS~8BZU+~P! zBrhh9MLWi)HthQn$giSx(FeD`K6G-6k-7Ge-N_gCCCu$}&;p2BmL-^~B|mH(npm>x zx&ohMoX!WZybvC|CJg(jH0F-PBr?o$(RL@xyzGe0i1^?wXoQ}PW#aJ7oX{epnId+P zQH_hJnJ#{qC-HJH(a6Q_TYJjEXMc-e z>(lw$g*5D!r#b3iK(UDEAp*P-;>w#}Y1oPpm|#pcIYB^$M4v0|=rk320^ndNk)Qi@ zd#8FX4R{`^KaW~oH;UB{OVkfnSfKw(%r>z$m|#uvVo-LeO^l>gTK7UeX z_I?}IkT#|)%gkePRGi`ItHk$PN<3ejQDNiRGm4!-&Mc_pN#e(n8B>*A8&kDPwyUpF zIjwCc?%^e~z9fidZ19epFLv!BvoL{OtB6b1Wyb%fz(m zF4Ie<0XvO`qgzKZ7uK52X*x*w@308_1su41%9Nx#x75BfCveIxQswuS`v?O)H2P~H z-xd++-`8T-Mj3rot(_#&aAIO%vas1~?G+4`(dV@OYSj8(#$-HEE}h{n=u;5S41ax_ zVLF)FTQnGsVb=bh8kdA5rfHeiM`Z$XP{Y*%>_Nt_Tcu%8uFl(=GjP@GYK2@a#`tTR zrMI^k2R@r{wC>nb00&ZWao%!TEq3Q6x+_;$iQu`y!nw__j?n3gR9%EQ^0@YHscQqJ zp&hb2@&k=L);|{Vk41HxJ*>QZ#ami@%&0+BKa?zbP6f~O@_FRGbd-KI@%Gd)d8mZr z;^&Ir(jLB$%N)pwu8E=XBu1WJ?Wh#ttG}3Vp0PqaSEAL*UEQq*pJ7A09)}l>7^||X z7_?fQH(#5L*O%9%&pJq-vg>4RQElGv-O?}$5e4YtjOS)AcBZXuly*jy{YIA>6~_2a z6)Arys4{o5GA5@N7ZueNv9dL^)U|?ObgXo3U5p)E%#B?c$l2aI*cv$-8at5FTk9Jt zs*tN0J2;x#+K_W_vvQDsq8D*Cw=yE<##a9_K>85VcY=&f zjE|F@lZ%6clbfBFhliV6lbxLo@&jpE-qz^#wJMYC3|Hog+ZT@NF0CIA2L+Y;nT>rBDdCdu_ANzCtw~dz*2q}K~i;aU5 zGU6Aue|r4I57Gw+QpWWc+dtmC91DmW2>N@!Tz|81fH;A`7wvIya&U3Hw1K!lFUR~J z#s`@N2k7PaI5~kFpue@p1F4Jq>pVC)L6F+F7d8L~=*8S10p;Ze{LPOO^l~0tkl6G7 z&5w)s<-J_&99)01aRGQ<&V!4cljm=JZ~*}?$H&bHV1F4aE-n!0WlXstarv7K#0~hH zjT6N6axJ+*Jb;%m<>3SXUW^Z-7cRidxp9L4pqFvsfym%x>;deYfR{ENPSD@t2Vmy{ zzKj)si|0=@=AY|->mCvn-j{I!Z~-9okT3jr|HHjpK+czO0r39)ULX$;vP)mK2jqU) zFOUcHx4jDD3;XLb+}@VE5^aRUCPXAlthx7hP?{XKplAn0#; z=H=r4TOYh2cCHutgRD6>q_*_0G2rFmfz+D5uz@(ZUTBDeod+WPzxhE#^j90ikNf4C zL((qbWvn1+lAY(}d^x$;xnH)&$<6_(ll{wl zIUr94o|p6B;@}3p?3a`4Z#fZ?5P4qaAxQ9eUTPQO2V{TQFBc?bzqD}!fG_n2X%F}^ zUvY2)Ks+z+<>7{GkQZ|ULP{lH&W#(u3#ljmYYccGY4@cKz|Q+J7jy7Hw%`kma{xFX ziSk8zKuDlpt}lQCa{bMZQ=F8?eHcXWb$+WN-_8&1e}0fLZdXv7sIkp34^$#Jg$ literal 0 HcmV?d00001 diff --git a/src/ecc/formal/properties/coverpoints/fv_add_sub_alter_coverpoints.sv b/src/ecc/formal/properties/coverpoints/fv_add_sub_alter_coverpoints.sv new file mode 100644 index 000000000..e0ee82079 --- /dev/null +++ b/src/ecc/formal/properties/coverpoints/fv_add_sub_alter_coverpoints.sv @@ -0,0 +1,45 @@ +// ------------------------------------------------- +// Contact: contact@lubis-eda.com +// Author: Tobias Ludwig, Michael Schwarz +// ------------------------------------------------- +// SPDX-License-Identifier: Apache-2.0 +// +// Licensed under the Apache License, Version 2.0 (the "License"); +// you may not use this file except in compliance with the License. +// You may obtain a copy of the License at +// +// http://www.apache.org/licenses/LICENSE-2.0 +// +// Unless required by applicable law or agreed to in writing, software +// distributed under the License is distributed on an "AS IS" BASIS, +// WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +// See the License for the specific language governing permissions and +// limitations under the License. +// +module fv_add_sub_alter_coverpoints_m( + input logic clk, + input logic reset_n, + input logic zeroize +); + + default clocking default_clk @(posedge clk); endclocking + + //Cover zeroize: + cover_zeroize: cover property(disable iff(!reset_n) ecc_add_sub_mod_alter.zeroize ); + + cover_prime_p: cover property(disable iff(!reset_n) (ecc_add_sub_mod_alter.prime_i==384'hfffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffeffffffff0000000000000000ffffffff)); + cover_prime_q: cover property(disable iff(!reset_n)(ecc_add_sub_mod_alter.prime_i==384'hffffffffffffffffffffffffffffffffffffffffffffffffc7634d81f4372ddf581a0db248b0a77aecec196accc52973)); + cover_add_en: cover property(disable iff(!reset_n) ecc_add_sub_mod_alter.add_en_i==1); + cover_add_disable: cover property(disable iff(!reset_n) ecc_add_sub_mod_alter.add_en_i==0); + cover_r0_0: cover property(disable iff(!reset_n || zeroize) ecc_add_sub_mod_alter.r0=='0); + cover_r0_1: cover property(disable iff(!reset_n || zeroize) ecc_add_sub_mod_alter.r0=='1); + cover_r0_greater_prime: cover property(disable iff(!reset_n || zeroize) ecc_add_sub_mod_alter.r0 > ecc_add_sub_mod_alter.prime_i); + + +endmodule + +bind ecc_add_sub_mod_alter fv_add_sub_alter_coverpoints_m fv_add_sub_alter_coverpoints( + .clk(clk), + .reset_n(reset_n), + .zeroize(zeroize) +); diff --git a/src/ecc/formal/properties/coverpoints/fv_arith_unit_coverpoints.sv b/src/ecc/formal/properties/coverpoints/fv_arith_unit_coverpoints.sv new file mode 100644 index 000000000..d024e4294 --- /dev/null +++ b/src/ecc/formal/properties/coverpoints/fv_arith_unit_coverpoints.sv @@ -0,0 +1,86 @@ +// ------------------------------------------------- +// Contact: contact@lubis-eda.com +// Author: Tobias Ludwig, Michael Schwarz +// ------------------------------------------------- +// SPDX-License-Identifier: Apache-2.0 +// +// Licensed under the Apache License, Version 2.0 (the "License"); +// you may not use this file except in compliance with the License. +// You may obtain a copy of the License at +// +// http://www.apache.org/licenses/LICENSE-2.0 +// +// Unless required by applicable law or agreed to in writing, software +// distributed under the License is distributed on an "AS IS" BASIS, +// WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +// See the License for the specific language governing permissions and +// limitations under the License. +// +module fv_ecc_arith_unit_coverpoints_m( + input logic clk, + input logic reset_n, + input logic zeroize +); + + default clocking default_clk @(posedge clk); endclocking + + //Cover zeroize: + cover_zeroize: cover property(disable iff(!reset_n) ecc_arith_unit.zeroize ); + + //cover wea + cover_wea: cover property(disable iff(!reset_n) ( + ecc_arith_unit.ecc_instr_s.opcode.add_en + ##3 + ecc_arith_unit.ram_tdp_file_i.wea)); + + //cover web + cover_wem: cover property(disable iff(!reset_n) + ecc_arith_unit.ecc_instr_s.opcode.mult_en + ##41 + ecc_arith_unit.web_mux_s); + + //cover req_digit when keygen cmd + cover_req_digit_keygen: cover property(disable iff(!reset_n) + ecc_arith_unit.ecc_cmd_i== 3'b001 //KEYGEN_CMD + ##1 + ecc_arith_unit.req_digit[->576]); + + //cover req_digit when signing cmd + cover_req_digit_signing: cover property(disable iff(!reset_n) + ecc_arith_unit.ecc_cmd_i==3'b010 //SIGN_CMD + ##1 + ecc_arith_unit.req_digit[->576]); + + + //cover wr_op_sel_i when ecc_cmd_i is received + cover_wr_op_sel_i: cover property(disable iff(!reset_n) + (ecc_arith_unit.ecc_cmd_i!=3'b0 && !ecc_arith_unit.wr_op_sel_i)); + + //cover wr_en_i when ecc_cmd_i is received + cover_wr_en_i: cover property(disable iff(!reset_n || zeroize) + (ecc_arith_unit.ecc_cmd_i!=3'b0 && !ecc_arith_unit.wr_en_i)); + + //cover sca_en_i + cover_sca_en_always: cover property(disable iff(!reset_n || zeroize) + ecc_arith_unit.sca_en_i ==1); + + //cover digit_in be the MSB bit of secret key + cover_digit_in_msb_secret_key: cover property(disable iff(!reset_n || zeroize) + ecc_arith_unit.req_digit + ##1 + ecc_arith_unit.digit_in == $past(ecc_arith_unit.secret_key[(ecc_arith_unit.REG_SIZE+ecc_arith_unit.RND_SIZE)-1])); + + //cover secret key is shifted with req_digit + cover_req_digit_secret_key: cover property(disable iff(!reset_n || zeroize) + ecc_arith_unit.req_digit + ##1 + ecc_arith_unit.secret_key == $past({ecc_arith_unit.secret_key[(ecc_arith_unit.REG_SIZE+ecc_arith_unit.RND_SIZE)-2 : 0], ecc_arith_unit.secret_key[(ecc_arith_unit.REG_SIZE+ecc_arith_unit.RND_SIZE)-1]})); + + +endmodule + +bind ecc_arith_unit fv_ecc_arith_unit_coverpoints_m fv_ecc_arith_unit_coverpoints( + .clk(clk), + .reset_n(reset_n), + .zeroize(zeroize) +); \ No newline at end of file diff --git a/src/ecc/formal/properties/coverpoints/fv_ecc_dsa_ctrl_coverpoints.sv b/src/ecc/formal/properties/coverpoints/fv_ecc_dsa_ctrl_coverpoints.sv new file mode 100644 index 000000000..51915d28f --- /dev/null +++ b/src/ecc/formal/properties/coverpoints/fv_ecc_dsa_ctrl_coverpoints.sv @@ -0,0 +1,130 @@ +// ------------------------------------------------- +// Contact: contact@lubis-eda.com +// Author: Tobias Ludwig, Michael Schwarz +// ------------------------------------------------- +// SPDX-License-Identifier: Apache-2.0 +// +// Licensed under the Apache License, Version 2.0 (the "License"); +// you may not use this file except in compliance with the License. +// You may obtain a copy of the License at +// +// http://www.apache.org/licenses/LICENSE-2.0 +// +// Unless required by applicable law or agreed to in writing, software +// distributed under the License is distributed on an "AS IS" BASIS, +// WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +// See the License for the specific language governing permissions and +// limitations under the License. +// +module fv_ecc_dsa_ctrl_coverpoints( + input logic clk, + input logic reset_n, + input logic zeroize +); + + + default clocking default_clk @(posedge clk); endclocking + + //cover zeroize + cover_zeroize: cover property(disable iff(!reset_n) ecc_dsa_ctrl.zeroize_reg ); + +//cover seed_reg + + cover_seed_reg_max: cover property(disable iff(!reset_n || zeroize) ecc_dsa_ctrl.seed_reg == 384'hFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF); + cover_seed_reg_zero: cover property(disable iff(!reset_n || zeroize) ecc_dsa_ctrl.seed_reg =='0); + +//cover nonce_reg + + + cover_nonce_reg_max: cover property(disable iff(!reset_n || zeroize) ecc_dsa_ctrl.nonce_reg== 384'hFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF); + cover_nonce_reg_zero: cover property(disable iff(!reset_n || zeroize) ecc_dsa_ctrl.nonce_reg =='0); + +//cover msg_reg + + cover_msg_reg_max: cover property(disable iff(!reset_n || zeroize) ecc_dsa_ctrl.msg_reg == 384'hFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF); + cover_msg_reg_zero: cover property(disable iff(!reset_n || zeroize) ecc_dsa_ctrl.msg_reg =='0); + + +//cover privkey_reg + + cover_privkey_reg_less_grp_order: cover property(disable iff(!reset_n || zeroize) ecc_dsa_ctrl.privkey_reg < 384'hffffffffffffffffffffffffffffffffffffffffffffffffc7634d81f4372ddf581a0db248b0a77aecec196accc52973); + cover_privkey_reg_grt_zero: cover property(disable iff(!reset_n || zeroize) ecc_dsa_ctrl.privkey_reg >'0); + +//cover scalar_G_reg + + cover_scalar_G_reg_less_grp_order: cover property(disable iff(!reset_n || zeroize) ecc_dsa_ctrl.scalar_G_reg < 384'hffffffffffffffffffffffffffffffffffffffffffffffffc7634d81f4372ddf581a0db248b0a77aecec196accc52973); + cover_scalar_G_reg_grt_zero: cover property(disable iff(!reset_n || zeroize) ecc_dsa_ctrl.scalar_G_reg >'0); + cover_scalar_G_reg_grp_order_1: cover property(disable iff(!reset_n || zeroize) ecc_dsa_ctrl.scalar_G_reg == 384'hffffffffffffffffffffffffffffffffffffffffffffffffc7634d81f4372ddf581a0db248b0a77aecec196accc52972); + cover_scalar_G_reg_1: cover property(disable iff(!reset_n || zeroize) ecc_dsa_ctrl.scalar_G_reg == 1); + +//cover scalar_PK_reg + + cover_scalar_PK_reg_less_grp_order: cover property(disable iff(!reset_n || zeroize) ecc_dsa_ctrl.scalar_PK_reg < 384'hffffffffffffffffffffffffffffffffffffffffffffffffc7634d81f4372ddf581a0db248b0a77aecec196accc52973); + cover_scalar_PK_reg_grt_zero: cover property(disable iff(!reset_n || zeroize) ecc_dsa_ctrl.scalar_PK_reg >'0); + cover_scalar_PK_reg_grp_order_1: cover property(disable iff(!reset_n || zeroize) ecc_dsa_ctrl.scalar_PK_reg == 384'hffffffffffffffffffffffffffffffffffffffffffffffffc7634d81f4372ddf581a0db248b0a77aecec196accc52972); + cover_scalar_PK_reg_1: cover property(disable iff(!reset_n || zeroize) ecc_dsa_ctrl.scalar_PK_reg == 1); + +//cover r_reg + + cover_r_reg_less_grp_order: cover property(disable iff(!reset_n || zeroize) ecc_dsa_ctrl.r_reg < 384'hffffffffffffffffffffffffffffffffffffffffffffffffc7634d81f4372ddf581a0db248b0a77aecec196accc52973); + cover_r_reg_grt_zero: cover property(disable iff(!reset_n || zeroize) ecc_dsa_ctrl.r_reg >'0); + cover_r_reg_grp_order_1: cover property(disable iff(!reset_n || zeroize) ecc_dsa_ctrl.r_reg == 384'hffffffffffffffffffffffffffffffffffffffffffffffffc7634d81f4372ddf581a0db248b0a77aecec196accc52972); + cover_r_reg_1: cover property(disable iff(!reset_n || zeroize) ecc_dsa_ctrl.r_reg == 1); + +//cover s_reg + cover_s_reg_less_grp_order: cover property(disable iff(!reset_n || zeroize) ecc_dsa_ctrl.s_reg < 384'hffffffffffffffffffffffffffffffffffffffffffffffffc7634d81f4372ddf581a0db248b0a77aecec196accc52973); + cover_s_reg_grt_zero: cover property(disable iff(!reset_n || zeroize) ecc_dsa_ctrl.s_reg >'0); + cover_s_reg_grp_order_1: cover property(disable iff(!reset_n || zeroize) ecc_dsa_ctrl.s_reg == 384'hffffffffffffffffffffffffffffffffffffffffffffffffc7634d81f4372ddf581a0db248b0a77aecec196accc52972); + cover_s_reg_1: cover property(disable iff(!reset_n || zeroize) ecc_dsa_ctrl.s_reg == 1); + + +//cover IV_reg + cover_IV_reg_less_grp_order: cover property(disable iff(!reset_n || zeroize) ecc_dsa_ctrl.IV_reg < 384'hffffffffffffffffffffffffffffffffffffffffffffffffc7634d81f4372ddf581a0db248b0a77aecec196accc52973); + cover_IV_reg_grt_zero: cover property(disable iff(!reset_n || zeroize) ecc_dsa_ctrl.IV_reg >'0); + cover_IV_reg_grp_order_1: cover property(disable iff(!reset_n || zeroize) ecc_dsa_ctrl.IV_reg == 384'hffffffffffffffffffffffffffffffffffffffffffffffffc7634d81f4372ddf581a0db248b0a77aecec196accc52972); + cover_IV_reg_1: cover property(disable iff(!reset_n || zeroize) ecc_dsa_ctrl.IV_reg == 1); + +//cover pubkeyx_reg + cover_pubkeyx_reg_less_prime: cover property(disable iff(!reset_n || zeroize) ecc_dsa_ctrl.pubkeyx_reg < 384'hfffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffeffffffff0000000000000000ffffffff); + cover_pubkeyx_reg_zero: cover property(disable iff(!reset_n || zeroize) ecc_dsa_ctrl.pubkeyx_reg =='0); + cover_pubkeyx_reg_prime_1: cover property(disable iff(!reset_n || zeroize) ecc_dsa_ctrl.pubkeyx_reg == 384'hfffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffeffffffff0000000000000000fffffffe); + cover_pubkeyx_reg_grt_prime: cover property(disable iff(!reset_n || zeroize) ecc_dsa_ctrl.pubkeyx_reg > 384'hfffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffeffffffff0000000000000000ffffffff); + +//cover pubkeyy_reg + cover_pubkeyy_reg_less_prime: cover property(disable iff(!reset_n || zeroize) ecc_dsa_ctrl.pubkeyy_reg < 384'hfffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffeffffffff0000000000000000ffffffff); + cover_pubkeyy_reg_zero: cover property(disable iff(!reset_n || zeroize) ecc_dsa_ctrl.pubkeyy_reg =='0); + cover_pubkeyy_reg_prime_1: cover property(disable iff(!reset_n || zeroize) ecc_dsa_ctrl.pubkeyy_reg == 384'hfffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffeffffffff0000000000000000fffffffe); + cover_pubkeyy_reg_grt_prime: cover property(disable iff(!reset_n || zeroize) ecc_dsa_ctrl.pubkeyy_reg > 384'hfffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffeffffffff0000000000000000ffffffff); + + +//cover scalar_out_reg + cover_scalar_out_reg_eq_1: cover property(disable iff(!reset_n || zeroize) ecc_dsa_ctrl.scalar_out_reg==1); + cover_scalar_out_reg_grp_order_1: cover property(disable iff(!reset_n || zeroize) ecc_dsa_ctrl.scalar_out_reg==384'hffffffffffffffffffffffffffffffffffffffffffffffffc7634d81f4372ddf581a0db248b0a77aecec196accc52972); + cover_scalar_out_reg_grp_mult: cover property(disable iff(!reset_n || zeroize) ecc_dsa_ctrl.scalar_out_reg==(1+((2**192)-1)*384'hffffffffffffffffffffffffffffffffffffffffffffffffc7634d81f4372ddf581a0db248b0a77aecec196accc52973) ); + cover_scalar_out_reg_grp_order_mult_1: cover property(disable iff(!reset_n || zeroize) ecc_dsa_ctrl.scalar_out_reg==(384'hffffffffffffffffffffffffffffffffffffffffffffffffc7634d81f4372ddf581a0db248b0a77aecec196accc52972 +((2**192)-1)*384'hffffffffffffffffffffffffffffffffffffffffffffffffc7634d81f4372ddf581a0db248b0a77aecec196accc52973) ); + + + +//cover lambda_reg + + cover_lambda_reg_max: cover property(disable iff(!reset_n || zeroize) ecc_dsa_ctrl.lambda_reg == 384'hFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF); + cover_lambda_reg_zero: cover property(disable iff(!reset_n || zeroize) ecc_dsa_ctrl.lambda_reg =='0); + + + +//cover masking_rnd_reg + + cover_masking_rnd_reg_max: cover property(disable iff(!reset_n || zeroize) ecc_dsa_ctrl.masking_rnd_reg == 384'hFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF); + cover_masking_rnd_reg_zero: cover property(disable iff(!reset_n || zeroize) ecc_dsa_ctrl.masking_rnd_reg =='0); + + + + + +endmodule + +bind ecc_dsa_ctrl fv_ecc_dsa_ctrl_coverpoints fv_ecc_dsa_ctrl_coverpoints_inst ( + .clk(clk), + .reset_n(reset_n), + .zeroize(ecc_dsa_ctrl.zeroize_reg) +); \ No newline at end of file diff --git a/src/ecc/formal/properties/coverpoints/fv_ecc_montgomerymultiplier_coverpoints.sv b/src/ecc/formal/properties/coverpoints/fv_ecc_montgomerymultiplier_coverpoints.sv new file mode 100644 index 000000000..feaf4d2a7 --- /dev/null +++ b/src/ecc/formal/properties/coverpoints/fv_ecc_montgomerymultiplier_coverpoints.sv @@ -0,0 +1,49 @@ +// ------------------------------------------------- +// Contact: contact@lubis-eda.com +// Author: Tobias Ludwig, Michael Schwarz +// ------------------------------------------------- +// SPDX-License-Identifier: Apache-2.0 +// +// Licensed under the Apache License, Version 2.0 (the "License"); +// you may not use this file except in compliance with the License. +// You may obtain a copy of the License at +// +// http://www.apache.org/licenses/LICENSE-2.0 +// +// Unless required by applicable law or agreed to in writing, software +// distributed under the License is distributed on an "AS IS" BASIS, +// WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +// See the License for the specific language governing permissions and +// limitations under the License. +// +module fv_ecc_montgomerymultiplier_coverpoints_m( + input logic clk, + input logic reset_n, + input logic zeroize +); + + default clocking default_clk @(posedge clk); endclocking + +//Cover zeroize: + cover_zeroize: cover property(disable iff(!reset_n) ecc_montgomerymultiplier.zeroize ); + cover_prime_p: cover property(disable iff(!reset_n || zeroize) (ecc_montgomerymultiplier.n_i==384'hfffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffeffffffff0000000000000000ffffffff)); + cover_group_order: cover property(disable iff(!reset_n || zeroize)(ecc_montgomerymultiplier.n_i==384'hffffffffffffffffffffffffffffffffffffffffffffffffc7634d81f4372ddf581a0db248b0a77aecec196accc52973)); + cover_n_prime_i_prime_mu : cover property(disable iff(!reset_n|| zeroize) ecc_montgomerymultiplier.n_prime_i == 32'h00000001); + cover_n_prime_i_group_order_mu : cover property(disable iff(!reset_n|| zeroize) ecc_montgomerymultiplier.n_prime_i == 32'he88fdc45); + cover_opa_i_0 : cover property(disable iff(!reset_n|| zeroize) (ecc_montgomerymultiplier.opa_i == '0)&&(ecc_montgomerymultiplier.opb_i == '0 || ecc_montgomerymultiplier.opb_i == (ecc_montgomerymultiplier.n_i-1)) ); + cover_opa_i_prime_minus_1 : cover property(disable iff(!reset_n|| zeroize) (ecc_montgomerymultiplier.opa_i == (ecc_montgomerymultiplier.n_i-1))&&(ecc_montgomerymultiplier.opb_i == '0 || ecc_montgomerymultiplier.opb_i == (ecc_montgomerymultiplier.n_i-1)) ); + cover_opb_i_0 : cover property(disable iff(!reset_n|| zeroize) (ecc_montgomerymultiplier.opb_i == '0)&&(ecc_montgomerymultiplier.opa_i == '0 || ecc_montgomerymultiplier.opa_i == (ecc_montgomerymultiplier.n_i-1)) ); + cover_opb_i_prime_minus_1 : cover property(disable iff(!reset_n|| zeroize) (ecc_montgomerymultiplier.opb_i == (ecc_montgomerymultiplier.n_i-1))&&(ecc_montgomerymultiplier.opa_i == '0 || ecc_montgomerymultiplier.opa_i == (ecc_montgomerymultiplier.n_i-1)) ); + cover_sub_b_o_zero: cover property(disable iff(!reset_n || zeroize) ecc_montgomerymultiplier.ready_o && ecc_montgomerymultiplier.sub_b_o[2*(ecc_montgomerymultiplier.PE_UNITS+1)]==0); + cover_sub_b_o_one: cover property(disable iff(!reset_n || zeroize) ecc_montgomerymultiplier.ready_o && ecc_montgomerymultiplier.sub_b_o[2*(ecc_montgomerymultiplier.PE_UNITS+1)]== 1); + cover_p_sub_internal: cover property (disable iff(!reset_n || zeroize) !$past(!reset_n || zeroize) && ecc_montgomerymultiplier.ready_o && (ecc_montgomerymultiplier.p_subtracted_internal == ( ecc_montgomerymultiplier.p_internal - ecc_montgomerymultiplier.n_i))); + + + +endmodule + +bind ecc_montgomerymultiplier fv_ecc_montgomerymultiplier_coverpoints_m fv_ecc_montgomerymultiplier_coverpoints( + .clk(clk), + .reset_n(reset_n), + .zeroize(zeroize) +); \ No newline at end of file diff --git a/src/ecc/formal/properties/coverpoints/fv_ecc_pm_ctrl_coverpoints_m.sv b/src/ecc/formal/properties/coverpoints/fv_ecc_pm_ctrl_coverpoints_m.sv new file mode 100644 index 000000000..60c89d2a7 --- /dev/null +++ b/src/ecc/formal/properties/coverpoints/fv_ecc_pm_ctrl_coverpoints_m.sv @@ -0,0 +1,145 @@ +// ------------------------------------------------- +// Contact: contact@lubis-eda.com +// Author: Tobias Ludwig, Michael Schwarz +// ------------------------------------------------- +// SPDX-License-Identifier: Apache-2.0 +// +// Licensed under the Apache License, Version 2.0 (the "License"); +// you may not use this file except in compliance with the License. +// You may obtain a copy of the License at +// +// http://www.apache.org/licenses/LICENSE-2.0 +// +// Unless required by applicable law or agreed to in writing, software +// distributed under the License is distributed on an "AS IS" BASIS, +// WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +// See the License for the specific language governing permissions and +// limitations under the License. +// +module fv_ecc_pm_ctrl_coverpoints_m import ecc_pm_uop_pkg::*;( + input logic clk, + input logic reset_n, + input logic zeroize +); + + default clocking default_clk @(posedge clk); endclocking + + //cover zeroize + cover_zeroize: cover property(disable iff(!reset_n) ecc_pm_ctrl.zeroize ); + + //cover sca_en_i + cover_sca_en_always: cover property(disable iff(!reset_n || zeroize) + ecc_pm_ctrl.sca_en_i ==1); + + + //cover req_digit when keygen cmd + cover_req_digit_keygen: cover property(disable iff(!reset_n || zeroize) + ecc_pm_ctrl.ecc_cmd_i==KEYGEN_CMD && + ecc_pm_ctrl.prog_cntr == NOP + ##1 + ecc_pm_ctrl.req_digit_o[->576]); + + //cover req_digit when signing cmd + cover_req_digit_signing: cover property(disable iff(!reset_n|| zeroize) + ecc_pm_ctrl.ecc_cmd_i==SIGN_CMD && + ecc_pm_ctrl.prog_cntr == NOP + ##1 + + ecc_pm_ctrl.req_digit_o[->576]); + + //cover req_digit when verifying cmd + cover_req_digit_verifying1: cover property(disable iff(!reset_n|| zeroize) + ecc_pm_ctrl.ecc_cmd_i== VER_PART1_CMD && + ecc_pm_ctrl.prog_cntr == NOP + ##1 + ecc_pm_ctrl.req_digit_o[->384]); + + cover_req_digit_verifying2: cover property(disable iff(!reset_n|| zeroize) + ecc_pm_ctrl.prog_cntr== PM_INIT_PK_S && + ecc_pm_ctrl.ecc_cmd_reg == VER_PART2_CMD + ##1 + ecc_pm_ctrl.req_digit_o[->384]); + + /* + // Keygen Sequence + + cover_keygen_sequence: cover property(disable iff(!reset_n || zeroize) keygen_sequence); + + sequence keygen_sequence; + ecc_pm_ctrl.ecc_cmd_i == KEYGEN_CMD + ##0 ecc_pm_ctrl.prog_cntr == NOP + ##1 ecc_pm_ctrl.prog_cntr == PM_INIT_G_S + ##123 ecc_pm_ctrl.prog_cntr == PM_INIT_S + ##16 ecc_pm_ctrl.prog_cntr == PA_S + ##789 ecc_pm_ctrl.prog_cntr == PD_S + ##910443 ecc_pm_ctrl.prog_cntr == INV_S + ##21201 ecc_pm_ctrl.prog_cntr == CONV_S + ##167 ecc_pm_ctrl.prog_cntr == CONV_E + ##1 ecc_pm_ctrl.prog_cntr == NOP; + endsequence + + + // Signing Sequence + + cover_signing_sequence: cover property(disable iff(!reset_n || zeroize) signing_sequence); + sequence signing_sequence; + ecc_pm_ctrl.ecc_cmd_i == SIGN_CMD + ##0 ecc_pm_ctrl.prog_cntr == NOP + ##1 ecc_pm_ctrl.prog_cntr == PM_INIT_G_S + ##123 ecc_pm_ctrl.prog_cntr == PM_INIT_S + ##16 ecc_pm_ctrl.prog_cntr == PA_S + ##789 ecc_pm_ctrl.prog_cntr == PD_S + ##910443 ecc_pm_ctrl.prog_cntr == INV_S + ##21201 ecc_pm_ctrl.prog_cntr == CONV_S + ##168 ecc_pm_ctrl.prog_cntr == SIGN0_S + ##311 ecc_pm_ctrl.prog_cntr == INVq_S + ##21205 ecc_pm_ctrl.prog_cntr == SIGN1_S + ##131 ecc_pm_ctrl.prog_cntr == NOP; + endsequence + + // Verify Sequence + cover_verify_part0_sequence: cover property(disable iff(!reset_n || zeroize) verify_part0_sequence); + sequence verify_part0_sequence; + ecc_pm_ctrl.ecc_cmd_i == VER_PART0_CMD + ##0 ecc_pm_ctrl.prog_cntr == NOP + ##1 ecc_pm_ctrl.prog_cntr == VER0_P0_S + ##127 ecc_pm_ctrl.prog_cntr == INVq_S + ##21205 ecc_pm_ctrl.prog_cntr == VER0_P1_S + ##168 ecc_pm_ctrl.prog_cntr == NOP; + endsequence + + cover_verify_part1_sequence: cover property(disable iff(!reset_n || zeroize) verify_part1_sequence); + sequence verify_part1_sequence; + ecc_pm_ctrl.ecc_cmd_i == VER_PART1_CMD + ##0 ecc_pm_ctrl.prog_cntr == NOP + ##1 ecc_pm_ctrl.prog_cntr == PM_INIT_G_S + ##123 ecc_pm_ctrl.prog_cntr == PM_INIT_S + ##16 ecc_pm_ctrl.prog_cntr == PA_S + ##789 ecc_pm_ctrl.prog_cntr == PD_S + ##606699 ecc_pm_ctrl.prog_cntr == NOP; + endsequence + + cover_verify_part2_sequence: cover property(disable iff(!reset_n || zeroize) verify_part2_sequence); + + sequence verify_part2_sequence; + ecc_pm_ctrl.ecc_cmd_i == VER_PART2_CMD + ##0 ecc_pm_ctrl.prog_cntr == NOP + ##1 ecc_pm_ctrl.prog_cntr == VER1_ST_S + ##12 ecc_pm_ctrl.prog_cntr == PM_INIT_PK_S + ##86 ecc_pm_ctrl.prog_cntr == PM_INIT_S + ##16 ecc_pm_ctrl.prog_cntr == PA_S + ##789 ecc_pm_ctrl.prog_cntr == PD_S + ##606699 ecc_pm_ctrl.prog_cntr == VER2_PA_S + ##793 ecc_pm_ctrl.prog_cntr == INV_S + ##21201 ecc_pm_ctrl.prog_cntr == CONV_S + ##168 ecc_pm_ctrl.prog_cntr == NOP; + endsequence + +*/ +endmodule + + bind ecc_pm_ctrl fv_ecc_pm_ctrl_coverpoints_m fv_ecc_pm_ctrl_coverpoints( + .clk(clk), + .reset_n(reset_n), + .zeroize(zeroize) + ); diff --git a/src/ecc/formal/properties/ecc_reduced_instantiations.sv b/src/ecc/formal/properties/ecc_reduced_instantiations.sv new file mode 100644 index 000000000..c292f3430 --- /dev/null +++ b/src/ecc/formal/properties/ecc_reduced_instantiations.sv @@ -0,0 +1,117 @@ +// ------------------------------------------------- +// Contact: contact@lubis-eda.com +// Author: Tobias Ludwig, Michael Schwarz +// ------------------------------------------------- +// SPDX-License-Identifier: Apache-2.0 +// +// Licensed under the Apache License, Version 2.0 (the "License"); +// you may not use this file except in compliance with the License. +// You may obtain a copy of the License at +// +// http://www.apache.org/licenses/LICENSE-2.0 +// +// Unless required by applicable law or agreed to in writing, software +// distributed under the License is distributed on an "AS IS" BASIS, +// WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +// See the License for the specific language governing permissions and +// limitations under the License. +// + +module ecc_reduced_instantiations #( + parameter REG_SIZE = 48, + parameter RADIX = 4 +) +( + // Clock and reset. + input wire clk, + input wire reset_n, + input wire zeroize, + + // DATA PORT + input wire start_i, + input wire [REG_SIZE-1:0] opa_i, + input wire [REG_SIZE-1:0] opb_i, + input wire [REG_SIZE-1:0] n_i, + input wire [RADIX-1:0] n_prime_i, // only need the last few bits + output logic [REG_SIZE-1:0] p_o, + output logic ready_o, + + input wire start_in, + // DATA PORT + input wire [RADIX-1:0] a_in, + input wire [RADIX-1:0] b_in, + input wire [RADIX-1:0] p_in, + input wire [RADIX-1:0] s_in, + input wire [RADIX-1:0] n_prime_in, + input wire odd, + + output logic [RADIX-1:0] a_out, + output logic [RADIX-1:0] m_out, + output logic [RADIX :0] c_out +); + +ecc_montgomerymultiplier #( + .REG_SIZE(48), + .RADIX(4) + ) + ecc_montmult_reduced ( + // Clock and reset. + .clk(clk), + .reset_n(reset_n), + .zeroize(zeroize), + + // DATA PORT + .start_i(start_i), + .opa_i(opa_i), + .opb_i(opb_i), + .n_i(n_i), + .n_prime_i(n_prime_i), + .p_o(p_o), + .ready_o(ready_o) + ); + +ecc_pe_first #(.RADIX(4)) ecc_pe_first_reduced( + .clk(clk), + .reset_n(reset_n), + .zeroize(zeroize), + + .start_in(start_in), + .a_in(a_in), + .b_in(b_in), + .p_in(p_in), + .s_in(s_in), + .n_prime_in(n_prime_in), + + .odd(odd), + .a_out(a_out), + .m_out(m_out), + + .c_out(c_out) + ); + + ecc_scalar_blinding #( + .REG_SIZE(24), + .RND_SIZE(12), + .RADIX(2) + ) + ecc_scalar_blinding_reduced( + .clk(clk), + .zeroize(zeroize), + .reset_n(reset_n), + .en_i(/* open */), + .data_i(/* open */), + .rnd_i(/* open */), + .data_o(/* open */), + .busy_o(/* open */) + ); + +endmodule + + +bind ecc_dsa_ctrl ecc_reduced_instantiations ecc_reduced_instantiation_inst ( + .clk(clk), + .reset_n(reset_n), + .zeroize(ecc_dsa_ctrl.zeroize_reg) +); + + diff --git a/src/ecc/formal/properties/fv_add_sub_alter.sv b/src/ecc/formal/properties/fv_add_sub_alter.sv new file mode 100644 index 000000000..dc283e0aa --- /dev/null +++ b/src/ecc/formal/properties/fv_add_sub_alter.sv @@ -0,0 +1,138 @@ +// ------------------------------------------------- +// Contact: contact@lubis-eda.com +// Author: Tobias Ludwig, Michael Schwarz +// ------------------------------------------------- +// SPDX-License-Identifier: Apache-2.0 +// +// Licensed under the Apache License, Version 2.0 (the "License"); +// you may not use this file except in compliance with the License. +// You may obtain a copy of the License at +// +// http://www.apache.org/licenses/LICENSE-2.0 +// +// Unless required by applicable law or agreed to in writing, software +// distributed under the License is distributed on an "AS IS" BASIS, +// WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +// See the License for the specific language governing permissions and +// limitations under the License. +// +module fv_add_sub_alter_m( + input bit rst_n, + input bit clk, + input bit unsigned [383:0] opa_i, + input bit unsigned [383:0] opb_i, + input bit unsigned [383:0] prime_i, + input bit add_en_i, + input bit sub_en_i, + input bit unsigned [383:0] res_o, + input bit ready_o +); + +function logic[383:0] neg_mod (input logic[383:0] a,input logic[383:0] b,input logic[383:0] c); +logic[383:0] d; + if(a + res_o == 0 && + ready_o == 0; +endproperty + + + + +/////////////////////////////////////////////////////////// +// Property to check (a-b)%c, where the case it holds is // +// when we have add_en pulse,sub_i for 3 cycles // +/////////////////////////////////////////////////////////// + + + +sub_a: assert property (disable iff(!rst_n) sub_p); +property sub_p; +logic [383:0] fv_result; + ##0 add_en_i && sub_en_i + ##0 (1'b1, fv_result = neg_mod(opa_i,opb_i,prime_i)) +|-> + ##2 + (res_o== fv_result) && + (res_o < prime_i) && + ready_o + ; +endproperty + + +/////////////////////////////////////////////////////////// +// Property to check (a+b)%c, where the case it holds is // +// when we have add_en pulse,no sub_en_i for 3 cycles // +/////////////////////////////////////////////////////////// + + + + +add_a: assert property (disable iff(!rst_n) add_p); +property add_p; +logic [383:0] fv_result; + ##0 add_en_i && !sub_en_i + ##0 (1'b1, fv_result = ((385'(opa_i + opb_i)%prime_i))) +|-> + ##2 + res_o== fv_result && + (res_o < prime_i) && + ready_o + ; +endproperty + + +/////////////////////////////////////////////////////////////// +// Property to check the if there isn't any cmd for 2 // +// consecutive cycles then the res would have previous value // +// and ready should be deasserted +/////////////////////////////////////////////////////////////// + + + +no_cmd_a: assert property (disable iff(!rst_n) no_cmd_p); +property no_cmd_p; + !(add_en_i || sub_en_i) + ##1 + !(add_en_i || sub_en_i) +|=> + //res_o== $past(res_o) && + ready_o == 0 +; +endproperty + + +endmodule + + + +bind ecc_add_sub_mod_alter fv_add_sub_alter_m fv_add_sub_alter( + .rst_n(reset_n && !zeroize), + .clk(clk), + .opa_i(opa_i), + .opb_i(opb_i), + .prime_i(prime_i), + .add_en_i(add_en_i), + .sub_en_i(sub_i), + .res_o(res_o), + .ready_o(ready_o) +); diff --git a/src/ecc/formal/properties/fv_add_sub_constraints.sv b/src/ecc/formal/properties/fv_add_sub_constraints.sv new file mode 100644 index 000000000..53c6c327d --- /dev/null +++ b/src/ecc/formal/properties/fv_add_sub_constraints.sv @@ -0,0 +1,70 @@ +// ------------------------------------------------- +// Contact: contact@lubis-eda.com +// Author: Tobias Ludwig, Michael Schwarz +// ------------------------------------------------- +// SPDX-License-Identifier: Apache-2.0 +// +// Licensed under the Apache License, Version 2.0 (the "License"); +// you may not use this file except in compliance with the License. +// You may obtain a copy of the License at +// +// http://www.apache.org/licenses/LICENSE-2.0 +// +// Unless required by applicable law or agreed to in writing, software +// distributed under the License is distributed on an "AS IS" BASIS, +// WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +// See the License for the specific language governing permissions and +// limitations under the License. +// +module fv_add_sub_constraints ( + input logic clk, + input logic rst_n, + + input logic add_en_i, + input logic sub_i, + input logic[383:0] prime_i, + input logic[383:0] opa_i, + input logic[383:0] opb_i + +); + +default clocking default_clk @(posedge clk); endclocking +//--------------------------------// +// Can be any of the 2 primes // +//--------------------------------// + +prime_as_p_q: assume property((prime_i==384'hffffffffffffffffffffffffffffffffffffffffffffffffc7634d81f4372ddf581a0db248b0a77aecec196accc52973) || (prime_i==384'hfffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffeffffffff0000000000000000ffffffff) ); + +//--------------------------------------// +// stable operands once cmd initiated // +//--------------------------------------// + +stable_operands: assume property(add_en_i |-> ((opa_i < prime_i) && (opb_i < prime_i) ##1($stable(opa_i) && $stable(opb_i) && $stable(prime_i))[*2])); + + +//----------------------------------------------// +// cmd sequence: // +// if add then no add and sub for next 2 cycles // +// if sub then no add but sub for next 2 cycles // +//----------------------------------------------// + +if_add_then_cmd_is_pulse: assume property(add_en_i && !sub_i|=> (!add_en_i && !sub_i )[*2]); +if_sub_then_stays_for_2cycles: assume property(add_en_i && sub_i |=> (!add_en_i && sub_i )[*2]); + + +//---------------------------------------------// +// Inputs zero during reset // +//----------------------------------------------// + +inputs_zero_during_reset: assume property($past(!rst_n) |-> opa_i==0 && opb_i==0 && !add_en_i && !sub_i); + +endmodule +bind ecc_add_sub_mod_alter fv_add_sub_constraints fv_constraints_m ( + .clk(clk), + .rst_n(reset_n && !zeroize), + .add_en_i(add_en_i), + .sub_i(sub_i), + .prime_i(prime_i), + .opa_i(opa_i), + .opb_i(opb_i) +); \ No newline at end of file diff --git a/src/ecc/formal/properties/fv_dsa_ctrl_constraints.sv b/src/ecc/formal/properties/fv_dsa_ctrl_constraints.sv new file mode 100644 index 000000000..09d962409 --- /dev/null +++ b/src/ecc/formal/properties/fv_dsa_ctrl_constraints.sv @@ -0,0 +1,204 @@ +// ------------------------------------------------- +// Contact: contact@lubis-eda.com +// Author: Tobias Ludwig, Michael Schwarz +// ------------------------------------------------- +// SPDX-License-Identifier: Apache-2.0 +// +// Licensed under the Apache License, Version 2.0 (the "License"); +// you may not use this file except in compliance with the License. +// You may obtain a copy of the License at +// +// http://www.apache.org/licenses/LICENSE-2.0 +// +// Unless required by applicable law or agreed to in writing, software +// distributed under the License is distributed on an "AS IS" BASIS, +// WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +// See the License for the specific language governing permissions and +// limitations under the License. +// +module fv_dsa_ctrl_constraints_m + import ecc_params_pkg::*; + import ecc_dsa_uop_pkg::*; + import ecc_reg_pkg::*; + import kv_defines_pkg::*; #( + parameter PM_DLY = 5, + parameter SCA_DLY = 3, + parameter HMAC_DLY = 4 + ) + ( + // Clock and reset. + input wire clk, + input wire reset_n, + input wire cptra_pwrgood, + + // Reg ports. + input ecc_reg__out_t hwif_out, + input ecc_reg__in_t hwif_in, + + // KV interface + input kv_read_t [1:0] kv_read, + input kv_write_t kv_write, + input kv_rd_resp_t [1:0] kv_rd_resp, + input kv_wr_resp_t kv_wr_resp, + + //PCR Signing + input pcr_signing_t pcr_signing_data, + + // Interrupts (from ecc_reg) + input logic error_intr, + input logic notif_intr, + input logic debugUnlock_or_scan_mode_switch + ); + + default clocking default_clk @(posedge clk); endclocking + + //////////////////////////////////////////////////// + // stability of the primary inputs + //////////////////////////////////////////////////// + + logic fv_end_step; + logic fv_new_inp; + always_comb begin: end_step + fv_end_step = (ecc_dsa_ctrl.prog_cntr == DSA_KG_E-1)||(ecc_dsa_ctrl.prog_cntr == DSA_SGN_E-1)||(ecc_dsa_ctrl.prog_cntr == DSA_VER_E-1); + end + always_ff @(posedge clk, negedge reset_n) begin + if(!reset_n) begin + fv_new_inp <= 0; + end + else if (hwif_out.ECC_CTRL.ZEROIZE.value || debugUnlock_or_scan_mode_switch) begin + fv_new_inp <= 0; + end + else begin + if(hwif_in.ecc_ready) begin + fv_new_inp <= 1; + end + else if(fv_end_step) begin + fv_new_inp <= 0; + end + end + end + + + + + property stable_input_p(inp); + fv_new_inp |-> $stable(inp); + endproperty + +for (genvar word=0; word < 12; word++)begin + stable_privkey: assume property(stable_input_p(hwif_out.ECC_PRIVKEY_IN[11-word].PRIVKEY_IN.value)); + stable_seed: assume property(stable_input_p(hwif_out.ECC_SEED[11-word].SEED.value)); + stable_nonce: assume property(stable_input_p(hwif_out.ECC_NONCE[11-word].NONCE.value)); + stable_msg: assume property(stable_input_p(hwif_out.ECC_MSG[11-word].MSG.value)); + stable_pubkx: assume property(stable_input_p(hwif_out.ECC_PUBKEY_X[11-word].PUBKEY_X.value)); + stable_pubky: assume property(stable_input_p(hwif_out.ECC_PUBKEY_Y[11-word].PUBKEY_Y.value)); + stable_r: assume property(stable_input_p(hwif_out.ECC_SIGN_R[11-word].SIGN_R.value)); + stable_s: assume property(stable_input_p(hwif_out.ECC_SIGN_S[11-word].SIGN_S.value)); + stable_IV: assume property(stable_input_p(hwif_out.ECC_IV[11-word].IV.value)); + stable_cmd: assume property(stable_input_p(hwif_out.ECC_CTRL.CTRL.value)); + stable_pcr: assume property(stable_input_p(hwif_out.ECC_CTRL.PCR_SIGN.value)); +end + + + property no_cmd_when_not_ready_p; + !fv_new_inp + |-> + hwif_out.ECC_CTRL.CTRL.value == '0; + endproperty + + no_cmd: assume property(no_cmd_when_not_ready_p); + +`ifndef TOP + + //////////////////////////////////////////////////// + // Reduced working model for busy in order to check the + // design doesn't have deadlock + //////////////////////////////////////////////////// + + logic [5:0] pm_cntr; + logic [5:0] sca_cntr; + logic [5:0] hmac_cntr; + logic pm_busy; + logic sca_busy; + logic hmc_busy; + + always_ff @(posedge clk, negedge reset_n) begin + if(!reset_n) begin + pm_busy <= 0; + hmc_busy <= 0; + sca_busy <= 0; + pm_cntr <= 0; + hmac_cntr <= 0; + sca_cntr <= 0; + end + else if (hwif_out.ECC_CTRL.ZEROIZE.value || debugUnlock_or_scan_mode_switch) begin + pm_busy <= 0; + hmc_busy <= 0; + sca_busy <= 0; + pm_cntr <= 0; + hmac_cntr <= 0; + sca_cntr <= 0; + end + else begin + if(ecc_dsa_ctrl.pm_cmd_reg!=no_cmd)begin + pm_cntr <= PM_DLY; + end + if(ecc_dsa_ctrl.hmac_init) begin + hmac_cntr <= HMAC_DLY; + end + + if(ecc_dsa_ctrl.scalar_sca_en) begin + sca_cntr <= SCA_DLY; + end + if(pm_cntr > 0) begin + pm_busy <= 1; + pm_cntr <= pm_cntr-1; + end + if(pm_cntr == 0) begin + pm_busy <= 0; + end + if(sca_cntr > 0) begin + sca_busy <= 1; + sca_cntr <= sca_cntr-1; + end + if(sca_cntr == 0) begin + sca_busy <= 0; + end + if(hmac_cntr > 0) begin + hmc_busy <= 1; + hmac_cntr <= hmac_cntr-1; + end + if(hmac_cntr == 0) begin + hmc_busy <= 0; + end + end + end + + + pm_busy_assume: assume property(ecc_dsa_ctrl.pm_busy_o == pm_busy); + sca_busy_assume: assume property(ecc_dsa_ctrl.scalar_sca_busy_o == sca_busy); + hmac_busy_assume: assume property(ecc_dsa_ctrl.hmac_busy == hmc_busy); + +`endif + + +endmodule + +bind ecc_dsa_ctrl fv_dsa_ctrl_constraints_m fv_dsa_ctrl_constraints ( + .clk(clk), + .reset_n(reset_n), + .cptra_pwrgood(cptra_pwrgood), + + .hwif_out(hwif_out), + .hwif_in(hwif_in), + + .kv_read(kv_read), + .kv_rd_resp(kv_rd_resp), + .kv_write(kv_write), + .kv_wr_resp(kv_wr_resp), + .pcr_signing_data(pcr_signing_data), + + .error_intr(error_intr), + .notif_intr(notif_intr), + .debugUnlock_or_scan_mode_switch(debugUnlock_or_scan_mode_switch) + ); \ No newline at end of file diff --git a/src/ecc/formal/properties/fv_ecc_arith_unit.sv b/src/ecc/formal/properties/fv_ecc_arith_unit.sv new file mode 100644 index 000000000..674a06b54 --- /dev/null +++ b/src/ecc/formal/properties/fv_ecc_arith_unit.sv @@ -0,0 +1,263 @@ +// ------------------------------------------------- +// Contact: contact@lubis-eda.com +// Author: Tobias Ludwig, Michael Schwarz +// ------------------------------------------------- +// SPDX-License-Identifier: Apache-2.0 +// +// Licensed under the Apache License, Version 2.0 (the "License"); +// you may not use this file except in compliance with the License. +// You may obtain a copy of the License at +// +// http://www.apache.org/licenses/LICENSE-2.0 +// +// Unless required by applicable law or agreed to in writing, software +// distributed under the License is distributed on an "AS IS" BASIS, +// WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +// See the License for the specific language governing permissions and +// limitations under the License. +// +module fv_ecc_arith_unit + #( + parameter REG_SIZE = 384, + parameter RND_SIZE = 192, + parameter RADIX = 32, + parameter ADDR_WIDTH = 6, + parameter [REG_SIZE-1 : 0] p_prime = 384'hfffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffeffffffff0000000000000000ffffffff, + parameter [RADIX-1 : 0] p_mu = 32'h00000001, + parameter [REG_SIZE-1 : 0] q_grouporder = 384'hffffffffffffffffffffffffffffffffffffffffffffffffc7634d81f4372ddf581a0db248b0a77aecec196accc52973, + parameter [RADIX-1 : 0] q_mu = 32'he88fdc45 + ) + ( + // Clock and reset. + input wire clk, + input wire rst_n, + + + // DATA PORT + input wire [2 : 0] ecc_cmd_i, + input wire sca_en_i, + input wire [ADDR_WIDTH-1 : 0] addr_i, + input wire wr_op_sel_i, + input wire wr_en_i, + input wire rd_reg_i, + input wire [(REG_SIZE+RND_SIZE)-1 : 0] data_i, + input wire [REG_SIZE-1: 0] data_o, + input wire busy_o + ); + + + default clocking default_clk @(posedge clk); endclocking + +no_busy_assume: assume property($past(!rst_n) |-> !ecc_arith_unit.ecc_busy_s); // used only when pm_ctrl is blackboxed + sequence reset_sequence; + !rst_n ##1 rst_n; + endsequence + + +//////////////////////////////////////////// +// reset property, when reset data_o // +// are zero // +//////////////////////////////////////////// + + + property reset_p; + $past(!rst_n) + |-> + busy_o == '0 && //open wire when black boxed + data_o == '0 && + ecc_arith_unit.reg_dinb_r == '0 && + ecc_arith_unit.reg_addr_r == '0 && + ecc_arith_unit.reg_web_r == 0 && + ecc_arith_unit.secret_key == '0; + endproperty + + reset_a : assert property(reset_p); + + +//////////////////////////////////////////// +// if read reg enabled then data_o has // +// the mem out of b // +//////////////////////////////////////////// + + property dout_p; + rd_reg_i + |=> + data_o == $past(ecc_arith_unit.opb_s); + endproperty + dout_a: assert property(disable iff(!rst_n) dout_p); + + property no_dout_p; + !rd_reg_i + |=> + data_o == '0; + endproperty + no_dout_a: assert property(disable iff(!rst_n) no_dout_p); + + +//////////////////////////////////////////// +// If busy then the mux determines inputs // +// for the ram // +//////////////////////////////////////////// + + + property busy_mux; + ecc_arith_unit.ecc_busy_s + |-> + ecc_arith_unit.addrb_mux_s == ecc_arith_unit.ecc_instr_s.opb_addr && + ecc_arith_unit.web_mux_s == ecc_arith_unit.ecc_instr_s.opcode.mult_we && + ecc_arith_unit.dinb_mux_s ==ecc_arith_unit.mult_res_s; + endproperty + + busy_mux_a: assert property(disable iff(!rst_n) busy_mux); + + +//If not busy then ram addrb takes addr_i + property not_busy_addr_mux_p; + !ecc_arith_unit.ecc_busy_s + |-> + ecc_arith_unit.addrb_mux_s == ecc_arith_unit.reg_addr_r; + + endproperty + not_busy_addr_mux_a: assert property(disable iff(!rst_n) not_busy_addr_mux_p); + + + +//If not busy and the previous cycle there isn't wr_op_sel then web takes wr_en_i + property not_busy_web_mux_p; + !wr_op_sel_i + ##1 !ecc_arith_unit.ecc_busy_s + |-> + ecc_arith_unit.web_mux_s == $past(wr_en_i); + endproperty + not_busy_web_mux_a: assert property(disable iff(!rst_n) not_busy_web_mux_p); + + + +//if not busy and in the previous clcok tick there is wr_en_i and no wr_op_sel then dinb takes data_i + property not_busy_dinb_mux_p; + wr_en_i && + !wr_op_sel_i + ##1 !ecc_arith_unit.ecc_busy_s + |-> + ecc_arith_unit.dinb_mux_s == REG_SIZE'($past(data_i)); + + endproperty + not_busy_dinb_mux_a: assert property(disable iff(!rst_n) not_busy_dinb_mux_p); + + +//If mod_q_sel is set then the prime should be selected as group order + property prime_selection_as_q_p; + ecc_arith_unit.ecc_instr_s.opcode.mod_q_sel + |-> + ecc_arith_unit.adder_prime == q_grouporder && + ecc_arith_unit.mult_mu == q_mu; + endproperty + prime_selection_as_q_a: assert property(disable iff(!rst_n)prime_selection_as_q_p); + +//If mod_q_sel is not set then the prime should be selected as the p (prime) + property prime_selection_as_p_p; + !ecc_arith_unit.ecc_instr_s.opcode.mod_q_sel + |-> + ecc_arith_unit.adder_prime == p_prime && + ecc_arith_unit.mult_mu == p_mu; + endproperty + prime_selection_as_p_a: assert property(disable iff(!rst_n)prime_selection_as_p_p); + +//If req_digit is set and no wr_en then the secret_key should be shifted + property req_digit_p; + ecc_arith_unit.req_digit && + !wr_en_i + |=> + ecc_arith_unit.secret_key == ($past({ecc_arith_unit.secret_key[(REG_SIZE+RND_SIZE)-2 : 0], ecc_arith_unit.secret_key[(REG_SIZE+RND_SIZE)-1]})); + endproperty + req_digit_a: assert property(disable iff(!rst_n)req_digit_p); + + +//If req_digit isn't set then and no wr_En then the secret_key should hold the previous value + property no_req_digit_p; + !ecc_arith_unit.req_digit && + !wr_en_i + |=> + ecc_arith_unit.secret_key == $past( ecc_arith_unit.secret_key); + endproperty + no_req_digit_a: assert property(disable iff(!rst_n) no_req_digit_p); + + +//If wr_en is set and the wr_op_Sel is set then secret_key should take the value of +//data_i and reg_dinb_r should hold the previous value + property wr_en_op_sel_p; + wr_en_i && + wr_op_sel_i + |=> + ecc_arith_unit.secret_key == $past(data_i)&& + ecc_arith_unit.reg_dinb_r == $past(ecc_arith_unit.reg_dinb_r); + endproperty + wr_en_op_sel_a: assert property(disable iff(!rst_n)wr_en_op_sel_p); + + +//If wr_en is set and the wr_op_Sel isn't set then secret_key shouldhold the previous value +//and reg_dinb_r should take the data_i + property wr_en_no_op_sel_p; + wr_en_i && + !wr_op_sel_i + |=> + ecc_arith_unit.secret_key == $past( ecc_arith_unit.secret_key) && + ecc_arith_unit.reg_dinb_r == $past(data_i[REG_SIZE-1 : 0]); + endproperty + wr_en_no_op_sel_a: assert property(disable iff(!rst_n)wr_en_no_op_sel_p); + + +//If no wr_En then reg_dinb_r should hold the previous value + property no_wr_en_i_p; + !wr_en_i + |=> + ecc_arith_unit.reg_dinb_r == $past(ecc_arith_unit.reg_dinb_r); + endproperty + no_wr_en_i_a: assert property(disable iff(!rst_n)no_wr_en_i_p); + + +//reg_addr_r always takes the addr_i + // Helper logic for reset reg to use in disable iff + logic fv_rst_n_reg; + always_ff @(posedge clk) begin + fv_rst_n_reg <= rst_n; + end + + property reg_addr_r_p; + ecc_arith_unit.reg_addr_r == $past(addr_i); + endproperty + reg_addr_r_a: assert property(disable iff(!rst_n || !fv_rst_n_reg)reg_addr_r_p); + + +//digit_in is always equal to the MSB bit of the secretkey, which when shifted becomes secret_key[0] + property digit_in_p; + ecc_arith_unit.digit_in == ecc_arith_unit.secret_key[0]; + endproperty + digit_in_a: assert property(disable iff(!rst_n)digit_in_p); + +endmodule + +bind ecc_arith_unit fv_ecc_arith_unit#( + .REG_SIZE(REG_SIZE), + .RND_SIZE(RND_SIZE), + .RADIX(RADIX), + .ADDR_WIDTH(ADDR_WIDTH), + .p_prime(p_prime), + .p_mu(p_mu), + .q_grouporder(q_grouporder), + .q_mu(q_mu) + ) + fv_ecc_arith_unit_inst ( + .clk(clk), + .rst_n(reset_n && !zeroize), + .ecc_cmd_i(ecc_cmd_i), + .sca_en_i(sca_en_i), + .addr_i(addr_i), + .wr_op_sel_i(wr_op_sel_i), + + .wr_en_i(wr_en_i), + .rd_reg_i(rd_reg_i), + .data_i(data_i), + .data_o(data_o), + .busy_o(busy_o) + ); \ No newline at end of file diff --git a/src/ecc/formal/properties/fv_ecc_dsa_ctrl.sv b/src/ecc/formal/properties/fv_ecc_dsa_ctrl.sv new file mode 100644 index 000000000..cf0a85a76 --- /dev/null +++ b/src/ecc/formal/properties/fv_ecc_dsa_ctrl.sv @@ -0,0 +1,1428 @@ +// ------------------------------------------------- +// Contact: contact@lubis-eda.com +// Author: Tobias Ludwig, Michael Schwarz +// ------------------------------------------------- +// SPDX-License-Identifier: Apache-2.0 +// +// Licensed under the Apache License, Version 2.0 (the "License"); +// you may not use this file except in compliance with the License. +// You may obtain a copy of the License at +// +// http://www.apache.org/licenses/LICENSE-2.0 +// +// Unless required by applicable law or agreed to in writing, software +// distributed under the License is distributed on an "AS IS" BASIS, +// WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +// See the License for the specific language governing permissions and +// limitations under the License. +// +module fv_ecc_dsa_ctrl_m + import ecc_params_pkg::*; + import ecc_dsa_uop_pkg::*; + import ecc_reg_pkg::*; + import kv_defines_pkg::*; + ( + // Clock and reset. + input logic clk, + input logic reset_n, + input logic cptra_pwrgood, + // Reg ports. + input ecc_reg__out_t hwif_out, + input ecc_reg__in_t hwif_in, //output + + // KV interface + input kv_read_t [1:0] kv_read, //output + input kv_write_t kv_write, //output + input kv_rd_resp_t [1:0] kv_rd_resp, + input kv_wr_resp_t kv_wr_resp, + + //PCR Signing + input pcr_signing_t pcr_signing_data, + + // Interrupts (from ecc_reg) + input logic error_intr, //output + input logic notif_intr, //output + input logic debugUnlock_or_scan_mode_switch + ); + + localparam CYC_CNT = 4; // Equivalent to cycle count in DUT + + default clocking default_clk @(posedge clk); endclocking + + + //////////////////////////////////////////// + // Helper logic used for defining zeroize + //////////////////////////////////////////// + + logic fv_zeroize; + + always_comb begin: logic_zeroize + fv_zeroize = hwif_out.ECC_CTRL.ZEROIZE.value || debugUnlock_or_scan_mode_switch; + end + + //////////////////////////////////////////// + // Helper logic used in disabling the proofs + // once the error is set in the design + // then fv_error_set stays asserted until reset_n or zeroize + //////////////////////////////////////////// + + logic fv_error_set, fv_error; + always_ff @(posedge clk, negedge reset_n) begin + if(!reset_n) begin + fv_error_set <= 0; + end + else if(fv_zeroize) begin + fv_error_set <= 0; + end + else begin + if(ecc_dsa_ctrl.error_flag) begin + fv_error_set <= 1; + end + end + end + + + //////////////////////////////////////////// + // Helper logic for write_reg look up + + logic [(REG_SIZE+RND_SIZE)-1 : 0] fv_write_reg; + logic [DSA_OPR_ADDR_WIDTH-1:0] fv_reg_id; + + assign fv_reg_id = ecc_dsa_ctrl.prog_instr.reg_id; + + always_comb begin: fv_write_reg_logic + fv_write_reg = '0; + if(ecc_dsa_ctrl.prog_instr.opcode == DSA_UOP_WR_CORE) begin + unique casez (fv_reg_id) + CONST_ZERO_ID : fv_write_reg = {ecc_dsa_ctrl.zero_pad, ZERO_CONST}; + CONST_ONE_ID : fv_write_reg = {ecc_dsa_ctrl.zero_pad, ONE_CONST}; + CONST_E_a_MONT_ID : fv_write_reg = {ecc_dsa_ctrl.zero_pad, E_a_MONT}; + CONST_E_b_MONT_ID : fv_write_reg = {ecc_dsa_ctrl.zero_pad, E_b_MONT}; + CONST_E_3b_MONT_ID : fv_write_reg = {ecc_dsa_ctrl.zero_pad, E_3b_MONT}; + CONST_ONE_p_MONT_ID : fv_write_reg = {ecc_dsa_ctrl.zero_pad, ONE_p_MONT}; + CONST_R2_p_MONT_ID : fv_write_reg = {ecc_dsa_ctrl.zero_pad, R2_p_MONT}; + CONST_G_X_MONT_ID : fv_write_reg = {ecc_dsa_ctrl.zero_pad, G_X_MONT}; + CONST_G_Y_MONT_ID : fv_write_reg = {ecc_dsa_ctrl.zero_pad, G_Y_MONT}; + CONST_R2_q_MONT_ID : fv_write_reg = {ecc_dsa_ctrl.zero_pad, R2_q_MONT}; + CONST_ONE_q_MONT_ID : fv_write_reg = {ecc_dsa_ctrl.zero_pad, ONE_q_MONT}; + MSG_ID : fv_write_reg = {ecc_dsa_ctrl.zero_pad, ecc_dsa_ctrl.msg_reduced_reg}; + PRIVKEY_ID : fv_write_reg = {ecc_dsa_ctrl.zero_pad, ecc_dsa_ctrl.privkey_reg}; + PUBKEYX_ID : fv_write_reg = {ecc_dsa_ctrl.zero_pad, ecc_dsa_ctrl.pubkeyx_reg}; + PUBKEYY_ID : fv_write_reg = {ecc_dsa_ctrl.zero_pad, ecc_dsa_ctrl.pubkeyy_reg}; + R_ID : fv_write_reg = {ecc_dsa_ctrl.zero_pad, ecc_dsa_ctrl.r_reg}; + S_ID : fv_write_reg = {ecc_dsa_ctrl.zero_pad, ecc_dsa_ctrl.s_reg}; + SCALAR_G_ID : fv_write_reg = {ecc_dsa_ctrl.zero_pad, ecc_dsa_ctrl.scalar_G_reg}; + LAMBDA_ID : fv_write_reg = {ecc_dsa_ctrl.zero_pad, ecc_dsa_ctrl.lambda_reg}; + MASKING_ID : fv_write_reg = {ecc_dsa_ctrl.zero_pad, ecc_dsa_ctrl.masking_rnd_reg}; + default : fv_write_reg = '0; + endcase + end + else if(ecc_dsa_ctrl.prog_instr.opcode == DSA_UOP_WR_SCALAR) begin + unique casez (fv_reg_id) + SCALAR_G_ID : fv_write_reg = (ecc_dsa_ctrl.scalar_G_reg << RND_SIZE); + SCALAR_PK_ID : fv_write_reg = (ecc_dsa_ctrl.scalar_PK_reg << RND_SIZE); + SCALAR_ID : fv_write_reg = ecc_dsa_ctrl.scalar_out_reg; // SCA + default : fv_write_reg = '0; + endcase + end + + end + + + //////////////////////////////////////////// + // Helper logic for read_reg look up + + logic [DSA_PROG_ADDR_W-1 : 0] fv_prog_cntr_reg; + logic fv_hw_privkey_we; + logic fv_hw_pubkeyx_we; + logic fv_hw_pubkeyy_we; + logic fv_hw_r_we; + logic fv_hw_s_we; + logic fv_hw_scalar_G_we ; + logic fv_hw_scalar_PK_we; + logic fv_hw_verify_r_we; + logic fv_hw_pk_chk_we; + + always_ff @(posedge clk) begin + fv_prog_cntr_reg <= ecc_dsa_ctrl.prog_cntr; + end + + always_comb begin: wr_en_logic + fv_hw_privkey_we = 0; + fv_hw_pubkeyx_we = 0; + fv_hw_pubkeyy_we = 0; + fv_hw_r_we = 0; + fv_hw_s_we = 0; + fv_hw_scalar_G_we = 0; + fv_hw_scalar_PK_we = 0; + fv_hw_verify_r_we = 0; + fv_hw_pk_chk_we = 0; + if (ecc_dsa_ctrl.prog_instr.opcode == DSA_UOP_RD_CORE && fv_prog_cntr_reg!= ecc_dsa_ctrl.prog_cntr) begin + unique casez (fv_reg_id) + PRIVKEY_ID : fv_hw_privkey_we = 1; + PUBKEYX_ID : fv_hw_pubkeyx_we = 1; + PUBKEYY_ID : fv_hw_pubkeyy_we = 1; + R_ID : fv_hw_r_we = 1; + S_ID : fv_hw_s_we = 1; + SCALAR_G_ID : fv_hw_scalar_G_we = 1; + SCALAR_PK_ID : fv_hw_scalar_PK_we = 1; + VERIFY_R_ID : fv_hw_verify_r_we = 1; + PK_VALID_ID : fv_hw_pk_chk_we = 1; + default : + begin + fv_hw_privkey_we = 0; + fv_hw_pubkeyx_we = 0; + fv_hw_pubkeyy_we = 0; + fv_hw_r_we = 0; + fv_hw_s_we = 0; + fv_hw_scalar_G_we = 0; + fv_hw_scalar_PK_we = 0; + fv_hw_verify_r_we = 0; + fv_hw_pk_chk_we = 0; + end + endcase + end + end + + sequence reset_sequence; + (!reset_n || fv_zeroize) ##1 reset_n && !fv_zeroize; + endsequence + + + //////////////////////////////////////////// + // reset property, when reset all the o/p // + // are zero // + //////////////////////////////////////////// + + property reset_p; + $past(!reset_n || fv_zeroize) + |-> + + ecc_dsa_ctrl.prog_cntr == DSA_RESET && + ecc_dsa_ctrl.cycle_cnt == '0 && + ecc_dsa_ctrl.pm_cmd_reg == '0 && + hwif_in.ECC_STATUS.VALID.next == '0 && + ecc_dsa_ctrl.scalar_G_sel == '0 && + ecc_dsa_ctrl.hmac_mode == '0 && + ecc_dsa_ctrl.hmac_init == '0 && + ecc_dsa_ctrl.scalar_sca_en == '0 && + ecc_dsa_ctrl.keygen_process == '0 && + ecc_dsa_ctrl.signing_process == '0 && + ecc_dsa_ctrl.scalar_G_reg == '0 && + ecc_dsa_ctrl.scalar_PK_reg == '0 && + ecc_dsa_ctrl.pk_chk_reg == '0 && + ecc_dsa_ctrl.kv_reg == '0 && + ecc_dsa_ctrl.scalar_in_reg == '0 && + ecc_dsa_ctrl.verifying_process == '0 && + ecc_dsa_ctrl.kv_read_data_present == '0; + endproperty + + reset_a : assert property(reset_p); + + + //////////////////////////////////////////// + // zeroize property, when set the resp. o/p // + // are zero // + //////////////////////////////////////////// + + + property zeroize_p(word); + (fv_zeroize) + |-> + hwif_in.ECC_PRIVKEY_IN[word].PRIVKEY_IN.hwclr && + hwif_in.ECC_PRIVKEY_OUT[word].PRIVKEY_OUT.hwclr && + hwif_in.ECC_NONCE[word].NONCE.hwclr && + hwif_in.ECC_MSG[word].MSG.hwclr && + hwif_in.ECC_PUBKEY_X[word].PUBKEY_X.hwclr && + hwif_in.ECC_PUBKEY_Y[word].PUBKEY_Y.hwclr && + hwif_in.ECC_SIGN_R[word].SIGN_R.hwclr && + hwif_in.ECC_SIGN_S[word].SIGN_S.hwclr && + hwif_in.ECC_VERIFY_R[word].VERIFY_R.hwclr && + hwif_in.ECC_IV[word].IV.hwclr && + hwif_in.ECC_SEED[word].SEED.hwclr ; + endproperty + + + property no_zeroize_p(word); + !(fv_zeroize) + |-> + ! hwif_in.ECC_PRIVKEY_IN[word].PRIVKEY_IN.hwclr && + ! hwif_in.ECC_PRIVKEY_OUT[word].PRIVKEY_OUT.hwclr && + ! hwif_in.ECC_NONCE[word].NONCE.hwclr && + ! hwif_in.ECC_MSG[word].MSG.hwclr && + ! hwif_in.ECC_PUBKEY_X[word].PUBKEY_X.hwclr && + ! hwif_in.ECC_PUBKEY_Y[word].PUBKEY_Y.hwclr && + ! hwif_in.ECC_SIGN_R[word].SIGN_R.hwclr && + ! hwif_in.ECC_VERIFY_R[word].VERIFY_R.hwclr && + ! hwif_in.ECC_IV[word].IV.hwclr; + endproperty + + + // seed clr when there isn't any zeroize depends on the keyvault read status + property no_zeroize_seed_clr_p(word); + !(fv_zeroize) + |-> + hwif_in.ECC_SEED[word].SEED.hwclr == (ecc_dsa_ctrl.privkey_out_we && ecc_dsa_ctrl.kv_read_data_present); + endproperty + + for(genvar i=0 ;i< REG_NUM_DWORDS;i++) begin + no_zeroize_a: assert property(no_zeroize_p(i)); + zeroize_a: assert property(zeroize_p(i)); + no_zeroize_seed_clr_a: assert property(disable iff(fv_error_set)no_zeroize_seed_clr_p(i)); + end + + + + + // Store constant values once the reset or zeorize triggered. + + + property store_const_after_reset_p; + ecc_dsa_ctrl.prog_cntr == DSA_RESET + |-> + ##CYC_CNT ecc_dsa_ctrl.prog_cntr == 1 + ##CYC_CNT ecc_dsa_ctrl.prog_cntr == 2 + ##CYC_CNT ecc_dsa_ctrl.prog_cntr == 3 + ##CYC_CNT ecc_dsa_ctrl.prog_cntr == 4 + ##CYC_CNT ecc_dsa_ctrl.prog_cntr == 5 + ##CYC_CNT ecc_dsa_ctrl.prog_cntr == 6 + ##CYC_CNT ecc_dsa_ctrl.prog_cntr == 7 + ##CYC_CNT ecc_dsa_ctrl.prog_cntr == 8 + ##CYC_CNT ecc_dsa_ctrl.prog_cntr == 9 + ##CYC_CNT ecc_dsa_ctrl.prog_cntr == 10 + ##CYC_CNT ecc_dsa_ctrl.prog_cntr == 11; + endproperty + store_const_after_reset_a: assert property(disable iff(!reset_n || fv_zeroize || fv_error_set)store_const_after_reset_p); + + + property store_const_end_p; + (ecc_dsa_ctrl.prog_cntr == 11) [*CYC_CNT] + |=> + ecc_dsa_ctrl.prog_cntr == DSA_NOP; + endproperty + + store_const_end_a: assert property(disable iff(!reset_n || fv_zeroize || fv_error_set)store_const_end_p); + + + // Before start of operations these constants are stored and stays stable until unless reset or zeroize + property stable_const_mem_p; + ecc_dsa_ctrl.prog_cntr >= DSA_NOP + |-> + $stable(ecc_dsa_ctrl.ecc_arith_unit_i.ram_tdp_file_i.mem[0]) && + $stable(ecc_dsa_ctrl.ecc_arith_unit_i.ram_tdp_file_i.mem[1]) && + $stable(ecc_dsa_ctrl.ecc_arith_unit_i.ram_tdp_file_i.mem[2]) && + $stable(ecc_dsa_ctrl.ecc_arith_unit_i.ram_tdp_file_i.mem[3]) && + $stable(ecc_dsa_ctrl.ecc_arith_unit_i.ram_tdp_file_i.mem[4]) && + $stable(ecc_dsa_ctrl.ecc_arith_unit_i.ram_tdp_file_i.mem[5]) && + $stable(ecc_dsa_ctrl.ecc_arith_unit_i.ram_tdp_file_i.mem[6]) && + $stable(ecc_dsa_ctrl.ecc_arith_unit_i.ram_tdp_file_i.mem[7]) && + $stable(ecc_dsa_ctrl.ecc_arith_unit_i.ram_tdp_file_i.mem[28]) && + $stable(ecc_dsa_ctrl.ecc_arith_unit_i.ram_tdp_file_i.mem[29]); + endproperty + stable_const_mem_a: assert property(disable iff(!reset_n || fv_zeroize || fv_error_set)stable_const_mem_p); + + +///////////////////////////////////////////////////////////////////////////////////// +// ---------------------------------BEGIN----------------------------------------- // +// Checking the counter integrity that the sequence is performed one after another // +///////////////////////////////////////////////////////////////////////////////////// + + logic [DSA_PROG_ADDR_W-1 : 0] counter_const_a, counter_const_b; + logic triggered_counter_const_a,triggered_counter_const_b; + + + counter_const_a_assume: assume property(disable iff(!reset_n || fv_zeroize) (counter_const_a >=0) && (counter_const_a <=DSA_NOP) && $stable(counter_const_a)); + counter_const_b_assume: assume property(disable iff(!reset_n || fv_zeroize) (counter_const_b <=DSA_NOP) && (counter_const_b > counter_const_a) && $stable(counter_const_b)); + + + always_ff @(posedge clk, negedge reset_n) begin + if(!reset_n ||fv_zeroize ) begin + triggered_counter_const_a <= 0; + triggered_counter_const_b <= 0; + end + else begin + + if(ecc_dsa_ctrl.prog_cntr==counter_const_a) + triggered_counter_const_a <=1; + if (ecc_dsa_ctrl.prog_cntr==counter_const_b) + triggered_counter_const_b <= 1; + end + end + + + property counter_const_liveness_p(trigered); + ecc_dsa_ctrl.prog_cntr == DSA_RESET && + !(ecc_dsa_ctrl.error_flag_edge) && + !(ecc_dsa_ctrl.subcomponent_busy) + |-> + s_eventually(trigered); + endproperty + + counter_const_a_liveness_a: assert property(disable iff(!reset_n || fv_zeroize|| fv_error_set)counter_const_liveness_p(triggered_counter_const_a)); + counter_const_b_liveness_a: assert property(disable iff(!reset_n || fv_zeroize|| fv_error_set) counter_const_liveness_p(triggered_counter_const_b)); + + + property order_check_p(triggered_a,triggered_b); + triggered_b + |=> + $past(triggered_a); + endproperty + counter_integrity_const_a: assert property(disable iff(!reset_n || fv_zeroize || fv_error_set) order_check_p(triggered_counter_const_a,triggered_counter_const_b)); + + + + +///////////////////////////////////////////////////////////////////////////////////// +// ------------------------------------END---------------------------------------- // +///////////////////////////////////////////////////////////////////////////////////// + + +`ifdef REDUCED_PM_CTRL + + // This property works on the reduced version of the pm_ctrl + property keygen_sequence_p; + hwif_out.ECC_CTRL.CTRL.value == KEYGEN && + ecc_dsa_ctrl.prog_cntr == DSA_NOP && + !(ecc_dsa_ctrl.error_flag_edge) && + !(ecc_dsa_ctrl.subcomponent_busy) + |=> + ecc_dsa_ctrl.prog_cntr == DSA_KG_S + ##4 ecc_dsa_ctrl.prog_cntr == DSA_KG_S+ 1 + ##2 ecc_dsa_ctrl.prog_cntr == DSA_KG_S+ 2 + ##4 ecc_dsa_ctrl.prog_cntr == DSA_KG_S+ 3 + ##4 ecc_dsa_ctrl.prog_cntr == DSA_KG_S+ 4 + ##4 ecc_dsa_ctrl.prog_cntr == DSA_KG_S+ 5 + ##2 ecc_dsa_ctrl.prog_cntr == DSA_KG_S+ 6 + ##4 ecc_dsa_ctrl.prog_cntr == DSA_KG_S+ 7 + ##4 ecc_dsa_ctrl.prog_cntr == DSA_KG_S+ 8 + ##4 ecc_dsa_ctrl.prog_cntr == DSA_KG_S+ 9 + ##26 ecc_dsa_ctrl.prog_cntr == DSA_KG_S+ 10 + ##4 ecc_dsa_ctrl.prog_cntr == DSA_KG_S+ 11 + ##4 ecc_dsa_ctrl.prog_cntr == DSA_KG_S+ 12 + ; + endproperty + + keygen_sequence_a: assert property(disable iff(!reset_n || fv_zeroize || fv_error_set)keygen_sequence_p); + `endif + + + // Proves the liveliness that once the cmd is triggered eventually it would have a valid + property sequence_valid_p(cmd); + hwif_out.ECC_CTRL.CTRL.value == cmd && + ecc_dsa_ctrl.prog_cntr == DSA_NOP && + !(ecc_dsa_ctrl.error_flag_edge) && + !(ecc_dsa_ctrl.subcomponent_busy) + |-> + s_eventually(hwif_in.ECC_STATUS.VALID.next); + endproperty + + keygen_sequence_valid_a: assert property(disable iff(!reset_n || fv_zeroize || fv_error_set)sequence_valid_p(KEYGEN)); + signing_sequence_valid_a: assert property(disable iff(!reset_n || fv_zeroize || fv_error_set)sequence_valid_p(SIGN)); + verify_sequence_valid_a: assert property(disable iff(!reset_n || fv_zeroize || fv_error_set)sequence_valid_p(VERIFY)); + + + // At the last step of the cmd sequence, the valid signal should be asserted + property valid_set_end_seq_p(end_st); + ecc_dsa_ctrl.prog_cntr == end_st + ##1 ecc_dsa_ctrl.prog_cntr != fv_prog_cntr_reg + |-> + hwif_in.ECC_STATUS.VALID.next; + endproperty + keygen_valid_a: assert property(disable iff(!reset_n || fv_zeroize || fv_error_set)valid_set_end_seq_p(DSA_KG_E)); + signing_valid_a: assert property(disable iff(!reset_n || fv_zeroize || fv_error_set)valid_set_end_seq_p(DSA_SGN_E)); + verify_valid_a: assert property(disable iff(!reset_n || fv_zeroize || fv_error_set)valid_set_end_seq_p(DSA_VER_E)); + + + //If the prog_cntr isn't in last step of the sequences and the DSA_NOP then valid should be deasserted + property no_valid_p; + (ecc_dsa_ctrl.prog_cntr != DSA_KG_E && + ecc_dsa_ctrl.prog_cntr != DSA_SGN_E && + ecc_dsa_ctrl.prog_cntr != DSA_VER_E && + ecc_dsa_ctrl.prog_cntr != DSA_NOP) //Review: After the completion of seq. if no new input is set then the valid stays + |=> + !hwif_in.ECC_STATUS.VALID.next; + endproperty + no_valid_a: assert property(disable iff(!reset_n || fv_zeroize || fv_error_set)no_valid_p); + + // TODO: ready and valid together + +///////////////////////////////////////////////////////////////////////////////////// +// ---------------------------------BEGIN----------------------------------------- // +// Checking the counter integrity that the sequence is performed one after another // +///////////////////////////////////////////////////////////////////////////////////// + + logic [DSA_PROG_ADDR_W-1 : 0] counter_keygen_a, counter_keygen_b; + logic triggered_counter_keygen_a,triggered_counter_keygen_b; + + + counter_keygen_a_assume: assume property(disable iff(!reset_n || fv_zeroize) (counter_keygen_a >=DSA_KG_S) && (counter_keygen_a <=DSA_KG_E) && $stable(counter_keygen_a)); + counter_keygen_b_assume: assume property(disable iff(!reset_n || fv_zeroize) (counter_keygen_b <=DSA_KG_E) && (counter_keygen_b > counter_keygen_a) && $stable(counter_keygen_b)); + + + always_ff @(posedge clk, negedge reset_n) begin + if(!reset_n ||fv_zeroize ) begin + triggered_counter_keygen_a <= 0; + triggered_counter_keygen_b <= 0; + end + else begin + + if(ecc_dsa_ctrl.prog_cntr==counter_keygen_a) + triggered_counter_keygen_a <=1; + if (ecc_dsa_ctrl.prog_cntr==counter_keygen_b) + triggered_counter_keygen_b <= 1; + end + end + + property counter_liveness_p(cmd,trigered); + hwif_out.ECC_CTRL.CTRL.value == cmd && + ecc_dsa_ctrl.prog_cntr == DSA_NOP && + !(ecc_dsa_ctrl.error_flag_edge) && + !(ecc_dsa_ctrl.subcomponent_busy) + |-> + s_eventually(trigered); + endproperty + + counter_keygen_a_liveness_a: assert property(disable iff(!reset_n || fv_zeroize || fv_error_set)counter_liveness_p(KEYGEN,triggered_counter_keygen_a)); + counter_keygen_b_liveness_a: assert property(disable iff(!reset_n || fv_zeroize || fv_error_set) counter_liveness_p(KEYGEN,triggered_counter_keygen_b)); + + counter_keygen_integrity_a: assert property(disable iff(!reset_n || fv_zeroize || fv_error_set) order_check_p(triggered_counter_keygen_a,triggered_counter_keygen_b)); + + + + +///////////////////////////////////////////////////////////////////////////////////// +// ------------------------------------END---------------------------------------- // +///////////////////////////////////////////////////////////////////////////////////// + + +`ifdef REDUCED_PM_CTRL + // This property works on the reduced version of the pm_ctrl + property signing_sequence_p; + hwif_out.ECC_CTRL.CTRL.value == SIGN && + ecc_dsa_ctrl.prog_cntr == DSA_NOP && + !(ecc_dsa_ctrl.error_flag_edge) && + !(ecc_dsa_ctrl.subcomponent_busy) + |=> + ecc_dsa_ctrl.prog_cntr == DSA_SGN_S + ##4 ecc_dsa_ctrl.prog_cntr == DSA_SGN_S+ 1 + ##4 ecc_dsa_ctrl.prog_cntr == DSA_SGN_S+ 2 + ##4 ecc_dsa_ctrl.prog_cntr == DSA_SGN_S+ 3 + ##2 ecc_dsa_ctrl.prog_cntr == DSA_SGN_S+ 4 + ##4 ecc_dsa_ctrl.prog_cntr == DSA_SGN_S+ 5 + ##4 ecc_dsa_ctrl.prog_cntr == DSA_SGN_S+ 6 + ##2 ecc_dsa_ctrl.prog_cntr == DSA_SGN_S+ 7 + ##4 ecc_dsa_ctrl.prog_cntr == DSA_SGN_S+ 8 + ##4 ecc_dsa_ctrl.prog_cntr == DSA_SGN_S+ 9 + ##4 ecc_dsa_ctrl.prog_cntr == DSA_SGN_S+ 10 + ##4 ecc_dsa_ctrl.prog_cntr == DSA_SGN_S+ 11 + ##39 ecc_dsa_ctrl.prog_cntr == DSA_SGN_S+ 12 + ##4 ecc_dsa_ctrl.prog_cntr == DSA_SGN_S+ 13 + ##4 ecc_dsa_ctrl.prog_cntr == DSA_SGN_S+ 14 + ; + endproperty + + signing_sequence_a: assert property(disable iff(!reset_n || fv_zeroize || ecc_dsa_ctrl.error_flag_edge)signing_sequence_p); +`endif + + + + + +///////////////////////////////////////////////////////////////////////////////////// +// ---------------------------------BEGIN----------------------------------------- // +// Checking the counter integrity that the sequence is performed one after another // +///////////////////////////////////////////////////////////////////////////////////// + + logic [DSA_PROG_ADDR_W-1 : 0] counter_sign_a, counter_sign_b; + logic triggered_counter_sign_a,triggered_counter_sign_b; + + + counter_sign_a_assume: assume property(disable iff(!reset_n || fv_zeroize) (counter_sign_a >=DSA_SGN_S) && (counter_sign_a <=DSA_SGN_E) && $stable(counter_sign_a)); + counter_sign_b_assume: assume property(disable iff(!reset_n || fv_zeroize) (counter_sign_b <=DSA_SGN_E) && (counter_sign_b > counter_sign_a) && $stable(counter_sign_b)); + + always_ff @(posedge clk, negedge reset_n) begin + if(!reset_n || fv_zeroize ) begin + triggered_counter_sign_a <= 0; + triggered_counter_sign_b <= 0; + end + else begin + + if(ecc_dsa_ctrl.prog_cntr==counter_sign_a) + triggered_counter_sign_a <=1; + if (ecc_dsa_ctrl.prog_cntr==counter_sign_b) + triggered_counter_sign_b <= 1; + end + end + + + counter_sign_a_liveness_a: assert property(disable iff(!reset_n || fv_zeroize || fv_error_set)counter_liveness_p(SIGN,triggered_counter_sign_a)); + counter_sign_b_liveness_a: assert property(disable iff(!reset_n || fv_zeroize|| fv_error_set) counter_liveness_p(SIGN,triggered_counter_sign_b)); + + counter_integrity_sign_a: assert property(disable iff(!reset_n || fv_zeroize|| fv_error_set) order_check_p(triggered_counter_sign_a,triggered_counter_sign_b)); + + + + +///////////////////////////////////////////////////////////////////////////////////// +// ------------------------------------END---------------------------------------- // +///////////////////////////////////////////////////////////////////////////////////// + + `ifdef REDUCED_PM_CTRL + // This property works on the reduced version of the pm_ctrl + property verify_sequence_p; + hwif_out.ECC_CTRL.CTRL.value == VERIFY && + ecc_dsa_ctrl.prog_cntr == DSA_NOP && + !(ecc_dsa_ctrl.error_flag_edge) && + !(ecc_dsa_ctrl.subcomponent_busy) + |=> + ecc_dsa_ctrl.prog_cntr == DSA_VER_S + ##4 ecc_dsa_ctrl.prog_cntr == DSA_VER_S+ 1 + ##4 ecc_dsa_ctrl.prog_cntr == DSA_VER_S+ 2 + ##4 ecc_dsa_ctrl.prog_cntr == DSA_VER_S+ 3 + ##4 ecc_dsa_ctrl.prog_cntr == DSA_VER_S+ 4 + ##42 ecc_dsa_ctrl.prog_cntr == DSA_VER_S+ 5 + ##4 ecc_dsa_ctrl.prog_cntr == DSA_VER_S+ 6 + ##4 ecc_dsa_ctrl.prog_cntr == DSA_VER_S+ 7 + ##4 ecc_dsa_ctrl.prog_cntr == DSA_VER_S+ 8 + ##4 ecc_dsa_ctrl.prog_cntr == DSA_VER_S+ 9 + ##4 ecc_dsa_ctrl.prog_cntr == DSA_VER_S+ 10 + ##4 ecc_dsa_ctrl.prog_cntr == DSA_VER_S+ 11 + ##14 ecc_dsa_ctrl.prog_cntr == DSA_VER_S+ 12 + ##4 ecc_dsa_ctrl.prog_cntr == DSA_VER_S+ 13 + ##4 ecc_dsa_ctrl.prog_cntr == DSA_VER_S+ 14 + ##4 ecc_dsa_ctrl.prog_cntr == DSA_VER_S+ 15 + ##4 ecc_dsa_ctrl.prog_cntr == DSA_VER_S+ 16 + ##18 ecc_dsa_ctrl.prog_cntr == DSA_VER_S+ 17 + ##4 ecc_dsa_ctrl.prog_cntr == DSA_VER_S+ 18 + ##4 ecc_dsa_ctrl.prog_cntr == DSA_VER_S+ 19 + ##4 ecc_dsa_ctrl.prog_cntr == DSA_VER_S+ 20 + ##4 ecc_dsa_ctrl.prog_cntr == DSA_VER_S+ 21 + ##34 ecc_dsa_ctrl.prog_cntr == DSA_VER_S+ 22 + ##4 ecc_dsa_ctrl.prog_cntr == DSA_VER_S+ 23 + ; + endproperty + + verify_sequence_a: assert property(disable iff(!reset_n || fv_zeroize || fv_error_set)verify_sequence_p); +`endif + + +///////////////////////////////////////////////////////////////////////////////////// +// ---------------------------------BEGIN----------------------------------------- // +// Checking the counter integrity that the sequence is performed one after another // +///////////////////////////////////////////////////////////////////////////////////// + + logic [DSA_PROG_ADDR_W-1 : 0] counter_verify_a, counter_verify_b; + logic triggered_counter_verify_a,triggered_counter_verify_b; + + + counter_verify_a_assume: assume property(disable iff(!reset_n || fv_zeroize) (counter_verify_a >=DSA_SGN_S) && (counter_verify_a <=DSA_SGN_E) && $stable(counter_verify_a)); + counter_verify_b_assume: assume property(disable iff(!reset_n || fv_zeroize) (counter_verify_b <=DSA_SGN_E) && (counter_verify_b > counter_verify_a) && $stable(counter_verify_b)); + + always_ff @(posedge clk, negedge reset_n) begin + if(!reset_n ||fv_zeroize ) begin + triggered_counter_verify_a <= 0; + triggered_counter_verify_b <= 0; + end + else begin + + if(ecc_dsa_ctrl.prog_cntr==counter_verify_a) + triggered_counter_verify_a <=1; + if (ecc_dsa_ctrl.prog_cntr==counter_verify_b) + triggered_counter_verify_b <= 1; + end + end + + + counter_verify_a_liveness_a: assert property(disable iff(!reset_n || fv_zeroize || fv_error_set)counter_liveness_p(VERIFY,triggered_counter_verify_a)); + counter_verify_b_liveness_a: assert property(disable iff(!reset_n || fv_zeroize || fv_error_set) counter_liveness_p(VERIFY,triggered_counter_verify_b)); + + counter_integrity_verify_a: assert property(disable iff(!reset_n || fv_zeroize || fv_error_set) order_check_p(triggered_counter_verify_a,triggered_counter_verify_b)); + + + + +///////////////////////////////////////////////////////////////////////////////////// +// ------------------------------------END---------------------------------------- // +///////////////////////////////////////////////////////////////////////////////////// + + + + + + + //---------------------------------------------------------------------// + // Primary output // + //---------------------------------------------------------------------// + + + //Primary outputs connect to primary inputs + property output_directconnection_input_p; + hwif_in.reset_b == reset_n && + hwif_in.hard_reset_b == cptra_pwrgood && + hwif_in.ECC_NAME[0].NAME.next == ECC_CORE_NAME[31 : 0] && + hwif_in.ECC_NAME[1].NAME.next == ECC_CORE_NAME[63 : 32] && + hwif_in.ECC_VERSION[0].VERSION.next == ECC_CORE_VERSION[31 : 0] && + hwif_in.ECC_VERSION[1].VERSION.next == ECC_CORE_VERSION[63 : 32] && + error_intr == hwif_out.intr_block_rf.error_global_intr_r.intr && + notif_intr == hwif_out.intr_block_rf.notif_global_intr_r.intr && + hwif_in.ECC_CTRL.CTRL.hwclr == |hwif_out.ECC_CTRL.CTRL.value && + hwif_in.ECC_CTRL.PCR_SIGN.hwclr == hwif_out.ECC_CTRL.PCR_SIGN.value; + endproperty + + output_directconnection_input_a: assert property(output_directconnection_input_p); + + + // Primary outputs connected to the submodule outputs, here it is read_reg + property output_connectedto_submodules_p(word); + hwif_in.ECC_PRIVKEY_OUT[word].PRIVKEY_OUT.next == ecc_dsa_ctrl.read_reg[(REG_NUM_DWORDS-1)- word]&& + hwif_in.ECC_SEED[word].SEED.next == ecc_dsa_ctrl.kv_seed_write_data && + hwif_in.ECC_MSG[word].MSG.next == pcr_signing_data.pcr_hash[word] && + hwif_in.ECC_PUBKEY_Y[word].PUBKEY_Y.next == ecc_dsa_ctrl.read_reg[(REG_NUM_DWORDS-1)- word] && + hwif_in.ECC_SIGN_R[word].SIGN_R.next == ecc_dsa_ctrl.read_reg[(REG_NUM_DWORDS-1)- word] && + hwif_in.ECC_SIGN_S[word].SIGN_S.next == ecc_dsa_ctrl.read_reg[(REG_NUM_DWORDS-1)- word] && + hwif_in.ECC_VERIFY_R[word].VERIFY_R.next == ecc_dsa_ctrl.read_reg[(REG_NUM_DWORDS-1)- word] && + hwif_in.ECC_PUBKEY_X[word].PUBKEY_X.next == ecc_dsa_ctrl.read_reg[(REG_NUM_DWORDS-1)- word]; + endproperty + for(genvar i=0;i< REG_NUM_DWORDS;i++) begin + output_connectedto_submodules_a: assert property(output_connectedto_submodules_p(i)); + end + + + // If pcr sign is set then msg we and privkey_in we should be ! zeroize and privkey_in should take data from pcr_Signing + property pcr_sign_mode_p(word); + hwif_out.ECC_CTRL.PCR_SIGN.value + |-> + hwif_in.ECC_MSG[word].MSG.we == !(fv_zeroize) && + hwif_in.ECC_PRIVKEY_IN[word].PRIVKEY_IN.next == pcr_signing_data.pcr_signing_privkey[word] && + hwif_in.ECC_PRIVKEY_IN[word].PRIVKEY_IN.we == !(fv_zeroize) + ; + endproperty + + for(genvar i=0;i< REG_NUM_DWORDS;i++) begin + pcr_sign_mode_a: assert property(pcr_sign_mode_p(i)); + end + + + // If pcr sign isn't enabled then for msg no we and privkey_in is dependent on keyvault write_en + property no_pcr_sign_mode_p(word); + !hwif_out.ECC_CTRL.PCR_SIGN.value + |-> + !hwif_in.ECC_MSG[word].MSG.we && + hwif_in.ECC_PRIVKEY_IN[word].PRIVKEY_IN.next == (ecc_dsa_ctrl.kv_privkey_write_en ? ecc_dsa_ctrl.kv_privkey_write_data : ecc_dsa_ctrl.read_reg[(REG_NUM_DWORDS-1)-word] )&& + hwif_in.ECC_PRIVKEY_IN[word].PRIVKEY_IN.we == ((ecc_dsa_ctrl.kv_privkey_write_en & (ecc_dsa_ctrl.kv_privkey_write_offset == word)) & !(fv_zeroize)) + ; + endproperty + for(genvar i=0;i< REG_NUM_DWORDS;i++) begin + no_pcr_sign_mode_a: assert property(no_pcr_sign_mode_p(i)); + end + + + //If privkey_out i.e reading from the reg after the privkey computation and + //if the seed is not rom the keyvault then privkey_out we is equal to !zeroize + property privkey_out_we_p(word); + ecc_dsa_ctrl.privkey_out_we && + !(ecc_dsa_ctrl.dest_keyvault | ecc_dsa_ctrl.kv_read_data_present) + |-> + hwif_in.ECC_PRIVKEY_OUT[word].PRIVKEY_OUT.we == !(fv_zeroize); + endproperty + for(genvar i=0;i< REG_NUM_DWORDS;i++) begin + privkey_out_we_a: assert property(disable iff(fv_error_set) privkey_out_we_p(i)); + end + + + //If no privkey_out or keyvault is choose as desitination then prvkey_out we is deasserted + property no_privkey_out_we_p(word); + (!ecc_dsa_ctrl.privkey_out_we || + (ecc_dsa_ctrl.dest_keyvault | ecc_dsa_ctrl.kv_read_data_present)) + |-> + !hwif_in.ECC_PRIVKEY_OUT[word].PRIVKEY_OUT.we ; + endproperty + for(genvar i=0;i< REG_NUM_DWORDS;i++) begin + no_privkey_out_we_a: assert property(no_privkey_out_we_p(i)); + end + + + // if keyvault write is enabled and offset is equal to each word the seed we is equal to !zeroize + property seed_we_p(word); + (ecc_dsa_ctrl.kv_seed_write_en && + (ecc_dsa_ctrl.kv_seed_write_offset == word)) + |-> + hwif_in.ECC_SEED[word].SEED.we == !(fv_zeroize); + endproperty + for(genvar i=0;i< REG_NUM_DWORDS;i++) begin + seed_we_a: assert property(seed_we_p(i)); + end + + + // if keyvault write is not enabled and offset is not equal to each word then seed we is deasserted + property no_seed_we_p(word); + (!ecc_dsa_ctrl.kv_seed_write_en || + (ecc_dsa_ctrl.kv_seed_write_offset != word)) + |-> + !hwif_in.ECC_SEED[word].SEED.we; + endproperty + for(genvar i=0;i< REG_NUM_DWORDS;i++) begin + no_seed_we_a: assert property(no_seed_we_p(i)); + end + + + // Rest we are triggered with rd_core opcode and cycle_cnt=0 + property rd_core_we_p(word); + hwif_in.ECC_PUBKEY_X[word].PUBKEY_X.we == (fv_hw_pubkeyx_we & !(fv_zeroize)) && + hwif_in.ECC_PUBKEY_Y[word].PUBKEY_Y.we == (fv_hw_pubkeyy_we & !(fv_zeroize)) && + hwif_in.ECC_SIGN_S[word].SIGN_S.we == (fv_hw_s_we & !(fv_zeroize)) && + hwif_in.ECC_VERIFY_R[word].VERIFY_R.we == (fv_hw_verify_r_we & !(fv_zeroize)) && + hwif_in.ECC_SIGN_R[word].SIGN_R.we == (fv_hw_r_we & !(fv_zeroize)); + endproperty + for(genvar i=0;i< REG_NUM_DWORDS;i++) begin + rd_core_we_a: assert property(disable iff(fv_error_set)rd_core_we_p(i)); + end + + + // keyvault privkey read ctrl reg is connected to primary input kv_rd_pkey_ctrl + property kv_privkey_read_ctrl_reg_p; + ecc_dsa_ctrl.kv_privkey_read_ctrl_reg.rsvd == '0 && + ecc_dsa_ctrl.kv_privkey_read_ctrl_reg.pcr_hash_extend == hwif_out.ecc_kv_rd_pkey_ctrl.pcr_hash_extend.value && + ecc_dsa_ctrl.kv_privkey_read_ctrl_reg.read_entry == hwif_out.ecc_kv_rd_pkey_ctrl.read_entry.value && + ecc_dsa_ctrl.kv_privkey_read_ctrl_reg.read_en == hwif_out.ecc_kv_rd_pkey_ctrl.read_en.value; + endproperty + + kv_privkey_read_ctrl_reg_a: assert property(kv_privkey_read_ctrl_reg_p); + + + // keyvault seed read ctrl reg is connected to primary input kv_rd_seed_ctrl + property kv_seed_read_ctrl_reg_p; + ecc_dsa_ctrl.kv_seed_read_ctrl_reg.rsvd == '0 && + ecc_dsa_ctrl.kv_seed_read_ctrl_reg.pcr_hash_extend == hwif_out.ecc_kv_rd_seed_ctrl.pcr_hash_extend.value && + ecc_dsa_ctrl.kv_seed_read_ctrl_reg.read_entry == hwif_out.ecc_kv_rd_seed_ctrl.read_entry.value && + ecc_dsa_ctrl.kv_seed_read_ctrl_reg.read_en == hwif_out.ecc_kv_rd_seed_ctrl.read_en.value; + endproperty + + kv_seed_read_ctrl_reg_a: assert property(kv_seed_read_ctrl_reg_p); + + + // keyvault write ctrl reg is connected to primary input kv_wr_pkey_ctrl + property kv_write_ctrl_reg_p; + ecc_dsa_ctrl.kv_write_ctrl_reg.rsvd == '0 && + ecc_dsa_ctrl.kv_write_ctrl_reg.write_dest_vld[0] == hwif_out.ecc_kv_wr_pkey_ctrl.hmac_key_dest_valid.value && + ecc_dsa_ctrl.kv_write_ctrl_reg.write_dest_vld[1] == hwif_out.ecc_kv_wr_pkey_ctrl.hmac_block_dest_valid.value && + ecc_dsa_ctrl.kv_write_ctrl_reg.write_dest_vld[2] == hwif_out.ecc_kv_wr_pkey_ctrl.sha_block_dest_valid.value && + ecc_dsa_ctrl.kv_write_ctrl_reg.write_dest_vld[3] == hwif_out.ecc_kv_wr_pkey_ctrl.ecc_pkey_dest_valid.value && + ecc_dsa_ctrl.kv_write_ctrl_reg.write_dest_vld[4] == hwif_out.ecc_kv_wr_pkey_ctrl.ecc_seed_dest_valid.value && + ecc_dsa_ctrl.kv_write_ctrl_reg.write_entry == hwif_out.ecc_kv_wr_pkey_ctrl.write_entry.value && + ecc_dsa_ctrl.kv_write_ctrl_reg.write_en == hwif_out.ecc_kv_wr_pkey_ctrl.write_en.value; + endproperty + + kv_write_ctrl_reg_a: assert property(kv_write_ctrl_reg_p); + + + // kv_read data present stays asserted until privkey is generated if read_en of kv is set + property kv_read_data_present_p; + ecc_dsa_ctrl.kv_seed_read_ctrl_reg.read_en + |=> + ecc_dsa_ctrl.kv_read_data_present until_with ecc_dsa_ctrl.privkey_out_we; + endproperty + kv_read_data_present_a: assert property(disable iff(!reset_n || fv_zeroize) kv_read_data_present_p); + + + // Once kv_read_data_present is set then it deasserts if privkey is ready to be read and no new read_en + property no_kv_read_data_present_p; + ecc_dsa_ctrl.kv_read_data_present && + ecc_dsa_ctrl.privkey_out_we && + !ecc_dsa_ctrl.kv_seed_read_ctrl_reg.read_en //Review: Fails because if read_en is continously high then it won't be deasserted. + |=> + !ecc_dsa_ctrl.kv_read_data_present; + endproperty + no_kv_read_data_present_a: assert property(disable iff(!reset_n || fv_zeroize) no_kv_read_data_present_p); + + + // If privkey is ready to read and keyvault is choosen as destination then kv_reg will have the privkey + property kv_reg_p; + ecc_dsa_ctrl.privkey_out_we && + (ecc_dsa_ctrl.dest_keyvault | ecc_dsa_ctrl.kv_read_data_present) + |=> + ecc_dsa_ctrl.kv_reg == $past(ecc_dsa_ctrl.read_reg); + endproperty + + kv_reg_a: assert property(disable iff(!reset_n || fv_zeroize)kv_reg_p); + + + // kv_reg stays stable if privkey isn't ready or kv is not choosen as dest. + property stable_kv_reg_p; + !ecc_dsa_ctrl.privkey_out_we || + !(ecc_dsa_ctrl.dest_keyvault | ecc_dsa_ctrl.kv_read_data_present) + |=> + ecc_dsa_ctrl.kv_reg == $past(ecc_dsa_ctrl.kv_reg); + endproperty + + stable_kv_reg_a: assert property(disable iff(!reset_n || fv_zeroize)stable_kv_reg_p); + + + + // Primary outputs directly connected to kv(submodule) outputs + property primaryout_connected_to_kvout_p; + hwif_in.ecc_kv_rd_pkey_status.ERROR.next == ecc_dsa_ctrl.kv_privkey_error && + hwif_in.ecc_kv_rd_seed_status.ERROR.next == ecc_dsa_ctrl.kv_seed_error && + hwif_in.ecc_kv_wr_pkey_status.ERROR.next == ecc_dsa_ctrl.kv_write_error && + //ready when fsm is not busy + hwif_in.ecc_kv_rd_pkey_status.READY.next == ecc_dsa_ctrl.kv_privkey_ready && + hwif_in.ecc_kv_rd_seed_status.READY.next == ecc_dsa_ctrl.kv_seed_ready && + hwif_in.ecc_kv_wr_pkey_status.READY.next == ecc_dsa_ctrl.kv_write_ready && + //set valid when fsm is done + hwif_in.ecc_kv_rd_pkey_status.VALID.hwset == ecc_dsa_ctrl.kv_privkey_done && + hwif_in.ecc_kv_rd_seed_status.VALID.hwset == ecc_dsa_ctrl.kv_seed_done && + hwif_in.ecc_kv_wr_pkey_status.VALID.hwset == ecc_dsa_ctrl.kv_write_done && + //clear valid when new request is made + hwif_in.ecc_kv_rd_pkey_status.VALID.hwclr == hwif_out.ecc_kv_rd_pkey_ctrl.read_en.value && + hwif_in.ecc_kv_rd_seed_status.VALID.hwclr == hwif_out.ecc_kv_rd_seed_ctrl.read_en.value && + hwif_in.ecc_kv_wr_pkey_status.VALID.hwclr == hwif_out.ecc_kv_wr_pkey_ctrl.write_en.value && + //clear enable when busy + hwif_in.ecc_kv_rd_pkey_ctrl.read_en.hwclr == !ecc_dsa_ctrl.kv_privkey_ready && + hwif_in.ecc_kv_rd_seed_ctrl.read_en.hwclr == !ecc_dsa_ctrl.kv_seed_ready && + hwif_in.ecc_kv_wr_pkey_ctrl.write_en.hwclr == !ecc_dsa_ctrl.kv_write_ready; + + endproperty + + primaryout_connected_to_kvout_a: assert property(primaryout_connected_to_kvout_p); + + + // If pm_ctrl is not busy and prog_cntr is equal to DSA_NOP then ecc_ready to accept cmds + property ready_p; + !ecc_dsa_ctrl.pm_busy_o && + ecc_dsa_ctrl.prog_cntr == DSA_NOP + |-> + hwif_in.ECC_STATUS.READY.next && + hwif_in.ecc_ready; + endproperty + + ready_a: assert property(disable iff(!reset_n || fv_zeroize)ready_p); + + + // If pm_ctrl is busy or prog_cntr is in cmd execution or memory write steps then ecc isn't ready + property no_ready_p; + ecc_dsa_ctrl.pm_busy_o || + ecc_dsa_ctrl.prog_cntr != DSA_NOP + |-> + !hwif_in.ECC_STATUS.READY.next && + !hwif_in.ecc_ready; + endproperty + + no_ready_a: assert property(disable iff(!reset_n || fv_zeroize)no_ready_p); + + + + //-------------------------------------------------------// + // Notif interrupt and error sequences // + //-------------------------------------------------------// + + + logic [REG_NUM_DWORDS-1 : 0][RADIX-1:0] privkey_reg; + for(genvar i=0;i< REG_NUM_DWORDS;i++) begin + assign privkey_reg[i] = hwif_out.ECC_PRIVKEY_IN[(REG_NUM_DWORDS-1)-i].PRIVKEY_IN.value; + end + + // During sign subroutine if input privkey is zero or >= Group_order or while writing + // the outputs s and r are equal to zero error is triggered + property error_sign_p; + ((ecc_dsa_ctrl.prog_cntr <= DSA_SGN_E) && + (ecc_dsa_ctrl.prog_cntr >= DSA_SGN_S)) && + (((privkey_reg == '0) | (privkey_reg >= GROUP_ORDER)) || + (fv_hw_s_we &&(ecc_dsa_ctrl.read_reg==0)) || + (fv_hw_r_we &&(ecc_dsa_ctrl.read_reg==0))) + |=> + hwif_in.intr_block_rf.error_internal_intr_r.error_internal_sts.hwset; + endproperty + + error_sign_a: assert property(disable iff(!reset_n || fv_zeroize) error_sign_p); + + + // If keygen subroutine is the cmd then input cannot have pcr_sign, this results in error + property error_keygen_p; + (ecc_dsa_ctrl.cmd_reg== KEYGEN) && + hwif_out.ECC_CTRL.PCR_SIGN.value + |=> + hwif_in.intr_block_rf.error_internal_intr_r.error_internal_sts.hwset; + endproperty + + error_keygen_a: assert property(disable iff(!reset_n || fv_zeroize) error_keygen_p); + + + // During verifying subroutine if r and s inputs are equal to zero or greater than group order or + // the pubkey is greater than prime or + // If the cmd is just set as verify after reset then pcr_sign cannot be set along this results in error + property error_verify_p; + (((ecc_dsa_ctrl.prog_cntr <= DSA_VER_E) && + (ecc_dsa_ctrl.prog_cntr >= DSA_VER_S)) && + (((ecc_dsa_ctrl.r_reg == 0) || + (ecc_dsa_ctrl.r_reg >= GROUP_ORDER)) || + ((ecc_dsa_ctrl.s_reg == 0) || + (ecc_dsa_ctrl.s_reg >= GROUP_ORDER)) || + (ecc_dsa_ctrl.pubkeyx_reg >= PRIME) || + (ecc_dsa_ctrl.pubkeyy_reg >= PRIME))) || + ((ecc_dsa_ctrl.cmd_reg==VERIFY) & hwif_out.ECC_CTRL.PCR_SIGN.value) + |=> + hwif_in.intr_block_rf.error_internal_intr_r.error_internal_sts.hwset; + endproperty + + error_verify_a: assert property(disable iff(!reset_n || fv_zeroize) error_verify_p); + + + // Once valid signal is set then interrupt is triggered as a pulse + property notif_interrupt_p; + $rose(hwif_in.ECC_STATUS.VALID.next) + |-> + hwif_in.intr_block_rf.notif_internal_intr_r.notif_cmd_done_sts.hwset + ##1 + !hwif_in.intr_block_rf.notif_internal_intr_r.notif_cmd_done_sts.hwset; + endproperty + notif_interrupt_a: assert property(disable iff(!reset_n || fv_zeroize)notif_interrupt_p); + + + // If pm_ctrl busy or sca_blinding modules are busy or if hmac is not ready then subcomponent_busy is asserted + property subcomponent_busy_p; + ecc_dsa_ctrl.pm_busy_o || + ecc_dsa_ctrl.scalar_sca_busy_o || + !ecc_dsa_ctrl.hmac_ready + |-> + ecc_dsa_ctrl.subcomponent_busy; + endproperty + + subcomponent_busy_a: assert property(disable iff(!reset_n || fv_zeroize) subcomponent_busy_p); + + + // If none of the subcomponents are busy then subcomponent_busy is deasserted + property no_subcomponent_busy_p; + !ecc_dsa_ctrl.pm_busy_o && + !ecc_dsa_ctrl.scalar_sca_busy_o && + ecc_dsa_ctrl.hmac_ready + |-> + !ecc_dsa_ctrl.subcomponent_busy; + endproperty + + no_subcomponent_busy_a: assert property(disable iff(!reset_n || fv_zeroize) no_subcomponent_busy_p); + + + +//-------------------------------------------------------------------------// +// HMAC_Interface +//-------------------------------------------------------------------------// + + //If hmac_drbg is enabled from seq then if no error flag and cyc_cnt=3 hmac_init is triggered until the + // prog_cntr advances + property hmac_init_p; + ecc_dsa_ctrl.prog_instr.opcode.hmac_drbg_en && + (ecc_dsa_ctrl.prog_cntr == fv_prog_cntr_reg) && //represents CYC=2 + !ecc_dsa_ctrl.error_flag_edge + ##1 (ecc_dsa_ctrl.prog_cntr != fv_prog_cntr_reg) // represents CYC=3 + |-> + ecc_dsa_ctrl.hmac_init s_until_with (ecc_dsa_ctrl.prog_cntr != fv_prog_cntr_reg); + endproperty + + hmac_init_a: assert property(disable iff(!reset_n ||fv_zeroize)hmac_init_p); + + // If hmac_drbg en is set and the cntr is stable then hmac_init isn't set + property no_hmac_init_less3cyc_p; + ecc_dsa_ctrl.prog_instr.opcode.hmac_drbg_en && + (ecc_dsa_ctrl.prog_cntr == fv_prog_cntr_reg) && + !ecc_dsa_ctrl.error_flag_edge + ##1 (ecc_dsa_ctrl.prog_cntr == fv_prog_cntr_reg) + |-> + !ecc_dsa_ctrl.hmac_init; + endproperty + + no_hmac_init_less3cyc_a: assert property(disable iff(!reset_n ||fv_zeroize)no_hmac_init_less3cyc_p); + + + // If subcomponent busy or prog_cntr is DSA_NOP or there isn't hmac_drbg_en then no hmac_init + property no_hmac_init_p; + (!ecc_dsa_ctrl.prog_instr.opcode.hmac_drbg_en && + (ecc_dsa_ctrl.prog_cntr != fv_prog_cntr_reg)) || + ecc_dsa_ctrl.error_flag_edge || + (ecc_dsa_ctrl.prog_cntr == DSA_NOP) || + (ecc_dsa_ctrl.subcomponent_busy) + |=> + !ecc_dsa_ctrl.hmac_init ; + endproperty + + no_hmac_init_a: assert property(disable iff(!reset_n ||fv_zeroize)no_hmac_init_p); + + + // If sign subroutine is set the no subcomponent busy then hmac_mode is set + property hmac_mode_p; + (hwif_out.ECC_CTRL.CTRL.value == SIGN && + ecc_dsa_ctrl.prog_cntr == DSA_NOP ) && + !ecc_dsa_ctrl.subcomponent_busy + |=> + ecc_dsa_ctrl.hmac_mode; + endproperty + + hmac_mode_a: assert property(disable iff(!reset_n ||fv_zeroize || fv_error_set)hmac_mode_p); + + // Once hmac_mode is stays stable until keygen subroutine triggers + property continue_hmac_mode_p; + ecc_dsa_ctrl.hmac_mode + |-> + (ecc_dsa_ctrl.hmac_mode until ecc_dsa_ctrl.keygen_process); //no ovelapping condition and intial tick both cannot be occured + endproperty + continue_hmac_mode_a: assert property(disable iff(!reset_n ||fv_zeroize || fv_error_set)continue_hmac_mode_p); + + + //If keygen subroutine is set then no hmac_mode + property no_hmac_mode_p; + (hwif_out.ECC_CTRL.CTRL.value == KEYGEN && + ecc_dsa_ctrl.prog_cntr == DSA_NOP ) && + !ecc_dsa_ctrl.subcomponent_busy + |=> + !ecc_dsa_ctrl.hmac_mode; + endproperty + + no_hmac_mode_a: assert property(disable iff(!reset_n ||fv_zeroize || fv_error_set)no_hmac_mode_p); + + // Once hmac_mode deasserted stays stable until new sign subroutine is triggered + property continue_no_hmac_mode_p; + !ecc_dsa_ctrl.hmac_mode + |-> + (!ecc_dsa_ctrl.hmac_mode until ecc_dsa_ctrl.signing_process); + endproperty + continue_no_hmac_mode_a: assert property(disable iff(!reset_n ||fv_zeroize || fv_error_set)continue_no_hmac_mode_p); + + + + // Hmac inputs directly connected to the primary inputs + property hmac_input_reg_p(word); + ecc_dsa_ctrl.privkey_reg[word] == hwif_out.ECC_PRIVKEY_IN[(REG_NUM_DWORDS-1)-word].PRIVKEY_IN.value && + ecc_dsa_ctrl.seed_reg[word] == hwif_out.ECC_SEED[(REG_NUM_DWORDS-1)-word].SEED.value && + ecc_dsa_ctrl.nonce_reg[word] == hwif_out.ECC_NONCE[(REG_NUM_DWORDS-1)-word].NONCE.value && + ecc_dsa_ctrl.msg_reg[word] == hwif_out.ECC_MSG[(REG_NUM_DWORDS-1)-word].MSG.value && + ecc_dsa_ctrl.IV_reg[word] == hwif_out.ECC_IV[(REG_NUM_DWORDS-1)-word].IV.value; + endproperty + for(genvar i=0;i< REG_NUM_DWORDS;i++) begin + hmac_input_reg_a: assert property(hmac_input_reg_p(i)); + end + + + + +//-------------------------------------------------------------------------// +// Scalar_blinding +//-------------------------------------------------------------------------// + + //If sca_en is enabled from seq then if no error flag and cyc_cnt=3 scalar_en is triggered until the + // prog_cntr advances + property scalar_en_p; + ecc_dsa_ctrl.prog_instr.opcode.sca_en && + (ecc_dsa_ctrl.prog_cntr == fv_prog_cntr_reg) && + !ecc_dsa_ctrl.error_flag_edge + ##1 (ecc_dsa_ctrl.prog_cntr != fv_prog_cntr_reg) + |-> + ecc_dsa_ctrl.scalar_sca_en s_until_with (ecc_dsa_ctrl.prog_cntr != fv_prog_cntr_reg); + endproperty + + scalar_en_a: assert property(disable iff(!reset_n ||fv_zeroize)scalar_en_p); + + + + // If sca_en is set and the cntr is stable then scalar_en isn't set + property no_scalar_en_less3cyc_p; + ecc_dsa_ctrl.prog_instr.opcode.sca_en && + (ecc_dsa_ctrl.prog_cntr == fv_prog_cntr_reg) && + !ecc_dsa_ctrl.error_flag_edge + ##1 (ecc_dsa_ctrl.prog_cntr == fv_prog_cntr_reg) + |-> + !ecc_dsa_ctrl.scalar_sca_en; + endproperty + no_scalar_en_less3cyc_a: assert property(disable iff(!reset_n ||fv_zeroize)no_scalar_en_less3cyc_p); + + + + // If subcomponent busy or prog_cntr is DSA_NOP or there isn't sca_en then no scalar_en + property no_scalar_en_p; + (!ecc_dsa_ctrl.prog_instr.opcode.sca_en && + (ecc_dsa_ctrl.prog_cntr != fv_prog_cntr_reg)) || + ecc_dsa_ctrl.error_flag_edge || + (ecc_dsa_ctrl.prog_cntr == DSA_NOP) || + (ecc_dsa_ctrl.subcomponent_busy) + |=> + !ecc_dsa_ctrl.scalar_sca_en; + endproperty + no_scalar_en_a: assert property(disable iff(!reset_n ||fv_zeroize)no_scalar_en_p); + + + // Input for scalar_blinding is from scalar_g_reg + property scalar_in_reg_p; + ecc_dsa_ctrl.prog_instr.opcode.sca_en && + !ecc_dsa_ctrl.verifying_process + |=> + ecc_dsa_ctrl.scalar_in_reg == $past(ecc_dsa_ctrl.scalar_G_reg); + endproperty + + scalar_in_reg_a: assert property(disable iff(!reset_n ||fv_zeroize)scalar_in_reg_p); + + + // Input stays stable if sca not enabled or in verifying process + property stable_scalar_in_reg_p; + !ecc_dsa_ctrl.prog_instr.opcode.sca_en || + ecc_dsa_ctrl.verifying_process + |=> + ecc_dsa_ctrl.scalar_in_reg == $past(ecc_dsa_ctrl.scalar_in_reg); + endproperty + + stable_scalar_in_reg_a: assert property(disable iff(!reset_n ||fv_zeroize)stable_scalar_in_reg_p); + +//-------------------------------------------------------------------------// +// Arithmetic unit +//-------------------------------------------------------------------------// + + // If no error and prog_cntr is not equal to DSA_NOP and none of the subcomponents are busy and cyc cnt ==3 then + // pm_ctrl cmd is equal to dsa_seq opcode pm_cmd + property pm_cmd_reg_p; + !ecc_dsa_ctrl.error_flag_edge && + (ecc_dsa_ctrl.prog_cntr!= DSA_NOP) && + (!ecc_dsa_ctrl.subcomponent_busy) && + (ecc_dsa_ctrl.cycle_cnt==3) + |=> + ecc_dsa_ctrl.pm_cmd_reg == $past(ecc_dsa_ctrl.prog_instr.opcode.pm_cmd); + endproperty + pm_cmd_reg_a: assert property(disable iff(!reset_n ||fv_zeroize)pm_cmd_reg_p); + + + // If error is triggered or prog_cntr is equal to DSA_NOP or subcomponents are busy then pm_cmd ==0 + property no_pm_cmd_reg_p; + ecc_dsa_ctrl.error_flag_edge || + (ecc_dsa_ctrl.prog_cntr == DSA_NOP) || + (ecc_dsa_ctrl.subcomponent_busy) + |=> + ecc_dsa_ctrl.pm_cmd_reg =='0; + endproperty + + no_pm_cmd_reg_a: assert property(disable iff(!reset_n ||fv_zeroize)no_pm_cmd_reg_p); + + + // If cmd is acceptable but cyc cnt is less than 3 then pm_cmd stays stable + property pm_cmd_when_cyc3less_p; + !ecc_dsa_ctrl.error_flag_edge && + (ecc_dsa_ctrl.prog_cntr!= DSA_NOP) && + (!ecc_dsa_ctrl.subcomponent_busy) && + (ecc_dsa_ctrl.cycle_cnt < (CYC_CNT-1)) + |=> + ecc_dsa_ctrl.pm_cmd_reg == $past(ecc_dsa_ctrl.pm_cmd_reg); + endproperty + + pm_cmd_when_cyc3less_a: assert property(disable iff(!reset_n ||fv_zeroize)pm_cmd_when_cyc3less_p); + + +//-------------------------------------------------------------------------// +// Address Liveliness +//-------------------------------------------------------------------------// + + + property wr_core_addr_eventually_in_memory_p; + logic [DSA_OPR_ADDR_WIDTH-1 : 0] temp; + ecc_dsa_ctrl.prog_instr.opcode == DSA_UOP_WR_CORE + ##0 (1'b1, temp = ecc_dsa_ctrl.prog_instr.mem_addr) + |-> + s_eventually(ecc_dsa_ctrl.ecc_arith_unit_i.ram_tdp_file_i.addrb == temp); + endproperty + + wr_core_addr_eventually_in_memory_a: assert property(disable iff(!reset_n ||fv_zeroize)wr_core_addr_eventually_in_memory_p); + + + + + + //-------------------------------------------------------------------------// + // write_reg to the core + //-------------------------------------------------------------------------// + + + + property write_reg_p; + ecc_dsa_ctrl.prog_instr.opcode == DSA_UOP_WR_CORE + |-> + ecc_dsa_ctrl.write_reg == fv_write_reg; + endproperty + write_reg_a: assert property(write_reg_p); + + property write_reg_no_cmd_p; + ecc_dsa_ctrl.prog_instr.opcode != DSA_UOP_WR_CORE && + ecc_dsa_ctrl.prog_instr.opcode != DSA_UOP_WR_SCALAR + |-> + ecc_dsa_ctrl.write_reg == '0; + endproperty + write_reg_no_cmd_a:assert property(write_reg_no_cmd_p); + + property write_reg_sca_p; + ecc_dsa_ctrl.prog_instr.opcode == DSA_UOP_WR_SCALAR + |-> + ecc_dsa_ctrl.write_reg == fv_write_reg; + endproperty + write_reg_sca_a:assert property(write_reg_sca_p); + + //-------------------------------------------------------------------------// + // read_reg from the core + //-------------------------------------------------------------------------// + + + property we_p; + ecc_dsa_ctrl.prog_instr.opcode == DSA_UOP_RD_CORE && + ecc_dsa_ctrl.prog_cntr !=fv_prog_cntr_reg + |-> + ecc_dsa_ctrl.hw_privkey_we == fv_hw_privkey_we && + ecc_dsa_ctrl.hw_pubkeyx_we == fv_hw_pubkeyx_we && + ecc_dsa_ctrl.hw_pubkeyy_we == fv_hw_pubkeyy_we && + ecc_dsa_ctrl.hw_r_we == fv_hw_r_we && + ecc_dsa_ctrl.hw_s_we == fv_hw_s_we && + ecc_dsa_ctrl.hw_scalar_G_we == fv_hw_scalar_G_we && + ecc_dsa_ctrl.hw_scalar_PK_we == fv_hw_scalar_PK_we && + ecc_dsa_ctrl.hw_verify_r_we == fv_hw_verify_r_we && + ecc_dsa_ctrl.hw_pk_chk_we == fv_hw_pk_chk_we; + endproperty + + we_a: assert property(disable iff (fv_error_set)we_p); + + property no_rd_we_p; + (ecc_dsa_ctrl.prog_instr.opcode != DSA_UOP_RD_CORE) || + ecc_dsa_ctrl.prog_cntr ==fv_prog_cntr_reg + |-> + ecc_dsa_ctrl.hw_privkey_we == 0 && + ecc_dsa_ctrl.hw_pubkeyx_we == 0 && + ecc_dsa_ctrl.hw_pubkeyy_we == 0 && + ecc_dsa_ctrl.hw_r_we == 0 && + ecc_dsa_ctrl.hw_s_we == 0 && + ecc_dsa_ctrl.hw_scalar_G_we == 0 && + ecc_dsa_ctrl.hw_scalar_PK_we == 0 && + ecc_dsa_ctrl.hw_verify_r_we == 0 && + ecc_dsa_ctrl.hw_pk_chk_we == 0; + endproperty + no_rd_we_a: assert property(no_rd_we_p); + + + property read_reg_r_p; + fv_hw_r_we + |-> + (ecc_dsa_ctrl.read_reg != '0) && + (ecc_dsa_ctrl.read_reg < 384'hffffffffffffffffffffffffffffffffffffffffffffffffc7634d81f4372ddf581a0db248b0a77aecec196accc52973); + endproperty + read_reg_r_a: assert property(disable iff(!reset_n || fv_zeroize || fv_error_set) read_reg_r_p); + + + property read_reg_s_p; + fv_hw_s_we + |-> + ecc_dsa_ctrl.read_reg != '0 && + ecc_dsa_ctrl.read_reg < 384'hffffffffffffffffffffffffffffffffffffffffffffffffc7634d81f4372ddf581a0db248b0a77aecec196accc52973; + endproperty + read_reg_s_a: assert property(disable iff(!reset_n || fv_zeroize || fv_error_set) read_reg_s_p); + + + property read_reg_ver_r_p; + fv_hw_verify_r_we + |-> + ecc_dsa_ctrl.read_reg != '0 && + ecc_dsa_ctrl.read_reg < 384'hffffffffffffffffffffffffffffffffffffffffffffffffc7634d81f4372ddf581a0db248b0a77aecec196accc52973; + endproperty + read_reg_ver_r_a: assert property(disable iff(!reset_n || fv_zeroize || fv_error_set) read_reg_ver_r_p); + + + //-----------------------------------------------------------// + // scalar_G_reg and scalar_G_sel + //-----------------------------------------------------------// + + + property hmac_drbg_in_scalar_G_reg_p; + (ecc_dsa_ctrl.prog_cntr < DSA_VER_S) + |=> + ecc_dsa_ctrl.scalar_G_reg == $past(ecc_dsa_ctrl.hmac_drbg_result) && + (ecc_dsa_ctrl.scalar_G_reg < 384'hffffffffffffffffffffffffffffffffffffffffffffffffc7634d81f4372ddf581a0db248b0a77aecec196accc52973) + ; + endproperty + + hmac_drbg_in_scalar_G_reg_a: assert property(disable iff(!reset_n || fv_zeroize || fv_error_set) hmac_drbg_in_scalar_G_reg_p); + + + property read_reg_scalar_G_reg_p; + (ecc_dsa_ctrl.prog_cntr >= DSA_VER_S) && + fv_hw_scalar_G_we + |=> + ecc_dsa_ctrl.scalar_G_reg == $past(ecc_dsa_ctrl.read_reg)&& + (ecc_dsa_ctrl.scalar_G_reg < 384'hffffffffffffffffffffffffffffffffffffffffffffffffc7634d81f4372ddf581a0db248b0a77aecec196accc52973) && + (ecc_dsa_ctrl.scalar_G_reg >'0); + endproperty + + read_reg_scalar_G_reg_a: assert property(disable iff(!reset_n || fv_zeroize || fv_error_set) read_reg_scalar_G_reg_p); + + property no_read_reg_scalar_G_reg_p; + (ecc_dsa_ctrl.prog_cntr >= DSA_VER_S) && + !fv_hw_scalar_G_we + |=> + ecc_dsa_ctrl.scalar_G_reg == $past(ecc_dsa_ctrl.scalar_G_reg); + endproperty + + no_read_reg_scalar_G_reg_a: assert property(disable iff(!reset_n || fv_zeroize || fv_error_set) no_read_reg_scalar_G_reg_p); + + + + + //------------------------------------------------// + // scalar_Pk_reg + //------------------------------------------------// + + + property we_scalar_pk_reg_p; + fv_hw_scalar_PK_we + |=> + ecc_dsa_ctrl.scalar_PK_reg == $past(ecc_dsa_ctrl.read_reg) && + (ecc_dsa_ctrl.scalar_PK_reg < 384'hffffffffffffffffffffffffffffffffffffffffffffffffc7634d81f4372ddf581a0db248b0a77aecec196accc52973) && + (ecc_dsa_ctrl.scalar_PK_reg >'0); + endproperty + + we_scalar_pk_reg_a: assert property(disable iff(!reset_n || fv_zeroize || fv_error_set)we_scalar_pk_reg_p); + + property no_we_scalar_pk_reg_p; + !fv_hw_scalar_PK_we + |=> + ecc_dsa_ctrl.scalar_PK_reg == $past(ecc_dsa_ctrl.scalar_PK_reg); + endproperty + + no_we_scalar_pk_reg_a: assert property(disable iff(!reset_n || fv_zeroize || fv_error_set)no_we_scalar_pk_reg_p); + + + //------------------------------------------------// + // pk_chk_reg + //------------------------------------------------// + + property pk_chk_reg_p; + fv_hw_pk_chk_we + |=> + ecc_dsa_ctrl.pk_chk_reg == $past(ecc_dsa_ctrl.read_reg); + endproperty + pk_chk_reg_a: assert property(disable iff(!reset_n || fv_zeroize || fv_error_set)pk_chk_reg_p); + + property no_pk_chk_reg_p; + !fv_hw_pk_chk_we + |=> + ecc_dsa_ctrl.pk_chk_reg == $past(ecc_dsa_ctrl.pk_chk_reg); + endproperty + no_pk_chk_reg_a: assert property(disable iff(!reset_n || fv_zeroize)no_pk_chk_reg_p); + +endmodule + + +bind ecc_dsa_ctrl fv_ecc_dsa_ctrl_m fv_ecc_dsa_ctrl ( + .clk(clk), + .reset_n(reset_n), + .cptra_pwrgood(cptra_pwrgood), + + .hwif_out(hwif_out), + .hwif_in(hwif_in), + + .kv_read(kv_read), + .kv_rd_resp(kv_rd_resp), + .kv_write(kv_write), + .kv_wr_resp(kv_wr_resp), + .pcr_signing_data(pcr_signing_data), + + .error_intr(error_intr), + .notif_intr(notif_intr), + .debugUnlock_or_scan_mode_switch(debugUnlock_or_scan_mode_switch) + ); \ No newline at end of file diff --git a/src/ecc/formal/properties/fv_ecc_dsa_sequencer.sv b/src/ecc/formal/properties/fv_ecc_dsa_sequencer.sv new file mode 100644 index 000000000..895625f5d --- /dev/null +++ b/src/ecc/formal/properties/fv_ecc_dsa_sequencer.sv @@ -0,0 +1,355 @@ +// ------------------------------------------------- +// Contact: contact@lubis-eda.com +// Author: Tobias Ludwig, Michael Schwarz +// ------------------------------------------------- +// SPDX-License-Identifier: Apache-2.0 +// +// Licensed under the Apache License, Version 2.0 (the "License"); +// you may not use this file except in compliance with the License. +// You may obtain a copy of the License at +// +// http://www.apache.org/licenses/LICENSE-2.0 +// +// Unless required by applicable law or agreed to in writing, software +// distributed under the License is distributed on an "AS IS" BASIS, +// WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +// See the License for the specific language governing permissions and +// limitations under the License. +// +module fv_ecc_dsa_sequencer + import ecc_pm_uop_pkg::*; + import ecc_dsa_uop_pkg::*; + #( + parameter ADDR_WIDTH = 8, + parameter DATA_WIDTH = 32 + ) + ( + input wire clk, + input wire rst_n, + input wire ena, + input wire [ADDR_WIDTH-1 : 0] addra, + input logic [DATA_WIDTH-1 : 0] douta + ); + + /////////////////////////////////////////////// + // Helper logic for driving the addra, these assertions + // are used only at block level + /////////////////////////////////////////////// + + logic [ADDR_WIDTH-1 : 0] fv_cntr_const,fv_cntr_kgn,fv_cntr_sgn,fv_cntr_ver; + logic fv_const_set, fv_kgn_set,fv_sgn_set,fv_ver_set; + + always_ff @(posedge clk or negedge rst_n) begin + if(!rst_n) begin + fv_cntr_const <= '0; + fv_cntr_kgn <= '0; + fv_cntr_sgn <= '0; + fv_cntr_ver <= '0; + fv_const_set <= 0; + fv_kgn_set <= 0; + fv_sgn_set <= 0; + fv_ver_set <= 0; + end + else begin + if(addra ==0) begin + fv_ver_set <= 0; + fv_const_set <=1; + fv_cntr_const <= addra+1; + end + else if(fv_const_set) begin + fv_cntr_const <= fv_cntr_const+1; + end + if(addra ==DSA_KG_S) begin + fv_const_set <=0; + fv_kgn_set <= 1; + fv_cntr_kgn <= addra+1; + end + else if(fv_kgn_set) begin + fv_cntr_kgn <= fv_cntr_kgn+1; + end + if(addra == DSA_SGN_S) begin + fv_kgn_set <=0; + fv_sgn_set <= 1; + fv_cntr_sgn <= addra +1; + end + else if(fv_sgn_set) begin + fv_cntr_sgn <= fv_cntr_sgn+1; + end + if(addra == DSA_VER_S) begin + fv_sgn_set <=0; + fv_ver_set <= 1; + fv_cntr_ver <= addra +1; + end + else if(fv_ver_set) begin + fv_cntr_ver <= fv_cntr_ver+1; + end + + + end + end + + cntr_assume: assume property(disable iff(!rst_n) fv_const_set |-> addra == fv_cntr_const); + cntr_assume_kgn: assume property(disable iff(!rst_n) fv_kgn_set |-> addra == fv_cntr_kgn); + cntr_assume_sgn: assume property(disable iff(!rst_n) fv_sgn_set |-> addra == fv_cntr_sgn); + cntr_assume_ver: assume property(disable iff(!rst_n) fv_ver_set |-> addra == fv_cntr_ver); + always_enable: assume property(disable iff(!rst_n) ena == 1'b1); + + default clocking default_clk @(posedge clk); endclocking + + + sequence reset_sequence; + !rst_n ##1 rst_n; + endsequence + + + //////////////////////////////////////////// + // reset property, when reset out a and b // + // are zero // + //////////////////////////////////////////// + + property reset_p; + $past(!rst_n) + |-> + douta == '0; + endproperty + + reset_a : assert property(reset_p); + + + //If the addra input has illegal addresses then the output douta should be zero + property illegal_addr_p; + ((addra > DSA_NOP) && (addra < DSA_KG_S)) || + ((addra > DSA_KG_E) && (addra < DSA_SGN_S)) || + ((addra > DSA_SGN_E) && (addra < DSA_VER_S)) || + (addra > DSA_VER_E) + |=> + douta == '0; + endproperty + illegal_addr_a : assert property(disable iff(!rst_n) illegal_addr_p); + + + + //Checks the sequence where some constant values are written into the memory + property const_p; + addra == 0 + |-> + ##1 douta == {DSA_UOP_NOP, NOP_ID, UOP_OPR_DONTCARE} //no operation + ##1 douta == {DSA_UOP_WR_CORE, CONST_ZERO_ID, UOP_OPR_CONST_ZERO} // write to the memory addr zero with value zero + ##1 douta == {DSA_UOP_WR_CORE, CONST_ONE_ID, UOP_OPR_CONST_ONE} // write to the memory addr one with value one + ##1 douta == {DSA_UOP_WR_CORE, CONST_E_a_MONT_ID, UOP_OPR_CONST_E_a} // write to the memory addr two with value mont_a + ##1 douta == {DSA_UOP_WR_CORE, CONST_E_3b_MONT_ID, UOP_OPR_CONST_E_3b} // write to the memory addr three with value mont_3b + ##1 douta == {DSA_UOP_WR_CORE, CONST_ONE_p_MONT_ID, UOP_OPR_CONST_ONE_MONT} // write to the memory addr four with value const_one in mont for mod p + ##1 douta == {DSA_UOP_WR_CORE, CONST_R2_p_MONT_ID, UOP_OPR_CONST_R2_p} // write to the memory addr five with value R2 in mont domain mod p, used for converting normal domain to mont domain + ##1 douta == {DSA_UOP_WR_CORE, CONST_G_X_MONT_ID, UOP_OPR_CONST_GX_MONT} // write to the memory addr six with value Gx in mont domain + ##1 douta == {DSA_UOP_WR_CORE, CONST_G_Y_MONT_ID, UOP_OPR_CONST_GY_MONT} // write to the memory addr seven with value Gy in mont domain + ##1 douta == {DSA_UOP_WR_CORE, CONST_R2_q_MONT_ID, UOP_OPR_CONST_R2_q} // write to the memory addr 29 with value R2 in mont domain mod q, used for converting normal domain to mont domain + ##1 douta == {DSA_UOP_WR_CORE, CONST_ONE_q_MONT_ID, UOP_OPR_CONST_ONE_q_MONT} //// write to the memory addr 28 with value const_one in mont for mod p + ##1 douta == {DSA_UOP_NOP, NOP_ID, UOP_OPR_DONTCARE}; + endproperty + + + const_a: assert property (disable iff(!rst_n) const_p); + + + // Checks the sequence of operations for the keygen operation + property keygen_p; + addra == DSA_KG_S + |-> + ##1 douta <= {DSA_UOP_HMAC_DRBG, NOP_ID, UOP_OPR_DONTCARE} // Input seed for lamda, scalar, privkey genration + ##1 douta <= {DSA_UOP_NOP, NOP_ID, UOP_OPR_DONTCARE} + ##1 douta <= {DSA_UOP_WR_CORE, SCALAR_G_ID, UOP_OPR_SCALAR_G} // Writing scalar here it is privkey to the memory + ##1 douta <= {DSA_UOP_RD_CORE, PRIVKEY_ID, UOP_OPR_SCALAR_G} // Read the generated privkey, used for out + ##1 douta <= {DSA_UOP_SCALAR_SCA, SCALAR_G_ID, UOP_OPR_DONTCARE} // passing the generated scalar to scalar blinding as input + ##1 douta <= {DSA_UOP_NOP, NOP_ID, UOP_OPR_DONTCARE} + ##1 douta <= {DSA_UOP_WR_SCALAR, SCALAR_ID, UOP_OPR_DONTCARE} // the scalar blinded privkey is used as a secret key + ##1 douta <= {DSA_UOP_WR_CORE, LAMBDA_ID, UOP_OPR_LAMBDA} // lamda generated by hmac_drbg is stored into memory + ##1 douta <= {DSA_UOP_KEYGEN, NOP_ID, UOP_OPR_DONTCARE} // keygen cmd triggered in pm_ctrl to generate pub key + ##1 douta <= {DSA_UOP_NOP, NOP_ID, UOP_OPR_DONTCARE} + ##1 douta <= {DSA_UOP_RD_CORE, PUBKEYX_ID, UOP_OPR_Qx_AFFN} // reading the generated pubkey x and y from memory + ##1 douta <= {DSA_UOP_RD_CORE, PUBKEYY_ID, UOP_OPR_Qy_AFFN} + ##1 douta <= {DSA_UOP_NOP, NOP_ID, UOP_OPR_DONTCARE}; + endproperty + + keygen_a: assert property (disable iff(!rst_n) keygen_p); + + + // Checks the sequence of operations for the signing operation + property sign_p; + addra == DSA_SGN_S + |-> + ##1 douta == {DSA_UOP_WR_CORE, MSG_ID, UOP_OPR_HASH_MSG} //Input hash msg + ##1 douta == {DSA_UOP_WR_CORE, PRIVKEY_ID, UOP_OPR_PRIVKEY} //Input priv key + ##1 douta == {DSA_UOP_HMAC_DRBG, NOP_ID, UOP_OPR_DONTCARE} // Feed in h and pk for k genration + ##1 douta == {DSA_UOP_NOP, NOP_ID, UOP_OPR_DONTCARE} + ##1 douta == {DSA_UOP_WR_CORE, SCALAR_G_ID, UOP_OPR_SCALAR_G} // write to memory with the generated k + ##1 douta == {DSA_UOP_SCALAR_SCA, SCALAR_G_ID, UOP_OPR_DONTCARE} // enable the scalar blinding with k as input + ##1 douta == {DSA_UOP_NOP, NOP_ID, UOP_OPR_DONTCARE} + ##1 douta == {DSA_UOP_WR_SCALAR, SCALAR_ID, UOP_OPR_DONTCARE} // the randmoised k is written to the secret key in pm ctrl + ##1 douta == {DSA_UOP_WR_CORE, LAMBDA_ID, UOP_OPR_LAMBDA} // lamda generated by hmac_drbg is stored into memory + ##1 douta == {DSA_UOP_WR_CORE, MASKING_ID, UOP_OPR_MASKING} // mask value generated by hmac_drbg is stored into the memory + ##1 douta == {DSA_UOP_SIGN, NOP_ID, UOP_OPR_DONTCARE} // signing cmd is passed to the pm_ctrl + ##1 douta == {DSA_UOP_NOP, NOP_ID, UOP_OPR_DONTCARE} + ##1 douta == {DSA_UOP_RD_CORE, R_ID, UOP_OPR_SIGN_R} // read the generated r from the memory + ##1 douta == {DSA_UOP_RD_CORE, S_ID, UOP_OPR_SIGN_S} // read the generated s from the memory + ##1 douta == {DSA_UOP_NOP, NOP_ID, UOP_OPR_DONTCARE}; + endproperty + + sign_a: assert property(disable iff(!rst_n) sign_p); + + + + // Checks the sequence of operations for the verifying operation + property verify_p; + addra == DSA_VER_S + |-> + ##1 douta == {DSA_UOP_WR_CORE, CONST_E_b_MONT_ID, UOP_OPR_CONST_E_b} // writing to the memory const b, which is in mont domain + ##1 douta == {DSA_UOP_WR_CORE, PUBKEYX_ID, UOP_OPR_Qx_AFFN} // writing to the memory input pubkey + ##1 douta == {DSA_UOP_WR_CORE, PUBKEYY_ID, UOP_OPR_Qy_AFFN} + ##1 douta == {DSA_UOP_PK_CHK, NOP_ID, UOP_OPR_DONTCARE} // Cmd to pm ctrl to check if the pubkey is on the curve + ##1 douta == {DSA_UOP_NOP, NOP_ID, UOP_OPR_DONTCARE} + ##1 douta == {DSA_UOP_RD_CORE, PK_VALID_ID, UOP_OPR_PK_VALID} // Reading the result from memory if the pubkey is valid point on the curve + ##1 douta == {DSA_UOP_WR_CORE, MSG_ID, UOP_OPR_HASH_MSG} // writing to the memory input hash msg + ##1 douta == {DSA_UOP_WR_CORE, R_ID, UOP_OPR_SIGN_R} // writing to the memory input r + ##1 douta == {DSA_UOP_WR_CORE, S_ID, UOP_OPR_SIGN_S} // writing to the memory input s + ##1 douta == {DSA_UOP_WR_CORE, CONST_ONE_ID, UOP_OPR_LAMBDA} // writing to the memory for lamda as value 1 + ##1 douta == {DSA_UOP_VERIFY0, NOP_ID, UOP_OPR_DONTCARE} // verify0_cmd triggered in pm ctrl + ##1 douta == {DSA_UOP_NOP, NOP_ID, UOP_OPR_DONTCARE} + ##1 douta == {DSA_UOP_RD_CORE, SCALAR_G_ID, UOP_OPR_SCALAR_G} // reading from memory (h*s_inv) value in normal domain + ##1 douta == {DSA_UOP_RD_CORE, SCALAR_PK_ID, UOP_OPR_SCALAR_PK} // reading from memory (r*s_inv) value in normal domain + ##1 douta == {DSA_UOP_WR_SCALAR, SCALAR_G_ID, UOP_OPR_DONTCARE} // (h*s_inv) value shifted by RND_SIZE and provided to pm as secret key + ##1 douta == {DSA_UOP_VERIFY1, NOP_ID, UOP_OPR_DONTCARE} // verify1_cmd passed to pm_ctrl, result in R0_x,y,z + ##1 douta == {DSA_UOP_NOP, NOP_ID, UOP_OPR_DONTCARE} + ##1 douta == {DSA_UOP_WR_CORE, PUBKEYX_ID, UOP_OPR_Qx_AFFN} // writing into memory the pubkey again + ##1 douta == {DSA_UOP_WR_CORE, PUBKEYY_ID, UOP_OPR_Qy_AFFN} + ##1 douta == {DSA_UOP_WR_SCALAR, SCALAR_PK_ID, UOP_OPR_DONTCARE} // (r*s_inv) value shifted by RND_SIZE and provided to pm as secret key + ##1 douta == {DSA_UOP_VERIFY2, NOP_ID, UOP_OPR_DONTCARE} + ##1 douta == {DSA_UOP_NOP, NOP_ID, UOP_OPR_DONTCARE} + ##1 douta == {DSA_UOP_RD_CORE, VERIFY_R_ID, UOP_OPR_Qx_AFFN} //Computed r' in normal domain read back from memory + ##1 douta == {DSA_UOP_NOP, NOP_ID, UOP_OPR_DONTCARE}; + endproperty + + verify_a: assert property(disable iff(!rst_n) verify_p); + + + +//If the first field is DSA_UOP_NOP, the next fields should be NOP_ID and UOP_OPR_DONTCARE, respectively. + + property when_nop_both_id_addr_0_p; + douta[19:12] == DSA_UOP_NOP + |-> + douta[11:0]== {NOP_ID,UOP_OPR_DONTCARE}; + endproperty + +when_nop_both_id_addr_0_a: assert property(disable iff(!rst_n) when_nop_both_id_addr_0_p); + + +//If the first field is DSA_UOP_WR_CORE, the next fields should include a valid ID and ADDRESS, respectively. + + property when_wr_both_id_addr_not_0_p; + douta[19:12] == DSA_UOP_WR_CORE + |-> + douta[11:0] == {CONST_ZERO_ID, UOP_OPR_CONST_ZERO} || + douta[11:0] == {CONST_ONE_ID, UOP_OPR_CONST_ONE} || + douta[11:0] == {CONST_E_a_MONT_ID, UOP_OPR_CONST_E_a} || + douta[11:0] == {CONST_E_3b_MONT_ID, UOP_OPR_CONST_E_3b} || + douta[11:0] == {CONST_ONE_p_MONT_ID, UOP_OPR_CONST_ONE_MONT} || + douta[11:0] == {CONST_R2_p_MONT_ID, UOP_OPR_CONST_R2_p} || + douta[11:0] == {CONST_G_X_MONT_ID, UOP_OPR_CONST_GX_MONT} || + douta[11:0] == {CONST_G_Y_MONT_ID, UOP_OPR_CONST_GY_MONT} || + douta[11:0] == {CONST_R2_q_MONT_ID, UOP_OPR_CONST_R2_q} || + douta[11:0] == {CONST_ONE_q_MONT_ID, UOP_OPR_CONST_ONE_q_MONT} || + douta[11:0] == {SCALAR_G_ID, UOP_OPR_SCALAR_G} || + douta[11:0] == {LAMBDA_ID, UOP_OPR_LAMBDA} || + douta[11:0] == {MSG_ID, UOP_OPR_HASH_MSG} || + douta[11:0] == {PRIVKEY_ID, UOP_OPR_PRIVKEY} || + douta[11:0] == {MASKING_ID, UOP_OPR_MASKING} || + douta[11:0] == {CONST_E_b_MONT_ID, UOP_OPR_CONST_E_b} || + douta[11:0] == {R_ID, UOP_OPR_SIGN_R} || + douta[11:0] == {S_ID, UOP_OPR_SIGN_S} || + douta[11:0] == {CONST_ONE_ID, UOP_OPR_LAMBDA} || + douta[11:0] == {PUBKEYX_ID, UOP_OPR_Qx_AFFN} || + douta[11:0] == {PUBKEYY_ID, UOP_OPR_Qy_AFFN}; + endproperty + +when_wr_both_id_addr_not_0_a: assert property(disable iff(!rst_n) when_wr_both_id_addr_not_0_p); + + +//If the first field is DSA_UOP_HMAC_DRBG, the next fields should be NOP_ID and UOP_OPR_DONTCARE, respectively. + property when_drbg_both_id_addr_0_p; + douta[19:12] == DSA_UOP_HMAC_DRBG + |-> + douta[11:0] == {NOP_ID,UOP_OPR_DONTCARE}; + endproperty + +when_drbg_both_id_addr_0_a: assert property(disable iff(!rst_n) when_drbg_both_id_addr_0_p); + +//If the first field is DSA_UOP_SCALAR_SCA, the next fields should be SCALAR_G_ID and UOP_OPR_DONTCARE, respectively. + property when_scalar_both_id_addr_p; + douta[19:12] == DSA_UOP_SCALAR_SCA + |-> + douta[11:0] == {SCALAR_G_ID,UOP_OPR_DONTCARE}; + endproperty + +when_scalar_both_id_addr_a: assert property(disable iff(!rst_n) when_scalar_both_id_addr_p); + +//If the first field is DSA_UOP_WR_SCALAR, the next fields should be SCALAR_ID/ SCALAR_G_ID/ SCALAR_G_ID and UOP_OPR_DONTCARE, respectively. + property when_wr_scalar_both_id_addr_p; + douta[19:12] == DSA_UOP_WR_SCALAR + |-> + (douta[11:6] == SCALAR_G_ID || + douta[11:6] == SCALAR_ID || + douta[11:6] == SCALAR_PK_ID ) && + douta[5:0] == UOP_OPR_DONTCARE; + endproperty + +when_wr_scalar_both_id_addr_a: assert property(disable iff(!rst_n) when_wr_scalar_both_id_addr_p); + + + +//If the first field is DSA_UOP_KEYGEN/ DSA_UOP_KEYGEN/ DSA_UOP_VERIFY0-2, the next fields should be NOP_ID and UOP_OPR_DONTCARE, respectively. + + property when_commands_both_id_addr_0_p; + douta[19:12] == DSA_UOP_KEYGEN || + douta[19:12] == DSA_UOP_SIGN || + douta[19:12] == DSA_UOP_VERIFY0 || + douta[19:12] == DSA_UOP_VERIFY1 || + douta[19:12] == DSA_UOP_VERIFY2 + |-> + douta[11:0] == {NOP_ID,UOP_OPR_DONTCARE}; + endproperty + +when_commands_both_id_addr_0_a: assert property(disable iff(!rst_n) when_commands_both_id_addr_0_p); + +//o If the first field is DSA_UOP_RD_CORE, the next fields should include a valid ID and ADDRESS, respectively. + + property when_rd_both_id_addr_not_0_p; + douta[19:12] == DSA_UOP_RD_CORE + |-> + douta[11:0] == {PRIVKEY_ID, UOP_OPR_SCALAR_G} || + douta[11:0] == {PUBKEYX_ID, UOP_OPR_Qx_AFFN} || + douta[11:0] == {PUBKEYY_ID, UOP_OPR_Qy_AFFN} || + douta[11:0] == {R_ID, UOP_OPR_SIGN_R} || + douta[11:0] == {S_ID, UOP_OPR_SIGN_S} || + douta[11:0] == {PK_VALID_ID, UOP_OPR_PK_VALID} || + douta[11:0] == {SCALAR_G_ID, UOP_OPR_SCALAR_G} || + douta[11:0] == {SCALAR_PK_ID, UOP_OPR_SCALAR_PK} || + douta[11:0] == {VERIFY_R_ID, UOP_OPR_Qx_AFFN}; + endproperty + +when_rd_both_id_addr_not_0_a: assert property(disable iff(!rst_n) when_rd_both_id_addr_not_0_p); + + +endmodule + + +bind ecc_dsa_sequencer fv_ecc_dsa_sequencer + #(.ADDR_WIDTH(ADDR_WIDTH), + .DATA_WIDTH(DATA_WIDTH) + ) + fv_ecc_dsa_sequencer_inst( + .clk(clka), + .rst_n(reset_n && !zeroize), + .ena(ena), + .addra(addra), + .douta(douta) + ); diff --git a/src/ecc/formal/properties/fv_ecc_fau.sv b/src/ecc/formal/properties/fv_ecc_fau.sv new file mode 100644 index 000000000..b1f85eb10 --- /dev/null +++ b/src/ecc/formal/properties/fv_ecc_fau.sv @@ -0,0 +1,167 @@ +// ------------------------------------------------- +// Contact: contact@lubis-eda.com +// Author: Tobias Ludwig, Michael Schwarz +// ------------------------------------------------- +// SPDX-License-Identifier: Apache-2.0 +// +// Licensed under the Apache License, Version 2.0 (the "License"); +// you may not use this file except in compliance with the License. +// You may obtain a copy of the License at +// +// http://www.apache.org/licenses/LICENSE-2.0 +// +// Unless required by applicable law or agreed to in writing, software +// distributed under the License is distributed on an "AS IS" BASIS, +// WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +// See the License for the specific language governing permissions and +// limitations under the License. +// +module fv_ecc_fau_m #( + parameter REG_SIZE = 384, + parameter RADIX = 32 + ) + ( + // Clock and reset. + input wire clk, + input wire rst_n, + // DATA PORT + input wire add_en_i, + input wire sub_i, + input wire mult_en_i, + input wire [REG_SIZE-1:0] prime_i, + input wire [RADIX-1 : 0] mult_mu_i, + input wire [REG_SIZE-1:0] opa_i, + input wire [REG_SIZE-1:0] opb_i, + input wire [REG_SIZE-1:0] add_res_o, + input wire [REG_SIZE-1:0] mult_res_o + ); + + + default clocking default_clk @(posedge clk); endclocking + + sequence reset_sequence; + (!rst_n) ##1 rst_n; + endsequence + + + /////////////////////////////////////////// + // reset property, when reset all the o/p // + // are zero // + //////////////////////////////////////////// + + property reset_p; + $past(!rst_n) + |-> + `ifdef TOP + add_res_o == '0 && //need to be defined on top as at block level open inputs and could take any value being comb + `endif + mult_res_o == '0 && + ecc_fau.mult_start_edge == '0 && + ecc_fau.sub == '0 && + ecc_fau.add_start_edge == '0 ; + endproperty + + reset_a : assert property(reset_p); + + //When ever mult_en_i is triggered, it would just generate one pulse + + property mult_pulse_p; + $rose(mult_en_i) + |=> + ecc_fau.mult_start_edge + ##1 + !ecc_fau.mult_start_edge; + endproperty + + mult_pulse_a: assert property(disable iff(!rst_n) mult_pulse_p); + + + //Once edge triggered from next cycle on it stays out until there is an another mult cmd + property no_mult_edge_p; + ecc_fau.mult_start_edge + |=> + !ecc_fau.mult_start_edge s_until_with mult_en_i; + endproperty + no_mult_edge_a: assert property(disable iff(!rst_n)no_mult_edge_p); + + + //When ever add_en_i is triggered, it would just generate one pulse + property add_pulse_p; + $rose(add_en_i) + |=> + ecc_fau.add_start_edge + ##1 + !ecc_fau.add_start_edge; + endproperty + + add_pulse_a: assert property(disable iff(!rst_n) add_pulse_p); + + + //Once edge triggered from next cycle on it stays out until there is an another add cmd + property no_add_edge_p; + ecc_fau.add_start_edge + |=> + !ecc_fau.add_start_edge s_until_with add_en_i; + endproperty + no_add_edge_a: assert property(disable iff(!rst_n)no_add_edge_p); + + + //Primary outputs connected to primary outputs of submodules + property outputs_p; + mult_res_o == ecc_fau.mult_res_s && + add_res_o == ecc_fau.add_res_s; + endproperty + + outputs_a: assert property(disable iff(!rst_n) outputs_p); + + + //Primary inputs connected to primary inputs of submodules + property inputs_p; + ecc_fau.mult_opa == opa_i && + ecc_fau.mult_opb == opb_i; + endproperty + + inputs_a: assert property(disable iff(!rst_n) inputs_p); + + + //When add and mult ready + property garbage_ready_p; + ecc_fau.ready_garbage_bit == (ecc_fau.add_ready_o & ecc_fau.mult_ready_o); + endproperty + garbage_ready_a: assert property(disable iff(!rst_n) garbage_ready_p); + + + // Always the results should be less than the prime + `ifdef TOP + property data_out_prime_p(ready,result); + (ready) + |-> + ((result < prime_i)) + ;endproperty + data_out_add_sub_res_prime_a: assert property(disable iff(!rst_n) data_out_prime_p(ecc_fau.add_ready_o,add_res_o)); + data_out_mult_res_prime_a: assert property(disable iff(!rst_n) data_out_prime_p(ecc_fau.mult_ready_o,mult_res_o)); + `endif + +endmodule + bind ecc_fau fv_ecc_fau_m #( + .REG_SIZE(REG_SIZE), + .RADIX(RADIX) + ) + ecc_fau_i + ( + // Clock and reset. + .clk(clk), + .rst_n(reset_n && !zeroize), + + // DATA PORT + .add_en_i(add_en_i), + .sub_i(sub_i), + .mult_en_i(mult_en_i), + .prime_i(prime_i), + .mult_mu_i(mult_mu_i), + .opa_i(opa_i), + .opb_i(opb_i), + .add_res_o(add_res_o), + .mult_res_o(mult_res_o) + ); + diff --git a/src/ecc/formal/properties/fv_ecc_hmac_drbg_interface.sv b/src/ecc/formal/properties/fv_ecc_hmac_drbg_interface.sv new file mode 100644 index 000000000..3a4c45390 --- /dev/null +++ b/src/ecc/formal/properties/fv_ecc_hmac_drbg_interface.sv @@ -0,0 +1,547 @@ +// ------------------------------------------------- +// Contact: contact@lubis-eda.com +// Author: Tobias Ludwig, Michael Schwarz +// ------------------------------------------------- +// SPDX-License-Identifier: Apache-2.0 +// +// Licensed under the Apache License, Version 2.0 (the "License"); +// you may not use this file except in compliance with the License. +// You may obtain a copy of the License at +// +// http://www.apache.org/licenses/LICENSE-2.0 +// +// Unless required by applicable law or agreed to in writing, software +// distributed under the License is distributed on an "AS IS" BASIS, +// WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +// See the License for the specific language governing permissions and +// limitations under the License. +// + + +`define hiearchy ecc_hmac_drbg_interface + +module fv_ecc_hmac_drbg_interface_m#( + parameter REG_SIZE = 384, + parameter [REG_SIZE-1 : 0] GROUP_ORDER = 384'hffffffffffffffffffffffffffffffffffffffffffffffffc7634d81f4372ddf581a0db248b0a77aecec196accc52973, + parameter [147 : 0] LFSR_INIT_SEED = 148'h6_04E7_A407_54F1_4487_A021_11AC_D0DF_8C55_57A0 // a random value + ) + ( + // Clock and reset. + input wire clk, + input wire rst_n, + input wire keygen_sign, + input wire en, + input wire ready, + + //Inputs + input wire [REG_SIZE-1 : 0] keygen_seed, + input wire [REG_SIZE-1 : 0] keygen_nonce, + input wire [REG_SIZE-1 : 0] privKey, + input wire [REG_SIZE-1 : 0] hashed_msg, + input wire [REG_SIZE-1 : 0] IV, + + //Outputs + input wire [REG_SIZE-1 : 0] lambda, + input wire [REG_SIZE-1 : 0] scalar_rnd, + input wire [REG_SIZE-1 : 0] masking_rnd, + input wire [REG_SIZE-1 : 0] drbg + ); + + localparam [3 : 0] IDLE_ST = 4'd0; + localparam [3 : 0] LFSR_ST = 4'd1; + localparam [3 : 0] LAMBDA_ST = 4'd2; + localparam [3 : 0] SCALAR_RND_ST = 4'd3; + localparam [3 : 0] RND_DONE_ST = 4'd4; + localparam [3 : 0] MASKING_RND_ST = 4'd5; + localparam [3 : 0] KEYGEN_ST = 4'd6; + localparam [3 : 0] SIGN_ST = 4'd7; + localparam [3 : 0] DONE_ST = 4'd8; + + default clocking default_clk @(posedge clk); endclocking + + sequence reset_sequence; + (!rst_n) ##1 rst_n; + endsequence + + /////////////////////////////////////////// + // constraint on hashed msg + /////////////////////////////////////////// + hash_msg_less_than_grp_order: assume property(disable iff(!rst_n) hashed_msg < GROUP_ORDER); + + + /////////////////////////////////////////// + // Helper logic for lfsr_seed + /////////////////////////////////////////// + + logic [147 : 0] fv_lfsr_seed_reg; + logic [383:0] fv_hmac_drbg_result_reg; + logic fv_hmac_drbg_valid_reg; + always_ff @(posedge clk, negedge rst_n) begin + if(!rst_n) + fv_lfsr_seed_reg <= LFSR_INIT_SEED; + else begin + fv_hmac_drbg_valid_reg <= `hiearchy.hmac_drbg_valid; + fv_hmac_drbg_result_reg <= `hiearchy.hmac_drbg_result; + if(`hiearchy.state_reg == LFSR_ST && `hiearchy.hmac_drbg_valid && !fv_hmac_drbg_valid_reg) begin + fv_lfsr_seed_reg <= `hiearchy.hmac_drbg_result[147 : 0]; + end + end + end + + + /////////////////////////////////////////// + // reset property, when reset all the o/p // + // are zero // + //////////////////////////////////////////// + + property reset_p; + $past(!rst_n) + |-> + `hiearchy.state_reg == IDLE_ST && + lambda == '0 && + scalar_rnd == '0 && + masking_rnd == '0 && + drbg == '0 && + ready == 1; + endproperty + + reset_a : assert property(reset_p); + + + //State transitioning from idle to lfsr if en and hmac_drbg_ready + property idle_to_lfsr_p; + `hiearchy.state_reg == IDLE_ST && + (en & `hiearchy.hmac_drbg_ready) + |=> + `hiearchy.state_reg == LFSR_ST && + `hiearchy.hmac_drbg_init == 1 && + `hiearchy.hmac_drbg_next == 0 && + `hiearchy.hmac_drbg_entropy == IV && + `hiearchy.hmac_lfsr_seed == (fv_lfsr_seed_reg) ^ `hiearchy.counter_nonce[147:0] && + `hiearchy.hmac_drbg_nonce == $past(`hiearchy.counter_nonce) && + ready == 0 && + lambda == $past(lambda) && + scalar_rnd == $past(scalar_rnd) && + masking_rnd == $past(masking_rnd) && + drbg == $past(drbg) + ; + + endproperty + + idle_to_lfsr_a: assert property(disable iff(!rst_n) idle_to_lfsr_p); + + + // If en and hmac_drbg isn't ready then stays back in idle state + property idle_wait_p; + `hiearchy.state_reg == IDLE_ST && + !(en & `hiearchy.hmac_drbg_ready) + |=> + `hiearchy.state_reg == IDLE_ST && + ready == 1 && + `hiearchy.hmac_drbg_init == 0 && + `hiearchy.hmac_drbg_next == 0 && + `hiearchy.hmac_drbg_entropy == '0 && + `hiearchy.hmac_lfsr_seed == (fv_lfsr_seed_reg) ^ `hiearchy.counter_nonce[147:0] && + `hiearchy.hmac_drbg_nonce == (`hiearchy.counter_nonce_reg) && + lambda == $past(lambda) && + scalar_rnd == $past(scalar_rnd) && + masking_rnd == $past(masking_rnd) && + drbg == $past(drbg); + endproperty + + idle_wait_a: assert property(disable iff(!rst_n) idle_wait_p); + + + // State transition from lfsr to lambda when hmac_drbg_valid is set + property lfsr_to_lambda_p; + `hiearchy.state_reg== LFSR_ST && + $rose(`hiearchy.hmac_drbg_valid) + |=> + `hiearchy.state_reg == LAMBDA_ST && + `hiearchy.hmac_drbg_init == 0 && + `hiearchy.hmac_drbg_next == 1 && + `hiearchy.hmac_drbg_entropy == IV && + ready == 0 && + `hiearchy.hmac_drbg_nonce == `hiearchy.counter_nonce_reg && + `hiearchy.hmac_lfsr_seed == $past(`hiearchy.hmac_drbg_result[147 : 0]) ^ `hiearchy.counter_nonce[147 : 0] && + lambda == $past(lambda) && + scalar_rnd == $past(scalar_rnd) && + masking_rnd == $past(masking_rnd) && + drbg == $past(drbg); + endproperty + + lfsr_to_lambda_a: assert property(disable iff(!rst_n) lfsr_to_lambda_p); + + + // If hmac_drbg_valid isn't set then stays back in same state + property lfsr_wait_p; + `hiearchy.state_reg == LFSR_ST && + !(`hiearchy.hmac_drbg_valid) + |=> + `hiearchy.state_reg == LFSR_ST && + `hiearchy.hmac_drbg_init == 0 && + `hiearchy.hmac_drbg_next == 0 && + `hiearchy.hmac_drbg_entropy == IV && + ready == 0 && + `hiearchy.hmac_drbg_nonce == `hiearchy.counter_nonce_reg && + `hiearchy.hmac_lfsr_seed == (fv_lfsr_seed_reg) ^ `hiearchy.counter_nonce[147:0] && + lambda == $past(lambda) && + scalar_rnd == $past(scalar_rnd) && + masking_rnd == $past(masking_rnd) && + drbg == $past(drbg) + ; + endproperty + lfsr_wait_a: assert property(disable iff(!rst_n) lfsr_wait_p); + + + //State transition from lamda to scalar_rnd when hmac_drbg_valid is set + property lambda_to_scalar_rnd_p; + `hiearchy.state_reg == LAMBDA_ST && + $rose(`hiearchy.hmac_drbg_valid) + |=> + `hiearchy.state_reg == SCALAR_RND_ST && + `hiearchy.hmac_drbg_init == 0 && + `hiearchy.hmac_drbg_next == 1 && + `hiearchy.hmac_drbg_entropy == IV && + ready == 0 && + `hiearchy.hmac_drbg_nonce == `hiearchy.counter_nonce_reg && + `hiearchy.hmac_lfsr_seed == fv_lfsr_seed_reg ^ `hiearchy.counter_nonce[147 : 0] && + lambda == $past(`hiearchy.hmac_drbg_result) && + scalar_rnd == $past(scalar_rnd) && + masking_rnd == $past(masking_rnd) && + drbg == $past(drbg); + endproperty + + lambda_to_scalar_rnd_a: assert property(disable iff(!rst_n) lambda_to_scalar_rnd_p); + + + //If hmac_drbg_valid isn't set then stays back in same state + property lambda_wait_p; + `hiearchy.state_reg == LAMBDA_ST && + !(`hiearchy.hmac_drbg_valid) + |=> + `hiearchy.state_reg == LAMBDA_ST && + `hiearchy.hmac_drbg_init == 0 && + `hiearchy.hmac_drbg_next == 0 && + `hiearchy.hmac_drbg_entropy == IV && + ready == 0 && + `hiearchy.hmac_drbg_nonce == `hiearchy.counter_nonce_reg && + `hiearchy.hmac_lfsr_seed == (fv_lfsr_seed_reg) ^ `hiearchy.counter_nonce[147:0] && + lambda == $past(lambda) && + scalar_rnd == $past(scalar_rnd) && + masking_rnd == $past(masking_rnd) && + drbg == $past(drbg); + endproperty + lambda_wait_a: assert property(disable iff(!rst_n) lambda_wait_p); + + + //State transition from scalar_rnd to rnd_done when hmac_drbg_valid is set + property scalar_rnd_to_rnd_done_p; + `hiearchy.state_reg == SCALAR_RND_ST && + $rose(`hiearchy.hmac_drbg_valid) + |=> + `hiearchy.state_reg == RND_DONE_ST && + `hiearchy.hmac_drbg_init == 0 && + `hiearchy.hmac_drbg_next == 0 && + `hiearchy.hmac_drbg_entropy == '0 && + ready == 0 && + `hiearchy.hmac_drbg_nonce == `hiearchy.counter_nonce_reg && + `hiearchy.hmac_lfsr_seed == (fv_lfsr_seed_reg) ^ `hiearchy.counter_nonce[147:0] && + lambda == $past(lambda) && + scalar_rnd == $past(`hiearchy.hmac_drbg_result) && + masking_rnd == $past(masking_rnd) && + drbg == $past(drbg); + endproperty + scalar_rnd_to_rnd_done_a: assert property(disable iff(!rst_n) scalar_rnd_to_rnd_done_p); + + + //If hmac_drbg_valid isn't set then stays back in same state + property scalar_rnd_wait_p; + `hiearchy.state_reg == SCALAR_RND_ST && + !(`hiearchy.hmac_drbg_valid) + + |=> + `hiearchy.state_reg == SCALAR_RND_ST && + `hiearchy.hmac_drbg_init == 0 && + `hiearchy.hmac_drbg_next == 0 && + `hiearchy.hmac_drbg_entropy == IV && + ready == 0 && + `hiearchy.hmac_drbg_nonce == `hiearchy.counter_nonce_reg && + `hiearchy.hmac_lfsr_seed == (fv_lfsr_seed_reg) ^ `hiearchy.counter_nonce[147:0] && + lambda == $past(lambda) && + scalar_rnd == $past(scalar_rnd) && + masking_rnd == $past(masking_rnd) && + drbg == $past(drbg); + endproperty + scalar_rnd_wait_a: assert property(disable iff(!rst_n) scalar_rnd_wait_p); + + + // if in rnd_done state then if keygen_sign is set then state changes to masking_rnd + property rnd_done_to_masking_rnd_p; + `hiearchy.state_reg == RND_DONE_ST && + keygen_sign + |=> + `hiearchy.state_reg == MASKING_RND_ST && + `hiearchy.hmac_drbg_init == 0 && + `hiearchy.hmac_drbg_next == 1 && + `hiearchy.hmac_drbg_entropy == IV && + ready == 0 && + `hiearchy.hmac_lfsr_seed == fv_lfsr_seed_reg ^ `hiearchy.counter_nonce[147 : 0] && + `hiearchy.hmac_drbg_nonce == `hiearchy.counter_nonce_reg && + lambda == $past(lambda) && + scalar_rnd == $past(scalar_rnd) && + masking_rnd == $past(masking_rnd) && + drbg == $past(drbg); + endproperty + rnd_done_to_masking_rnd_a: assert property(disable iff(!rst_n) rnd_done_to_masking_rnd_p); + + + // if in rnd_done state then if keygen_sign isn't set then state changes to keygen + property rnd_done_to_keygen_p; + `hiearchy.state_reg == RND_DONE_ST && + !keygen_sign + |=> + `hiearchy.state_reg == KEYGEN_ST && + `hiearchy.hmac_drbg_init == 1 && + `hiearchy.hmac_drbg_next == 0 && + ready == 0 && + `hiearchy.hmac_drbg_entropy == keygen_seed && + `hiearchy.hmac_lfsr_seed == fv_lfsr_seed_reg ^ `hiearchy.counter_nonce[147 : 0] && + `hiearchy.hmac_drbg_nonce == keygen_nonce && + lambda == $past(lambda) && + scalar_rnd == $past(scalar_rnd) && + masking_rnd == $past(masking_rnd) && + drbg == $past(drbg); + endproperty + rnd_done_to_keygen_a: assert property(disable iff(!rst_n) rnd_done_to_keygen_p); + + + //State transition from masking_rnd to sign when hmac_drbg_valid is set + property masking_rnd_to_sign_p; + `hiearchy.state_reg == MASKING_RND_ST && + $rose(`hiearchy.hmac_drbg_valid) + |=> + `hiearchy.state_reg == SIGN_ST && + `hiearchy.hmac_drbg_init == 1 && + `hiearchy.hmac_drbg_next == 0 && + `hiearchy.hmac_drbg_entropy == privKey && + ready == 0 && + `hiearchy.hmac_drbg_nonce == hashed_msg && + `hiearchy.hmac_lfsr_seed == fv_lfsr_seed_reg ^ `hiearchy.counter_nonce[147 : 0] && + masking_rnd == $past(`hiearchy.hmac_drbg_result) && + lambda == $past(lambda) && + scalar_rnd == $past(scalar_rnd) && + + drbg == $past(drbg); + endproperty + masking_rnd_to_sign_a: assert property(disable iff(!rst_n) masking_rnd_to_sign_p); + + //If hmac_drbg_valid isn't set then stays back in same state + property masking_rnd_wait_p; + `hiearchy.state_reg == MASKING_RND_ST && + !(`hiearchy.hmac_drbg_valid) + |=> + `hiearchy.state_reg == MASKING_RND_ST && + `hiearchy.hmac_drbg_init == 0 && + `hiearchy.hmac_drbg_next == 0 && + `hiearchy.hmac_drbg_entropy == IV && + ready == 0 && + `hiearchy.hmac_drbg_nonce == `hiearchy.counter_nonce_reg && + `hiearchy.hmac_lfsr_seed == (fv_lfsr_seed_reg) ^ `hiearchy.counter_nonce[147:0] && + lambda == $past(lambda) && + scalar_rnd == $past(scalar_rnd) && + masking_rnd == $past(masking_rnd) && + drbg == $past(drbg); + endproperty + masking_rnd_wait_a: assert property(disable iff(!rst_n) masking_rnd_wait_p); + + + //State transition from keygen to done when hmac_drbg_valid is set + property keygen_to_done_p; + `hiearchy.state_reg == KEYGEN_ST && + $rose(`hiearchy.hmac_drbg_valid) + |=> + `hiearchy.state_reg == DONE_ST && + `hiearchy.hmac_drbg_init == 0 && + `hiearchy.hmac_drbg_next == 0 && + `hiearchy.hmac_drbg_entropy == '0 && + ready == 0 && + `hiearchy.hmac_drbg_nonce == `hiearchy.counter_nonce_reg && + `hiearchy.hmac_lfsr_seed == (fv_lfsr_seed_reg) ^ `hiearchy.counter_nonce[147:0] && + lambda == $past(lambda) && + scalar_rnd == $past(scalar_rnd) && + masking_rnd == $past(masking_rnd) && + drbg == $past(`hiearchy.hmac_drbg_result); + endproperty + keygen_to_done_a: assert property(disable iff(!rst_n) keygen_to_done_p); + + //If hmac_drbg_valid isn't set then stays back in same state + property keygen_wait_p; + `hiearchy.state_reg == KEYGEN_ST && + !(`hiearchy.hmac_drbg_valid) + |=> + `hiearchy.state_reg == KEYGEN_ST && + `hiearchy.hmac_drbg_init == 0 && + `hiearchy.hmac_drbg_next == 0 && + `hiearchy.hmac_drbg_entropy == keygen_seed && + ready == 0 && + `hiearchy.hmac_drbg_nonce == keygen_nonce && + `hiearchy.hmac_lfsr_seed == (fv_lfsr_seed_reg) ^ `hiearchy.counter_nonce[147:0] && + lambda == $past(lambda) && + scalar_rnd == $past(scalar_rnd) && + masking_rnd == $past(masking_rnd) && + drbg == $past(drbg); + endproperty + keygen_wait_a: assert property(disable iff(!rst_n) keygen_wait_p); + + + + + //State transition from sign to done when hmac_drbg_valid is set + property sign_to_done_p; + `hiearchy.state_reg == SIGN_ST && + $rose(`hiearchy.hmac_drbg_valid) + |=> + `hiearchy.state_reg == DONE_ST && + `hiearchy.hmac_drbg_init == 0 && + `hiearchy.hmac_drbg_next == 0 && + `hiearchy.hmac_drbg_entropy == '0 && + ready == 0 && + `hiearchy.hmac_drbg_nonce == `hiearchy.counter_nonce_reg && + `hiearchy.hmac_lfsr_seed == (fv_lfsr_seed_reg) ^ `hiearchy.counter_nonce[147:0] && + lambda == $past(lambda) && + scalar_rnd == $past(scalar_rnd) && + masking_rnd == $past(masking_rnd) && + drbg == $past(`hiearchy.hmac_drbg_result); + endproperty + sign_to_done_a: assert property(disable iff(!rst_n) sign_to_done_p); + + + //If hmac_drbg_valid isn't set then stays back in same state + property sign_wait_p; + `hiearchy.state_reg == SIGN_ST && + !(`hiearchy.hmac_drbg_valid) + |=> + `hiearchy.state_reg == SIGN_ST && + `hiearchy.hmac_drbg_init == 0 && + `hiearchy.hmac_drbg_next == 0 && + `hiearchy.hmac_drbg_entropy == privKey && + ready == 0 && + `hiearchy.hmac_drbg_nonce == hashed_msg && + `hiearchy.hmac_lfsr_seed == (fv_lfsr_seed_reg) ^ `hiearchy.counter_nonce[147:0] && + lambda == $past(lambda) && + scalar_rnd == $past(scalar_rnd) && + masking_rnd == $past(masking_rnd) && + drbg == $past(drbg); + endproperty + sign_wait_a: assert property(disable iff(!rst_n) sign_wait_p); + + + + property done_to_idle_p; + `hiearchy.state_reg == DONE_ST + |=> + `hiearchy.state_reg == IDLE_ST && + `hiearchy.hmac_drbg_init == 0 && + `hiearchy.hmac_drbg_next == 0 && + `hiearchy.hmac_drbg_entropy == '0 && + ready == 1 && + `hiearchy.hmac_drbg_nonce == `hiearchy.counter_nonce_reg && + `hiearchy.hmac_lfsr_seed == (fv_lfsr_seed_reg) ^ `hiearchy.counter_nonce[147:0] && + lambda == $past(lambda) && + scalar_rnd == $past(scalar_rnd) && + masking_rnd == $past(masking_rnd) && + drbg == $past(drbg); + endproperty + done_to_idle_a: assert property(disable iff(!rst_n) done_to_idle_p); + + + + + //counter_reg is checked if it adds 1 after everycycle + + // Helper logic for reset reg to use in disable iff + logic fv_rst_n_reg; + always_ff @(posedge clk) begin + fv_rst_n_reg <= rst_n; + end + + property counter_reg_p; + `hiearchy.counter_reg == $past(`hiearchy.counter_reg)+1; + endproperty + counter_reg_a: assert property(disable iff(!rst_n || !fv_rst_n_reg) counter_reg_p); + + + + //counter_nonce_reg has counter_nonce onece en is triggered. + property counter_nonce_reg_p; + en + |=> + `hiearchy.counter_nonce_reg == $past(`hiearchy.counter_nonce); + endproperty + counter_nonce_reg_a: assert property(disable iff(!rst_n) counter_nonce_reg_p); + + // counter_nonce_reg stable if no en + property counter_nonce_reg_stable_p; + !en + |=> + `hiearchy.counter_nonce_reg == $past(`hiearchy.counter_nonce_reg); + endproperty + counter_nonce_reg_stable_a: assert property(disable iff(!rst_n) counter_nonce_reg_stable_p); + + + + + + //done_edge is a pulse from the hmac_drbg_valid + property done_pulse_p; + $rose(`hiearchy.hmac_drbg_valid) + |-> + `hiearchy.hmac_done_edge + ##1 + !`hiearchy.hmac_done_edge; + endproperty + + done_pulse_a: assert property(disable iff(!rst_n) done_pulse_p); + + + // eventually ready==1, once the fsm triggered + property ready_liveliness_p; + `hiearchy.state_reg == IDLE_ST && + (en & `hiearchy.hmac_drbg_ready) + |-> + s_eventually(ready); + endproperty + ready_liveliness_a: assert property(disable iff(!rst_n) ready_liveliness_p); + +endmodule + +bind ecc_hmac_drbg_interface fv_ecc_hmac_drbg_interface_m#( + .REG_SIZE(REG_SIZE), + .GROUP_ORDER(GROUP_ORDER) + ) + fv_ecc_hmac_drbg_interface ( + .clk(clk), + .rst_n(reset_n && !zeroize), + .keygen_sign(keygen_sign), + .en(en), + .ready(ready), + .keygen_seed(keygen_seed), + .keygen_nonce(keygen_nonce), + .privKey(privKey), + .hashed_msg(hashed_msg), + .IV(IV), + .lambda(lambda), + .scalar_rnd(scalar_rnd), + .masking_rnd(masking_rnd), + .drbg(drbg) + ); + + + + + + + + + \ No newline at end of file diff --git a/src/ecc/formal/properties/fv_ecc_hmac_drbg_interface_constraints.sv b/src/ecc/formal/properties/fv_ecc_hmac_drbg_interface_constraints.sv new file mode 100644 index 000000000..6e8f9a07c --- /dev/null +++ b/src/ecc/formal/properties/fv_ecc_hmac_drbg_interface_constraints.sv @@ -0,0 +1,113 @@ +// ------------------------------------------------- +// Contact: contact@lubis-eda.com +// Author: Tobias Ludwig, Michael Schwarz +// ------------------------------------------------- +// SPDX-License-Identifier: Apache-2.0 +// +// Licensed under the Apache License, Version 2.0 (the "License"); +// you may not use this file except in compliance with the License. +// You may obtain a copy of the License at +// +// http://www.apache.org/licenses/LICENSE-2.0 +// +// Unless required by applicable law or agreed to in writing, software +// distributed under the License is distributed on an "AS IS" BASIS, +// WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +// See the License for the specific language governing permissions and +// limitations under the License. +// +module fv_ecc_hmac_drbg_interface_constraints_m #( + parameter time_window = 3)( + input logic clk, + input logic rst_n, + input logic hmac_drbg_ready, + input logic hmac_drbg_init, + input logic hmac_drbg_next, + input logic hmac_drbg_valid, + input logic [383:0] counter_nonce +); + +default clocking default_clk @(posedge clk); endclocking + + + +property hmac_drbg_ready_after_reset(hmac_drbg_ready); + (!rst_n) + |=> + hmac_drbg_ready; + endproperty +assume_hmac_drbg_ready_after_reset : assume property(@(posedge clk) hmac_drbg_ready_after_reset(hmac_drbg_ready)); + + sequence idletonext(hmac_drbg_ready, hmac_drbg_init, hmac_drbg_next); + hmac_drbg_ready && + (hmac_drbg_init || hmac_drbg_next); + endsequence + + property hmac_drbg_not_ready(hmac_drbg_ready, hmac_drbg_init, hmac_drbg_next,time_window); + idletonext(hmac_drbg_ready, hmac_drbg_init, hmac_drbg_next) + |=> + !hmac_drbg_ready[*time_window] + ##1 + hmac_drbg_ready; + endproperty + assume_hmac_drbg_not_ready : assume property(@(posedge clk)disable iff(!rst_n ) hmac_drbg_not_ready(hmac_drbg_ready, hmac_drbg_init, hmac_drbg_next,time_window)); + + + property hmac_drbg_result_then_ready(hmac_drbg_valid, hmac_drbg_ready); + hmac_drbg_valid + |-> + hmac_drbg_ready; + endproperty + assume_hmac_drbg_result_then_ready : assume property(@(posedge clk) hmac_drbg_result_then_ready(hmac_drbg_valid, hmac_drbg_ready)); + + + property for_init(hmac_drbg_init, hmac_drbg_next, hmac_drbg_valid, time_window); + hmac_drbg_init || hmac_drbg_next + |-> + ##(time_window+1) + hmac_drbg_valid; + endproperty + assume_hmac_drbg_valid_after_init_next : assume property(@(posedge clk)disable iff(!rst_n ) for_init(hmac_drbg_init, hmac_drbg_next, hmac_drbg_valid, time_window)); + + + property for_valid(hmac_drbg_valid, hmac_drbg_init, hmac_drbg_next); + hmac_drbg_valid && + !(hmac_drbg_init || hmac_drbg_next) + |=> + hmac_drbg_valid; + endproperty + assume_hmac_drbg_valid_continous : assume property(@(posedge clk)disable iff(!rst_n ) for_valid(hmac_drbg_valid, hmac_drbg_init, hmac_drbg_next)); + + property hmac_drbg_ready_until_init_next(hmac_drbg_ready, hmac_drbg_init, hmac_drbg_next); + hmac_drbg_ready && + (hmac_drbg_init || hmac_drbg_next) + |=> + !hmac_drbg_ready +;endproperty + assume_hmac_drbg_ready_until_init_next : assume property ( @(posedge clk) disable iff(!rst_n ) hmac_drbg_ready_until_init_next(hmac_drbg_ready, hmac_drbg_init, hmac_drbg_next)); + + + property hmac_drbg_valid_zero_in_all_state(hmac_drbg_valid, hmac_drbg_init, hmac_drbg_next,time_window); + !hmac_drbg_valid && + (hmac_drbg_init || hmac_drbg_next) + |=> + !hmac_drbg_valid[*time_window] + ##1 + hmac_drbg_valid; + endproperty + assume_hmac_drbg_valid_zero_in_all_states : assume property (@(posedge clk) disable iff(!rst_n ) hmac_drbg_valid_zero_in_all_state(hmac_drbg_valid, hmac_drbg_init, hmac_drbg_init, time_window)); + + + assume_cntr_nonce_only_64bit: assume property(@(posedge clk) disable iff(!rst_n ) counter_nonce <= 64'hffffffffffffffff); + +endmodule + +bind ecc_hmac_drbg_interface fv_ecc_hmac_drbg_interface_constraints_m fv_ecc_hmac_drbg_interface_constraints ( + .clk(clk), + .rst_n(reset_n && !zeroize), + .hmac_drbg_init(ecc_hmac_drbg_interface.hmac_drbg_init), + .hmac_drbg_next(ecc_hmac_drbg_interface.hmac_drbg_next), + .hmac_drbg_ready(ecc_hmac_drbg_interface.hmac_drbg_ready), + .hmac_drbg_valid(ecc_hmac_drbg_interface.hmac_drbg_valid), + .counter_nonce(ecc_hmac_drbg_interface.counter_nonce) +); \ No newline at end of file diff --git a/src/ecc/formal/properties/fv_ecc_pm_ctrl_abstract.sv b/src/ecc/formal/properties/fv_ecc_pm_ctrl_abstract.sv new file mode 100644 index 000000000..48dfb1b7f --- /dev/null +++ b/src/ecc/formal/properties/fv_ecc_pm_ctrl_abstract.sv @@ -0,0 +1,1101 @@ +// ------------------------------------------------- +// Contact: contact@lubis-eda.com +// Author: Tobias Ludwig, Michael Schwarz +// ------------------------------------------------- +// SPDX-License-Identifier: Apache-2.0 +// +// Licensed under the Apache License, Version 2.0 (the "License"); +// you may not use this file except in compliance with the License. +// You may obtain a copy of the License at +// +// http://www.apache.org/licenses/LICENSE-2.0 +// +// Unless required by applicable law or agreed to in writing, software +// distributed under the License is distributed on an "AS IS" BASIS, +// WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +// See the License for the specific language governing permissions and +// limitations under the License. +// +module fv_ecc_pm_ctrl_abstract + import ecc_pm_uop_pkg::*; + #( + parameter REG_SIZE = 384, + parameter RND_SIZE = 192, + parameter INSTR_SIZE = 24, + parameter MULT_DLY = 38, + parameter ADD_DLY = 1, + parameter Secp384_MONT_COUNT = 384, + parameter Secp384_SCA_MONT_COUNT = 576 + ) + ( + // Clock and reset. + input wire clk, + input wire rst_n, + + + // from arith_unit + input wire [2 : 0] ecc_cmd_i, + input wire sca_en_i, + input wire digit_i, + input pm_instr_struct_t instr_o, + input logic req_digit_o, + input wire busy_o +); + + /////////////////////////////////////////////////////////////////// + // All the sequences latency from start to end + // The function dly, has the arguments as no. of mult, no. of add+sub + // no. of store operations, rest operations in the sequence + /////////////////////////////////////////////////////////////////// + + localparam CHK_DLY = dly(6,6,3,3,4); + localparam PM_INT_G_DLY = dly(3,3,0,0,0); + localparam PM_INT_DLY = dly(0,0,3,3,4); + localparam PA_DLY = dly(17,17,23,23,0); + localparam PD_DLY = dly(17,17,23,23,4); + localparam CONV_VER0_P1_DLY = dly(4,4,0,0,4); + localparam INV_DLY = dly(519,519,1,1,0); + localparam SIGN0_DLY = dly(7,7,5,5,4); + localparam INVQ_DLY = dly(519,519,1,1,4); + localparam SIGN1_DLY = dly(3,3,1,1,4); + localparam VER0_P0_DLY = dly(3,3,0,0,4); + localparam VER_ST_DLY = dly(0,0,3,3,0); + localparam PM_INT_PK_DLY = dly(2,2,1,1,0); + localparam VER_PA_DLY = dly(17,17,24,24,0); + + localparam PIP_DLY = 3; // The pipeline delay from having the data in instr_o from prog_instr + + + + + + ////////////////////////////////////////// + //Delay computation // + ////////////////////////////////////////// + + function logic [24:0] dly(input logic[11:0] num_mul,input logic[11:0] st_mul,input logic[11:0] num_add_sub,input logic[11:0] st_add_sub,input logic[11:0] rest); + logic [24:0] fv_total_mult_dly; + logic [24:0] fv_total_add_sub_dly; + fv_total_mult_dly = (num_mul*(MULT_DLY+2))+(st_mul); + fv_total_add_sub_dly = (num_add_sub*(ADD_DLY+2))+(st_add_sub); + return(fv_total_mult_dly+fv_total_add_sub_dly+rest-1); + endfunction + + + + + default clocking default_clk @(posedge clk); endclocking + + sequence reset_sequence; + !rst_n ##1 rst_n; + endsequence + + + //////////////////////////////////////////// + // reset property, when reset out and reg // + // are zero // + //////////////////////////////////////////// + + property reset_p; + $past(!rst_n) + |-> + ecc_pm_ctrl.prog_cntr == NOP && + ecc_pm_ctrl.mont_cntr <= '0 && + ecc_pm_ctrl.stall_cntr <= '0 && + ecc_pm_ctrl.stalled <= '0 && + ecc_pm_ctrl.mont_ladder <= '0 && + ecc_pm_ctrl.ecc_cmd_reg <= '0 && + instr_o == '0 && + req_digit_o == '0 && + busy_o == 0; + endproperty + + reset_a : assert property(reset_p); + + + //--------------------------------// + // Unabstracted counter properties// + //-------------------------------// + + // Validates once the check public key cmd is set then the sequence is triggered and finally ends in NOP + property check_point_p(delay); + ecc_cmd_i == CHK_PK_CMD && + ecc_pm_ctrl.prog_cntr == NOP + |=> + ecc_pm_ctrl.prog_cntr == CHK_PK_S + ##delay ecc_pm_ctrl.prog_cntr == CHK_PK_E + ##1 ecc_pm_ctrl.prog_cntr == NOP; + endproperty + check_point_a: assert property(disable iff(!rst_n) check_point_p(CHK_DLY)); + + + // validates once the cmd is set initally it would traverse through PM_INIT_G_S and PM_INIT_S + property pm_init_g_s_to_pm_init_s_p(cmd,delay); + ecc_cmd_i == cmd && + ecc_pm_ctrl.prog_cntr == NOP + |=> + ecc_pm_ctrl.prog_cntr == PM_INIT_G_S && // Initialise R1 with G + ecc_pm_ctrl.mont_cntr == $past((sca_en_i)? ecc_pm_ctrl.Secp384_SCA_MONT_COUNT : ecc_pm_ctrl.Secp384_MONT_COUNT) + ##delay ecc_pm_ctrl.prog_cntr == PM_INIT_G_E + ##1 ecc_pm_ctrl.prog_cntr == PM_INIT_S; // Initialise R0 with (0:1:0) + endproperty + keygen_stage0_a: assert property(disable iff(!rst_n) pm_init_g_s_to_pm_init_s_p(KEYGEN_CMD,PM_INT_G_DLY)); + signing_stage0_a: assert property(disable iff(!rst_n) pm_init_g_s_to_pm_init_s_p(SIGN_CMD,PM_INT_G_DLY)); + + // validates if cmd sequence is ongoing then it would traverse from PM_INIT_S till PA_S + property pm_init_s_to_pa_s_p(cmd,delay); + ecc_pm_ctrl.ecc_cmd_reg == cmd && + ecc_pm_ctrl.prog_cntr == PM_INIT_S + |-> + ##delay ecc_pm_ctrl.prog_cntr == PM_INIT_E + ##1 ecc_pm_ctrl.prog_cntr == PA_S && + ecc_pm_ctrl.mont_cntr == $past(ecc_pm_ctrl.mont_cntr)-1; // Point add + endproperty + keygen_stage1_a: assert property(disable iff(!rst_n) pm_init_s_to_pa_s_p(KEYGEN_CMD,PM_INT_DLY)); + signing_stage1_a: assert property(disable iff(!rst_n) pm_init_s_to_pa_s_p(SIGN_CMD,PM_INT_DLY)); + verify_part1_stage1_a: assert property(disable iff(!rst_n) pm_init_s_to_pa_s_p(VER_PART1_CMD,PM_INT_DLY)); + verify_part2_stage1_a: assert property(disable iff(!rst_n) pm_init_s_to_pa_s_p(VER_PART2_CMD,PM_INT_DLY)); + + + + //validates if cmd sequence is ongoing then it would traverse from PA_S to PD_S + property pa_s_to_pd_s_p(cmd,delay); + ecc_pm_ctrl.ecc_cmd_reg == cmd && + ecc_pm_ctrl.prog_cntr == PA_S + |-> + ##delay ecc_pm_ctrl.prog_cntr == PA_E + ##1 ecc_pm_ctrl.prog_cntr == PD_S; + endproperty + keygen_stage1_1_a: assert property(disable iff(!rst_n) pa_s_to_pd_s_p(KEYGEN_CMD,PA_DLY)); + signing_stage1_1_a: assert property(disable iff(!rst_n) pa_s_to_pd_s_p(SIGN_CMD,PA_DLY)); + verify_part1_stage1_1_a: assert property(disable iff(!rst_n) pa_s_to_pd_s_p(VER_PART1_CMD,PA_DLY)); + verify_part2_stage1_1_a: assert property(disable iff(!rst_n) pa_s_to_pd_s_p(VER_PART2_CMD,PA_DLY)); + + + + //validates if cmd sequence is ongoing then it would traverse from PD_S to PD_E + property pd_s_to_pd_e_p(cmd,delay); + ecc_pm_ctrl.ecc_cmd_reg == cmd && + ecc_pm_ctrl.prog_cntr == PD_S + |-> + ##delay ecc_pm_ctrl.prog_cntr == PD_E; + endproperty + keygen_stage2_1_a: assert property(disable iff(!rst_n) pd_s_to_pd_e_p(KEYGEN_CMD,PD_DLY)); + signing_stage2_1_a: assert property(disable iff(!rst_n) pd_s_to_pd_e_p(SIGN_CMD,PD_DLY)); + verify_part1_stage2_1_a: assert property(disable iff(!rst_n) pd_s_to_pd_e_p(VER_PART1_CMD,PD_DLY)); + verify_part2_stage2_1_a: assert property(disable iff(!rst_n) pd_s_to_pd_e_p(VER_PART2_CMD,PD_DLY)); + + + //validates if cmd sequence is ongoing then it would traverse from PD_E to INV_S when the mont_cntr is zero + property pd_e_to_invs_p(cmd); + ecc_pm_ctrl.ecc_cmd_reg == cmd && + ecc_pm_ctrl.prog_cntr == PD_E && + ecc_pm_ctrl.mont_cntr == 0 + |-> + ##1 ecc_pm_ctrl.prog_cntr == INV_S; + endproperty + keygen_stage2_a: assert property(disable iff(!rst_n) pd_e_to_invs_p(KEYGEN_CMD)); + signing_stage2_a: assert property(disable iff(!rst_n) pd_e_to_invs_p(SIGN_CMD)); + + // validates if cmd sequence is ongoing then it would traverse from PD_E to PA_S when the mont_cntr is not zero + property pd_e_to_pa_s_p(cmd); + ecc_pm_ctrl.ecc_cmd_reg == cmd && + ecc_pm_ctrl.prog_cntr == PD_E && + ecc_pm_ctrl.mont_cntr != 0 + |-> + ##1 ecc_pm_ctrl.prog_cntr == PA_S && + ecc_pm_ctrl.mont_cntr == $past(ecc_pm_ctrl.mont_cntr)-1; + endproperty + keygen_stage2_loop_a: assert property(disable iff(!rst_n) pd_e_to_pa_s_p(KEYGEN_CMD)); + signing_stage2_loop_a: assert property(disable iff(!rst_n) pd_e_to_pa_s_p(SIGN_CMD)); + verify_part1_stage2_loop_a: assert property(disable iff(!rst_n) pd_e_to_pa_s_p(VER_PART1_CMD)); + verify_part2_stage2_loop_a: assert property(disable iff(!rst_n) pd_e_to_pa_s_p(VER_PART2_CMD)); + + //validates if cmd sequence is ongoing then it would traverse from INV_E to CONV_S + property inve_to_convs_p(cmd); + ecc_pm_ctrl.ecc_cmd_reg == cmd && + ecc_pm_ctrl.prog_cntr == INV_E[*(MULT_DLY+2)] //[*3] for mult delay 1 + |-> + ##1 ecc_pm_ctrl.prog_cntr == CONV_S; + endproperty + keygen_stage3_a: assert property(disable iff(!rst_n) inve_to_convs_p(KEYGEN_CMD)); + signing_stage3_a: assert property(disable iff(!rst_n) inve_to_convs_p(SIGN_CMD)); + verify_part2_stage3_2_a: assert property(disable iff(!rst_n) inve_to_convs_p(VER_PART2_CMD)); + + //validates if cmd sequence is ongoing then it would traverse from INV_S to INV_E + property invs_to_inve_p(cmd,delay); + ecc_pm_ctrl.ecc_cmd_reg == cmd && + ecc_pm_ctrl.prog_cntr == INV_S //[*3] for mult delay 1 + |-> + ##delay ecc_pm_ctrl.prog_cntr == INV_E; + endproperty + keygen_stage3_1_a: assert property(disable iff(!rst_n) invs_to_inve_p(KEYGEN_CMD,INV_DLY)); + signing_stage3_1_a: assert property(disable iff(!rst_n) invs_to_inve_p(SIGN_CMD,INV_DLY)); + verify_part2_stage3_1_a: assert property(disable iff(!rst_n) invs_to_inve_p(VER_PART2_CMD,INV_DLY)); + + + //validates if cmd sequence is ongoing then it would traverse from CONV_S to NOP + property convs_to_nop(cmd,delay); + ecc_pm_ctrl.ecc_cmd_reg == cmd && + ecc_pm_ctrl.prog_cntr == CONV_S + |-> + ##delay ecc_pm_ctrl.prog_cntr == CONV_E //##19 mult delay 1 + ##1 ecc_pm_ctrl.prog_cntr == NOP; + endproperty + keygen_stage4_a: assert property(disable iff(!rst_n) convs_to_nop(KEYGEN_CMD,CONV_VER0_P1_DLY)); + verify_part2_stage4_a: assert property(disable iff(!rst_n) convs_to_nop(VER_PART2_CMD,CONV_VER0_P1_DLY)); + + + +///////////////////////////////////////////////////////////////////////////////////// +// ---------------------------------BEGIN----------------------------------------- // +// Checking the counter integrity that the sequence is performed one after another // +///////////////////////////////////////////////////////////////////////////////////// + + logic [PROG_ADDR_W-1 : 0] counter_keygen_a; + logic [PROG_ADDR_W-1 : 0] counter_keygen_b; + logic trigger_counter_keygen_a_reg; + logic trigger_counter_keygen_b_reg; + logic triggered_counter_keygen_a; + logic triggered_counter_keygen_b; + + counter_nonreachable_values: assume property (disable iff(!rst_n)counter_nonreachable_values_p); + property counter_nonreachable_values_p; + counter_keygen_a != PM_INIT_G_E+1 && counter_keygen_b != PM_INIT_G_E+1 && + counter_keygen_a != PM_INIT_E+1 && counter_keygen_b != PM_INIT_E+1 && + counter_keygen_a != INV_E+1 && counter_keygen_b != INV_E+1 && + counter_keygen_a != PA_E+1 && counter_keygen_b != PA_E+1 && + counter_keygen_a != PD_E+1 && counter_keygen_b != PD_E+1 && + counter_keygen_a != INV_E+1 && counter_keygen_b != INV_E+1; + endproperty + + counter_keygen_a_assume: assume property(disable iff(!rst_n) (counter_keygen_a >=PM_INIT_G_S) && (counter_keygen_a <=CONV_E) && $stable(counter_keygen_a)); + counter_keygen_b_assume: assume property(disable iff(!rst_n) (counter_keygen_b <=CONV_E) && (counter_keygen_b > counter_keygen_a) && $stable(counter_keygen_b)); + + assign trigger_counter_keygen_a_reg = (ecc_pm_ctrl.prog_cntr==counter_keygen_a); + assign trigger_counter_keygen_b_reg = (ecc_pm_ctrl.prog_cntr==counter_keygen_b); + always_ff @(posedge clk, negedge rst_n) begin + if(!rst_n) begin + triggered_counter_keygen_a <= '0; + triggered_counter_keygen_b <= '0; + end + else begin + if(ecc_pm_ctrl.ecc_cmd_reg==KEYGEN_CMD) begin + if(trigger_counter_keygen_a_reg) begin + triggered_counter_keygen_a <= 1; + end + if(trigger_counter_keygen_b_reg) begin + triggered_counter_keygen_b <= 1; + end + end + end + end + + property liveness_p(cmd,trigered); + ecc_cmd_i == cmd && + ecc_pm_ctrl.prog_cntr == NOP + |-> + s_eventually(trigered); + endproperty + counter_keygen_a_liveness_a: assert property(disable iff(!rst_n) liveness_p(KEYGEN_CMD,triggered_counter_keygen_a)); + counter_keygen_b_liveness_a: assert property(disable iff(!rst_n) liveness_p(KEYGEN_CMD,triggered_counter_keygen_b)); + + //property to order_check + property order_check_p(triggered_a,triggered_b); + triggered_b + |=> + $past(triggered_a); + endproperty + keygen_order_check_a: assert property(disable iff(!rst_n) order_check_p(triggered_counter_keygen_a,triggered_counter_keygen_b)); + +///////////////////////////////////////////////////////////////////////////////////// +// ------------------------------------END---------------------------------------- // +///////////////////////////////////////////////////////////////////////////////////// + + //------------------------------------// + // Signing staging + //------------------------------------// + + + //validates if signing sequence is ongoing then it would traverse from CONV_S till INVq_S + property signing_stage3_2_p(delay1,delay2); + ecc_pm_ctrl.ecc_cmd_reg == SIGN_CMD && + ecc_pm_ctrl.prog_cntr == CONV_S + |-> + ##delay1 ecc_pm_ctrl.prog_cntr == CONV_E // conversion to affine r compute done + ##1 ecc_pm_ctrl.prog_cntr == SIGN0_S // (d + r (privKey-d)),((h-d) + r.d) + ##delay2 ecc_pm_ctrl.prog_cntr == SIGN0_E + ##1 ecc_pm_ctrl.prog_cntr == INVq_S; // k inverse ##52 mult delay 1 + endproperty + signing_stage3_2_a: assert property(disable iff(!rst_n) signing_stage3_2_p(CONV_VER0_P1_DLY,SIGN0_DLY)); + + + //validates if signing sequence is ongoing then it would traverse from INVq_S till INVq_E + property signing_stage4_1_p(delay); + ecc_pm_ctrl.ecc_cmd_reg == SIGN_CMD && + ecc_pm_ctrl.prog_cntr == INVq_S + |-> + ##delay ecc_pm_ctrl.prog_cntr == INVq_E; + endproperty + signing_stage4_1_a: assert property(disable iff(!rst_n) signing_stage4_1_p(INVQ_DLY)); + + + //validates if signing sequence is ongoing then it would traverse from INVq_E till NOP + property signing_stage4_p(delay); + ecc_pm_ctrl.ecc_cmd_reg == SIGN_CMD && + ecc_pm_ctrl.prog_cntr == INVq_E + |-> + ##1 ecc_pm_ctrl.prog_cntr == SIGN1_S // final value s [k^-1((h-d) + r (privKey-d))] + [k^-1(d + r.d)] mod q + ##delay ecc_pm_ctrl.prog_cntr == SIGN1_E + ##1 ecc_pm_ctrl.prog_cntr == NOP ; // ##20 mult delay 1 + endproperty + signing_stage4_a: assert property(disable iff(!rst_n) signing_stage4_p(SIGN1_DLY)); + + + +///////////////////////////////////////////////////////////////////////////////////// +// ---------------------------------BEGIN----------------------------------------- // +// Checking the counter integrity that the sequence is performed one after another // +///////////////////////////////////////////////////////////////////////////////////// + + logic [PROG_ADDR_W-1 : 0] counter_sign_a; + logic [PROG_ADDR_W-1 : 0] counter_sign_b; + logic trigger_counter_sign_a_reg; + logic trigger_counter_sign_b_reg; + logic triggered_counter_sign_a; + logic triggered_counter_sign_b; + + counter_nonreachable_values_in_sign: assume property (disable iff(!rst_n)counter_nonreachable_values_in_sign_p); + property counter_nonreachable_values_in_sign_p; + counter_sign_a != PM_INIT_G_E+1 && counter_sign_b != PM_INIT_G_E+1 && + counter_sign_a != PM_INIT_E+1 && counter_sign_b != PM_INIT_E+1 && + counter_sign_a != INV_E+1 && counter_sign_b != INV_E+1 && + counter_sign_a != PA_E+1 && counter_sign_b != PA_E+1 && + counter_sign_a != PD_E+1 && counter_sign_b != PD_E+1 && + counter_sign_a != INV_E+1 && counter_sign_b != INV_E+1 && + counter_sign_a != CONV_E+1 && counter_sign_b != CONV_E+1 && + counter_sign_a != SIGN0_E+1 && counter_sign_b != SIGN0_E+1 && + counter_sign_a != INVq_E+1 && counter_sign_b != INVq_E+1 + ; + endproperty + + counter_sign_a_assume: assume property(disable iff(!rst_n) (counter_sign_a >=PM_INIT_G_S) && (counter_sign_a <=SIGN1_E) && $stable(counter_sign_a)); + counter_sign_b_assume: assume property(disable iff(!rst_n) (counter_sign_b <=SIGN1_E) && (counter_sign_b > counter_sign_a) && $stable(counter_sign_b)); + + assign trigger_counter_sign_a_reg = (ecc_pm_ctrl.prog_cntr==counter_sign_a); + assign trigger_counter_sign_b_reg = (ecc_pm_ctrl.prog_cntr==counter_sign_b); + always_ff @(posedge clk, negedge rst_n) begin + if(!rst_n) begin + triggered_counter_sign_a <= '0; + triggered_counter_sign_b <= '0; + end + else begin + if(ecc_pm_ctrl.ecc_cmd_reg==SIGN_CMD) begin + if(trigger_counter_sign_a_reg) begin + triggered_counter_sign_a <= 1; + end + if(trigger_counter_sign_b_reg) begin + triggered_counter_sign_b <= 1; + end + end + end + end + + counter_sign_a_liveness_a: assert property(disable iff(!rst_n) liveness_p(SIGN_CMD,triggered_counter_sign_a)); + + counter_sign_b_liveness_a: assert property(disable iff(!rst_n) liveness_p(SIGN_CMD,triggered_counter_sign_b)); + + order_check_sign_a: assert property(disable iff(!rst_n) order_check_p(triggered_counter_sign_a,triggered_counter_sign_b)); + + + + +///////////////////////////////////////////////////////////////////////////////////// +// ------------------------------------END---------------------------------------- // +///////////////////////////////////////////////////////////////////////////////////// + + + //--------------------------------------// + // Verifying staging + //-------------------------------------// + + //Validates once the VER_PART0_CMD is triggered then it would traverse itll INVq_S + property verify_part0_stage0_p(delay); + ecc_cmd_i == VER_PART0_CMD && + ecc_pm_ctrl.prog_cntr == NOP + |=> + ecc_pm_ctrl.prog_cntr == VER0_P0_S //convert h,r,s inputs to mont + ##delay ecc_pm_ctrl.prog_cntr == VER0_P0_E + ##1 ecc_pm_ctrl.prog_cntr == INVq_S; // compute s inverse //##16 mult delay 1 + endproperty + verify_part0_stage0_a: assert property(disable iff(!rst_n) verify_part0_stage0_p(VER0_P0_DLY)); + + + //validates if the verify part0 is ongoing then it would traverse from INVq_s to INVq_E + property verify_part0_stage1_1_p(delay); + ecc_pm_ctrl.ecc_cmd_reg == VER_PART0_CMD && + ecc_pm_ctrl.prog_cntr == INVq_S + |-> + ##delay ecc_pm_ctrl.prog_cntr == INVq_E; + endproperty + verify_part0_stage1_1_a: assert property(disable iff(!rst_n) verify_part0_stage1_1_p(INVQ_DLY)); + + + //validates if the verify part0 is ongoing then finally it would end in NOP + property verify_part0_stage1_p(delay); + ecc_pm_ctrl.ecc_cmd_reg == VER_PART0_CMD && + ecc_pm_ctrl.prog_cntr == INVq_E + |-> + ##1 ecc_pm_ctrl.prog_cntr == VER0_P1_S // compute h*s_inv and r*s_inv + ##delay ecc_pm_ctrl.prog_cntr == VER0_P1_E + ##1 ecc_pm_ctrl.prog_cntr == NOP; // ##20 mult delay 1 + endproperty + verify_part0_stage1_a: assert property(disable iff(!rst_n) verify_part0_stage1_p(CONV_VER0_P1_DLY)); + + + +///////////////////////////////////////////////////////////////////////////////////// +// ---------------------------------BEGIN----------------------------------------- // +// Checking the counter integrity that the sequence is performed one after another // +///////////////////////////////////////////////////////////////////////////////////// + + logic [PROG_ADDR_W-1 : 0] counter_ver_p0_a; + logic [PROG_ADDR_W-1 : 0] counter_ver_p0_b; + logic [PROG_ADDR_W-1 : 0] counter_ver_p0_c; + logic [PROG_ADDR_W-1 : 0] counter_ver_p0_a_1; + logic [PROG_ADDR_W-1 : 0] counter_ver_p0_b_1; + logic [PROG_ADDR_W-1 : 0] counter_ver_p0_c_1; + logic trigger_counter_ver_p0_a_reg; + logic trigger_counter_ver_p0_b_reg; + logic trigger_counter_ver_p0_c_reg; + logic trigger_counter_ver_p0_a_1_reg; + logic trigger_counter_ver_p0_b_1_reg; + logic trigger_counter_ver_p0_c_1_reg; + logic triggered_counter_ver_p0_a; + logic triggered_counter_ver_p0_b; + logic triggered_counter_ver_p0_c; + logic triggered_counter_ver_p0_a_1; + logic triggered_counter_ver_p0_b_1; + logic triggered_counter_ver_p0_c_1; + + + + counter_ver_p0_a_assume: assume property(disable iff(!rst_n) (counter_ver_p0_a <=VER0_P0_E) && (counter_ver_p0_a >=VER0_P0_S) && $stable(counter_ver_p0_a)); + counter_ver_p0_a_1_assume: assume property(disable iff(!rst_n) (counter_ver_p0_a_1 <=VER0_P0_E) && (counter_ver_p0_a_1 > counter_ver_p0_a) && $stable(counter_ver_p0_a_1)); + counter_ver_p0_b_assume: assume property(disable iff(!rst_n) (counter_ver_p0_b >=INVq_S) && (counter_ver_p0_b <=INVq_E) && $stable(counter_ver_p0_b)); + counter_ver_p0_b_1_assume: assume property(disable iff(!rst_n) (counter_ver_p0_b_1 >counter_ver_p0_b) && (counter_ver_p0_b_1 <=INVq_E) && $stable(counter_ver_p0_b_1)); + counter_ver_p0_c_assume: assume property(disable iff(!rst_n) (counter_ver_p0_c <=VER0_P1_E) && ((counter_ver_p0_c >=VER0_P1_S)) && $stable(counter_ver_p0_c)); + counter_ver_p0_c_1_assume: assume property(disable iff(!rst_n) (counter_ver_p0_c_1 <=VER0_P1_E) && ((counter_ver_p0_c_1 > counter_ver_p0_c)) && $stable(counter_ver_p0_c_1)); + + assign trigger_counter_ver_p0_a_reg = (ecc_pm_ctrl.prog_cntr==counter_ver_p0_a); + assign trigger_counter_ver_p0_b_reg = (ecc_pm_ctrl.prog_cntr==counter_ver_p0_b); + assign trigger_counter_ver_p0_c_reg = (ecc_pm_ctrl.prog_cntr==counter_ver_p0_c); + assign trigger_counter_ver_p0_a_1_reg = (ecc_pm_ctrl.prog_cntr==counter_ver_p0_a_1); + assign trigger_counter_ver_p0_b_1_reg = (ecc_pm_ctrl.prog_cntr==counter_ver_p0_b_1); + assign trigger_counter_ver_p0_c_1_reg = (ecc_pm_ctrl.prog_cntr==counter_ver_p0_c_1); + + always_ff @(posedge clk, negedge rst_n) begin + if(!rst_n) begin + triggered_counter_ver_p0_a <= '0; + triggered_counter_ver_p0_b <= '0; + triggered_counter_ver_p0_c <= '0; + triggered_counter_ver_p0_a_1 <= '0; + triggered_counter_ver_p0_b_1 <= '0; + triggered_counter_ver_p0_c_1 <= '0; + end + else begin + if(ecc_pm_ctrl.ecc_cmd_reg==VER_PART0_CMD) begin + if(trigger_counter_ver_p0_a_reg) begin + triggered_counter_ver_p0_a <= 1; + end + if(trigger_counter_ver_p0_b_reg) begin + triggered_counter_ver_p0_b <= 1; + end + if(trigger_counter_ver_p0_c_reg) begin + triggered_counter_ver_p0_c <= 1; + end + if(trigger_counter_ver_p0_a_1_reg) begin + triggered_counter_ver_p0_a_1 <= 1; + end + if(trigger_counter_ver_p0_b_1_reg) begin + triggered_counter_ver_p0_b_1 <= 1; + end + if(trigger_counter_ver_p0_c_1_reg) begin + triggered_counter_ver_p0_c_1 <= 1; + end + end + end + end + + + counter_ver_p0_a_liveness_a: assert property(disable iff(!rst_n) liveness_p(VER_PART0_CMD,triggered_counter_ver_p0_a)); + + counter_ver_p0_b_liveness_a: assert property(disable iff(!rst_n) liveness_p(VER_PART0_CMD,triggered_counter_ver_p0_b)); + + counter_ver_p0_c_liveness_a: assert property(disable iff(!rst_n) liveness_p(VER_PART0_CMD,triggered_counter_ver_p0_c)); + + counter_intrnl_ver0_p0_s_a: assert property(disable iff(!rst_n) order_check_p(triggered_counter_ver_p0_a,triggered_counter_ver_p0_a_1)); + + counter_staging0_ver_p0_a: assert property(disable iff(!rst_n) order_check_p((triggered_counter_ver_p0_a & triggered_counter_ver_p0_a_1 & triggered_counter_ver_p0_b),triggered_counter_ver_p0_b_1)); + + counter_staging1_ver_p0_a: assert property(disable iff(!rst_n) order_check_p((triggered_counter_ver_p0_a & triggered_counter_ver_p0_b & triggered_counter_ver_p0_c & triggered_counter_ver_p0_a_1 & triggered_counter_ver_p0_b_1),triggered_counter_ver_p0_c_1)); + + + + +///////////////////////////////////////////////////////////////////////////////////// +// ------------------------------------END---------------------------------------- // +///////////////////////////////////////////////////////////////////////////////////// + + + + + //validates once the verify part1 is set initally it would traverse through PM_INIT_G_S and PM_INIT_S + property verify_part1_stage0_p(delay); + ecc_cmd_i == VER_PART1_CMD && + ecc_pm_ctrl.prog_cntr == NOP + |=> + ecc_pm_ctrl.prog_cntr == PM_INIT_G_S && // Initialise R1 with G + ecc_pm_ctrl.mont_cntr == ecc_pm_ctrl.Secp384_MONT_COUNT + ##delay ecc_pm_ctrl.prog_cntr == PM_INIT_G_E + ##1 ecc_pm_ctrl.prog_cntr == PM_INIT_S; // Initialise R0 with (0:1:0) + endproperty + verify_part1_stage0_a: assert property(disable iff(!rst_n) verify_part1_stage0_p(PM_INT_G_DLY)); + + + //validates if verify part1 sequence is ongoing then it would traverse from PD_E to NOP when the mont_cntr is zero + property verify_part1_stage2_p; + ecc_pm_ctrl.ecc_cmd_reg == VER_PART1_CMD && + ecc_pm_ctrl.prog_cntr == PD_E && + ecc_pm_ctrl.mont_cntr == 0 + |-> + ##1 ecc_pm_ctrl.prog_cntr == NOP; //(h*s^-1)*G + endproperty + verify_part1_stage2_a: assert property(disable iff(!rst_n) verify_part1_stage2_p); + + +///////////////////////////////////////////////////////////////////////////////////// +// ---------------------------------BEGIN----------------------------------------- // +// Checking the counter integrity that the sequence is performed one after another // +///////////////////////////////////////////////////////////////////////////////////// + + logic [PROG_ADDR_W-1 : 0] counter_ver_p1_a; + logic [PROG_ADDR_W-1 : 0] counter_ver_p1_b; + logic trigger_counter_ver_p1_a_reg; + logic trigger_counter_ver_p1_b_reg; + logic triggered_counter_ver_p1_a; + logic triggered_counter_ver_p1_b; + + counter_nonreachable_values_in_ver_p1: assume property (disable iff(!rst_n)counter_nonreachable_values_in_ver_p1_p); + property counter_nonreachable_values_in_ver_p1_p; + counter_ver_p1_a != PM_INIT_G_E+1 && counter_ver_p1_b != PM_INIT_G_E+1 && + counter_ver_p1_a != PM_INIT_E+1 && counter_ver_p1_b != PM_INIT_E+1 && + counter_ver_p1_a != INV_E+1 && counter_ver_p1_b != INV_E+1 && + counter_ver_p1_a != PA_E+1 && counter_ver_p1_b != PA_E+1; + + endproperty + + counter_ver_p1_a_assume: assume property(disable iff(!rst_n) (counter_ver_p1_a >=PM_INIT_G_S) && (counter_ver_p1_a <=PD_E) && $stable(counter_ver_p1_a)); + counter_ver_p1_b_assume: assume property(disable iff(!rst_n) (counter_ver_p1_b <=PD_E) && (counter_ver_p1_b > counter_ver_p1_a) && $stable(counter_ver_p1_b)); + + assign trigger_counter_ver_p1_a_reg = (ecc_pm_ctrl.prog_cntr==counter_ver_p1_a); + assign trigger_counter_ver_p1_b_reg = (ecc_pm_ctrl.prog_cntr==counter_ver_p1_b); + always_ff @(posedge clk, negedge rst_n) begin + if(!rst_n) begin + triggered_counter_ver_p1_a <= '0; + triggered_counter_ver_p1_b <= '0; + end + else begin + if (ecc_pm_ctrl.ecc_cmd_reg == VER_PART1_CMD) begin + if(trigger_counter_ver_p1_a_reg) begin + triggered_counter_ver_p1_a <= 1; + end + if(trigger_counter_ver_p1_b_reg) begin + triggered_counter_ver_p1_b <= 1; + end + end + end + end + + counter_ver_p1_a_liveness_a: assert property(disable iff(!rst_n) liveness_p(VER_PART1_CMD,triggered_counter_ver_p1_a)); + + counter_ver_p1_b_liveness_a: assert property(disable iff(!rst_n) liveness_p(VER_PART1_CMD,triggered_counter_ver_p1_b)); + + counter_order_check_ver_p1_a: assert property(disable iff(!rst_n) order_check_p(triggered_counter_ver_p1_a,triggered_counter_ver_p1_b)); + + + + +///////////////////////////////////////////////////////////////////////////////////// +// ------------------------------------END---------------------------------------- // +///////////////////////////////////////////////////////////////////////////////////// + + + // validates once the verify part2 is set initally it would traverse through VER1_ST_S and PM_INIT_S + property verify_part2_stage0_p(delay1,delay2); + ecc_cmd_i == VER_PART2_CMD && + ecc_pm_ctrl.prog_cntr == NOP + |=> + ecc_pm_ctrl.prog_cntr == VER1_ST_S //store (h*s^-1)*G in P1 address + ##delay1 ecc_pm_ctrl.prog_cntr == VER1_ST_E + ##1 ecc_pm_ctrl.prog_cntr == PM_INIT_PK_S // Pubkey in R1 + ##delay2 ecc_pm_ctrl.prog_cntr == PM_INIT_PK_E + ##1 ecc_pm_ctrl.prog_cntr == PM_INIT_S; // Initialise R0 with (0:1:0) ##12 mult delay 1 + endproperty + verify_part2_stage0_a: assert property(disable iff(!rst_n) verify_part2_stage0_p(VER_ST_DLY,PM_INT_PK_DLY)); + + + //validates if verify part2 sequence is ongoing then it would traverse from PD_E to VER2_PA_S when the mont_cntr is zero + property verify_part2_stage2_p; + ecc_pm_ctrl.ecc_cmd_reg == VER_PART2_CMD && + ecc_pm_ctrl.prog_cntr == PD_E && + ecc_pm_ctrl.mont_cntr == 0 + |-> + ##1 ecc_pm_ctrl.prog_cntr == VER2_PA_S ; // add (h*s_inv)*G, (r*s_inv)*PK) + endproperty + verify_part2_stage2_a: assert property(disable iff(!rst_n) verify_part2_stage2_p); + + + + //validates if verify part2 sequence is ongoing then it would traverse from VER2_PA_S till INV_S + property verify_part2_stage3_p(delay); + ecc_pm_ctrl.ecc_cmd_reg == VER_PART2_CMD && + ecc_pm_ctrl.prog_cntr == VER2_PA_S + |-> + ##delay ecc_pm_ctrl.prog_cntr == VER2_PA_E + ##1 ecc_pm_ctrl.prog_cntr == INV_S ; // Inv z coordinate + endproperty + verify_part2_stage3_a: assert property(disable iff(!rst_n) verify_part2_stage3_p(VER_PA_DLY)); + + +///////////////////////////////////////////////////////////////////////////////////// +// ---------------------------------BEGIN----------------------------------------- // +// Checking the counter integrity that the sequence is performed one after another // +///////////////////////////////////////////////////////////////////////////////////// + + logic [PROG_ADDR_W-1 : 0] counter_ver_p2_a; + logic [PROG_ADDR_W-1 : 0] counter_ver_p2_b; + logic [PROG_ADDR_W-1 : 0] counter_ver_p2_c; + logic [PROG_ADDR_W-1 : 0] counter_ver_p2_d; + logic [PROG_ADDR_W-1 : 0] counter_ver_p2_a_1; + logic [PROG_ADDR_W-1 : 0] counter_ver_p2_b_1; + logic [PROG_ADDR_W-1 : 0] counter_ver_p2_c_1; + logic [PROG_ADDR_W-1 : 0] counter_ver_p2_d_1; + logic trigger_counter_ver_p2_a_1_reg; + logic trigger_counter_ver_p2_b_1_reg; + logic trigger_counter_ver_p2_c_1_reg; + logic trigger_counter_ver_p2_d_1_reg; + logic triggered_counter_ver_p2_a_1; + logic triggered_counter_ver_p2_b_1; + logic triggered_counter_ver_p2_c_1; + logic triggered_counter_ver_p2_d_1; + logic trigger_counter_ver_p2_a_reg; + logic trigger_counter_ver_p2_b_reg; + logic trigger_counter_ver_p2_c_reg; + logic trigger_counter_ver_p2_d_reg; + logic triggered_counter_ver_p2_a; + logic triggered_counter_ver_p2_b; + logic triggered_counter_ver_p2_c; + logic triggered_counter_ver_p2_d; + + counter_nonreachable_values_in_ver_p2: assume property (disable iff(!rst_n)counter_nonreachable_values_in_ver_p2_p); + property counter_nonreachable_values_in_ver_p2_p; + + counter_ver_p2_a != VER1_ST_E+1 && counter_ver_p2_a_1 != VER1_ST_E+1 && + counter_ver_p2_b != PM_INIT_E+1 && counter_ver_p2_b_1 != PM_INIT_E+1 && + counter_ver_p2_b != PA_E+1 && counter_ver_p2_b_1 != PA_E+1 && + counter_ver_p2_d!= INV_E+1 && counter_ver_p2_d_1!= INV_E+1 + ; + endproperty + + counter_ver_p2_a_assume: assume property(disable iff(!rst_n) (counter_ver_p2_a >=VER1_ST_S) && (counter_ver_p2_a <=PM_INIT_PK_E) && $stable(counter_ver_p2_a)); + counter_ver_p2_a_1_assume: assume property(disable iff(!rst_n) (counter_ver_p2_a_1 > counter_ver_p2_a) && (counter_ver_p2_a_1 <=PM_INIT_PK_E) && $stable(counter_ver_p2_a_1)); + + counter_ver_p2_b_assume: assume property(disable iff(!rst_n) (counter_ver_p2_b <=PD_E) && (counter_ver_p2_b >= PM_INIT_S) && $stable(counter_ver_p2_b)); + counter_ver_p2_b_1_assume: assume property(disable iff(!rst_n) (counter_ver_p2_b_1 <=PD_E) && (counter_ver_p2_b_1 > counter_ver_p2_b) && $stable(counter_ver_p2_b_1)); + + counter_ver_p2_c_assume: assume property(disable iff(!rst_n) (counter_ver_p2_c >=VER2_PA_S) && (counter_ver_p2_c <=VER2_PA_E) && $stable(counter_ver_p2_c)); + counter_ver_p2_c_1_assume: assume property(disable iff(!rst_n) (counter_ver_p2_c_1 >counter_ver_p2_c) && (counter_ver_p2_c_1 <=VER2_PA_E) && $stable(counter_ver_p2_c_1)); + + counter_ver_p2_d_assume: assume property(disable iff(!rst_n) (counter_ver_p2_d <=CONV_E) && (counter_ver_p2_d >= INV_S) && $stable(counter_ver_p2_d)); + counter_ver_p2_d_1_assume: assume property(disable iff(!rst_n) (counter_ver_p2_d_1 <=CONV_E) && (counter_ver_p2_d_1 > counter_ver_p2_d) && $stable(counter_ver_p2_d_1)); + + assign trigger_counter_ver_p2_a_reg = (ecc_pm_ctrl.prog_cntr==counter_ver_p2_a); + assign trigger_counter_ver_p2_b_reg = (ecc_pm_ctrl.prog_cntr==counter_ver_p2_b); + + assign trigger_counter_ver_p2_c_reg = (ecc_pm_ctrl.prog_cntr==counter_ver_p2_c); + assign trigger_counter_ver_p2_d_reg = (ecc_pm_ctrl.prog_cntr==counter_ver_p2_d); + + assign trigger_counter_ver_p2_a_1_reg = (ecc_pm_ctrl.prog_cntr==counter_ver_p2_a_1); + assign trigger_counter_ver_p2_b_1_reg = (ecc_pm_ctrl.prog_cntr==counter_ver_p2_b_1); + + assign trigger_counter_ver_p2_c_1_reg = (ecc_pm_ctrl.prog_cntr==counter_ver_p2_c_1); + assign trigger_counter_ver_p2_d_1_reg = (ecc_pm_ctrl.prog_cntr==counter_ver_p2_d_1); + + always_ff @(posedge clk, negedge rst_n) begin + if(!rst_n) begin + triggered_counter_ver_p2_a <= '0; + triggered_counter_ver_p2_b <= '0; + triggered_counter_ver_p2_c <= '0; + triggered_counter_ver_p2_d <= '0; + triggered_counter_ver_p2_a_1 <= '0; + triggered_counter_ver_p2_b_1 <= '0; + triggered_counter_ver_p2_c_1 <= '0; + triggered_counter_ver_p2_d_1 <= '0; + end + else begin + if(ecc_pm_ctrl.ecc_cmd_reg == VER_PART2_CMD) begin + if(trigger_counter_ver_p2_a_reg) begin + triggered_counter_ver_p2_a <= 1; + end + if(trigger_counter_ver_p2_b_reg) begin + triggered_counter_ver_p2_b <= 1; + end + if(trigger_counter_ver_p2_c_reg) begin + triggered_counter_ver_p2_c <= 1; + end + if(trigger_counter_ver_p2_d_reg) begin + triggered_counter_ver_p2_d <= 1; + end + if(trigger_counter_ver_p2_a_1_reg) begin + triggered_counter_ver_p2_a_1 <= 1; + end + if(trigger_counter_ver_p2_b_1_reg) begin + triggered_counter_ver_p2_b_1 <= 1; + end + if(trigger_counter_ver_p2_c_1_reg) begin + triggered_counter_ver_p2_c_1 <= 1; + end + if(trigger_counter_ver_p2_d_1_reg) begin + triggered_counter_ver_p2_d_1 <= 1; + end + end + end + end + + + counter_ver_p2_a_liveness_a: assert property(disable iff(!rst_n) liveness_p(VER_PART2_CMD,triggered_counter_ver_p2_a)); + + + counter_ver_p2_b_liveness_a: assert property(disable iff(!rst_n) liveness_p(VER_PART2_CMD,triggered_counter_ver_p2_b)); + + counter_ver_p2_c_liveness_a: assert property(disable iff(!rst_n) liveness_p(VER_PART2_CMD,triggered_counter_ver_p2_c)); + + counter_ver_p2_d_liveness_a: assert property(disable iff(!rst_n) liveness_p(VER_PART2_CMD,triggered_counter_ver_p2_d)); + + counter_intrnl_ver_p2_a: assert property(disable iff(!rst_n) order_check_p(triggered_counter_ver_p2_a,triggered_counter_ver_p2_a_1)); + + counter_staging0_ver_p2_a: assert property(disable iff(!rst_n) order_check_p((triggered_counter_ver_p2_a & triggered_counter_ver_p2_a_1 & triggered_counter_ver_p2_b),triggered_counter_ver_p2_b_1)); + + counter_staging1_ver_p2_a: assert property(disable iff(!rst_n) order_check_p((triggered_counter_ver_p2_a & triggered_counter_ver_p2_b & triggered_counter_ver_p2_c & triggered_counter_ver_p2_a_1 & triggered_counter_ver_p2_b_1), triggered_counter_ver_p2_c_1)); + + counter_staging2_ver_p2_a: assert property(disable iff(!rst_n) order_check_p((triggered_counter_ver_p2_a & triggered_counter_ver_p2_b & triggered_counter_ver_p2_c & triggered_counter_ver_p2_d & triggered_counter_ver_p2_a_1 & triggered_counter_ver_p2_b_1 & triggered_counter_ver_p2_c_1),triggered_counter_ver_p2_d_1)); + + + +///////////////////////////////////////////////////////////////////////////////////// +// ------------------------------------END---------------------------------------- // +///////////////////////////////////////////////////////////////////////////////////// + + + + + //If the prog_cntr is not NOP then the pm_ctrl is busy + property busy_p; + ecc_pm_ctrl.prog_cntr != NOP + |-> + busy_o; + endproperty + busy_a: assert property(disable iff(!rst_n) busy_p); + + //If the prog_cntr is NOP then the pm_ctrl is not busy + property no_busy_p; + (ecc_pm_ctrl.prog_cntr == NOP) + |-> + !busy_o; + endproperty + no_busy_a: assert property(disable iff(!rst_n) no_busy_p); + + + //req_digit_o is set only when the mont_cntr is not zero in PD_E step or the very first rotation i.e in PM_INIT_E + property req_digit_p; + (ecc_pm_ctrl.prog_cntr == PD_E && ecc_pm_ctrl.mont_cntr!=0) || + (ecc_pm_ctrl.prog_cntr == PM_INIT_E) + |=> + req_digit_o; + endproperty + req_digit_a: assert property(disable iff(!rst_n) req_digit_p); + + + //req_digit_o is zero when the mont_cntr is zero in PD_E step or it is not the very first rotation i.e in PM_INIT_E + property no_req_digit_p; + !(ecc_pm_ctrl.prog_cntr == PD_E && ecc_pm_ctrl.mont_cntr!=0) && + !(ecc_pm_ctrl.prog_cntr == PM_INIT_E) + |=> + !req_digit_o; + endproperty + no_req_digit_a: assert property(disable iff(!rst_n) no_req_digit_p); + + + //req_digit_o trigger mont_cntr times + property mont_multiples_req_digit_o_p(cmd,count); + $rose(ecc_pm_ctrl.ecc_cmd_reg == cmd) + |-> + strong((req_digit_o && (ecc_pm_ctrl.prog_cntr==PA_S))[->count] ##1( ecc_pm_ctrl.mont_cntr==0)) ; + endproperty + keygen_mont_multiples_req_digit_o_a:assert property(disable iff(!rst_n) mont_multiples_req_digit_o_p(KEYGEN_CMD,Secp384_SCA_MONT_COUNT)); + signing_mont_multiples_req_digit_o_a:assert property(disable iff(!rst_n) mont_multiples_req_digit_o_p(SIGN_CMD,Secp384_SCA_MONT_COUNT)); + ver_p1_mont_multiples_req_digit_o_a:assert property(disable iff(!rst_n) mont_multiples_req_digit_o_p(VER_PART1_CMD,Secp384_MONT_COUNT)); + ver_p2_mont_multiples_req_digit_o_a:assert property(disable iff(!rst_n) mont_multiples_req_digit_o_p(VER_PART2_CMD,Secp384_MONT_COUNT)); + + + //when output of pm_sequencer instruction is add it would be on primary output after 3 cycles and stays stable for the delay time +2 + property opcode_add_p; + ecc_pm_ctrl.prog_instr.opcode.add_en + |-> + ##PIP_DLY + instr_o.opcode == $past(ecc_pm_ctrl.prog_instr.opcode,3) + ##1 instr_o.opcode ==$past(instr_o.opcode)[*(ADD_DLY+1)]; + endproperty + opcode_add_a: assert property(disable iff(!rst_n) opcode_add_p); + + + //when output of pm_sequencer instruction is multiplication it would be on primary output after 3 cycles and stays stable for the delay time +2 + property opcode_mul_p; + ecc_pm_ctrl.prog_instr.opcode.mult_en + |-> + ##PIP_DLY + instr_o.opcode == $past(ecc_pm_ctrl.prog_instr.opcode,3) + ##1 instr_o.opcode ==$past(instr_o.opcode)[*(MULT_DLY+1)]; + endproperty + opcode_mul_a: assert property(disable iff(!rst_n) opcode_mul_p); + + + //-----------------------------------------// + // Helper logic for stall + //-----------------------------------------// + + logic fv_stall; + logic fv_stall_dly; + logic fv_stall_pulse; + logic [6:0] fv_dly_cntr; + + always_ff @(posedge clk, negedge rst_n) begin + if(!rst_n) begin + fv_stall <= 0; + fv_dly_cntr <= 0; + fv_stall_dly <= 0; + end + else begin + if(ecc_pm_ctrl.prog_instr.opcode.add_en || + ecc_pm_ctrl.prog_instr.opcode.mult_en) begin + fv_stall <= 1; + end + if(ecc_pm_ctrl.prog_instr.opcode.add_en)begin + fv_dly_cntr <= ADD_DLY+1; + end + if(ecc_pm_ctrl.prog_instr.opcode.mult_en) begin + fv_dly_cntr <= MULT_DLY+1; + end + if(fv_dly_cntr>0) begin + fv_dly_cntr <= fv_dly_cntr - 7'h1; + end + if(fv_dly_cntr == 0 && fv_stall==1) begin + fv_stall <= 0; + end + fv_stall_dly <= fv_stall; + end + end + assign fv_stall_pulse = fv_stall & ~fv_stall_dly; + +//When the add or mult are set then the next cycle output of pm_seq instruction is stored so it not lost during +//the exceution of add ormult and the next instr_o would be stored value + property opcode_no_compute_p; + logic[5:0] next_opcode; + fv_stall_pulse + ##0 (1'b1, next_opcode = ecc_pm_ctrl.prog_instr.opcode) + ##1 !fv_stall[->1] + |-> + ##2 + instr_o.opcode == next_opcode; + endproperty + + opcode_no_compute_a: assert property (disable iff(!rst_n)opcode_no_compute_p); + + + +//Helper function for choosing address +function logic[5:0] xor_choose(input logic digit, input logic[5:0] addr); + return({addr[5:3],digit^addr[2],addr[1:0]}); +endfunction + + +//Constraint on digit_i stability,proven on the top +stable_digit_during_ladder: assume property(disable iff(!rst_n) ((ecc_pm_ctrl.prog_cntr <= PD_E) && + (ecc_pm_ctrl.prog_cntr >= PA_S)) |-> $stable(digit_i)); + + +logic[5:0] fv_compute_addra; +logic[5:0] fv_compute_addrb; +//Helper logic for storing the computed address + always_comb begin:operands_addr + if((ecc_pm_ctrl.prog_cntr <= PD_E) && (ecc_pm_ctrl.prog_cntr >= PA_S) && ecc_pm_ctrl.prog_instr.opa_addr[5:3]==3'b001) + fv_compute_addra = xor_choose(digit_i,ecc_pm_ctrl.prog_instr.opa_addr); + else + fv_compute_addra = ecc_pm_ctrl.prog_instr.opa_addr; + + if((ecc_pm_ctrl.prog_cntr <= PD_E) && (ecc_pm_ctrl.prog_cntr >= PA_S) && ecc_pm_ctrl.prog_instr.opb_addr[5:3]==3'b001) + fv_compute_addrb = xor_choose(digit_i,ecc_pm_ctrl.prog_instr.opb_addr); + else + fv_compute_addrb = ecc_pm_ctrl.prog_instr.opb_addr; + end + + + +//when output of pm_sequencer instruction is add and the addresses are not R0 then +//it would be on primary output after 3 cycles and stays stable for the delay time +2 + property addr_when_add_sub_p; + logic[5:0] addra,addrb; + ecc_pm_ctrl.prog_instr.opcode.add_en + ##0 (1'b1, addra = (fv_compute_addra)) + ##0 (1'b1, addrb = (fv_compute_addrb)) + |-> + ##PIP_DLY + (instr_o.opa_addr == addra && + instr_o.opb_addr == addrb)[*(ADD_DLY+2)] + ; + endproperty + + addr_when_add_sub_a: assert property (disable iff(!rst_n)addr_when_add_sub_p); + + +//when output of pm_sequencer instruction is multiplication and the addresses it +//would be on primary output after 3 cycles and stays stable for the delay time +2 + property addr_when_mult_p; + logic[5:0] addra,addrb; + ecc_pm_ctrl.prog_instr.opcode.mult_en + ##0 (1'b1, addra = (fv_compute_addra)) + ##0 (1'b1, addrb = (fv_compute_addrb)) + |-> + ##PIP_DLY + (instr_o.opa_addr == addra && + instr_o.opb_addr == addrb)[*(MULT_DLY+2)] + ; + endproperty + + addr_when_mult_a: assert property (disable iff(!rst_n)addr_when_mult_p); + + + +//When the add or mult are set then the next cycle output of pm_seq instruction is +//stored so it not lost during the exceution of add ormult and the next instr_o would be stored value + property addr_when_no_cmd_p; + logic[5:0] addra,addrb; + + fv_stall_pulse + ##0 (1'b1, addra = (fv_compute_addra)) + ##0 (1'b1, addrb = (fv_compute_addrb)) + ##1 !fv_stall[->1] + |-> + ##2 + (instr_o.opa_addr == addra && + instr_o.opb_addr == addrb) + ; + endproperty + + addr_when_no_cmd_a: assert property (disable iff(!rst_n)addr_when_no_cmd_p); + + + + + property instr_o_when_cmds_2cycls_p; + ecc_pm_ctrl.prog_instr.opcode.add_en || + ecc_pm_ctrl.prog_instr.opcode.mult_en + |=> + (instr_o.opa_addr == $past(instr_o.opa_addr) && + instr_o.opb_addr == $past(instr_o.opb_addr)) && + instr_o.opcode == $past(instr_o.opcode); + endproperty + instr_o_when_cmds_2cycls_a: assert property(disable iff(!rst_n) instr_o_when_cmds_2cycls_p); + + + property instr_o_when_no_cmds_2cycls_p; + !fv_stall && + ecc_pm_ctrl.prog_instr.opcode!=UOP_NOP + |=> + (instr_o.opa_addr == $past(instr_o.opa_addr) && + instr_o.opb_addr == $past(instr_o.opb_addr)) && + instr_o.opcode == $past(instr_o.opcode); + endproperty + instr_o_when_no_cmds_2cycls_a: assert property(disable iff(!rst_n) instr_o_when_no_cmds_2cycls_p); + + + + property prog_cntr_stable_p; + fv_stall && + (fv_dly_cntr > 1) + |=> + ecc_pm_ctrl.prog_cntr == $past(ecc_pm_ctrl.prog_cntr); + endproperty + + prog_cntr_stable_a: assert property(disable iff(!rst_n) prog_cntr_stable_p); + + + + property prog_cntr_change_p; + (fv_stall & (fv_dly_cntr <= 1)) + |=> + ecc_pm_ctrl.prog_cntr != $past(ecc_pm_ctrl.prog_cntr); + endproperty + + prog_cntr_change_a: assert property(disable iff(!rst_n) prog_cntr_change_p); + + + property prog_cntr_change_1_p; + !fv_stall && + ecc_pm_ctrl.prog_cntr !=NOP + |=> + fv_stall || + ecc_pm_ctrl.prog_cntr != $past(ecc_pm_ctrl.prog_cntr); + endproperty + + prog_cntr_change_1_a: assert property(disable iff(!rst_n) prog_cntr_change_1_p); + + + property no_cmd_prog_nop_p; + ecc_pm_ctrl.prog_cntr == NOP && + ecc_cmd_i != KEYGEN_CMD && + ecc_cmd_i != SIGN_CMD && + ecc_cmd_i != VER_PART0_CMD && + ecc_cmd_i != VER_PART1_CMD && + ecc_cmd_i != VER_PART2_CMD && + ecc_cmd_i != CHK_PK_CMD + |=> + ecc_pm_ctrl.prog_cntr == NOP; + endproperty + + no_cmd_prog_nop_a: assert property(disable iff(!rst_n) no_cmd_prog_nop_p); + + + +endmodule + +bind ecc_pm_ctrl fv_ecc_pm_ctrl_abstract #( + .REG_SIZE(REG_SIZE), + .RND_SIZE(RND_SIZE), + .INSTR_SIZE(INSTRUCTION_LENGTH), + .MULT_DLY(ecc_pm_ctrl.MULT_DELAY), + .ADD_DLY(ecc_pm_ctrl.ADD_DELAY), + .Secp384_MONT_COUNT(ecc_pm_ctrl.Secp384_MONT_COUNT), + .Secp384_SCA_MONT_COUNT(ecc_pm_ctrl.Secp384_SCA_MONT_COUNT) + ) + fv_ecc_pm_ctrl_abstract_inst( + .clk(clk), + .rst_n(reset_n && !zeroize), + .ecc_cmd_i(ecc_cmd_i), + .sca_en_i(sca_en_i), + .digit_i(digit_i), + .instr_o(instr_o), + .req_digit_o(req_digit_o), + .busy_o(busy_o) + ); \ No newline at end of file diff --git a/src/ecc/formal/properties/fv_ecc_pm_sequencer.sv b/src/ecc/formal/properties/fv_ecc_pm_sequencer.sv new file mode 100644 index 000000000..475393718 --- /dev/null +++ b/src/ecc/formal/properties/fv_ecc_pm_sequencer.sv @@ -0,0 +1,3065 @@ + +// ------------------------------------------------- +// Contact: contact@lubis-eda.com +// Author: Tobias Ludwig, Michael Schwarz +// ------------------------------------------------- +// SPDX-License-Identifier: Apache-2.0 +// +// Licensed under the Apache License, Version 2.0 (the "License"); +// you may not use this file except in compliance with the License. +// You may obtain a copy of the License at +// +// http://www.apache.org/licenses/LICENSE-2.0 +// +// Unless required by applicable law or agreed to in writing, software +// distributed under the License is distributed on an "AS IS" BASIS, +// WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +// See the License for the specific language governing permissions and +// limitations under the License. +// +module fv_ecc_pm_sequencer + import ecc_pm_uop_pkg::*; + #( + parameter ADDR_WIDTH = 10, + parameter DATA_WIDTH = 32 + ) + ( + input wire clk, + input wire rst_n, + input wire ena, + input wire [ADDR_WIDTH-1 : 0] addra, + input logic [DATA_WIDTH-1 : 0] douta + ); + +logic [ADDR_WIDTH-1 : 0] fv_cntr_pminitg; +logic [ADDR_WIDTH-1 : 0] fv_cntr_pminit; +logic [ADDR_WIDTH-1 : 0] fv_cntr_pa; +logic [ADDR_WIDTH-1 : 0] fv_cntr_pd; +logic [ADDR_WIDTH-1 : 0] fv_cntr_inv; +logic [ADDR_WIDTH-1 : 0] fv_cntr_conv; +logic [ADDR_WIDTH-1 : 0] fv_cntr_sign0; +logic [ADDR_WIDTH-1 : 0] fv_cntr_invq; +logic [ADDR_WIDTH-1 : 0] fv_cntr_sign1; +logic [ADDR_WIDTH-1 : 0] fv_cntr_chkpk; +logic [ADDR_WIDTH-1 : 0] fv_cntr_ver0p0; +logic [ADDR_WIDTH-1 : 0] fv_cntr_ver0p1; +logic [ADDR_WIDTH-1 : 0] fv_cntr_ver1st; +logic [ADDR_WIDTH-1 : 0] fv_cntr_pminitpk; +logic [ADDR_WIDTH-1 : 0] fv_cntr_ver2pa; + +logic fv_set_pminitg; +logic fv_set_pminit; +logic fv_set_pa; +logic fv_set_pd; +logic fv_set_inv; +logic fv_set_conv; +logic fv_set_sign0; +logic fv_set_invq; +logic fv_set_sign1; +logic fv_set_chkpk; +logic fv_set_ver0p0; +logic fv_set_ver0p1; +logic fv_set_ver1st; +logic fv_set_pminitpk; +logic fv_set_ver2pa; + +always_ff @(posedge clk or negedge rst_n) begin + if(!rst_n) begin + fv_set_pminitg <= '0; + fv_set_pminit <= '0; + fv_set_pa <= '0; + fv_set_pd <= '0; + fv_set_inv <= '0; + fv_set_conv <= '0; + fv_set_sign0 <= '0; + fv_set_invq <= '0; + fv_set_sign1 <= '0; + fv_set_chkpk <= '0; + fv_set_ver0p0 <= '0; + fv_set_ver0p1 <= '0; + fv_set_ver1st <= '0; + fv_set_pminitpk <= '0; + fv_set_ver2pa <= '0; + fv_cntr_pminitg <= '0; + fv_cntr_pminit <= '0; + fv_cntr_pa <= '0; + fv_cntr_pd <= '0; + fv_cntr_inv <= '0; + fv_cntr_conv <= '0; + fv_cntr_sign0 <= '0; + fv_cntr_invq <= '0; + fv_cntr_sign1 <= '0; + fv_cntr_chkpk <= '0; + fv_cntr_ver0p0 <= '0; + fv_cntr_ver0p1 <= '0; + fv_cntr_ver1st <= '0; + fv_cntr_pminitpk <= '0; + fv_cntr_ver2pa <= '0; + end + else begin + if(addra == PM_INIT_G_S) begin + fv_set_pminitg <= 1; + fv_set_ver2pa <=0; + fv_cntr_pminitg <= addra+1; + end + else if(fv_set_pminitg) begin + fv_cntr_pminitg <= fv_cntr_pminitg+1; + end + if(addra == PM_INIT_S) begin + fv_set_pminitg <= 0; + fv_set_pminit <=1; + fv_cntr_pminit <= addra+1; + end + else if(fv_set_pminit) begin + fv_cntr_pminit <= fv_cntr_pminit+1; + end + if(addra == PA_S) begin + fv_set_pminit <= 0; + fv_set_pa <=1; + fv_cntr_pa <= addra+1; + end + else if(fv_set_pa) begin + fv_cntr_pa <= fv_cntr_pa+1; + end + if(addra == PD_S) begin + fv_set_pa <= 0; + fv_set_pd <=1; + fv_cntr_pd <= addra+1; + end + else if(fv_set_pd) begin + fv_cntr_pd <= fv_cntr_pd+1; + end + if(addra == INV_S) begin + fv_set_pd <= 0; + fv_set_inv <=1; + fv_cntr_inv <= addra+1; + end + else if(fv_set_inv) begin + fv_cntr_inv <= fv_cntr_inv+1; + end + if(addra == CONV_S) begin + fv_set_inv <= 0; + fv_set_conv <=1; + fv_cntr_conv <= addra+1; + end + else if(fv_set_conv) begin + fv_cntr_conv <= fv_cntr_conv+1; + end + if(addra == SIGN0_S) begin + fv_set_conv <= 0; + fv_set_sign0 <=1; + fv_cntr_sign0 <= addra+1; + end + else if(fv_set_sign0) begin + fv_cntr_sign0 <= fv_cntr_sign0+1; + end + if(addra == INVq_S) begin + fv_set_sign0 <= 0; + fv_set_invq <=1; + fv_cntr_invq <= addra+1; + end + else if(fv_set_invq) begin + fv_cntr_invq <= fv_cntr_invq+1; + end + if(addra == SIGN1_S) begin + fv_set_invq <= 0; + fv_set_sign1 <=1; + fv_cntr_sign1 <= addra+1; + end + else if(fv_set_sign1) begin + fv_cntr_sign1 <= fv_cntr_sign1+1; + end + if(addra == CHK_PK_S) begin + fv_set_sign1 <= 0; + fv_set_chkpk <=1; + fv_cntr_chkpk <= addra+1; + end + else if(fv_set_chkpk) begin + fv_cntr_chkpk <= fv_cntr_chkpk+1; + end + if(addra == VER0_P0_S) begin + fv_set_chkpk <= 0; + fv_set_ver0p0 <=1; + fv_cntr_ver0p0 <= addra+1; + end + else if(fv_set_ver0p0) begin + fv_cntr_ver0p0 <= fv_cntr_ver0p0+1; + end + if(addra == VER0_P1_S) begin + fv_set_ver0p0 <= 0; + fv_set_ver0p1 <=1; + fv_cntr_ver0p1 <= addra+1; + end + else if(fv_set_ver0p1) begin + fv_cntr_ver0p1 <= fv_cntr_ver0p1+1; + end + if(addra == VER1_ST_S) begin + fv_set_ver0p1 <= 0; + fv_set_ver1st <=1; + fv_cntr_ver1st <= addra+1; + end + else if(fv_set_ver1st) begin + fv_cntr_ver1st <= fv_cntr_ver1st+1; + end + if(addra == PM_INIT_PK_S) begin + fv_set_pminitpk <= 1; + fv_set_ver1st <=0; + fv_cntr_pminitpk <= addra+1; + end + else if(fv_set_pminitpk) begin + fv_cntr_pminitpk <= fv_cntr_pminitpk+1; + end + if(addra == VER2_PA_S) begin + fv_set_ver2pa <= 1; + fv_set_pminitpk <=0; + fv_cntr_ver2pa <= addra+1; + end + else if(fv_set_ver2pa) begin + fv_cntr_ver2pa <= fv_cntr_ver2pa+1; + end + end +end + +property assign_addra(set,cntr); + set + |-> + addra == cntr; +endproperty + +cntr_assume_pminitg :assume property(assign_addra(fv_set_pminitg ,fv_cntr_pminitg )); +cntr_assume_pminit :assume property(assign_addra(fv_set_pminit ,fv_cntr_pminit )); +cntr_assume_pa :assume property(assign_addra(fv_set_pa ,fv_cntr_pa )); +cntr_assume_pd :assume property(assign_addra(fv_set_pd ,fv_cntr_pd )); +cntr_assume_inv :assume property(assign_addra(fv_set_inv ,fv_cntr_inv )); +cntr_assume_conv :assume property(assign_addra(fv_set_conv ,fv_cntr_conv )); +cntr_assume_sign0 :assume property(assign_addra(fv_set_sign0 ,fv_cntr_sign0 )); +cntr_assume_invq :assume property(assign_addra(fv_set_invq ,fv_cntr_invq )); +cntr_assume_sign1 :assume property(assign_addra(fv_set_sign1 ,fv_cntr_sign1 )); +cntr_assume_chkpk :assume property(assign_addra(fv_set_chkpk ,fv_cntr_chkpk )); +cntr_assume_ver0p0 :assume property(assign_addra(fv_set_ver0p0 ,fv_cntr_ver0p0 )); +cntr_assume_ver0p1 :assume property(assign_addra(fv_set_ver0p1 ,fv_cntr_ver0p1 )); +cntr_assume_ver1st :assume property(assign_addra(fv_set_ver1st ,fv_cntr_ver1st )); +cntr_assume_pminitpk:assume property(assign_addra(fv_set_pminitpk,fv_cntr_pminitpk)); +cntr_assume_ver2pa :assume property(assign_addra(fv_set_ver2pa ,fv_cntr_ver2pa )); + +always_enable: assume property(disable iff(!rst_n) ena == 1'b1); + +default clocking default_clk @(posedge clk); endclocking + + + sequence reset_sequence; + !rst_n ##1 rst_n; + endsequence + + +//////////////////////////////////////////// +// reset property, when reset out a and b // +// are zero // +//////////////////////////////////////////// + + property reset_p; + $past(!rst_n) + |-> + + douta == '0; + endproperty + + reset_a : assert property(reset_p); + + +//////////////////////////////////////////// +// Illegal address should result in zero // +//////////////////////////////////////////// + + property illicit_addra_p; + ((addra >1) && (addra < PM_INIT_G_S))|| + ((addra >PM_INIT_G_E) && (addra < PM_INIT_S))|| + ((addra >PM_INIT_E) && (addra < PA_S))|| + ((addra >PA_E) && (addra < PD_S))|| + ((addra >PD_E) && (addra < INV_S))|| + ((addra >INV_E) && (addra < CONV_S))|| + ((addra >CONV_E) && (addra < SIGN0_S))|| + ((addra >SIGN0_E) && (addra < INVq_S))|| + ((addra >INVq_E) && (addra < SIGN1_S))|| + ((addra >SIGN1_E) && (addra < CHK_PK_S))|| + ((addra >CHK_PK_E) && (addra < VER0_P0_S))|| + ((addra >VER0_P0_E) && (addra < VER0_P1_S))|| + ((addra >VER0_P1_E) && (addra < VER1_ST_S))|| + ((addra >VER1_ST_E) && (addra < PM_INIT_PK_S))|| + ((addra >PM_INIT_PK_E) && (addra < VER2_PA_S))|| + (addra > VER2_PA_E) + |=> + douta =='0; + endproperty + + + illicit_addra_a : assert property(disable iff(!rst_n) illicit_addra_p); + + + +//////////////////////////////////////////// +// Initial two steps dout is zero // +// // +//////////////////////////////////////////// + property initial_p; + addra == NOP || + addra == 1 + |=> + + douta == '0; + endproperty + + initial_a : assert property(disable iff(!rst_n) initial_p); + +//////////////////////////////////////////// +// Base point randamization and storing // +// in R1 // +//////////////////////////////////////////// + + + property pm_init_G_p; + addra == PM_INIT_G_S + + |-> + + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_LAMBDA, UOP_OPR_CONST_R2_p} // R1_Z = mm(Lambda, R2) λ conversion to montgomery domain + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_R1_Z} // storing λ in the projective coordinate R1_Z + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_CONST_GX_MONT, UOP_OPR_R1_Z} // R1_X = mm(GX_MONT, R0_Z) 𝑋=𝐺𝑥×λ + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_R1_X} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_CONST_GY_MONT, UOP_OPR_R1_Z} // R1_Y = mm(GY_MONT, R0_Z) 𝑌=𝐺𝑦×λ + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_R1_Y}; + endproperty + + pm_init_G_a: assert property (disable iff(!rst_n) pm_init_G_p); + + +//////////////////////////////////////////// +// Initialize R0 with Zero // +// // +//////////////////////////////////////////// + + + property pm_init_S_p; + addra == PM_INIT_S + + |-> + + ##1 douta == {UOP_DO_ADD_p, UOP_OPR_CONST_ZERO, UOP_OPR_CONST_ZERO} // R0_X = 0 + ##1 douta == {UOP_ST_ADD_p, UOP_OPR_R0_X, UOP_OPR_DONTCARE} + ##1 douta == {UOP_DO_ADD_p, UOP_OPR_CONST_ONE_MONT, UOP_OPR_CONST_ZERO} // initialised with one_mont R0_y = 384'h100000000ffffffffffffffff0000000100000000 + ##1 douta == {UOP_ST_ADD_p, UOP_OPR_R0_Y, UOP_OPR_DONTCARE} + ##1 douta == {UOP_DO_ADD_p, UOP_OPR_CONST_ZERO, UOP_OPR_CONST_ZERO} // R0_Z = 0 + ##1 douta == {UOP_ST_ADD_p, UOP_OPR_R0_Z, UOP_OPR_DONTCARE} + ##1 douta == {UOP_NOP, UOP_OPR_DONTCARE, UOP_OPR_DONTCARE} + ##1 douta == {UOP_NOP, UOP_OPR_DONTCARE, UOP_OPR_DONTCARE} + ##1 douta == {UOP_NOP, UOP_OPR_DONTCARE, UOP_OPR_DONTCARE} + ##1 douta == {UOP_NOP, UOP_OPR_DONTCARE, UOP_OPR_DONTCARE}; + endproperty + + + pm_init_S_a: assert property (disable iff(!rst_n) pm_init_S_p); + + + + + +///////////////////////////////////////////// +// t0 =A,t1 =B,t2 =C,t3 =D,t4 =E,t5 =F // +// X1 = R0_x ,X2 = R1_x, after step 15 X3 = R1_x +// Y1 = R0_y ,Y2 = R1_y, after step Y3 = R1_x +// Z1 = R0_z ,Z2 = R1_z, after step 19 Z3 = R1_z +// +//////////////////////////////////////////// + property point_add_p; + addra == PA_S + + |=> + + douta == {UOP_DO_MUL_p, UOP_OPR_R0_X, UOP_OPR_R1_X} // t0 <- X1.X2 + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_R0_Y, UOP_OPR_R1_Y} // t1 <- Y1.Y2 + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_B} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_R0_Z, UOP_OPR_R1_Z} // t2 <- Z1.Z2 + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_C} + ##1 douta == {UOP_DO_ADD_p, UOP_OPR_R0_X, UOP_OPR_R0_Y} // t3 <- X1+Y1 + ##1 douta == {UOP_ST_ADD_p, UOP_OPR_D, UOP_OPR_DONTCARE} + ##1 douta == {UOP_DO_ADD_p, UOP_OPR_R1_X, UOP_OPR_R1_Y} // t4 <- X2+Y2 + ##1 douta == {UOP_ST_ADD_p, UOP_OPR_E, UOP_OPR_DONTCARE} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_D, UOP_OPR_E} // t3 <- t3.t4 + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_D} + ##1 douta == {UOP_DO_ADD_p, UOP_OPR_A, UOP_OPR_B} //t4 <- t0+t1 + ##1 douta == {UOP_ST_ADD_p, UOP_OPR_E, UOP_OPR_DONTCARE} + ##1 douta == {UOP_DO_SUB_p, UOP_OPR_D, UOP_OPR_E} // t3 <- t3 - t4 + ##1 douta == {UOP_ST_ADD_p, UOP_OPR_D, UOP_OPR_DONTCARE} + ##1 douta == {UOP_DO_ADD_p, UOP_OPR_R0_X, UOP_OPR_R0_Z} // t4 <- X1+Z1 + ##1 douta == {UOP_ST_ADD_p, UOP_OPR_E, UOP_OPR_DONTCARE} + ##1 douta == {UOP_DO_ADD_p, UOP_OPR_R1_X, UOP_OPR_R1_Z} // t5 <- X2 + Z2 + ##1 douta == {UOP_ST_ADD_p, UOP_OPR_F, UOP_OPR_DONTCARE} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_E, UOP_OPR_F} //t4 <- t4.t5 + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_E} + ##1 douta == {UOP_DO_ADD_p, UOP_OPR_A, UOP_OPR_C} // t5 <- t0+t2 + ##1 douta == {UOP_ST_ADD_p, UOP_OPR_F, UOP_OPR_DONTCARE} + ##1 douta == {UOP_DO_SUB_p, UOP_OPR_E, UOP_OPR_F} // t4 <- t4 - t5 + ##1 douta == {UOP_ST_ADD_p, UOP_OPR_E, UOP_OPR_DONTCARE} + ##1 douta == {UOP_DO_ADD_p, UOP_OPR_R0_Y, UOP_OPR_R0_Z} // t5 <- Y1+Z1 + ##1 douta == {UOP_ST_ADD_p, UOP_OPR_F, UOP_OPR_DONTCARE} + ##1 douta == {UOP_DO_ADD_p, UOP_OPR_R1_Y, UOP_OPR_R1_Z} // X3 <- Y2 +Z2 + ##1 douta == {UOP_ST_ADD_p, UOP_OPR_R1_X, UOP_OPR_DONTCARE} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_F, UOP_OPR_R1_X} // t5 <- t5.X3 + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_F} + ##1 douta == {UOP_DO_ADD_p, UOP_OPR_B, UOP_OPR_C} // X3 <- t1 +t2 + ##1 douta == {UOP_ST_ADD_p, UOP_OPR_R1_X, UOP_OPR_DONTCARE} + ##1 douta == {UOP_DO_SUB_p, UOP_OPR_F, UOP_OPR_R1_X} // t5 <- t5-X3 + ##1 douta == {UOP_ST_ADD_p, UOP_OPR_F, UOP_OPR_DONTCARE} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_CONST_E_a, UOP_OPR_E} // Z3 <- a.t4 + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_R1_Z} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_CONST_E_3b, UOP_OPR_C} // X3 <-3b.t2 + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_R1_X} + ##1 douta == {UOP_DO_ADD_p, UOP_OPR_R1_X, UOP_OPR_R1_Z} // Z3 <- X3 + Z3 + ##1 douta == {UOP_ST_ADD_p, UOP_OPR_R1_Z, UOP_OPR_DONTCARE} + ##1 douta == {UOP_DO_SUB_p, UOP_OPR_B, UOP_OPR_R1_Z} // X3 <- t1-Z3 + ##1 douta == {UOP_ST_ADD_p, UOP_OPR_R1_X, UOP_OPR_DONTCARE} + ##1 douta == {UOP_DO_ADD_p, UOP_OPR_B, UOP_OPR_R1_Z} // Z3 <- t1+Z3 + ##1 douta == {UOP_ST_ADD_p, UOP_OPR_R1_Z, UOP_OPR_DONTCARE} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_R1_X, UOP_OPR_R1_Z} // Y3 <- X3.Z3 + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_R1_Y} + ##1 douta == {UOP_DO_ADD_p, UOP_OPR_A, UOP_OPR_A} // t1 <- t0+t0 + ##1 douta == {UOP_ST_ADD_p, UOP_OPR_B, UOP_OPR_DONTCARE} + ##1 douta == {UOP_DO_ADD_p, UOP_OPR_B, UOP_OPR_A} // t1 <- t1+t0 + ##1 douta == {UOP_ST_ADD_p, UOP_OPR_B, UOP_OPR_DONTCARE} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_CONST_E_a, UOP_OPR_C} // t2 <- a.t2 + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_C} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_CONST_E_3b, UOP_OPR_E} // t4 <- 3b.t4 + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_E} + ##1 douta == {UOP_DO_ADD_p, UOP_OPR_B, UOP_OPR_C} // t1<-t1+t2 + ##1 douta == {UOP_ST_ADD_p, UOP_OPR_B, UOP_OPR_DONTCARE} + ##1 douta == {UOP_DO_SUB_p, UOP_OPR_A, UOP_OPR_C} // t2<-t0-t2 + ##1 douta == {UOP_ST_ADD_p, UOP_OPR_C, UOP_OPR_DONTCARE} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_CONST_E_a, UOP_OPR_C} // t2<- a.t2 + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_C} + ##1 douta == {UOP_DO_ADD_p, UOP_OPR_E, UOP_OPR_C} // t4<-t4+t2 + ##1 douta == {UOP_ST_ADD_p, UOP_OPR_E, UOP_OPR_DONTCARE} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_B, UOP_OPR_E} // t0 <- t1.t4 + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A} + ##1 douta == {UOP_DO_ADD_p, UOP_OPR_R1_Y, UOP_OPR_A} // Y3 <- Y3+t0 + ##1 douta == {UOP_ST_ADD_p, UOP_OPR_R1_Y, UOP_OPR_DONTCARE} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_F, UOP_OPR_E} // t0<-t5.t4 + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_D, UOP_OPR_R1_X} // X3 <- t3.X3 + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_R1_X} + ##1 douta == {UOP_DO_SUB_p, UOP_OPR_R1_X, UOP_OPR_A} // X3 <- X3-t0 + ##1 douta == {UOP_ST_ADD_p, UOP_OPR_R1_X, UOP_OPR_DONTCARE} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_D, UOP_OPR_B} // t0 <- t3.t1 + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_F, UOP_OPR_R1_Z} //Z3 <- t5.Z3 + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_R1_Z} + ##1 douta == {UOP_DO_ADD_p, UOP_OPR_R1_Z, UOP_OPR_A} // Z3 <- Z3 + t0 + ##1 douta == {UOP_ST_ADD_p, UOP_OPR_R1_Z, UOP_OPR_DONTCARE} + ##1 addra == PD_S; +endproperty + +point_add_a: assert property(disable iff(!rst_n) point_add_p); + + + + + property point_dbl_p; + + addra == PD_S + + |=> + + douta == {UOP_DO_MUL_p, UOP_OPR_R0_X, UOP_OPR_R0_X} // t0 <- X1.X2 + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_R0_Y, UOP_OPR_R0_Y} // t1 <- Y1.Y2 + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_B} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_R0_Z, UOP_OPR_R0_Z} // t2 <- Z1.Z2 + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_C} + ##1 douta == {UOP_DO_ADD_p, UOP_OPR_R0_X, UOP_OPR_R0_Y} // t3 <- X1+Y1 + ##1 douta == {UOP_ST_ADD_p, UOP_OPR_D, UOP_OPR_DONTCARE} + ##1 douta == {UOP_DO_ADD_p, UOP_OPR_R0_X, UOP_OPR_R0_Y} // t4 <- X2+Y2 + ##1 douta == {UOP_ST_ADD_p, UOP_OPR_E, UOP_OPR_DONTCARE} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_D, UOP_OPR_E} // t3 <- t3.t4 + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_D} + ##1 douta == {UOP_DO_ADD_p, UOP_OPR_A, UOP_OPR_B} //t4 <- t0+t1 + ##1 douta == {UOP_ST_ADD_p, UOP_OPR_E, UOP_OPR_DONTCARE} + ##1 douta == {UOP_DO_SUB_p, UOP_OPR_D, UOP_OPR_E} // t3 <- t3 - t4 + ##1 douta == {UOP_ST_ADD_p, UOP_OPR_D, UOP_OPR_DONTCARE} + ##1 douta == {UOP_DO_ADD_p, UOP_OPR_R0_X, UOP_OPR_R0_Z} // t4 <- X1+Z1 + ##1 douta == {UOP_ST_ADD_p, UOP_OPR_E, UOP_OPR_DONTCARE} + ##1 douta == {UOP_DO_ADD_p, UOP_OPR_R0_X, UOP_OPR_R0_Z} // t5 <- X2 + Z2 + ##1 douta == {UOP_ST_ADD_p, UOP_OPR_F, UOP_OPR_DONTCARE} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_E, UOP_OPR_F} //t4 <- t4.t5 + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_E} + ##1 douta == {UOP_DO_ADD_p, UOP_OPR_A, UOP_OPR_C} // t5 <- t0+t2 + ##1 douta == {UOP_ST_ADD_p, UOP_OPR_F, UOP_OPR_DONTCARE} + ##1 douta == {UOP_DO_SUB_p, UOP_OPR_E, UOP_OPR_F} // t4 <- t4 - t5 + ##1 douta == {UOP_ST_ADD_p, UOP_OPR_E, UOP_OPR_DONTCARE} + ##1 douta == {UOP_DO_ADD_p, UOP_OPR_R0_Y, UOP_OPR_R0_Z} // t5 <- Y1+Z1 + ##1 douta == {UOP_ST_ADD_p, UOP_OPR_F, UOP_OPR_DONTCARE} + ##1 douta == {UOP_DO_ADD_p, UOP_OPR_R0_Y, UOP_OPR_R0_Z} // X3 <- Y2 +Z2 + ##1 douta == {UOP_ST_ADD_p, UOP_OPR_R0_X, UOP_OPR_DONTCARE} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_F, UOP_OPR_R0_X} // t5 <- t5.X3 + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_F} + ##1 douta == {UOP_DO_ADD_p, UOP_OPR_B, UOP_OPR_C} // X3 <- t1 +t2 + ##1 douta == {UOP_ST_ADD_p, UOP_OPR_R0_X, UOP_OPR_DONTCARE} + ##1 douta == {UOP_DO_SUB_p, UOP_OPR_F, UOP_OPR_R0_X} // t5 <- t5-X3 + ##1 douta == {UOP_ST_ADD_p, UOP_OPR_F, UOP_OPR_DONTCARE} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_CONST_E_a, UOP_OPR_E} // Z3 <- a.t4 + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_R0_Z} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_CONST_E_3b, UOP_OPR_C} // X3 <-3b.t2 + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_R0_X} + ##1 douta == {UOP_DO_ADD_p, UOP_OPR_R0_X, UOP_OPR_R0_Z} // Z3 <- X3 + Z3 + ##1 douta == {UOP_ST_ADD_p, UOP_OPR_R0_Z, UOP_OPR_DONTCARE} + ##1 douta == {UOP_DO_SUB_p, UOP_OPR_B, UOP_OPR_R0_Z} // X3 <- t1-Z3 + ##1 douta == {UOP_ST_ADD_p, UOP_OPR_R0_X, UOP_OPR_DONTCARE} + ##1 douta == {UOP_DO_ADD_p, UOP_OPR_B, UOP_OPR_R0_Z} // Z3 <- t1+Z3 + ##1 douta == {UOP_ST_ADD_p, UOP_OPR_R0_Z, UOP_OPR_DONTCARE} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_R0_X, UOP_OPR_R0_Z} // Y3 <- X3.Z3 + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_R0_Y} + ##1 douta == {UOP_DO_ADD_p, UOP_OPR_A, UOP_OPR_A} // t1 <- t0+t0 + ##1 douta == {UOP_ST_ADD_p, UOP_OPR_B, UOP_OPR_DONTCARE} + ##1 douta == {UOP_DO_ADD_p, UOP_OPR_B, UOP_OPR_A} // t1 <- t1+t0 + ##1 douta == {UOP_ST_ADD_p, UOP_OPR_B, UOP_OPR_DONTCARE} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_CONST_E_a, UOP_OPR_C} // t2 <- a.t2 + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_C} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_CONST_E_3b, UOP_OPR_E} // t4 <- 3b.t4 + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_E} + ##1 douta == {UOP_DO_ADD_p, UOP_OPR_B, UOP_OPR_C} // t1<-t1+t2 + ##1 douta == {UOP_ST_ADD_p, UOP_OPR_B, UOP_OPR_DONTCARE} + ##1 douta == {UOP_DO_SUB_p, UOP_OPR_A, UOP_OPR_C} // t2<-t0-t2 + ##1 douta == {UOP_ST_ADD_p, UOP_OPR_C, UOP_OPR_DONTCARE} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_CONST_E_a, UOP_OPR_C} // t2<- a.t2 + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_C} + ##1 douta == {UOP_DO_ADD_p, UOP_OPR_E, UOP_OPR_C} // t4<-t4+t2 + ##1 douta == {UOP_ST_ADD_p, UOP_OPR_E, UOP_OPR_DONTCARE} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_B, UOP_OPR_E} // t0 <- t1.t4 + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A} + ##1 douta == {UOP_DO_ADD_p, UOP_OPR_R0_Y, UOP_OPR_A} // Y3 <- Y3+t0 + ##1 douta == {UOP_ST_ADD_p, UOP_OPR_R0_Y, UOP_OPR_DONTCARE} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_F, UOP_OPR_E} // t0<-t5.t4 + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_D, UOP_OPR_R0_X} // X3 <- t3.X3 + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_R0_X} + ##1 douta == {UOP_DO_SUB_p, UOP_OPR_R0_X, UOP_OPR_A} // X3 <- X3-t0 + ##1 douta == {UOP_ST_ADD_p, UOP_OPR_R0_X, UOP_OPR_DONTCARE} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_D, UOP_OPR_B} // t0 <- t3.t1 + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_F, UOP_OPR_R0_Z} //Z3 <- t5.Z3 + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_R0_Z} + ##1 douta == {UOP_DO_ADD_p, UOP_OPR_R0_Z, UOP_OPR_A} // Z3 <- Z3 + t0 + ##1 douta == {UOP_ST_ADD_p, UOP_OPR_R0_Z, UOP_OPR_DONTCARE} + ##1 douta == {UOP_NOP, UOP_OPR_DONTCARE, UOP_OPR_DONTCARE} + ##1 douta == {UOP_NOP, UOP_OPR_DONTCARE, UOP_OPR_DONTCARE} + ##1 douta == {UOP_NOP, UOP_OPR_DONTCARE, UOP_OPR_DONTCARE} + ##1 douta == {UOP_NOP, UOP_OPR_DONTCARE, UOP_OPR_DONTCARE}; +endproperty + +point_dbl_a: assert property(disable iff(!rst_n) point_dbl_p); + + + + + + +property conv_p; + addra == CONV_S + + |-> + + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_INV_OUT, UOP_OPR_R0_Y} // y_MONT = fp_mult(Z_inv, Y_MONT, p) . Y = Y/Z (Ref: sec 2 Montgomery curves and their arithmetic The case of large characteristic fields Craig Costello · Benjamin Smith) + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_Qy_MONT} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_INV_OUT, UOP_OPR_R0_X} // x_MONT = fp_mult(Z_inv, X_MONT, p) X = X/Z + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_Qx_MONT} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_CONST_ONE, UOP_OPR_Qy_MONT} // y_affine = fp_mult(y_MONT, 1, p) conversion from mont domain to normal + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_Qy_AFFN} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_CONST_ONE, UOP_OPR_Qx_MONT} // y_affine = fp_mult(y_MONT, 1, p) conversion from mont domain to normal + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_Qx_AFFN} + ##1 douta == {UOP_NOP, UOP_OPR_DONTCARE, UOP_OPR_DONTCARE} + ##1 douta == {UOP_NOP, UOP_OPR_DONTCARE, UOP_OPR_DONTCARE} + ##1 douta == {UOP_NOP, UOP_OPR_DONTCARE, UOP_OPR_DONTCARE} + ##1 douta == {UOP_NOP, UOP_OPR_DONTCARE, UOP_OPR_DONTCARE}; +endproperty + +conv_a: assert property(disable iff(!rst_n) conv_p); + +//////////////////////////////////////////////////////////////// +// s = [k^-1((h-d) + r (privKey-d))] + [k^-1(d + r.d)] mod n // +// // +//////////////////////////////////////////////////////////////// + + +property sign0_p; + addra == SIGN0_S + + |-> + + ##1 douta == {UOP_DO_ADD_q, UOP_OPR_CONST_ZERO, UOP_OPR_Qx_AFFN} // R = Qx_AFFN + ##1 douta == {UOP_ST_ADD_q, UOP_OPR_SIGN_R, UOP_OPR_DONTCARE} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_SIGN_R, UOP_OPR_CONST_R2_q} // E = mm(R, R2) % q r conversion to montgomery domain + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_E} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_SCALAR_G, UOP_OPR_CONST_R2_q} // k_MONT = mm(k, R2) % q k conversion to montgomery domain + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_INV_IN} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_PRIVKEY, UOP_OPR_CONST_R2_q} // A = mm(privKey, R2) % q privkey conversion to montgomery domain + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_HASH_MSG, UOP_OPR_CONST_R2_q} // B = mm(h, R2) % q hash msg conversion to montgomery domain + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_B} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_MASKING, UOP_OPR_CONST_R2_q} // D = mm(masking_d, R2) % q d conversion to montgomery domain + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_D} + ##1 douta == {UOP_DO_SUB_q, UOP_OPR_A, UOP_OPR_D} // A = (A - D) % q (privkey-d) + ##1 douta == {UOP_ST_ADD_q, UOP_OPR_A, UOP_OPR_DONTCARE} + ##1 douta == {UOP_DO_SUB_q, UOP_OPR_B, UOP_OPR_D} // B = (B - D) % q (h-d) + ##1 douta == {UOP_ST_ADD_q, UOP_OPR_B, UOP_OPR_DONTCARE} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A, UOP_OPR_E} // C = mm(A, E) % q r(privkey-d) + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_C} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_D, UOP_OPR_E} // F = mm(D, E) % q r.d + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_F} + ##1 douta == {UOP_DO_ADD_q, UOP_OPR_D, UOP_OPR_C} // C = (C + D) % q (d + r (privKey-d)) + ##1 douta == {UOP_ST_ADD_q, UOP_OPR_C, UOP_OPR_DONTCARE} + ##1 douta == {UOP_DO_ADD_q, UOP_OPR_B, UOP_OPR_F} // D = (B + F) % q ((h-d) + r.d) + ##1 douta == {UOP_ST_ADD_q, UOP_OPR_D, UOP_OPR_DONTCARE}; +endproperty + + + +sign0_a: assert property(disable iff(!rst_n) sign0_p); + + +property sign1_p; + addra == SIGN1_S + + |-> + + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_INV_OUT, UOP_OPR_C} // C = fp_mult(C, k_inv, q) C = k^-1.((h-d) + r (privKey-d)) + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_C} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_INV_OUT, UOP_OPR_D} // D = fp_mult(D, k_inv, q) D = k^-1.(d + r.d) + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_D} + ##1 douta == {UOP_DO_ADD_q, UOP_OPR_C, UOP_OPR_D} // B = C + D % q B = (C+D) mod n + ##1 douta == {UOP_ST_ADD_q, UOP_OPR_B, UOP_OPR_DONTCARE} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_CONST_ONE, UOP_OPR_B} // B = fp_mult(B, 1, q) conversion from montgomery to normal domain + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_SIGN_S} + ##1 douta == {UOP_NOP, UOP_OPR_DONTCARE, UOP_OPR_DONTCARE} + ##1 douta == {UOP_NOP, UOP_OPR_DONTCARE, UOP_OPR_DONTCARE} + ##1 douta == {UOP_NOP, UOP_OPR_DONTCARE, UOP_OPR_DONTCARE} + ##1 douta == {UOP_NOP, UOP_OPR_DONTCARE, UOP_OPR_DONTCARE}; +endproperty + +sign1_a: assert property(disable iff(!rst_n) sign1_p); + + +// Inputs pubKey,h(hash msg),r(respective r part in signature),s(respective s part in signature) +// s1 = s^-1 mod q +// R' = (h* s1)*G + (r*s1)*pubKey +// r' = R'x mod q +// +// Verify part0 to convert inputs to the mont domain + + + + +property verify0_p0_p; + addra == VER0_P0_S + + |-> + + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_HASH_MSG, UOP_OPR_CONST_R2_q} // A = mm(h, R2) % q conversion of hash msg to mont domain + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_SIGN_R, UOP_OPR_CONST_R2_q} // B = mm(R, R2) % q conversion of r to mont domain + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_B} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_SIGN_S, UOP_OPR_CONST_R2_q} // INV_IN = mm(S, R2) % q conversion of s to mont domain + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_INV_IN} + ##1 douta == {UOP_NOP, UOP_OPR_DONTCARE, UOP_OPR_DONTCARE} + ##1 douta == {UOP_NOP, UOP_OPR_DONTCARE, UOP_OPR_DONTCARE} + ##1 douta == {UOP_NOP, UOP_OPR_DONTCARE, UOP_OPR_DONTCARE} + ##1 douta == {UOP_NOP, UOP_OPR_DONTCARE, UOP_OPR_DONTCARE}; +endproperty + +verify0_p0_a: assert property(disable iff(!rst_n)verify0_p0_p); + + + +// verify part1 use the generated s^-1 for the intermediate computations and convert them +// into normal domain so in next step they could be used in the point multiplication. + + +property verify0_p1_p; + addra == VER0_P1_S + + |-> + + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_INV_OUT, UOP_OPR_A} // A = mm(h, S_INV) % q h*s^-1 mod q + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_CONST_ONE, UOP_OPR_A} // hs1 = mm(A, 1) % q conversion from mont domain to normal domain + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_SCALAR_G} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_INV_OUT, UOP_OPR_B} // B = mm(r, S_INV) % q r*s^-1 mod q + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_B} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_CONST_ONE, UOP_OPR_B} // rs1 = mm(B, 1) % q conversion from mont domain to normal domain + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_SCALAR_PK} + ##1 douta == {UOP_NOP, UOP_OPR_DONTCARE, UOP_OPR_DONTCARE} + ##1 douta == {UOP_NOP, UOP_OPR_DONTCARE, UOP_OPR_DONTCARE} + ##1 douta == {UOP_NOP, UOP_OPR_DONTCARE, UOP_OPR_DONTCARE} + ##1 douta == {UOP_NOP, UOP_OPR_DONTCARE, UOP_OPR_DONTCARE}; +endproperty + +verify0_p1_a: assert property(disable iff(!rst_n)verify0_p1_p); + + +//verify1 (h*s^-1)*G + +property verify1_st_p; + addra == VER1_ST_S + + |-> + + ##1 douta == {UOP_DO_ADD_p, UOP_OPR_R0_X, UOP_OPR_CONST_ZERO} // computed results stored in R0, in the previous seq + ##1 douta == {UOP_ST_ADD_p, UOP_OPR_P1_X_MONT, UOP_OPR_DONTCARE} + ##1 douta == {UOP_DO_ADD_p, UOP_OPR_R0_Y, UOP_OPR_CONST_ZERO} + ##1 douta == {UOP_ST_ADD_p, UOP_OPR_P1_Y_MONT, UOP_OPR_DONTCARE} + ##1 douta == {UOP_DO_ADD_p, UOP_OPR_R0_Z, UOP_OPR_CONST_ZERO} + ##1 douta == {UOP_ST_ADD_p, UOP_OPR_P1_Z_MONT, UOP_OPR_DONTCARE}; + +endproperty + +verify1_st_a: assert property(disable iff(!rst_n)verify1_st_p); + + +// verify2 Initialise with PubKey(PK) for (r*s^-1)*PK computation +// It is stored R1, but R1_z is initialised with one_mont + + + +property verify2_init_pk_p; + + addra == PM_INIT_PK_S + + |-> + + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_Qx_AFFN, UOP_OPR_CONST_R2_p} //Through DSA seq pubkey is stored to QX and QY prior to start of this seq + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_R1_X} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_Qy_AFFN, UOP_OPR_CONST_R2_p} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_R1_Y} + ##1 douta == {UOP_DO_ADD_p, UOP_OPR_CONST_ONE_MONT, UOP_OPR_CONST_ZERO} // + ##1 douta == {UOP_ST_ADD_p, UOP_OPR_R1_Z, UOP_OPR_DONTCARE}; +endproperty + +verify2_init_pk_a: assert property (disable iff(!rst_n) verify2_init_pk_p); + + + + //VER2 point addtion of PA((h*s_inv)*G, (r*s_inv)*PK) + // t0 =A,t1 =B,t2 =C,t3 =D,t4 =E,t5 =F // + // X1 = R0_x ,X2 = P1_x, after step 15 X3 = R0_x + // Y1 = R0_y ,Y2 = P1_y, after step Y3 = R0_x + // Z1 = R0_z ,Z2 = P1_z, after step 19 Z3 = R0_z + + property verify2_pointadd_p; + + addra == VER2_PA_S + |-> + + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_R0_X, UOP_OPR_P1_X_MONT} // A = fp_mult(P0.X, P1.X, p) t0 <- X1.X2 + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_R0_Y, UOP_OPR_P1_Y_MONT} // B = fp_mult(P0.Y, P1.Y, p) t1 <- Y1.Y2 + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_B} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_R0_Z, UOP_OPR_P1_Z_MONT} // C = fp_mult(P0.Z, P1.Z, p) t2 <- Z1.Z2 + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_C} + ##1 douta == {UOP_DO_ADD_p, UOP_OPR_R0_X, UOP_OPR_R0_Y} // D = (P0.X + P0.Y) % p t3 <- X1+Y1 + ##1 douta == {UOP_ST_ADD_p, UOP_OPR_D, UOP_OPR_DONTCARE} + ##1 douta == {UOP_DO_ADD_p, UOP_OPR_P1_X_MONT, UOP_OPR_P1_Y_MONT} // E = (P1.X + P1.Y) % p t4 <- X2+Y2 + ##1 douta == {UOP_ST_ADD_p, UOP_OPR_E, UOP_OPR_DONTCARE} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_D, UOP_OPR_E} // D = fp_mult(D, E, p) t3 <- t3.t4 + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_D} + ##1 douta == {UOP_DO_ADD_p, UOP_OPR_A, UOP_OPR_B} // E = (A + B) % p t4 <- t0+t1 + ##1 douta == {UOP_ST_ADD_p, UOP_OPR_E, UOP_OPR_DONTCARE} + ##1 douta == {UOP_DO_SUB_p, UOP_OPR_D, UOP_OPR_E} // D = (D - E) % p t3 <- t3 - t4 + ##1 douta == {UOP_ST_ADD_p, UOP_OPR_D, UOP_OPR_DONTCARE} + ##1 douta == {UOP_DO_ADD_p, UOP_OPR_R0_X, UOP_OPR_R0_Z} // E = (P0.X + P0.Z) % p t4 <- X1+Z1 + ##1 douta == {UOP_ST_ADD_p, UOP_OPR_E, UOP_OPR_DONTCARE} + ##1 douta == {UOP_DO_ADD_p, UOP_OPR_P1_X_MONT, UOP_OPR_P1_Z_MONT} // F = (P1.X + P1.Z) % p t5 <- X2 + Z2 + ##1 douta == {UOP_ST_ADD_p, UOP_OPR_F, UOP_OPR_DONTCARE} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_E, UOP_OPR_F} // E = fp_mult(E, F, p) t4 <- t4.t5 + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_E} + ##1 douta == {UOP_DO_ADD_p, UOP_OPR_A, UOP_OPR_C} // F = (A + C) % p t5 <- t0+t2 + ##1 douta == {UOP_ST_ADD_p, UOP_OPR_F, UOP_OPR_DONTCARE} + ##1 douta == {UOP_DO_SUB_p, UOP_OPR_E, UOP_OPR_F} // E = (E - F) % p t4 <- t4 - t5 + ##1 douta == {UOP_ST_ADD_p, UOP_OPR_E, UOP_OPR_DONTCARE} + ##1 douta == {UOP_DO_ADD_p, UOP_OPR_R0_Y, UOP_OPR_R0_Z} // F = (P0.Y + P0.Z) % p t5 <- Y1+Z1 + ##1 douta == {UOP_ST_ADD_p, UOP_OPR_F, UOP_OPR_DONTCARE} + ##1 douta == {UOP_DO_ADD_p, UOP_OPR_P1_Y_MONT, UOP_OPR_P1_Z_MONT} // X3 = (P1.Y + P1.Z) % p X3 <- Y2 +Z2 + ##1 douta == {UOP_ST_ADD_p, UOP_OPR_R0_X, UOP_OPR_DONTCARE} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_F, UOP_OPR_R0_X} // F = fp_mult(F, X3, p) t5 <- t5.X3 + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_F} + ##1 douta == {UOP_DO_ADD_p, UOP_OPR_B, UOP_OPR_C} // X3 = (B + C) % p X3 <- t1 +t2 + ##1 douta == {UOP_ST_ADD_p, UOP_OPR_R0_X, UOP_OPR_DONTCARE} + ##1 douta == {UOP_DO_SUB_p, UOP_OPR_F, UOP_OPR_R0_X} // F = (F - X3) % p t5 <- t5-X3 + ##1 douta == {UOP_ST_ADD_p, UOP_OPR_F, UOP_OPR_DONTCARE} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_CONST_E_a, UOP_OPR_E} // Z3 = fp_mult(ECC.a, E, p) Z3 <- a.t4 + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_R0_Z} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_CONST_E_3b, UOP_OPR_C} // X3 = fp_mult(ECC.3b, C, p) X3 <-3b.t2 + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_R0_X} + ##1 douta == {UOP_DO_ADD_p, UOP_OPR_R0_X, UOP_OPR_R0_Z} // Z3 = (X3 + Z3) % p Z3 <- X3 + Z3 + ##1 douta == {UOP_ST_ADD_p, UOP_OPR_R0_Z, UOP_OPR_DONTCARE} + ##1 douta == {UOP_DO_SUB_p, UOP_OPR_B, UOP_OPR_R0_Z} // X3 = (B - Z3) % p X3 <- t1-Z3 + ##1 douta == {UOP_ST_ADD_p, UOP_OPR_R0_X, UOP_OPR_DONTCARE} + ##1 douta == {UOP_DO_ADD_p, UOP_OPR_B, UOP_OPR_R0_Z} // Z3 = (B + Z3) % p Z3 <- t1+Z3 + ##1 douta == {UOP_ST_ADD_p, UOP_OPR_R0_Z, UOP_OPR_DONTCARE} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_R0_X, UOP_OPR_R0_Z} // Y3 = fp_mult(X3, Z3, p) Y3 <- X3.Z3 + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_R0_Y} + ##1 douta == {UOP_DO_ADD_p, UOP_OPR_A, UOP_OPR_A} // B = (A + A) % p t1 <- t0+t0 + ##1 douta == {UOP_ST_ADD_p, UOP_OPR_B, UOP_OPR_DONTCARE} + ##1 douta == {UOP_DO_ADD_p, UOP_OPR_B, UOP_OPR_A} // B = (B + A) % p t1 <- t1+t0 + ##1 douta == {UOP_ST_ADD_p, UOP_OPR_B, UOP_OPR_DONTCARE} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_CONST_E_a, UOP_OPR_C} // C = fp_mult(ECC.a, C, p) t2 <- a.t2 + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_C} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_CONST_E_3b, UOP_OPR_E} // E = fp_mult(ECC.3b, E, p) t4 <- 3b.t4 + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_E} + ##1 douta == {UOP_DO_ADD_p, UOP_OPR_B, UOP_OPR_C} // B = (B + C) % p t1<-t1+t2 + ##1 douta == {UOP_ST_ADD_p, UOP_OPR_B, UOP_OPR_DONTCARE} + ##1 douta == {UOP_DO_SUB_p, UOP_OPR_A, UOP_OPR_C} // C = (A - C) % p t2<-t0-t2 + ##1 douta == {UOP_ST_ADD_p, UOP_OPR_C, UOP_OPR_DONTCARE} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_CONST_E_a, UOP_OPR_C} // C = fp_mult(ECC.a, C, p) t2<- a.t2 + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_C} + ##1 douta == {UOP_DO_ADD_p, UOP_OPR_E, UOP_OPR_C} // E = (E + C) % p t4<-t4+t2 + ##1 douta == {UOP_ST_ADD_p, UOP_OPR_E, UOP_OPR_DONTCARE} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_B, UOP_OPR_E} // A = fp_mult(B, E, p) t0 <- t1.t4 + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A} + ##1 douta == {UOP_DO_ADD_p, UOP_OPR_R0_Y, UOP_OPR_A} // Y3 = (Y3 + A) % p Y3 <- Y3+t0 + ##1 douta == {UOP_ST_ADD_p, UOP_OPR_R0_Y, UOP_OPR_DONTCARE} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_F, UOP_OPR_E} // A = fp_mult(F, E, p) t0<-t5.t4 + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_D, UOP_OPR_R0_X} // X3 = fp_mult(D, X3, p) X3 <- t3.X3 + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_R0_X} + ##1 douta == {UOP_DO_SUB_p, UOP_OPR_R0_X, UOP_OPR_A} // X3 = (X3 - A) % p X3 <- X3-t0 + ##1 douta == {UOP_ST_ADD_p, UOP_OPR_R0_X, UOP_OPR_DONTCARE} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_D, UOP_OPR_B} // A = fp_mult(D, B, p) t0 <- t3.t1 + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_F, UOP_OPR_R0_Z} // Z3 = fp_mult(F, Z3, p) Z3 <- t5.Z3 + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_R0_Z} + ##1 douta == {UOP_DO_ADD_p, UOP_OPR_R0_Z, UOP_OPR_A} // Z3 = (Z3 + A) % p Z3 <- Z3 + t0 + ##1 douta == {UOP_ST_ADD_p, UOP_OPR_R0_Z, UOP_OPR_DONTCARE} + ##1 douta == {UOP_DO_ADD_p, UOP_OPR_R0_Z, UOP_OPR_CONST_ZERO} // Zinv_IN = P1_Z + ##1 douta == {UOP_ST_ADD_p, UOP_OPR_INV_IN, UOP_OPR_DONTCARE}; +endproperty + +verify2_pointadd_a: assert property (disable iff(!rst_n) verify2_pointadd_p); + + + + + +property inv_modp_p; + addra == INV_S + + |-> + ##1 douta == {UOP_DO_ADD_p, UOP_OPR_CONST_ZERO, UOP_OPR_CONST_ONE_MONT} // precompute[0] = UOP_OPR_CONST_ONE_MONT % p + ##1 douta == {UOP_ST_ADD_p, UOP_OPR_INV_PRE0, UOP_OPR_DONTCARE} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_INV_IN, UOP_OPR_INV_PRE0} // precompute[1] = fp_mult(Z, precompute[0], p) + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_INV_PRE1} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_INV_IN, UOP_OPR_INV_PRE1} // precompute[2] = fp_mult(Z, precompute[1], p) + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_INV_PRE2} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_INV_IN, UOP_OPR_INV_PRE2} // precompute[3] = fp_mult(Z, precompute[2], p) + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_INV_PRE3} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_INV_IN, UOP_OPR_INV_PRE3} // precompute[4] = fp_mult(Z, precompute[3], p) + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_INV_PRE4} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_INV_IN, UOP_OPR_INV_PRE4} // precompute[5] = fp_mult(Z, precompute[4], p) + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_INV_PRE5} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_INV_IN, UOP_OPR_INV_PRE5} // precompute[6] = fp_mult(Z, precompute[5], p) + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_INV_PRE6} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_INV_IN, UOP_OPR_INV_PRE6} // precompute[7] = fp_mult(Z, precompute[6], p) + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_INV_PRE7} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_INV_PRE0, UOP_OPR_INV_PRE0} // a_inv = fp_mult(precompute[0], precompute[0], p) + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} // a_inv = fp_mult(a_inv, a_inv, p) //why these two additional steps ? + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} // a_inv = fp_mult(a_inv, a_inv, p) + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_INV_PRE7} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_INV_PRE7} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_INV_PRE7} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_INV_PRE7} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_INV_PRE7} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_INV_PRE7} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_INV_PRE7} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_INV_PRE7} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_INV_PRE7} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_INV_PRE7} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_INV_PRE7} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_INV_PRE7} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_INV_PRE7} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_INV_PRE7} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_INV_PRE7} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_INV_PRE7} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_INV_PRE7} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_INV_PRE7} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_INV_PRE7} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_INV_PRE7} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_INV_PRE7} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_INV_PRE7} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_INV_PRE7} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_INV_PRE7} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_INV_PRE7} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_INV_PRE7} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_INV_PRE7} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_INV_PRE7} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_INV_PRE7} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_INV_PRE7} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_INV_PRE7} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_INV_PRE7} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_INV_PRE7} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_INV_PRE7} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_INV_PRE7} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_INV_PRE7} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_INV_PRE7} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_INV_PRE7} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_INV_PRE7} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_INV_PRE7} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_INV_PRE7} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_INV_PRE7} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_INV_PRE7} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_INV_PRE7} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_INV_PRE7} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_INV_PRE7} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_INV_PRE7} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_INV_PRE7} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_INV_PRE7} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_INV_PRE7} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_INV_PRE7} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_INV_PRE7} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_INV_PRE7} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_INV_PRE7} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_INV_PRE7} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_INV_PRE7} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_INV_PRE7} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_INV_PRE7} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_INV_PRE7} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_INV_PRE7} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_INV_PRE7} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_INV_PRE7} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_INV_PRE7} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_INV_PRE7} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_INV_PRE7} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_INV_PRE7} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_INV_PRE7} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_INV_PRE7} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_INV_PRE7} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_INV_PRE7} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_INV_PRE7} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_INV_PRE7} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_INV_PRE7} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_INV_PRE7} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_INV_PRE7} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_INV_PRE7} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_INV_PRE7} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_INV_PRE7} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_INV_PRE7} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_INV_PRE7} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_INV_PRE7} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_INV_PRE7} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_INV_PRE7} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_INV_PRE7} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_INV_PRE7} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_INV_PRE3} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_INV_PRE7} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_INV_PRE7} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_INV_PRE7} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_INV_PRE7} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_INV_PRE7} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_INV_PRE7} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_INV_PRE7} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_INV_PRE7} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_INV_PRE7} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_INV_PRE7} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_INV_PRE0} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_INV_PRE0} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_INV_PRE0} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_INV_PRE0} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_INV_PRE0} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_INV_PRE0} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_INV_PRE0} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_INV_PRE0} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_INV_PRE0} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_INV_PRE0} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_INV_PRE0} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_INV_PRE0} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_INV_PRE0} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_INV_PRE0} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_INV_PRE0} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_INV_PRE0} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_INV_PRE0} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_INV_PRE0} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_INV_PRE0} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_INV_PRE0} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_INV_PRE0} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_INV_PRE3} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_INV_PRE7} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_INV_PRE7} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_INV_PRE7} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_INV_PRE7} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_INV_PRE7} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_INV_PRE7} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_INV_PRE7} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_INV_PRE7} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_INV_PRE7} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A_INV, UOP_OPR_INV_PRE5} + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_INV_OUT}; +endproperty + + +inv_modp_a: assert property (disable iff(!rst_n) inv_modp_p); + + + + +property inv_modq_p; + addra == INVq_S + + |-> + + ##1 douta == {UOP_DO_ADD_q, UOP_OPR_CONST_ZERO, UOP_OPR_CONST_ONE_q_MONT} // precompute[0] = UOP_OPR_CONST_ONE_q_MONT % q + ##1 douta == {UOP_ST_ADD_q, UOP_OPR_INV_PRE0, UOP_OPR_DONTCARE} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_INV_IN, UOP_OPR_INV_PRE0} // precompute[1] = fp_mult(Z, precompute[0], q) + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_INV_PRE1} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_INV_IN, UOP_OPR_INV_PRE1} // precompute[2] = fp_mult(Z, precompute[1], q) + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_INV_PRE2} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_INV_IN, UOP_OPR_INV_PRE2} // precompute[3] = fp_mult(Z, precompute[2], q) + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_INV_PRE3} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_INV_IN, UOP_OPR_INV_PRE3} // precompute[4] = fp_mult(Z, precompute[3], q) + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_INV_PRE4} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_INV_IN, UOP_OPR_INV_PRE4} // precompute[5] = fp_mult(Z, precompute[4], q) + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_INV_PRE5} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_INV_IN, UOP_OPR_INV_PRE5} // precompute[6] = fp_mult(Z, precompute[5], q) + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_INV_PRE6} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_INV_IN, UOP_OPR_INV_PRE6} // precompute[7] = fp_mult(Z, precompute[6], q) + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_INV_PRE7} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_INV_PRE0, UOP_OPR_INV_PRE0} // a_inv = fp_mult(precompute[0], precompute[0], q) + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} // a_inv = fp_mult(a_inv, a_inv, q) + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} // a_inv = fp_mult(a_inv, a_inv, q) + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_INV_PRE7} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_INV_PRE7} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_INV_PRE7} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_INV_PRE7} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_INV_PRE7} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_INV_PRE7} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_INV_PRE7} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_INV_PRE7} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_INV_PRE7} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_INV_PRE7} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_INV_PRE7} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_INV_PRE7} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_INV_PRE7} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_INV_PRE7} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_INV_PRE7} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_INV_PRE7} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_INV_PRE7} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_INV_PRE7} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_INV_PRE7} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_INV_PRE7} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_INV_PRE7} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_INV_PRE7} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_INV_PRE7} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_INV_PRE7} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_INV_PRE7} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_INV_PRE7} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_INV_PRE7} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_INV_PRE7} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_INV_PRE7} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_INV_PRE7} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_INV_PRE7} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_INV_PRE7} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_INV_PRE7} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_INV_PRE7} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_INV_PRE7} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_INV_PRE7} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_INV_PRE7} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_INV_PRE7} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_INV_PRE7} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_INV_PRE7} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_INV_PRE7} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_INV_PRE7} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_INV_PRE7} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_INV_PRE7} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_INV_PRE7} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_INV_PRE7} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_INV_PRE7} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_INV_PRE7} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_INV_PRE7} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_INV_PRE7} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_INV_PRE7} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_INV_PRE7} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_INV_PRE7} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_INV_PRE7} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_INV_PRE7} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_INV_PRE7} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_INV_PRE7} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_INV_PRE7} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_INV_PRE7} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_INV_PRE7} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_INV_PRE7} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_INV_PRE7} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_INV_PRE7} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_INV_PRE7} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_INV_PRE6} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_INV_PRE1} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_INV_PRE6} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_INV_PRE6} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_INV_PRE1} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_INV_PRE5} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_INV_PRE1} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_INV_PRE5} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_INV_PRE4} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_INV_PRE0} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_INV_PRE3} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_INV_PRE7} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_INV_PRE2} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_INV_PRE0} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_INV_PRE6} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_INV_PRE7} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_INV_PRE1} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_INV_PRE3} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_INV_PRE3} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_INV_PRE5} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_INV_PRE7} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_INV_PRE5} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_INV_PRE3} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_INV_PRE0} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_INV_PRE0} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_INV_PRE6} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_INV_PRE4} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_INV_PRE0} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_INV_PRE6} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_INV_PRE6} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_INV_PRE6} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_INV_PRE2} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_INV_PRE2} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_INV_PRE2} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_INV_PRE1} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_INV_PRE3} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_INV_PRE0} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_INV_PRE2} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_INV_PRE4} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_INV_PRE7} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_INV_PRE3} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_INV_PRE6} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_INV_PRE5} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_INV_PRE6} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_INV_PRE6} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_INV_PRE3} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_INV_PRE5} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_INV_PRE4} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_INV_PRE0} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_INV_PRE6} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_INV_PRE2} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_INV_PRE6} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_INV_PRE5} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_INV_PRE3} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_INV_PRE1} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_INV_PRE4} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_INV_PRE6} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_INV_PRE1} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_INV_PRE2} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_INV_PRE2} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_INV_PRE4} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_INV_PRE5} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_INV_PRE6} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_A_INV} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_A_INV} + ##1 douta == {UOP_DO_MUL_q, UOP_OPR_A_INV, UOP_OPR_INV_PRE1} + ##1 douta == {UOP_ST_MUL_q, UOP_OPR_DONTCARE, UOP_OPR_INV_OUT}; +endproperty + +inv_modq_a: assert property(disable iff(!rst_n) inv_modq_p); + + + +//seq to check the provided pubKey is on the curve or not. +property chk_pk_p; + addra == CHK_PK_S + + |-> + + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_Qy_AFFN, UOP_OPR_CONST_R2_p} // A = mm(Qy, R2) % p conversion of pubkey y to mont domain + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_A, UOP_OPR_A} // A = A*A % p y^2 + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_A} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_Qx_AFFN, UOP_OPR_CONST_R2_p} // B = mm(Qx, R2) % p conversion of pubkey x to mont domain + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_B} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_B, UOP_OPR_B} // C = B*B % p x^2 + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_C} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_C, UOP_OPR_B} // C = C*B % p x^3 + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_C} + ##1 douta == {UOP_DO_MUL_p, UOP_OPR_CONST_E_a, UOP_OPR_B} // D = ECC.a*B % p a.x + ##1 douta == {UOP_ST_MUL_p, UOP_OPR_DONTCARE, UOP_OPR_D} + ##1 douta == {UOP_DO_ADD_p, UOP_OPR_C, UOP_OPR_D} // C = C + D % p x^3 + a.x + ##1 douta == {UOP_ST_ADD_p, UOP_OPR_C, UOP_OPR_DONTCARE} + ##1 douta == {UOP_DO_ADD_p, UOP_OPR_C, UOP_OPR_CONST_E_b} // C = C + ECC.b % p x^3 + a.x + b + ##1 douta == {UOP_ST_ADD_p, UOP_OPR_C, UOP_OPR_DONTCARE} + ##1 douta == {UOP_DO_SUB_p, UOP_OPR_A, UOP_OPR_C} // PK_valid = A - C % p y^2 - (x^3 + a.x + b) should be equal to zero if the key is on the curve + ##1 douta == {UOP_ST_ADD_p, UOP_OPR_PK_VALID, UOP_OPR_DONTCARE} + ##1 douta == {UOP_NOP, UOP_OPR_DONTCARE, UOP_OPR_DONTCARE} + ##1 douta == {UOP_NOP, UOP_OPR_DONTCARE, UOP_OPR_DONTCARE} + ##1 douta == {UOP_NOP, UOP_OPR_DONTCARE, UOP_OPR_DONTCARE} + ##1 douta == {UOP_NOP, UOP_OPR_DONTCARE, UOP_OPR_DONTCARE}; +endproperty + +chk_pk_a: assert property(disable iff(!rst_n) chk_pk_p); + + + +// When storing both the address should not be the same +property store_not_same_addr_p; + douta[17] || + douta[16] + |-> + douta[11:6]!= douta[5:0]; +endproperty + +store_not_same_addr_a: assert property(disable iff(!rst_n) store_not_same_addr_p); + +// If it starts with UOP_NOP, the next both fields should be UOP_OPR_DONTCARE +property when_nop_both_addr_0_p; + douta[17:12] == UOP_NOP + |-> + douta[11:0]== {UOP_OPR_DONTCARE,UOP_OPR_DONTCARE}; +endproperty + +when_nop_both_addr_0_a: assert property(disable iff(!rst_n) when_nop_both_addr_0_p); + +//If it starts with UOP_ST_ADD_p/UOP_ST_ADD_q, the last field should be UOP_OPR_DONTCARE. + +property when_add_addrb_0_p; + (douta[17:12] == UOP_ST_ADD_p) || + (douta[17:12] == UOP_ST_ADD_q) + |-> + douta[5:0]== UOP_OPR_DONTCARE; +endproperty + +when_add_addrb_0_a: assert property(disable iff(!rst_n) when_add_addrb_0_p); + + +//o If it starts with UOP_ST_MUL_p/UOP_ST_MUL_q the middle field should be UOP_OPR_DONTCARE + +property when_mult_addra_0_p; + (douta[17:12] == UOP_ST_MUL_p) || + (douta[17:12] == UOP_ST_MUL_q) + |-> + douta[11:6]== UOP_OPR_DONTCARE; +endproperty + +when_mult_addra_0_a: assert property(disable iff(!rst_n) when_mult_addra_0_p); + +//If it starts with UOP_DO_MUL_p/UOP_DO_MUL_q/ UOP_DO_ADD_p/ UOP_DO_ADD_q/ UOP_DO_SUB_p/UOP_DO_SUB_q, +//the next both fields shouldn’t be UOP_OPR_DONTCARE. + + +property when_do_add_mul_sub_addr_not_zero_p; + ((douta[17:12] == UOP_DO_MUL_p) || + (douta[17:12] == UOP_DO_MUL_q) || + (douta[17:12] == UOP_DO_ADD_q) || + (douta[17:12] == UOP_DO_ADD_p) || + (douta[17:12] == UOP_DO_SUB_p) || + (douta[17:12] == UOP_DO_SUB_q)) && + $past(addra) != PM_INIT_S && + $past(addra) != PM_INIT_S+ 4 //Next field after opcode is const_zero i.e both the fields are zero so excluded + |-> + douta[11:0] != {UOP_OPR_DONTCARE,UOP_OPR_DONTCARE}; +endproperty + +when_do_add_mul_sub_addr_not_zero_a: assert property(disable iff(!rst_n) when_do_add_mul_sub_addr_not_zero_p); + + +endmodule + + + +bind ecc_pm_sequencer fv_ecc_pm_sequencer + #(.ADDR_WIDTH(ADDR_WIDTH), + .DATA_WIDTH(DATA_WIDTH) + ) + fv_ecc_pm_sequencer_inst( + .clk(clka), + .rst_n(reset_n && !zeroize), + .ena(ena), + .addra(addra), + .douta(douta) + ); diff --git a/src/ecc/formal/properties/fv_ecc_ram_tdp_file.sv b/src/ecc/formal/properties/fv_ecc_ram_tdp_file.sv new file mode 100644 index 000000000..7cad1927b --- /dev/null +++ b/src/ecc/formal/properties/fv_ecc_ram_tdp_file.sv @@ -0,0 +1,210 @@ +// ------------------------------------------------- +// Contact: contact@lubis-eda.com +// Author: Tobias Ludwig, Michael Schwarz +// ------------------------------------------------- +// SPDX-License-Identifier: Apache-2.0 +// +// Licensed under the Apache License, Version 2.0 (the "License"); +// you may not use this file except in compliance with the License. +// You may obtain a copy of the License at +// +// http://www.apache.org/licenses/LICENSE-2.0 +// +// Unless required by applicable law or agreed to in writing, software +// distributed under the License is distributed on an "AS IS" BASIS, +// WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +// See the License for the specific language governing permissions and +// limitations under the License. +// +module fv_ecc_ram_tdp_file #( + parameter ADDR_WIDTH = 10, + parameter DATA_WIDTH = 32 + ) + ( + input wire clk, + input wire rst_n, + + + input wire ena, + input wire wea, + input wire [ADDR_WIDTH-1 : 0] addra, + input wire [DATA_WIDTH-1 : 0] dina, + input logic [DATA_WIDTH-1 : 0] douta, + + input wire enb, + input wire web, + input wire [ADDR_WIDTH-1 : 0] addrb, + input wire [DATA_WIDTH-1 : 0] dinb, + input logic [DATA_WIDTH-1 : 0] doutb + ); + + // Declare the RAM variable + localparam ADDR_LENGTH = 2**ADDR_WIDTH; + default clocking default_clk @(posedge clk); endclocking + + + sequence reset_sequence; + !rst_n ##1 rst_n; + endsequence + +addr_not_same_write : assume property (disable iff(!rst_n) (ena && wea && enb && web) |-> addra != addrb); + + +//////////////////////////////////////////// +// reset property, when reset out a and b // +// are zero // +//////////////////////////////////////////// + + property reset_p; + $past(!rst_n) + |-> + douta == '0 && + doutb == '0; + endproperty + + reset_a : assert property(reset_p); + + + + + +/////////////////////////////////////////////// +//Symbolic checking // +/////////////////////////////////////////////// + logic [ADDR_WIDTH-1:0] sym_addr; + + property sym_reset_mem_p; + $past(!rst_n) + |-> + ecc_ram_tdp_file.mem[sym_addr] == '0; + endproperty + sym_reset_mem_a: assert property(sym_reset_mem_p); + + + /////////////////////////////////////////////// + // write to the memory with din in the // + // address provided considering the addresses// + // a and b will not be same while writing // + /////////////////////////////////////////////// + + logic [8:0] idx_sym_data_wr_a; + logic [8:0] idx_sym_data_wr_b; + + idx_sym_wr_a_less_than_384: assume property(disable iff(!rst_n) (idx_sym_data_wr_a<9'd384) && $stable(idx_sym_data_wr_a)); + idx_sym_wr_b_less_than_384: assume property(disable iff(!rst_n) (idx_sym_data_wr_b<9'd384) && $stable(idx_sym_data_wr_b)); + + + property write_p(en,we,addr,dout,din,idx); + logic [ADDR_WIDTH-1 : 0] store_addr; + logic [DATA_WIDTH-1: 0] store_data; + en && + we + ##0 (1'b1, store_addr = addr) + ##0 (1'b1, store_data = ecc_ram_tdp_file.mem[addr]) + |=> + dout[idx] == store_data[idx] && + (ecc_ram_tdp_file.mem[store_addr][idx]) == $past(din[idx]) + ; + endproperty + write_a_a: assert property(disable iff(!rst_n)write_p(ena,wea,addra,douta,dina,idx_sym_data_wr_a)); + write_b_a: assert property(disable iff(!rst_n)write_p(enb,web,addrb,doutb,dinb,idx_sym_data_wr_b)); + + + + + /////////////////////////////////////////////// + // read to the memory with whatever the // + // address provided considering the addresses// + // a and b can be same // + /////////////////////////////////////////////// + logic [8:0] idx_sym_data_rd_a; + logic [8:0] idx_sym_data_rd_b; + + idx_sym_rd_a_less_than_384: assume property(disable iff(!rst_n) (idx_sym_data_rd_a<9'd384) && $stable(idx_sym_data_rd_a)); + idx_sym_rd_b_less_than_384:assume property(disable iff(!rst_n) (idx_sym_data_rd_b<9'd384) && $stable(idx_sym_data_rd_b)); + + + property read_p(en,we,addr,dout,idx); + logic [DATA_WIDTH-1:0] store_data; + logic [ADDR_WIDTH-1:0] store_addr; + en && + !we + ##0 (1'b1, store_data = ecc_ram_tdp_file.mem[addr] ) + |=> + dout[idx] == store_data[idx] + ; + endproperty + read_a_a: assert property(disable iff(!rst_n)read_p(ena,wea,addra,douta,idx_sym_data_rd_a)); + read_b_a: assert property(disable iff(!rst_n)read_p(enb,web,addrb,doutb,idx_sym_data_rd_b)); + + + + +/////////////////////////////////////////////// +// No enable, no read and write // +/////////////////////////////////////////////// + logic [8:0] idx_sym_nen_a; + logic [8:0] idx_sym_nen_b; + logic [8:0] idx_sym_nen_ab; + + idx_sym_nen_a_less_than_384: assume property(disable iff(!rst_n) (idx_sym_nen_a<9'd384) && $stable(idx_sym_nen_a)); + idx_sym_nen_b_less_than_384: assume property(disable iff(!rst_n) (idx_sym_nen_b<9'd384) && $stable(idx_sym_nen_b)); + idx_sym_nen_ab_less_than_384: assume property(disable iff(!rst_n) (idx_sym_nen_ab<9'd384) && $stable(idx_sym_nen_ab)); + + + property no_enable_p(en,dout,addr,idx); + logic [DATA_WIDTH-1:0] store_data; + logic [ADDR_WIDTH-1 : 0] store_addr; + !en && + addra != addrb + ##0 (1'b1, store_data = ecc_ram_tdp_file.mem[addr] ) + ##0 (1'b1, store_addr = addr) + |=> + dout[idx] == $past(dout[idx]) && + (ecc_ram_tdp_file.mem[store_addr][idx]) == store_data[idx]; + endproperty + + no_enable_a_a: assert property(disable iff(!rst_n) no_enable_p(ena,douta,addra,idx_sym_nen_a)); + no_enable_b_a: assert property(disable iff(!rst_n) no_enable_p(enb,doutb,addrb,idx_sym_nen_b)); + + + property no_enable_ab_p; + logic [DATA_WIDTH-1:0] store_datab,store_dataa; + logic [ADDR_WIDTH-1 : 0] store_addrb,store_addra; + !ena && + !enb + ##0 (1'b1, store_dataa = ecc_ram_tdp_file.mem[addra] ) + ##0 (1'b1, store_addra = addra) + ##0 (1'b1, store_datab = ecc_ram_tdp_file.mem[addrb] ) + ##0 (1'b1, store_addrb = addrb) + |=> + douta[idx_sym_nen_ab] == $past(douta[idx_sym_nen_ab]) && + (ecc_ram_tdp_file.mem[store_addra][idx_sym_nen_ab]) == store_dataa[idx_sym_nen_ab] && + doutb[idx_sym_nen_ab] == $past(doutb[idx_sym_nen_ab]) && + (ecc_ram_tdp_file.mem[store_addrb][idx_sym_nen_ab]) == store_datab[idx_sym_nen_ab]; + endproperty + + no_enable_ab_a: assert property(disable iff(!rst_n) no_enable_ab_p); + +endmodule + + + +bind ecc_ram_tdp_file fv_ecc_ram_tdp_file #( + .ADDR_WIDTH(ADDR_WIDTH), + .DATA_WIDTH(DATA_WIDTH) + ) + fv_ecc_ram_tdp_file_inst( + .clk(clk), + .rst_n(reset_n && !zeroize), + .ena(ena), + .wea(wea), + .addra(addra), + .dina(dina), + .douta(douta), + .enb(enb), + .web(web), + .addrb(addrb), + .dinb(dinb), + .doutb(doutb) + ); \ No newline at end of file diff --git a/src/ecc/formal/properties/fv_montmultiplier.sv b/src/ecc/formal/properties/fv_montmultiplier.sv new file mode 100644 index 000000000..42011dd95 --- /dev/null +++ b/src/ecc/formal/properties/fv_montmultiplier.sv @@ -0,0 +1,269 @@ +// ------------------------------------------------- +// Contact: contact@lubis-eda.com +// Author: Tobias Ludwig, Michael Schwarz +// ------------------------------------------------- +// SPDX-License-Identifier: Apache-2.0 +// +// Licensed under the Apache License, Version 2.0 (the "License"); +// you may not use this file except in compliance with the License. +// You may obtain a copy of the License at +// +// http://www.apache.org/licenses/LICENSE-2.0 +// +// Unless required by applicable law or agreed to in writing, software +// distributed under the License is distributed on an "AS IS" BASIS, +// WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +// See the License for the specific language governing permissions and +// limitations under the License. +// +module fv_montmultiplier #( + parameter REG_SIZE = 384, + parameter RADIX = 32 +) +( + // Clock and reset. + input logic clk, + input logic rst_n, + + // DATA PORT + input logic start_i, + input logic [REG_SIZE-1:0] opa_i, + input logic [REG_SIZE-1:0] opb_i, + input logic [REG_SIZE-1:0] n_i, + input logic [RADIX-1:0] n_prime_i, // only need the last few bits + input logic [REG_SIZE-1:0] p_o, + input logic ready_o +); + +default clocking default_clk @(posedge clk); endclocking + + +//-------------------------------------------------------// +//R = 2^((ceil(bits(n_i)/RADIX)+1)*RADIX) +//R^(-1) = R invmod n_i; +//localparam R = 417'h100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000; +//localparam R_inv = 355'h0600000014000000140000000C00000002FFFFFFFCFFFFFFFAFFFFFFFBFFFFFFF7FFFFFFE5FFFFFFD8FFFFFFE7; +//-------------------------------------------------------// + + +localparam MULT_DLY = 40; // Defines after start how many cycles ready would stay deasserted. + + +localparam int unsigned S_NUM = ((REG_SIZE + RADIX - 1) / RADIX) + 1; +localparam int unsigned FULL_REG_SIZE = S_NUM * RADIX; + +localparam PE_UNITS = ((S_NUM - 1) / 2) - 1; + + +function logic[ REG_SIZE-1:0] montmult (logic[ REG_SIZE-1:0] a, logic[ REG_SIZE-1:0] b, logic[ REG_SIZE-1:0] c, logic[ REG_SIZE-1:0] d); + return ((1152'(768'(a*b)*c)%d)); +endfunction + + + +sequence reset_sequence; + !rst_n ##1 rst_n; +endsequence + +property reset_p; + $past(!rst_n) + |-> + p_o == 0 && + ready_o == 1; +endproperty + +reset_a: assert property(reset_p); + + +//////////////////////////////////////////// +// start_i triggers only after multi done // +/////////////////////////////////////////// +start_mult_as: assume property(disable iff(!rst_n)start_mult_as_p); + +property start_mult_as_p; + start_i + |=> + !start_i until (ready_o); +endproperty + +mult_operands_less_than_prime: assume property(disable iff(!rst_n) mult_operands_less_than_prime_p); + +property mult_operands_less_than_prime_p; + start_i + |-> + opa_i < n_i && + opb_i < n_i ; +endproperty + + + +`ifndef FOR48 + + +///////////////////////////////////////////////// +// Property for 16 bit to prove the computation// +//////////////////////////////////////////////// + +/********For inp value less than 4 bits ******/ +property multi_0_p(prime_i, mu_i,r_inv); + logic [REG_SIZE-1:0] temp; + n_i == prime_i && + n_prime_i == mu_i && + opa_i <= 4'hf && + opb_i <= 4'hf && + start_i + ##0 (1'b1, temp = (48'(32'(opa_i*opb_i)*r_inv)%n_i)) + |-> + ##16 + (p_o == temp) && + ready_o; + endproperty + + +/********For inp value less than 8 bits ******/ +property multi_1_p(prime_i, mu_i,r_inv); + logic [REG_SIZE-1:0] temp; + n_i == prime_i && + n_prime_i == mu_i && + opa_i <= 8'hff && + opb_i <= 8'hff && + opa_i > 4'hf && + opb_i > 4'hf && + start_i + ##0 (1'b1, temp = (48'(32'(opa_i*opb_i)*r_inv)%n_i)) + + |-> + ##16 + (p_o == temp) && + ready_o; + endproperty + + +/********For inp value less than 12 bits ******/ +property multi_2_p(prime_i, mu_i,r_inv); + logic [REG_SIZE-1:0] temp; + n_i == prime_i && + n_prime_i == mu_i && + opa_i <= 12'hfff && + opb_i <= 12'hfff && + opa_i > 8'hff && + opb_i > 8'hff && + start_i + ##0 (1'b1, temp = (48'(32'(opa_i*opb_i)*r_inv)%n_i)) + |-> + ##16 //for 16 bit just gave a slack + (p_o[0] == temp[0]) && + ready_o; + endproperty + +/********For inp value all bits ******/ +property multi_p(prime_i, mu_i,r_inv); + logic [REG_SIZE-1:0] temp; + n_i == prime_i && + n_prime_i == mu_i && + start_i + ##0 (1'b1, temp = (48'(32'(opa_i*opb_i)*r_inv)%n_i)) + |-> + ##16 //for 16 bit just gave a slack + p_o == temp && + ready_o; + endproperty + +logic [4:0][REG_SIZE-1:0] prime; +logic [4:0][RADIX-1:0] mu_word; +logic [4:0][REG_SIZE-1:0] rinv; +assign prime ={16'hfceb,16'hfcfb,16'hfd0d,16'hfd0f,16'hfd19}; +assign mu_word = {4'hd,4'hd,4'hb,4'h1,4'h7}; +assign rinv ={16'hc0ea,16'he269,16'hcc03,16'h1a92,16'h4e28}; + +genvar i; +for(i=0;i<5;i++) begin + multi_a: assert property(disable iff (!rst_n) multi_p(prime[i], mu_word[i],rinv[i])); + multi_2_a: assert property(disable iff (!rst_n) multi_2_p(prime[i], mu_word[i],rinv[i])); + multi_1_a: assert property(disable iff (!rst_n) multi_1_p(prime[i], mu_word[i],rinv[i])); + multi_0_a: assert property(disable iff (!rst_n) multi_0_p(prime[i], mu_word[i],rinv[i])); +end + + +///////////////////////////////////////////////// +// Property for ready deassert // +//////////////////////////////////////////////// + +property no_ready_p; + start_i + |=> + !ready_o[*15]; +endproperty + +no_ready_a: assert property(disable iff(!rst_n)no_ready_p); + + +//-------------------------------------------------------------------------------------------------// +// For 48 bit operands // +//-------------------------------------------------------------------------------------------------// + + +`else + + +/********For inp value all bits ******/ +property multi_p(prime_i, mu_i,r_inv); + logic [REG_SIZE-1:0] temp; + n_i == prime_i && + n_prime_i == mu_i && + start_i + ##0 (1'b1, temp = (144'(96'(opa_i*opb_i)*r_inv)%n_i)) + //##0 (1'b1, temp = ((1152'(768'(opa_i*opb_i)*r_inv)%n_i))) + ##1 ready_o[->1] + |-> + + p_o == temp; +endproperty + + +logic [4:0][REG_SIZE-1:0] prime; +logic [4:0][RADIX-1:0] mu_word; +logic [4:0][REG_SIZE-1:0] rinv; +assign prime ={48'hffffffffff9f,48'hffffffffffb3,48'hffffffffffbf,48'hffffffffffc9,48'hffffffffffd5}; +assign mu_word = {4'h1,4'h5,4'h1,4'h7,4'h3}; +assign rinv ={48'h1fd5c5f02a2e,48'h3ce213f2b376,48'h1fc0fc0fc0f4,48'h686fb586fb42,48'h30be82fa0be0}; + + +genvar i; +for(i=0;i<5;i++) begin + multi_a: assert property(disable iff (!rst_n) multi_p(prime[i], mu_word[i],rinv[i])); + end + +///////////////////////////////////////////////// +// Property for ready deassert // +//////////////////////////////////////////////// + +property no_ready_p; + start_i + |=> + !ready_o[*(MULT_DLY-1)]; +endproperty + +no_ready_a: assert property(disable iff(!rst_n)no_ready_p); + +`endif +endmodule + +bind ecc_montgomerymultiplier fv_montmultiplier #( + .REG_SIZE(REG_SIZE), + .RADIX(RADIX) + ) + fv_montmultiplier_inst ( + // Clock and reset. + .clk(clk), + .rst_n(reset_n && !zeroize), + + // DATA PORT + .start_i(start_i), + .opa_i(opa_i), + .opb_i(opb_i), + .n_i(n_i), + .n_prime_i(n_prime_i), // only need the last few bits + .p_o(p_o), + .ready_o(ready_o) + ); \ No newline at end of file diff --git a/src/ecc/formal/properties/fv_montmultiplier_glue.sv b/src/ecc/formal/properties/fv_montmultiplier_glue.sv new file mode 100644 index 000000000..ad8573f5c --- /dev/null +++ b/src/ecc/formal/properties/fv_montmultiplier_glue.sv @@ -0,0 +1,252 @@ +// ------------------------------------------------- +// Contact: contact@lubis-eda.com +// Author: Tobias Ludwig, Michael Schwarz +// ------------------------------------------------- +// SPDX-License-Identifier: Apache-2.0 +// +// Licensed under the Apache License, Version 2.0 (the "License"); +// you may not use this file except in compliance with the License. +// You may obtain a copy of the License at +// +// http://www.apache.org/licenses/LICENSE-2.0 +// +// Unless required by applicable law or agreed to in writing, software +// distributed under the License is distributed on an "AS IS" BASIS, +// WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +// See the License for the specific language governing permissions and +// limitations under the License. +// +module fv_montmultiplier_glue #( + parameter REG_SIZE = 384, + parameter RADIX = 32 +) +( + // Clock and reset. + input wire clk, + input wire rst_n, + + + // DATA PORT + input wire start_i, + input wire [REG_SIZE-1:0] opa_i, + input wire [REG_SIZE-1:0] opb_i, + input wire [REG_SIZE-1:0] n_i, + input wire [RADIX-1:0] n_prime_i, // only need the last few bits + input logic [REG_SIZE-1:0] p_o, + input logic ready_o +); + +localparam int unsigned S_NUM = ((REG_SIZE + RADIX - 1) / RADIX) + 1; +localparam int unsigned FULL_REG_SIZE = S_NUM * RADIX; + +localparam PE_UNITS = ((S_NUM - 1) / 2) - 1; + +localparam [(FULL_REG_SIZE-REG_SIZE)-1 : 0] fv_zero_pad = '0; + +localparam prime_p = 384'hfffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffeffffffff0000000000000000ffffffff; +localparam prime_q = 384'hffffffffffffffffffffffffffffffffffffffffffffffffc7634d81f4372ddf581a0db248b0a77aecec196accc52973; +localparam p_mu = 32'h00000001; +localparam q_mu = 32'he88fdc45; +localparam MULT_DLY = 40; +localparam DLY_CONCAT = MULT_DLY - (2*(PE_UNITS+1))-1; //27 + + +///////////////////////////////////////////////// +// Function for selecting o/p // +///////////////////////////////////////////////// +function logic[REG_SIZE-1:0] reduction_prime(input logic [FULL_REG_SIZE-1:0] a, input logic [REG_SIZE-1:0] b); + if(a>=b) + return(a-b); + else + return(a); +endfunction + + +default clocking default_clk @(posedge clk); endclocking + +// constraint that the multiplication is enable and doesn't trigger until the current computation done +property start_mult_as_p; + start_i + |=> + !start_i until (ready_o); +endproperty +start_mult_as: assume property(disable iff(!rst_n)start_mult_as_p); + + +// Reset property +sequence reset_sequence; + !rst_n ##1 rst_n; +endsequence + +property reset_a; + reset_sequence + |-> + p_o == 0 && + ready_o == 1; +endproperty + +reset_p: assert property(reset_a); + + + +// Bit symbolics used for proof convergence, these define the bit to be checked in proof +logic [8:0] fv_bit_idx_p; +logic [8:0] fv_bit_idx_q; +idx_384_p_assump: assume property(disable iff(!rst_n) fv_bit_idx_p < 384); +idx_384_q_assump: assume property(disable iff(!rst_n) fv_bit_idx_q < 384); + + + +////////////////////////////////////////////////// +// Once the start triggers then after a delay of 28 cycles i.e DLY_CONCAT because to push the whole +// operand a sequentially would require 26 cycles and 2 extra cycles for valid computation to receive on first box +// from next cycle on as each block of PE works parallely on 2 RADIX elements, next computed value is again on first_box +// later the sequence continues until the last block i.e the carry bits are stored and then reduced by prime +// + +property compare_p(prime,idx); +logic [REG_SIZE-1:0] fv_result; +logic [FULL_REG_SIZE-1:0] fv_reg; + ##0 n_i == prime + ##0 start_i + ##DLY_CONCAT + ##0 (1'b1, fv_reg[RADIX-1:0] = (ecc_montgomerymultiplier.gen_PE[0].box_i.s_out)) + ##1 (1'b1, fv_reg[2*RADIX-1:RADIX] = (ecc_montgomerymultiplier.gen_PE[0].box_i.s_out)) + ##1 (1'b1, fv_reg[3*RADIX-1:2*RADIX] = (ecc_montgomerymultiplier.gen_PE[1].box_i.s_out)) + ##1 (1'b1, fv_reg[4*RADIX-1:3*RADIX] = (ecc_montgomerymultiplier.gen_PE[1].box_i.s_out)) + ##1 (1'b1, fv_reg[5*RADIX-1:4*RADIX] = (ecc_montgomerymultiplier.gen_PE[2].box_i.s_out)) + ##1 (1'b1, fv_reg[6*RADIX-1:5*RADIX] = (ecc_montgomerymultiplier.gen_PE[2].box_i.s_out)) + ##1 (1'b1, fv_reg[7*RADIX-1:6*RADIX] = (ecc_montgomerymultiplier.gen_PE[3].box_i.s_out)) + ##1 (1'b1, fv_reg[8*RADIX-1:7*RADIX] = (ecc_montgomerymultiplier.gen_PE[3].box_i.s_out)) + ##1 (1'b1, fv_reg[9*RADIX-1:8*RADIX] = (ecc_montgomerymultiplier.gen_PE[4].box_i.s_out)) + ##1 (1'b1, fv_reg[10*RADIX-1:9*RADIX] = (ecc_montgomerymultiplier.gen_PE[4].box_i.s_out)) + ##1 (1'b1, fv_reg[11*RADIX-1:10*RADIX] = (ecc_montgomerymultiplier.final_box.s_out)) + ##1 (1'b1, fv_reg[12*RADIX-1:11*RADIX] = (ecc_montgomerymultiplier.final_box.s_out)) + ##0 (1'b1, fv_reg[13*RADIX-1:12*RADIX] = (ecc_montgomerymultiplier.final_box.c_out[RADIX-1:0])) + ##0 (1'b1, fv_result = reduction_prime(fv_reg, prime)) + |=> + ##1 + ready_o && + p_o[idx] == fv_result[idx]; +endproperty +compare_concat_prime_p_a : assert property ( disable iff(!rst_n) compare_p(prime_p,fv_bit_idx_p)); +compare_concat_prime_q_a : assert property ( disable iff(!rst_n) compare_p(prime_q,fv_bit_idx_q)); + + + +//a_reg shifts by RADIX, when odd and no start + +property when_odd_a_array_shifts_p; + ecc_montgomerymultiplier.odd && + !start_i + |=> + ecc_montgomerymultiplier.a_array[0] == RADIX'($past(ecc_montgomerymultiplier.a_reg)>>RADIX) && + ecc_montgomerymultiplier.a_reg == ($past(ecc_montgomerymultiplier.a_reg)>>RADIX); +endproperty +when_odd_a_array_shifts_a : assert property ( disable iff(!rst_n) when_odd_a_array_shifts_p); + +// a_reg stable if no odd +property when_even_a_array_stable_p; + !ecc_montgomerymultiplier.odd && + !start_i + |=> + ecc_montgomerymultiplier.a_array[0] == $past(ecc_montgomerymultiplier.a_array[0]) && + ecc_montgomerymultiplier.a_reg == $past(ecc_montgomerymultiplier.a_reg); +endproperty +when_even_a_array_stable_a : assert property ( disable iff(!rst_n) when_even_a_array_stable_p); + + + +// reg's set once start is triggered + + property reg_set_start_p; + start_i + |=> + ecc_montgomerymultiplier.a_reg == {fv_zero_pad, $past(opa_i)} && + ecc_montgomerymultiplier.b_reg == {fv_zero_pad, $past(opb_i)} && + ecc_montgomerymultiplier.p_reg == {fv_zero_pad, $past(n_i)} && + ecc_montgomerymultiplier.n_prime_reg == $past(n_prime_i); + endproperty + reg_set_start_a : assert property ( disable iff(!rst_n) reg_set_start_p); + +// reg's stay stable if no start cmd + property reg_no_start_p; + !start_i + |=> + ecc_montgomerymultiplier.b_reg == $past(ecc_montgomerymultiplier.b_reg) && + ecc_montgomerymultiplier.p_reg == $past(ecc_montgomerymultiplier.p_reg) && + ecc_montgomerymultiplier.n_prime_reg == $past(ecc_montgomerymultiplier.n_prime_reg); + endproperty + reg_no_start_a : assert property ( disable iff(!rst_n) reg_no_start_p); + + +//b_array and p_array in 64bit takes MSB 32 if odd if not LSB 32 + + property when_odd_b_p_array_p(idx); + ecc_montgomerymultiplier.odd + |-> + ecc_montgomerymultiplier.b_array[idx] == ecc_montgomerymultiplier.b_reg[(((2*idx)+1)*RADIX)-1 : (2*idx)*RADIX] && + ecc_montgomerymultiplier.p_array[idx] == ecc_montgomerymultiplier.p_reg[(((2*idx)+1)*RADIX)-1 : (2*idx)*RADIX]; + endproperty + + //b_array and p_array are 64bits takes LSB 32 if even from b_reg and p_reg + property when_even_b_p_array_p(idx); + !ecc_montgomerymultiplier.odd + |-> + ecc_montgomerymultiplier.b_array[idx] == ecc_montgomerymultiplier.b_reg[((2*idx)*RADIX)-1 : ((2*idx)-1)*RADIX] && + ecc_montgomerymultiplier.p_array[idx] == ecc_montgomerymultiplier.p_reg[((2*idx)*RADIX)-1 : ((2*idx)-1)*RADIX]; + endproperty + + for (genvar i=1; i < (PE_UNITS+2); i++) begin + when_odd_b_p_array_a : assert property ( disable iff(!rst_n) when_odd_b_p_array_p(i)); + when_even_b_p_array_a : assert property ( disable iff(!rst_n) when_even_b_p_array_p(i)); + end + + + // connections for the s_in's of the pe blocks + property s_in_routing_p; + ecc_montgomerymultiplier.gen_PE[0].box_i.s_in == ecc_montgomerymultiplier.gen_PE[1].box_i.s_out; + endproperty + //for (genvar i=0; i < (PE_UNITS); i++) begin + s_in_routing_a: assert property (disable iff(!rst_n) s_in_routing_p); + //end + + + // connections for the a_in's of the pe blocks + property a_in_routing_p; + ecc_montgomerymultiplier.gen_PE[0].box_i.a_in == ecc_montgomerymultiplier.first_box.a_out; + endproperty + + a_in_routing_a: assert property (disable iff(!rst_n) a_in_routing_p); + + + // connections for the m_in's,c_in's of the pe blocks + property m_c_in_routing_p; + ecc_montgomerymultiplier.gen_PE[0].box_i.m_in == ecc_montgomerymultiplier.first_box.m_out && + ecc_montgomerymultiplier.gen_PE[0].box_i.c_in == ecc_montgomerymultiplier.first_box.c_out; + + endproperty + m_c_in_routing_a: assert property (disable iff(!rst_n) m_c_in_routing_p); + + +endmodule + +bind ecc_montgomerymultiplier fv_montmultiplier_glue #( + .REG_SIZE(REG_SIZE), + .RADIX(RADIX) + ) + fv_montmultiplier_glue_inst ( + // Clock and reset. + .clk(clk), + .rst_n(reset_n && !zeroize), + + // DATA PORT + .start_i(start_i), + .opa_i(opa_i), + .opb_i(opb_i), + .n_i(n_i), + .n_prime_i(n_prime_i), // only need the last few bits + .p_o(p_o), + .ready_o(ready_o) + ); + diff --git a/src/ecc/formal/properties/fv_pe.sv b/src/ecc/formal/properties/fv_pe.sv new file mode 100644 index 000000000..9b9acbe78 --- /dev/null +++ b/src/ecc/formal/properties/fv_pe.sv @@ -0,0 +1,218 @@ +// ------------------------------------------------- +// Contact: contact@lubis-eda.com +// Author: Tobias Ludwig, Michael Schwarz +// ------------------------------------------------- +// SPDX-License-Identifier: Apache-2.0 +// +// Licensed under the Apache License, Version 2.0 (the "License"); +// you may not use this file except in compliance with the License. +// You may obtain a copy of the License at +// +// http://www.apache.org/licenses/LICENSE-2.0 +// +// Unless required by applicable law or agreed to in writing, software +// distributed under the License is distributed on an "AS IS" BASIS, +// WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +// See the License for the specific language governing permissions and +// limitations under the License. +// +module fv_pe #( + parameter RADIX = 32 +) +( + // Clock and reset. + input logic clk, + input logic rst_n, + + input logic start_in, + input logic [RADIX-1:0] a_in, + input logic [RADIX-1:0] b_in, + input logic [RADIX-1:0] p_in, + input logic [RADIX-1:0] m_in, + input logic [RADIX-1:0] s_in, + input logic [RADIX :0] c_in, + input logic odd, + + input logic [RADIX-1:0] a_out, + input logic [RADIX-1:0] m_out, + input logic [RADIX-1:0] s_out, + input logic [RADIX :0] c_out +); + + + +function logic [2*RADIX :0] add_func( input logic [RADIX-1:0] a_in, + input logic [RADIX-1:0] b_in, + input logic [RADIX-1:0] p_in, + input logic [RADIX-1:0] m_in, + input logic [RADIX :0] c_in, + input logic [RADIX-1:0] s_in + ); + + return((a_in*b_in)+(p_in*m_in)+c_in+s_in); +endfunction + + + +default clocking default_clk @(posedge clk); endclocking + +//////////////////////////////////////////////////// +// reset or start_in // +//////////////////////////////////////////////////// + +sequence reset_sequence; + !rst_n || start_in ##1 rst_n; +endsequence + + +property reset_p; +$past(!rst_n || start_in) +|-> +m_out == 0 && +a_out == 0 && +s_out == 0 && +c_out == 0; +endproperty + +reset_a : assert property(reset_p); + + +//////////////////////////////////////////////////// +// aout when odd takes the previous value of ain // +//////////////////////////////////////////////////// + +property aout_p; + odd && + !start_in + |=> + a_out == $past(a_in); +endproperty + +aout_a : assert property(disable iff(!rst_n)aout_p); + + +//////////////////////////////////////////////////// +// mout when odd takes the previous value of min // +//////////////////////////////////////////////////// + +property mout_p; + odd && + !start_in + |=> + m_out == $past(m_in); +endproperty + +mout_a : assert property(disable iff(!rst_n) mout_p); + + + +//////////////////////////////////////////////////////// +// aout when even takes the previous value of itself // +//////////////////////////////////////////////////////// +property aout_even_p; + !odd && + !start_in + |=> + a_out == $past(a_out); +endproperty + +aout_even_a : assert property(disable iff(!rst_n)aout_even_p); + + + +//////////////////////////////////////////////////////// +// mout when even takes the previous value of itself // +//////////////////////////////////////////////////////// +property mout_even_p; + !odd && + !start_in + |=> + m_out == $past(m_out); +endproperty + +mout_even_a : assert property(disable iff(!rst_n) mout_even_p); + + + +//////////////////////////////////////////////////////////// +// sout when odd LSB (a_in*b_in)+(p_in*m_in)+c_out+s_in // +//////////////////////////////////////////////////////////// +property sout_odd_p; +logic [2*RADIX :0] temp; + odd && + !start_in + ##0 (1'b1, temp = add_func(a_in,b_in,p_in,m_in,c_out,s_in)) + |=> + s_out == temp[RADIX-1:0]; +endproperty + +sout_odd_a : assert property(disable iff(!rst_n) sout_odd_p); + + + +/////////////////////////////////////////////////////////// +// sout when even LSB a_in*b_in)+(p_in*m_in)+c_in+s_out // +/////////////////////////////////////////////////////////// +property sout_even_p; +logic [2*RADIX :0] temp; + !odd && + !start_in + ##0 (1'b1, temp = add_func(a_in,b_in,p_in,m_in,c_in,s_out)) + |=> + s_out == temp[RADIX-1:0]; +endproperty + +sout_even_a : assert property(disable iff(!rst_n) sout_even_p); + + +//////////////////////////////////////////////////////////// +// cout when odd MSB (a_in*b_in)+(p_in*m_in)+c_out+s_in // +//////////////////////////////////////////////////////////// +property cout_odd_p; +logic [2*RADIX :0] temp; + odd && + !start_in + ##0 (1'b1, temp = add_func(a_in,b_in,p_in,m_in,c_out,s_in)) + |=> + c_out == temp[2*RADIX:RADIX]; +endproperty + +cout_odd_a : assert property(disable iff(!rst_n) cout_odd_p); + + + +/////////////////////////////////////////////////////////// +// cout when even MSB a_in*b_in)+(p_in*m_in)+c_in+s_out // +/////////////////////////////////////////////////////////// +property cout_even_p; +logic [2*RADIX :0] temp; + !odd && + !start_in + ##0 (1'b1, temp = add_func(a_in,b_in,p_in,m_in,c_in,s_out)) + |=> + c_out == temp[2*RADIX:RADIX]; +endproperty + +cout_even_a : assert property(disable iff(!rst_n) cout_even_p); + + + +endmodule + +bind ecc_pe fv_pe #(.RADIX(RADIX))fv_pe_inst( + .clk(clk), + .rst_n(reset_n && !zeroize), + + .start_in(start_in), + .a_in(a_in), + .b_in(b_in), + .p_in(p_in), + .m_in(m_in), + .s_in(s_in), + .c_in(c_in), + .odd(odd), + .a_out(a_out), + .m_out(m_out), + .s_out(s_out), + .c_out(c_out) + ); \ No newline at end of file diff --git a/src/ecc/formal/properties/fv_pe_final.sv b/src/ecc/formal/properties/fv_pe_final.sv new file mode 100644 index 000000000..7e739e310 --- /dev/null +++ b/src/ecc/formal/properties/fv_pe_final.sv @@ -0,0 +1,126 @@ +// ------------------------------------------------- +// Contact: contact@lubis-eda.com +// Author: Tobias Ludwig, Michael Schwarz +// ------------------------------------------------- +// SPDX-License-Identifier: Apache-2.0 +// +// Licensed under the Apache License, Version 2.0 (the "License"); +// you may not use this file except in compliance with the License. +// You may obtain a copy of the License at +// +// http://www.apache.org/licenses/LICENSE-2.0 +// +// Unless required by applicable law or agreed to in writing, software +// distributed under the License is distributed on an "AS IS" BASIS, +// WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +// See the License for the specific language governing permissions and +// limitations under the License. +// +module fv_pe_final #( + parameter RADIX = 32 +) ( + input logic clk, + input logic rst_n, + + input logic start_in, + // DATA PORT + input logic [RADIX-1:0] a_in, + input logic [RADIX-1:0] b_in, + input logic [RADIX-1:0] p_in, + input logic [RADIX-1:0] m_in, + input logic [RADIX-1:0] s_in, + input logic [RADIX :0] c_in, + input logic odd, + + input logic [RADIX-1:0] s_out, + input logic [RADIX :0] c_out +); + +default clocking default_clk @(posedge clk); endclocking + + +sequence reset_sequence; + !rst_n || start_in ##1 rst_n; +endsequence + +property reset_p; +$past(!rst_n || start_in) +|-> +s_out == 0 && +c_out == 0; +endproperty + +reset_a : assert property (reset_p); + + + +property s_out_odd_p; + odd && + !start_in + |=> + s_out == $past(32'(64'(a_in * b_in) + 64'(p_in * m_in)+ c_out + s_in)); +endproperty + +s_out_odd_a : assert property (disable iff(!rst_n) s_out_odd_p); + + + + +property s_out_noodd_p; + + !odd && + !start_in + |=> + s_out == $past(32'(64'(a_in * b_in) + 64'(p_in * m_in)+ c_in + s_out)); +endproperty + +s_out_noodd_a : assert property (disable iff(!rst_n) s_out_noodd_p); + + + + +property c_out_odd_p; +logic [2*RADIX : 0] temp; + odd && + !start_in + ##0 (1'b1, temp = (64'(a_in * b_in) + 64'(p_in * m_in)+ c_out + s_in)) + |=> + //c_out == $past(33'((64'(a_in * b_in) + 64'(p_in * m_in)+ c_out + s_in)>>32)); + c_out == temp[2*RADIX:RADIX]; +endproperty + +c_out_odd_a : assert property (disable iff(!rst_n) c_out_odd_p); + + + + +property c_out_noodd_p; +logic [2*RADIX : 0] temp; + !odd && + !start_in + ##0 (1'b1, temp = (64'(a_in * b_in) + 64'(p_in * m_in)+ c_in + s_out)) + |=> + //c_out == $past(33'((64'(a_in * b_in) + 64'(p_in * m_in)+ c_in + s_out)>>32)); + c_out == temp[2*RADIX:RADIX]; +endproperty + +c_out_noodd_a : assert property (disable iff(!rst_n) c_out_noodd_p); + +endmodule + +bind ecc_pe_final fv_pe_final #(.RADIX(RADIX)) fv_pe_final_inst( + .clk(clk), + .rst_n(reset_n && !zeroize), + + .start_in(start_in), + .a_in(a_in), + .b_in(b_in), + .p_in(p_in), + .m_in(m_in), + .s_in(s_in), + .c_in(c_in), + .odd(odd), + + .s_out(s_out), + .c_out(c_out) + ); diff --git a/src/ecc/formal/properties/fv_pe_first.sv b/src/ecc/formal/properties/fv_pe_first.sv new file mode 100644 index 000000000..7fd0b5c1a --- /dev/null +++ b/src/ecc/formal/properties/fv_pe_first.sv @@ -0,0 +1,275 @@ +// ------------------------------------------------- +// Contact: contact@lubis-eda.com +// Author: Tobias Ludwig, Michael Schwarz +// ------------------------------------------------- +// SPDX-License-Identifier: Apache-2.0 +// +// Licensed under the Apache License, Version 2.0 (the "License"); +// you may not use this file except in compliance with the License. +// You may obtain a copy of the License at +// +// http://www.apache.org/licenses/LICENSE-2.0 +// +// Unless required by applicable law or agreed to in writing, software +// distributed under the License is distributed on an "AS IS" BASIS, +// WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +// See the License for the specific language governing permissions and +// limitations under the License. +// +module fv_pe_first #( + parameter RADIX = 32 +) +( + // Clock and reset. + input logic clk, + input logic rst_n, + + input logic start_in, + input logic [RADIX-1:0] a_in, + input logic [RADIX-1:0] b_in, + input logic [RADIX-1:0] p_in, + input logic [RADIX-1:0] s_in, + input wire [RADIX-1:0] n_prime_in, + input logic odd, + + input logic [RADIX-1:0] a_out, + input logic [RADIX-1:0] m_out, + input logic [RADIX :0] c_out +); + + + +default clocking default_clk @(posedge clk); endclocking + + +////////////////////////////////////////// +// m_out_func where it depends on // +// (s_in+(a_in*b_in)_lsw)*n_prme_in // +////////////////////////////////////////// + +function logic[RADIX-1:0] m_out_func (input logic [RADIX-1:0] a,input logic [RADIX-1:0] b, +input logic [RADIX-1:0] c,input logic [RADIX-1:0] d); + logic [RADIX-1:0] mult_lsw; + mult_lsw = b*c; + mult_lsw = (a+mult_lsw)*d; + return (mult_lsw); +endfunction + + +///////////////////////////////////////////// +// c_out_func where it depends on // +// previous sum(s0), carry(c0) multiplied // +// with p_in and added(res=m_temp*pin_lsw // +// carry = msw + res[maxbit] + previous(c0)// +///////////////////////////////////////////// + +function logic[RADIX:0] c_out_func (input logic [RADIX-1:0] a,input logic [RADIX-1:0] b, +input logic [RADIX:0] c, input logic [RADIX-1:0] d); + + logic[(2*RADIX)-1:0] mult; + logic [RADIX:0] res; + logic [RADIX:0] c1; + mult = a * b; + res = d + mult[RADIX-1:0]; + c1 = mult[(2*RADIX)-1:RADIX] + res[RADIX]; + +return(c1+c); + +endfunction + +/////////////////////////////////////////////// +// c_0 func used for calculating the previous// +// carry used in c_out computation // +/////////////////////////////////////////////// + +function logic[RADIX:0] c_0 (input logic [RADIX-1:0] a,input logic [RADIX-1:0] b, +input logic [RADIX-1:0] c); + logic[(2*RADIX)-1:0] mult_0; + logic [RADIX:0] res_0; + mult_0 = b*c; + res_0 = a + mult_0[RADIX-1:0]; + return (mult_0[(2*RADIX)-1:RADIX]+res_0[RADIX]); +endfunction + + +/////////////////////////////////////////////// +// m_temp_reg func used for calculating the // +// previous m_out in c_out computation // +/////////////////////////////////////////////// + +function logic[RADIX-1:0] m_temp_reg (input logic [RADIX-1:0] a,input logic [RADIX-1:0] b, +input logic [RADIX-1:0] c,input logic [RADIX-1:0] d); + logic [RADIX:0] res_0; + + res_0 = s_0(a,b,c); + return ((res_0[RADIX-1:0])*d); +endfunction + + + +/////////////////////////////////////////////// +// s_0 func used for calculating the previous// +// sum used in c_out computation // +/////////////////////////////////////////////// +function logic[RADIX-1:0] s_0 (input logic [RADIX-1:0] a,input logic [RADIX-1:0] b, +input logic [RADIX-1:0] c ); + logic[(2*RADIX)-1:0] mult_0; + logic [RADIX:0] res_0; + mult_0 = b*c; + res_0 = a + mult_0[RADIX-1:0]; + return ((res_0[RADIX-1:0])); +endfunction + + + +sequence reset_sequence; + !rst_n || start_in ##1 rst_n; +endsequence + +////////////////////////////////////////// +// When in reset carry and the sum, // +// array a are zero // +////////////////////////////////////////// + +property reset_p; +$past(!rst_n || start_in) +|-> +m_out == 0 && +a_out == 0 && +c_out == 0; +endproperty + +reset_a : assert property(reset_p); + + + +////////////////////////////////////////// +// When its odd and no start then // +// a out takes the previous a_in value // +////////////////////////////////////////// +property aout_p; + odd && + !start_in + |=> + a_out == $past(a_in); +endproperty + +aout_a : assert property(disable iff(!rst_n)aout_p); + + +////////////////////////////////////////// +// When its odd and no start then // +// m out takes the computed value // +////////////////////////////////////////// + +property mout_p; + odd && + !start_in + |=> + m_out == $past(m_out_func(s_in,a_in,b_in,n_prime_in)); +endproperty + +mout_a : assert property(disable iff(!rst_n) mout_p); + + +//////////////////////////////////////////////// +// When its even and no start then // +// a out takes the 2cyc previous a_in value // +//////////////////////////////////////////////// +property aout_even_p; + !start_in +##1 + !odd && + !start_in + |=> + a_out == $past(a_in,2); +endproperty + +aout_even_a : assert property(disable iff(!rst_n)aout_even_p); + + +////////////////////////////////////////// +// When its even and no start then // +// mout takes the previous mout value // +////////////////////////////////////////// +property mout_even_p; + !start_in + ##1 + !odd && + !start_in + |=> + m_out == $past(m_out_func($past(s_in),$past(a_in),b_in,n_prime_in),1); +endproperty + +mout_even_a : assert property(disable iff(!rst_n) mout_even_p); + + + +////////////////////////////////////////// +// When its odd and no start then // +// cout takes the computed value // +// from previous carry sum and computed m // +////////////////////////////////////////// +property cout_odd_p; +logic [RADIX :0] c0; +logic [RADIX-1:0] m_temp; +logic [RADIX-1:0] s0; + + odd && + !start_in + ##0 (1'b1, c0 = c_0(s_in,a_in,b_in)) + ##0 (1'b1, s0 = s_0(s_in,a_in,b_in)) + ##0 (1'b1, m_temp = m_temp_reg(s_in,a_in,b_in,n_prime_in)) + |=> + c_out == c_out_func(m_temp,p_in,c0,s0); +endproperty + +cout_odd_a : assert property(disable iff(!rst_n) cout_odd_p); + + + + +///////////////////////////////////////////// +// When its even and no start then // +// cout takes the computed value // +// from previous carry sum and computed m // +///////////////////////////////////////////// +property cout_even_p; +logic [RADIX :0] c0; +logic [RADIX-1:0] m_temp; +logic [RADIX-1:0] s0; + !start_in + ##1 + !odd && + !start_in + ##0 (1'b1, c0 = c_0($past(s_in),$past(a_in),b_in)) + ##0 (1'b1, s0 = s_0($past(s_in),$past(a_in),b_in)) + ##0 (1'b1, m_temp = m_temp_reg($past(s_in),$past(a_in),b_in,n_prime_in)) + |=> + c_out == c_out_func(m_temp,p_in,c0,s0); + +endproperty + +cout_even_a : assert property(disable iff(!rst_n) cout_even_p); + + + +endmodule + +bind ecc_pe_first fv_pe_first #(.RADIX(RADIX)) fv_pe_inst( + .clk(clk), + .rst_n(reset_n && !zeroize), + + .start_in(start_in), + .a_in(a_in), + .b_in(b_in), + .p_in(p_in), + .s_in(s_in), + .n_prime_in(n_prime_in), + + .odd(odd), + .a_out(a_out), + .m_out(m_out), + + .c_out(c_out) + ); \ No newline at end of file diff --git a/src/ecc/formal/properties/fv_scalar_blinding.sv b/src/ecc/formal/properties/fv_scalar_blinding.sv new file mode 100644 index 000000000..389f41d14 --- /dev/null +++ b/src/ecc/formal/properties/fv_scalar_blinding.sv @@ -0,0 +1,140 @@ + +// ------------------------------------------------- +// Contact: contact@lubis-eda.com +// Author: Tobias Ludwig, Michael Schwarz +// ------------------------------------------------- +// SPDX-License-Identifier: Apache-2.0 +// +// Licensed under the Apache License, Version 2.0 (the "License"); +// you may not use this file except in compliance with the License. +// You may obtain a copy of the License at +// +// http://www.apache.org/licenses/LICENSE-2.0 +// +// Unless required by applicable law or agreed to in writing, software +// distributed under the License is distributed on an "AS IS" BASIS, +// WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +// See the License for the specific language governing permissions and +// limitations under the License. +// +module fv_scalar_blind_m #( + parameter REG_SIZE = 384, + parameter RND_SIZE = 192, + parameter RADIX = 32 +)( + input bit rst_n, + input bit clk, + input bit unsigned [(RND_SIZE-1):0] rnd_i, + input bit unsigned [(REG_SIZE+RND_SIZE-1):0] data_o, + input bit unsigned [(REG_SIZE-1):0] data_i, + input logic [REG_SIZE-1:0] group_order, + input bit en_i, + input bit busy_o, + input bit input_read +); + +localparam SCA_DLY = 110; // The delay for computing the result + + + + +//Helper function for computation +function bit unsigned [(REG_SIZE+RND_SIZE-1):0] randomize(bit unsigned [(REG_SIZE-1):0] scalar, bit unsigned [(RND_SIZE-1):0] random, bit unsigned [REG_SIZE-1:0] grp_order); + return (REG_SIZE+RND_SIZE)'((scalar + (REG_SIZE+RND_SIZE)'(random * grp_order))); +endfunction + +default clocking default_clk @(posedge clk); endclocking + +sequence reset_sequence; + !rst_n ##1 rst_n; +endsequence + +//no enable until the busy stays deasserted +assume_enb: assume property (disable iff(!rst_n) + en_i + |=> + !en_i until_with (!busy_o) //check: no strong as it deasserts busy_o + ); + +//Input data is always less than group order +assume_scalr_less_group_order: assume property (disable iff(!rst_n) + data_i < group_order +); + + + + +//when reset busy_o and data_o is zero +reset_a: assert property (reset_p); +property reset_p; + $past(!rst_n) |-> + input_read && + data_o == '0 && + busy_o == 0 +;endproperty + + +//If not busy and en is set then the computation is carried out +input_read_to_input_read_a: assert property (disable iff(!rst_n) input_read_to_input_read_p); +property input_read_to_input_read_p; + logic [(REG_SIZE-1):0] scalar_store; + logic [(RND_SIZE-1):0] random_store; + logic [(REG_SIZE+RND_SIZE-1):0] temp; + + input_read && + en_i + ##0 (1'b1, scalar_store = data_i) + ##0 (1'b1, random_store = rnd_i) + ##0 (1'b1, temp = randomize(data_i,rnd_i,group_order)) +|-> + ##1 busy_o[*SCA_DLY] + ##1 (input_read && + data_o == temp && + busy_o == 0) +;endproperty + + + +// If not busy and not enabled then it stays in not busy +input_read_wait_a: assert property (disable iff(!rst_n) input_read_wait_p); +property input_read_wait_p; + input_read && + !en_i +|-> + ##1 + input_read && + busy_o == 0 +;endproperty + + +//If not busy and enabled then busy is set +input_read_next_a: assert property (disable iff(!rst_n) input_read_next_p); +property input_read_next_p; + input_read && + en_i +|-> + ##1 + !input_read && + busy_o == 1 +;endproperty + +endmodule + + + +bind ecc_scalar_blinding fv_scalar_blind_m #( + .REG_SIZE(REG_SIZE), + .RND_SIZE(RND_SIZE), + .RADIX(RADIX) + )fv_scalar_blind( + .rst_n(ecc_scalar_blinding.reset_n && !ecc_scalar_blinding.zeroize), + .clk(ecc_scalar_blinding.clk), + .rnd_i(ecc_scalar_blinding.rnd_i), + .data_o(ecc_scalar_blinding.data_o), + .data_i(ecc_scalar_blinding.data_i), + .en_i(ecc_scalar_blinding.en_i), + .busy_o(ecc_scalar_blinding.busy_o), + .input_read(!ecc_scalar_blinding.busy_o), + .group_order(ecc_scalar_blinding.GROUP_ORDER) + +); diff --git a/src/ecc/formal/readme.md b/src/ecc/formal/readme.md new file mode 100644 index 000000000..cdba90718 --- /dev/null +++ b/src/ecc/formal/readme.md @@ -0,0 +1,80 @@ +# Reproduce results + +**MACROS :** +TOP + +- Used for the submodules fv_ecc_fau.sv and fv_scalar_blinding.sv and in fv_ecc_dsa_ctrl_constraints.sv. + +- Use this macro or define this macro only when loading the design the with ecc_dsa_ctrl as top module. + +FOR48 + +- This macro is used for fv_montmultiplier.sv as the montgomery multiplier shorter version end-to-end checkers. Due to the restriction of the formal tool overmultiplication this file is used for only reduced version of the design. Further details are in the ECC_block_overview. + +## Proving the submodules + +- Load submodule as top in the formal tool. + +- Load the checker files along with the constraints and respective packages in the formal tool. + +- Run the properties. + +## Proving the top + +- Load all design files in the formal tool and set ecc_dsa_ctrl as top module, disable the proofs as mentioned in the sheet which are not for the top. + +- Load all the checker files with respective macro defined along with the constraints and respective packages in the formal tool. + +- Copy all the submodule assertions and assumptions and enable if they were disabled into seperate task and cut the signals from the top that affect the submodule verification. + + If the following modules are chossen as a task then the respective signals need to be cut. + + + # ecc_add_sub_mod_alter + + - cut the signals add_en_i, sub_i + + # ecc_pm_sequencer + + - cut the signal addra + + # ecc_dsa_sequencer + + - cut the signal addra + + # ecc_pm_sequencer + + - cut the signal addra + + # ecc_ram_tdp_file + + - cut the signals wea,web,ena,enb + + # ecc_pm_ctrl + + - cut the signal ecc_cmd_i + + # ecc_hmac_drbg_interface + + - cut the signal counter_nonce, keygen_sign, hmac_drbg_i.drbg, hmac_drbg_i.ready,hmac_drbg_i.valid, internal signal counter_nonce. Constraints do the work by reducing the timing. + + # hmac_drbg + + - cut the signals init_cmd,next_cmd,nonce, entropy,u_sha512_core_h1.digest, + u_sha512_core_h2.digest,HMAC_K.tag,hmac_drbg_lfsr.rnd + + # sha512_masked + + - cut the signals init_cmd,next_cmd,mode,block_msg,sha_masked_lfsr.rnd + + # reduced versions + - For montgomerymultiplier, scalar_blinding and ecc_pe_first modules, a reduced + version instantiations are created inside the module ecc_reduced_instatiations, these proofs could be created in a separate task as they are not part of the actual top design and these proofs should be disabled on the top. + +- On the main task, disable all submodule assumptions(convert to assertions) and just keep the assumptions on the ecc_dsa_ctrl module. + +- Run the properties on the main task . + +- Switch the tasks to one of the submodules which consists of the assumptions and assertions of that particular submodule. + +- Run the properties. From de0e2196ff56baa2f2c25db2a28d84aaf9ff3682 Mon Sep 17 00:00:00 2001 From: advaith_sreevalsan Date: Fri, 24 Nov 2023 11:48:35 +0100 Subject: [PATCH 11/48] updated readme file --- src/sha512_masked/formal/readme.md | 4 +--- 1 file changed, 1 insertion(+), 3 deletions(-) diff --git a/src/sha512_masked/formal/readme.md b/src/sha512_masked/formal/readme.md index 64b5d78d2..0a23239e2 100644 --- a/src/sha512_masked/formal/readme.md +++ b/src/sha512_masked/formal/readme.md @@ -59,8 +59,6 @@ The Assertion IP signals are bound with the respective signals in the dut, where ## Reproduce results -For reproducing the results: Load the AIP, sha512_masked_core and fv_constraints together in your formal tool. To ensure converging proves cut the following signals: - -- cut the respective signal **lfsr_inst.rnd** in formal tool. By cutting the signal model complexity is drastically reduced. +For reproducing the results: Load the AIP, sha512_masked_core and fv_constraints together in your formal tool. Feel free to reach out to contact@lubis-eda.com to request the loadscripts. From aa9c1b1ada3df61947048fbc41920841793c0ed4 Mon Sep 17 00:00:00 2001 From: steph-morton <143441730+steph-morton@users.noreply.github.com> Date: Mon, 4 Dec 2023 14:58:38 -0800 Subject: [PATCH 12/48] initial hwspec images --- docs/CaliptraHardwareSpecification.md | 84 +++++++++++++++++- docs/images/1_bit.png | Bin 0 -> 13807 bytes docs/images/CONFIGOPTS.png | Bin 0 -> 44622 bytes docs/images/CSRNG_block.png | Bin 0 -> 97944 bytes docs/images/ECDSA_arch.png | Bin 0 -> 106253 bytes docs/images/ECDSA_ops.png | Bin 0 -> 62847 bytes docs/images/HMAC_DRBG_data.png | Bin 0 -> 51665 bytes docs/images/HMAC_DRBG_util.png | Bin 0 -> 52939 bytes docs/images/HMAC_FSM.png | Bin 0 -> 39916 bytes docs/images/HMAC_SHA_384_192.png | Bin 0 -> 49359 bytes docs/images/HMAC_input.png | Bin 0 -> 18183 bytes docs/images/HMAC_pseudo.png | Bin 0 -> 108701 bytes docs/images/HW_mbox_boot_fsm.png | Bin 0 -> 57898 bytes docs/images/JTAG_implementation.png | Bin 0 -> 54395 bytes docs/images/QSPI_flash.png | Bin 0 -> 112672 bytes docs/images/QSPI_segments.png | Bin 0 -> 100032 bytes docs/images/SHA256_fsm.png | Bin 0 -> 29506 bytes docs/images/SHA256_input.png | Bin 0 -> 15822 bytes docs/images/SHA256_pseudo.png | Bin 0 -> 90143 bytes docs/images/SHA512_fsm.png | Bin 0 -> 28805 bytes docs/images/SHA512_input.png | Bin 0 -> 16362 bytes docs/images/SHA512_pseudo.png | Bin 0 -> 89719 bytes docs/images/SPI_read.png | Bin 0 -> 65934 bytes docs/images/TVLA_msg_dependent.png | Bin 0 -> 23967 bytes docs/images/TVLA_privekey.png | Bin 0 -> 55761 bytes docs/images/TVLA_threshold.png | Bin 0 -> 20509 bytes docs/images/UART_block.png | Bin 0 -> 90434 bytes docs/images/WDT.png | Bin 0 -> 42355 bytes docs/images/caliptra_top_signals.png | Bin 0 -> 45581 bytes docs/images/clock_gating_timing.png | Bin 0 -> 48732 bytes docs/images/crypto_subsystem.png | Bin 0 -> 150243 bytes docs/images/entropy_source_block.png | Bin 0 -> 115052 bytes docs/images/entropy_source_signals.png | Bin 0 -> 38475 bytes docs/images/integrated_TRNG.png | Bin 0 -> 44384 bytes docs/images/keygen_pseudo.png | Bin 0 -> 105809 bytes docs/images/mbox_boot_fsm_FW_update_reset.png | Bin 0 -> 95858 bytes docs/images/msg_1023.png | Bin 0 -> 16427 bytes docs/images/msg_multi_block.png | Bin 0 -> 18800 bytes docs/images/secp384r1_params.png | Bin 0 -> 39625 bytes docs/images/serial_transmission.png | Bin 0 -> 76717 bytes docs/images/signing_pseudo.png | Bin 0 -> 95982 bytes docs/images/verify_pseudo.png | Bin 0 -> 109770 bytes 42 files changed, 83 insertions(+), 1 deletion(-) create mode 100644 docs/images/1_bit.png create mode 100644 docs/images/CONFIGOPTS.png create mode 100644 docs/images/CSRNG_block.png create mode 100644 docs/images/ECDSA_arch.png create mode 100644 docs/images/ECDSA_ops.png create mode 100644 docs/images/HMAC_DRBG_data.png create mode 100644 docs/images/HMAC_DRBG_util.png create mode 100644 docs/images/HMAC_FSM.png create mode 100644 docs/images/HMAC_SHA_384_192.png create mode 100644 docs/images/HMAC_input.png create mode 100644 docs/images/HMAC_pseudo.png create mode 100644 docs/images/HW_mbox_boot_fsm.png create mode 100644 docs/images/JTAG_implementation.png create mode 100644 docs/images/QSPI_flash.png create mode 100644 docs/images/QSPI_segments.png create mode 100644 docs/images/SHA256_fsm.png create mode 100644 docs/images/SHA256_input.png create mode 100644 docs/images/SHA256_pseudo.png create mode 100644 docs/images/SHA512_fsm.png create mode 100644 docs/images/SHA512_input.png create mode 100644 docs/images/SHA512_pseudo.png create mode 100644 docs/images/SPI_read.png create mode 100644 docs/images/TVLA_msg_dependent.png create mode 100644 docs/images/TVLA_privekey.png create mode 100644 docs/images/TVLA_threshold.png create mode 100644 docs/images/UART_block.png create mode 100644 docs/images/WDT.png create mode 100644 docs/images/caliptra_top_signals.png create mode 100644 docs/images/clock_gating_timing.png create mode 100644 docs/images/crypto_subsystem.png create mode 100644 docs/images/entropy_source_block.png create mode 100644 docs/images/entropy_source_signals.png create mode 100644 docs/images/integrated_TRNG.png create mode 100644 docs/images/keygen_pseudo.png create mode 100644 docs/images/mbox_boot_fsm_FW_update_reset.png create mode 100644 docs/images/msg_1023.png create mode 100644 docs/images/msg_multi_block.png create mode 100644 docs/images/secp384r1_params.png create mode 100644 docs/images/serial_transmission.png create mode 100644 docs/images/signing_pseudo.png create mode 100644 docs/images/verify_pseudo.png diff --git a/docs/CaliptraHardwareSpecification.md b/docs/CaliptraHardwareSpecification.md index 55f9068bc..c1afad353 100644 --- a/docs/CaliptraHardwareSpecification.md +++ b/docs/CaliptraHardwareSpecification.md @@ -20,7 +20,7 @@ The following figure shows the Caliptra Core. *Figure 1: Caliptra Block Diagram* -TODO: add figures +![](./images/Caliptra_HW_diagram.png) ## Boot FSM @@ -30,6 +30,8 @@ The following figure shows the initial power-on arc of the Mailbox Boot FSM. *Figure 2: Mailbox Boot FSM state diagram* +![](./images/HW_mbox_boot_fsm.png) + The Boot FSM first waits for the SoC to assert cptra\_pwrgood and de-assert cptra\_rst\_b. In the BOOT\_FUSE state, Caliptra signals to the SoC that it is ready for fuses. After the SoC is done writing fuses, it sets the fuse done register and the FSM advances to BOOT\_DONE. BOOT\_DONE enables Caliptra reset de-assertion through a two flip-flop synchronizer. @@ -40,6 +42,8 @@ Runtime FW updates write to fw\_update\_reset register to trigger the FW update *Figure 3: Mailbox Boot FSM state diagram for FW update reset* +![](./images/mbox_boot_fsm_FW_update_reset.png) + After Caliptra comes out of global reset and enters the BOOT\_DONE state, a write to the fw\_update\_reset register triggers the FW update reset flow. In the BOOT\_FWRST state, only the reset to the VeeR core is asserted, ICCM is unlocked and the timer is initialized. After the timer expires, the FSM advances from the BOOT\_WAIT to BOOT\_DONE state where the reset is deasserted. | Control register | Start address | Description | @@ -194,6 +198,8 @@ The following figure shows the two timers. *Figure 4: Caliptra Watchdog Timer* +![](./images/WDT.png) + ### Prescale settings Assuming a clock source of 500 MHz, a timeout value of 32’hFFFF\_FFFF results in a timeout period of ~8.5 seconds. Two 32-bit registers are provided for each timer, allowing a 64-bit timeout period to be programmed for each timer. This accommodates a maximum timeout value of over 1000 years for the same 500 Mhz clock source. @@ -236,6 +242,8 @@ The QSPI block is composed of the spi\_host implementation. For information, see *Figure 5: QSPI flash controller* +![](./images/QSPI_flash.png) + #### Operation Transactions flow through the QSPI block starting with AHB-lite writes to the TXDATA FIFO. Commands are then written and processed by the control FSM, orchestrating transmissions from the TXDATA FIFO and receiving data into the RXDATA FIFO. @@ -246,6 +254,8 @@ A typical SPI command consists of different segments that are combined as shown *Figure 6: SPI read transaction segments* +![](./images/SPI_read.png) + | Segment \# | Length (Bytes) | Speed | Direction | TXDATA FIFO | RXDATA FIFO | | :--------- | :------------- | :------- | :---------------- | :----------- | :----------------- | | 1 | 4 | standard | TX
qspi_d_io\[0\] | \[0\] 0x3 (ReadData)
\[1\] Addr\[23:16\]
\[2\] Addr\[15:8\]
\[3\] Addr\[7:0\] | | @@ -264,6 +274,8 @@ The following example shows the QSPI segments. *Figure 7: QSPI segments* +![](./images/QSPI_segments.png) + | Segment \# | Length (Bytes) | Speed | Direction | TXDATA FIFO | RXDATA FIFO | | :--------- | :------------- | :------- | :------------------ | :----------- | :---------------- | | 1 | 1 | standard | TX
qspi_d_io\[3:0\] | \[0\] 0x6B (ReadDataQuad) | | @@ -297,6 +309,8 @@ The following figure shows CONFIGOPTS. *Figure 8: CONFIGOPTS* +![](./images/CONFIGOPTS.png) + #### Signal descriptions The QSPI block architecture inputs and outputs are described in the following table. @@ -343,6 +357,8 @@ The UART block is composed of the uart implementation. For information, see the *Figure 9: UART block* +![](./images/UART_block.png) + #### Operation Transactions flow through the UART block starting with an AHB-lite write to WDATA, which triggers the transmit module to start a UART TX serial data transfer. The TX module dequeues the byte from the internal FIFO and shifts it out bit by bit at the baud rate. If TX is not enabled, the output is set high and WDATA in the FIFO is queued up. @@ -351,6 +367,8 @@ The following figure shows the transmit data on the serial lane, starting with t *Figure 10: Serial transmission frame* +![](./images/serial_transmission.png) + On the receive side, after the START bit is detected, the data is sampled at the center of each data bit and stored into a FIFO. A user can monitor the FIFO status and read the data out of RDATA. #### Configuration @@ -500,6 +518,8 @@ The following figure shows the timing information for clock gating. *Figure 11: Clock gating timing* +![](./images/clock_gating_timing.png) + ## Integrated TRNG Caliptra implements a true random number generator (TRNG) block for local use models. Firmware is able to read a random number from the TRNG core by accessing its register block over the AHB-lite interface. This is a configuration that SoC integrators enable by defining CALIPTRA\_INTERNAL\_TRNG. @@ -512,14 +532,20 @@ The following figure shows the integrated TRNG block. *Figure 12: Integrated TRNG block* +![](./images/integrated_TRNG.png) + The following figure shows the CSRNG block. *Figure 13: CSRNG block* +![](./images/CSRNG_block.png) + The following figure shows the entropy source block. *Figure 14: Entropy source block* +![](./images/entropy_source_block.png) + ### Operation Requests for entropy bits start with [command requests](https://opentitan.org/book/hw/ip/csrng/doc/theory_of_operation.html#general-command-format) over the AHB-lite interface to the csrng [CMD\_REQ](https://chipsalliance.github.io/caliptra-rtl/main/internal-regs/?p=clp.csrng_reg.CMD_REQ) register. @@ -582,6 +608,8 @@ The following figure shows the top level signals defined in caliptra\_top. *Figure 15: caliptra\_top signals* +![](./images/caliptra_top_signals.png) + ### Entropy source signal descriptions The following table provides descriptions of the entropy source signals. @@ -601,6 +629,8 @@ The following figure shows the entropy source signals. *Figure 16: Entropy source signals* +![](./images/entropy_source_signals.png) + ### CSRNG signal descriptions The following table provides descriptions for the CSRNG signals. @@ -657,6 +687,8 @@ Note: If the debug security state switches to debug mode anytime, the security a *Figure 17: JTAG implementation* +![](./images/JTAG_implementation.png) + # Cryptographic subsystem architecture The architecture of Caliptra cryptographic subsystem includes the following components: @@ -676,6 +708,8 @@ The high-level architecture of Caliptra cryptographic subsystem is shown in the *Figure 18: Caliptra cryptographic subsystem TODO: fix this image* +![](./images/crypto_subsystem.png) + ## SHA512/SHA384 SHA512 is a function of cryptographic hash algorithm SHA-2. The hardware implementation is based on [Secworks/SHA512](https://github.com/secworks/sha512) [1]. This implementation complies with the functionality in NIST FIPS 180-4 [2]. The implementation supports the SHA512 variants SHA-512/224, SHA-512/256, SHA384 and SHA512. @@ -699,6 +733,8 @@ The total size should be equal to 128 bits short of a multiple of 1024 since the *Figure 19: SHA512 input formatting* +![](./images/SHA512_input.png) + #### Hashing The SHA512 core performs 80 iterative operations to process the hash value of the given message. The algorithm processes each block of 1024 bits from the message using the result from the previous block. For the first block, the initial vectors (IV) are used for starting the chain processing of each 1024-bit block. @@ -709,6 +745,8 @@ The SHA512 architecture has the finite-state machine as shown in the following f *Figure 20: SHA512 FSM* +![](./images/SHA512_fsm.png) + ### Signal descriptions The SHA512 architecture inputs and outputs are described in the following table. @@ -736,6 +774,8 @@ The following pseudocode demonstrates how the SHA512 interface can be implemente *Figure 21: SHA512 pseudocode* +![](./images/SHA512_pseudo.png) + ### SCA countermeasure We do not propose any countermeasure to protect the hash functions. Inherently, hash functions do not work like other cryptographic engines. Hash functions target integrity without requiring a secret key. Hence, the attacker can target only messages. Also, the attacker cannot build a CPA or DPA platform on the hash function because the same message ideally gives the same side-channel behavior. @@ -812,6 +852,8 @@ The following figure shows SHA256 input formatting. *Figure 22: SHA256 input formatting* +![](./images/SHA256_input.png) + #### Hashing The SHA256 core performs 64 iterative operations to process the hash value of the given message. The algorithm processes each block of 512 bits from the message using the result from the previous block. For the first block, the initial vectors (IV) are used to start the chain processing of each 512-bit block. @@ -822,6 +864,8 @@ The SHA256 architecture has the finite-state machine as shown in the following f *Figure 23: SHA256 FSM* +![](./images/SHA256_fsm.png) + ### Signal descriptions The SHA256 architecture inputs and outputs are described as follows. @@ -849,6 +893,8 @@ The following pseudocode demonstrates how the SHA256 interface can be implemente *Figure 24: SHA256 pseudocode* +![](./images/SHA256_pseudo.png) + ### SCA countermeasure We do not propose any countermeasure to protect the hash functions. For more information, see SCA countermeasure in the [SHA512/SHA384](#sha512sha384) section. @@ -911,18 +957,26 @@ The total size should be equal to 128 bits, short of a multiple of 1024 because *Figure 25: HMAC input formatting* +![](./images/HMAC_input.png) + The following figures show examples of input formatting for different message lengths. *Figure 26: Message length of 1023 bits* +![](./images/msg_1023.png) + When the message is 1023 bits long, padding is given in the next block along with message size. *Figure 27: 1 bit padding* +![](./images/1_bit.png) + When the message size is 895 bits, a padding of ‘1’ is also considered valid, followed by the message size. *Figure 28: Multi block message* +![](./images/msg_multi_block.png) + Messages with a length greater than 1024 bits are broken down into N 1024-bit blocks. The last block contains padding and the size of the message. @@ -932,12 +986,16 @@ The HMAC core performs the sha2-384 function to process the hash value of the gi *Figure 29: HMAC-SHA-384-192 data flow* +![](./images/HMAC_SHA_384_192.png) + ### FSM The HMAC architecture has the finite-state machine as shown in the following figure. *Figure 30: HMAC FSM* +![](./images/HMAC_FSM.png) + ### Signal descriptions The HMAC architecture inputs and outputs are described in the following table. @@ -966,6 +1024,8 @@ The following pseudocode demonstrates how the HMAC interface can be implemented. *Figure 31: HMAC pseudocode* +![](./images/HMAC_pseudo.png) + ### SCA countermeasure In an attack model, an attacker can form hypotheses about the secret key value and compute the corresponding output values by using the Hamming Distance model as an appropriate leakage model. An attacker who has knowledge of the implementation for open-source architecture has an advantage. The attacker can capture the power consumption traces to verify their hypotheses, by partitioning the acquisitions or using Pearson’s correlation coefficient. @@ -1084,12 +1144,16 @@ Secp384r1 parameters are shown in the following figure. *Figure 32: Secp384r1 parameters* +![](./images/secp384r1_params.png) + ### Operation The ECDSA consists of three operations, shown in the following figure. *Figure 33: ECDSA operations* +![](./images/ECDSA_ops.png) + #### KeyGen In the deterministic key generation, the paired key of (privKey, pubKey) is generated by KeyGen(seed, nonce), taking a deterministic seed and nonce. The KeyGen algorithm is as follows: @@ -1123,6 +1187,8 @@ The ECC top-level architecture is shown in the following figure. *Figure 34: ECDSA architecture* +![](./images/ECDSA_arch.png) + ### Signal descriptions The ECDSA architecture inputs and outputs are described in the following table. @@ -1159,14 +1225,20 @@ The following pseudocode blocks demonstrate example implementations for KeyGen, *Figure 35: KeyGen pseudocode* +![](./images/keygen_pseudo.png) + #### Signing *Figure 36: Signing pseudocode* +![](./images/signing_pseudo.png) + #### Verifying *Figure 37: Verifying pseudocode* +![](./images/verify_pseudo.png) + ### SCA countermeasure The described ECDSA has three main routines: KeyGen, Signing, and Verifying. Since the Verifying routine requires operation with public values rather than a secret value, our side-channel analysis does not cover this routine. Our evaluation covers the KeyGen and Signing routines where the secret values are processed. @@ -1231,6 +1303,8 @@ The state machine of HMAC\_DRBG utilization is shown in the following figure, in *Figure 38: HMAC\_DRBG utilization* +![](./images/HMAC_DRBG_util.png) + In SCA random generator state: * This state (in KeyGen operation mode) generates 3 384-bit random vectors for the following: LFSR, base point randomization, and scalar blinding randomization. @@ -1245,6 +1319,8 @@ The data flow of the HMAC\_DRBG operation in keygen operation mode is shown in t *Figure 39: HMAC\_DRBG data flow* +![](./images/HMAC_DRBG_data.png) + #### TVLA results Test vector leakage assessment (TVLA) provides a robust test using a 𝑡-test. This test evaluates the differences between sets of acquisitions to determine if one set of measurement can be distinguished from the other. This technique can detect different types of leakages, providing a clear indication of leakage or lack thereof. @@ -1253,6 +1329,8 @@ In practice, observing a t-value greater than a specific threshold (mainly 4.5) *Figure 40: TVLA threshold as a function of the number of samples per trace* +![](./images/TVLA_threshold.png) + ##### Keygen TVLA TODO: provide content for this section @@ -1264,10 +1342,14 @@ The TVLA results for performing privkey-dependent leakage detection using 20,000 *Figure 41: privkey-dependent leakage detection using TVLA for ECC signing after 20,000 traces* +![](./images/TVLA_privekey.png) + The TVLA results for performing message-dependent leakage detection using 64,000 traces is shown in the following figure. Based on this figure, there is no leakage in ECC signing by changing the message after 64,000 operations. *Figure 42: Message-dependent leakage detection using TVLA for ECC signing after 64,000 traces* +![](./images/TVLA_msg_dependent.png) + The point with t-value equal to -40 is mapped to the Montgomery conversion of the message that is a publicly known value (no secret is there). By ignoring those corresponding samples, there are some sparse samples with a t-value greater than 7, as shown in the following table. | Sample | Duration | Cycle | t-value | Operation | diff --git a/docs/images/1_bit.png b/docs/images/1_bit.png new file mode 100644 index 0000000000000000000000000000000000000000..507c29c74bae586c3206f6ac22c9900c4a8cbb41 GIT binary patch literal 13807 zcmeIZc|4Te8$T{7TZ)>oOHmP_vPPMbF{zAogfLNL$ueb2j1;LsQ%TmzPWF9gMl@v0 znw`mzCCiv>!wkN+p7iwm`}^njeSKdaUNiUYzRz{ebzSE=*YZBc3q3fDi$jouiHV6z z`--Lk6Vq-5CZ=6`+4cfY3X$zJ;Iiw10ZfA_=Zo+(@JE1+iMFkd4wDpc&&IT8*FmP; z+f9I9rd@(edw$(BFu;gS6n^ysR(mWru}r&!Fr3XZz`YiISRkud=)WE>5YXQem~Uvpl$Ba z`~!mSS3=w1gZGQ)r%Rx7N%4yshFYP%JELrm7thOlOrcnX%Z>Tp(RZ;l z0pxG>Z;Sp-{UMNvT}KAK8}}bZ&jRE&-F>WoQ#%|5#tH7aDDj_xLICprvALtC|7r98 zyKM>^*h&EA?w@d|%=m&O@AA4*s|)5`CC(yQWN1ROXiT-067ntzy1gEz2sH*GWR5^& zgvA$w*_fCyR%UUriyHD`646#Ki)xa!{Pe}XxpRVr!j^HeBk7ptuqJ$b&Xu10=i5uQ z9pc~Iz2qH;Y`cuIzN~RbA@XGa6E-&VlfCULaoN)ycNh^4zCJwn4Cdm$!tZFDE!>LF z&ib`Hrb-_ppHdLCr^#n?$2R6g=fqFv0xM;)sr4f_e_2hI_cvG5ER%D}y~9{f=+{!% zVvE?eUs$?P(-<7aFChUnnNm>ohNm75wj ze@EiSFMnbrmS>u6Zd^3p`^{4lF8eOX{eHCS{DFr3nrD*MT(di7FMZ#aU9QWs@=#+@ zS#rN&GEw`RI7Uwy=S>Rh#Ce;0Y#qMAxQ^0{DS6qsqBRD=H=GN>C7xCyxjb@Nt$apf@(;-1`5OUN`BG5hxl}Sc2PQeA3ZkvAwe6Ns)C?$ zLX&@;vvFX+iOjCXTijDuY8sn8h)szrW;$uXYaU@VRH06W z@PJ(@vJbB(-wei238zKs`CQJ=d~T|vcJfe0(N$DPt<#+0`S`w!zHB?hiK6^jd~h)( z**d+JPdEaH*-Gon=}Va^>a#K!JyUjJl@7xc&3?t`OgGs*OqdvcC*pl3H2MzjE*1wV zSKPXLequ~-bo73k7MHAEDMsp6IEEFR5StJ+hangaWz+I&i7tIOriY>w(VngH36~qO z>0VfCv)8;5Y-!Tb$JOjNoFj(gUfuICSjb9gAKjC`Kh0G36&pu@=ri47ps zlNFaDhAsw-VFe|qL{(lIbsTgc8bb?z!kxSl$hjbji}6YNvP2biVE4;e)+Q#N&#+rp zFH;Go4Tl4RkqfmI4HG>@TcdnUdAeoL3*hX*j?@?IP6Xu)(E*Mwq{AQ-V@%|G>iB0V#KxDUM0y$AuDMYw z3D#+zW>KyOwgojPr}M9`1eC;OvNc?%AEb;l(qcjtof{TMy>cLPV4bU{3m%>)C9gAb z=D&MB_{i`GPdfVeq7F+*y6B@|JdKOiw13?lZ8Vu`hYvGF`rxyllOo&f`tx;1$$aCE z;80oTk$JLiCOP@8R`XE>wHwBPQFjKK@o>RZ(EM#l`RINDUQ9aH_ill#rFq7lQbp6O zq0H&)JnvnMpXgUrOLdj$OaSrQf9)WxftOX)V9@gIJpgGQ;@)X3*Q#QVn`b(6N+(UDTj{dc;9Q|O3|5qsZ*Sz6 zV7&hF6~(zaTR+gs5~d;FauzKgtM_>>e`*S44 z_lCGE`czZyw5T3KWu)C&y(-<$|A+8kq9s9Ttu6~Lde<=`wj4Xkb6x_4cnd*@!=y(t zrn-wTV_&Wb0ba4TW?<7YOu3$3}x8dqa4^t)Y21MCa7%e7< zRw_`&9sP=iH&#(Ayfd<$-E;*hM3j<4j60B5)%dW{mMJorI7w$&vZl_4NfZHcKZ)!B zm8?E-O3v_duapnhgDP$P6&{5-Gi|EqvA(Y46j#<}?JJe&!zrq1l0K_H7BNM%_3z{D zB=G_l55VhG8a6%Vo-(O%$?)VY=5tU*w4{Z(-DK2X$c~YvbFB?nf49_8P6a1^cZw!i zoRaHudsx(3*r3@wZ>S7Rh;M8*$0`A_=2nCfi#||ztu7>WFlo)pgTQNx0Uy3yrJL{V zJUn1+m77`}`AjKlJ8V4VE`zZyi#!(yq;w9YsTk&8qTa>OUIrbCrkpfq1g-$Odi~&L z^wt6{rW8tLZ>3W+g+TT<&A#@M@u}GE<@+_B4wH3YxGg?PVZ8EE?@2~kEaYvlPH`mc zXhP%I`Li4>O&mj@IWM$=Xj@KaE!jFSY(L?}e9#(gP|yt&djx?fS8w zbu^pjY#;TPS`1n}nmt%mtE4ND7zj&TbMsI#%a;UXLPY;zd?Ch((D>enHeb8J5wVQX zdQlH68u4_q)W2Rg%qyXRm5SY$LhQx)WiAM;NWwqBv3wvyHt@EulP`P9&;)%dPXM}fuDWZ~E;^7+^ zD%Bv{@uR%orURq$ku!75*SDoHFX8F5Cae30CqVRW33^O=`V3M$+t4fvi1g7Oqbt=T z$?*2d5F0}DB|Ri{P(=iLS4H?DhB1qvT;nQ>*Sw@*Q~-HRPI4rkmO*wS@^JW-e)80X z8_-_D%zSVm%@$M*zC~<$&Q=?aGWaBlZYsK%le66C3@*xLtS?BuK?5eEB zCm*eaIf!Ff0gK>??6f)n8V$Z=rsN3iLpv69@P*~I%GKCMbz<^-VHNt#&T{uC9;(Cf z)!}B7y4~cGs#$`pe1aVyu5wYOiG0M#^TrKwU=*^JaX4EyST4Y-l0I9~Szs=$AyPUQ ztZ$Z~q%(L|0C4Z8w%xne@Pb<+V)a_la7MXhiA54_n4~7CK<>rEFmstg_gspa*x!(b zAPKG-o!aaT_N7?*Kn~oes@=H|GALY9>d?h*AulT0+}JV(#o6l!fl1XUA$7P^p{I}?l@^f2%Yv@T%Fr4-E?6Lp|AtJFcvR}4Gx zY+%DT!ka@)ds}A@aCW$%6iBUEgVEErtUtC_neV{`$27uQN<#}HI+~YYxu)3uy|oQK zuzCKfB4h7nCA4VR>M__S=rdM>a1nJc3@wbz!iq-iN6aZFEm#~=(~&jcJO84IVdApeXmt;>+$u$IQ$(;6JPrqeUsF(btx3 zL7^DB_dDws)=6UO^>5$dKwiS3SEB$24!IO7C0In{C1Q?!>9}86AeoV8vWOofYqm7D zHh`??O0CFrJ0mjH*k)i#CF?Sf7C+NI;&W!R@P}I=tf-U9cx_C}eSRT*!IA0%mQWx` z@9&YcT6C8<<`bu@`rYY(^bp0PvE@QW*KEZMY|Y%K8ihLq##N*{RCBQFRDWTuzI02E zSsBWzPKb=_?3Rm_9Rxp`oT#9WvWUICU3(qcWm-I@ymSpT14=m6+hSy5cNX_`9lmOj zQXw7e5qq7szhe(3>X?T^Il?qMbbmxBMxgDOjd3%)N4WJWL?=chY#DIW7sHvUA{+#C z8di19xp|%ZxD?8|vi`!ZzYyI$dq0rCza>NbiYfCNOu;Pir`cQ3HXB(L;?P@~nd@fi zi9LRa3yKp%Q&$eR(Lr#N*cKl{9!%**b?uZxJr zdM6ae+;JspqNxck*S8Y_G@DAo!w52nC>2QR^Se4K*F>+&UGSb(p1TQTfH_e@Ahc1d zU;nH!7+?79rwH*OW=Zzx3{7x!fmLI3{<&yAhfR!a=P}89GqyiIHTE9n6YbItYQ6zd zPYp)WFFz@OE&8P|csUz0aJ9ENd>8rFNU6_*8$50EYnK_r5k)lTu1BCzmFbmJ5!!YI z=d<^5_~-0dalp=TAWh7bq~Eo+hK9B}{BW{qx?a?EwY9R}eM4!k>PELFU{4i?7KFV0bjLM_GvG-{ zjkN+>C8?4?FtHnHzB;q4jajHC00i5e$2h8VXF~Yu3b=W*z(B0Wig|%w=Tj24*WE?^+VoZrS|BAlJ4KxUjGyX)BRT~3yO|Vk#hmOF&-w!LEwS-=F zx4V5wMD>#BFN6jCv|4%k1?iTHc8`1I)Rsc)Tp3Mc$tjQ&A_olVvXTbg?4CagHJfke z$R%8VJzr@&!>Ct(yF_kp#*^4W9e#{mhc*W*5X?}y)*2ld=4qjY(7=>v%VbyULqUV* z;=Qh)$#$iOUPYva4%mt?vvuF7$z>1Y5}T*~yhAVW5?^FHa>U;bYsUq*H zJNDh>pFBN-QcDekLgCFtXS*qPet1M5S42cA{Sco4s=gX#nGr|d>lQQP#p2n8D*Mu* zg9#jlEPKV;n<*ze?e^YpQjug{l*%InC#~fdmM#4(GadS3EW=2!zFSq$$neM}#D7$R zWGD$`BR{Os!Ww+dM&eEQzOokr&ReN!i?MyhvOA_6rC==QV>?}2D9dLt$UL&Ru9&3&>gFt)x!IZoF=&EPOhAV$wt zVa#_m5ZqVZaSxg3aBR=Za?2fxb8tLD_ar$o9+%fg*#3h>@?Y3>FuFr+GK7B@%LKBqG-Uad7o0pk9rF=Z=*+VGZMN&75I{Yp;`DI?cw;i$o!|L7xEcy-Q$5e43 zZD()!aZwJDWfYscfDwoPYa-z;_b^>*%tm6 zJoDk=V+e=dE-{@yXzX?^aEs-N-QKY;`u~Xk56l_Q4D`XlZT}y5bT0s;%JX}^#Qcrt zf&t9b=<$_9zoq*H^Pc7hs6UoHF#0|2&fo#5%$m{v4Dd@fCjXBB^}c#s(k~cjhyOhQ zWCT9a$?_L!%(hSKae(dVd13IjPW~YVq~ku4kk0h_#J^7feVqoVpQ0u|{LPS_KVVpU zo-6qO&G}QFBMAZ_YOr63+3!C6IRIryT}=KDfXokIxUIK_&Pn~vkkJtU?fsuB|53?P z9)8M7-Y<}4c>c2QUsD7G0$k1RQsj_3x>h9GBjX-yXYw3Qx%MTJGEtN%!N2u-q+R2i zd&E#(m%NNm-&+Ecr`=CTLkRc3)9ydO8H;Z0Rf?EC_FrWEnNj>~O#M+2+2O-t{=09p zrZ%}n1f+%t(*IbyFKFs+r~Zo0ZPB1>U2IFo^rRA|Cm{vzwd%g?Sj)w|%*R9oVl|(g zc41CAD7g8$b#QI;;?CP`^J&+JH`3@zyr6XSm#y!7EuH`thfB9G+rOM{uW!IW&EBs3 z$3RB_6PpN)-u*BAol~xu`iZS^H`TE-J@@T^or&`@ZXAC)iVv+h=tN4OMUkJMaF1}< z@CZD_)o=)N4IFf`^Zr|7pDWZdvF^AIh7=UiB&Y~P&DA8TzC&1m?b|O(x1mh_aF!;Y zT8}#tT!z2)E8@@YlGshUalOQ@!~L*9mflcCUzV3Y`6^KcQ+BGm_5!9;% znWKWNi>=i$bn0_58k&^ssJ?#MtpQjz6^2?tJfAhbafe!Gi*15h_;8-e0=OCEdw`Fh zQ~((`R9QB>aPnrPv9JEmJ&SQ*bDvK6k7t?L?i`KZ@^j75+D%>lCXW#UQ4D>IJ2J|? zjA9@N+VZ*yQ5Q&5;RXTZIhgd98?k9+hWXm4#M)(2)`yCr4|^NHT?l3Kh!x96)EhV8 zn_piNH|DW^CxJQ_aE=@__el?86lNHsE4d$G9#4B*>v`o1(3ftek5!aWzFS|xfQS=++5daSBxj=%@fsLkP0*s= z#K6GQX||hgP6qjxcz)z|R~-_7s4ko#S^-)Wn=I+_I-T7&_LHxO0I>=V%R3x%$CHKo zv(Bx^5zg{l%!R9Wl=vF2{K3te)4jJ11w%ydG{S$3^ zI64TeT0Un9+C#hh!loF9ff{!kpBKXJK5H z#+n6DP{1d|t24v*#~!0q>-%~yGv0rq3_3)9K2Iq89uW(QjrTGb9hZC?qd4Z+d$2ZbsR`;^3S)t__PHH zhlY9~so2#dE;SGG{ETPS}NrS^U zAjcOT0h0@dlPPm{)Q3cDT{#jkdexr~i8ufn9~E4aY0Z`Cap_$8igA&8mTvUEk3X%z zz~=||`{JxD3qcJg|16fxG_6AX^R3M31~6LYr-Uw?*@yaE?yQ-x8hmv8NOe37I&xg+ zWz`qH6Oeb`$iV@Shcgqe{ksrbav+ES?T`}5)Eh?@;_wrEx1ygp(KNZVSPH%?Jv6C% z(g*ePK|kb1S(ZM{5aP$YsuU;+qdg#so@6u%0cGM@Udeeh?PVyEQ+a6l;|&*qPp+^9 z-xy>iB!0m$ANi<@d>|igta7wmmnub64Mf)E>bsf6f{5DB;w~-}x8~B}4rC{@*^H{| z#mL+RizF+#qxq&n_}lU;ZskW2Z`wn?L7v|qm%YDaSQ&VO)yvZx;zc7znViisPGRuQQm@#pLV6Y)mmG2pl9OtEV94O!p$*?$8pg zYZK9x^4W)M8L(htO!pRv$%=M7!Om=!A?F74!c&R27oZoaKPV0RbFm$Hl~!})U<}F2 z_9G^Z@BCPrL#nF&2ANe?fRb#fGeg^$CrQ6Fj!n8kc}3$|mf`SgFEZej54@*BHsw(CC7Trs>?;vq#T)GMt?;)EtiWuZc^&9}Rw;tEcARm;Fx1`K%SyJ0{9^vEK7%N^^-X943y;s2m+)V5H~g|q%tMwzIvZ>hcMj4e&sy$z z?;wcMqDc0G3ovu@UiTGg#?e78Os-^!=1|mWyW2}f z0E~E1ckIqx7VZy5HF67Z2k(>3#f3<~F;#>Ghaf3%J-46iQ5lM-U=B`&4F?71+dp2F zxSuA?IB6{r_u0Mu7y<}iCM=zrw(T2-pRBs0W#SBIp+4qcPV)LVmFti8dXr31Yfr@{ zcoRj@v*(UMM(!F@4->;Nda-X~Qz9Q7lghd$zkwY<$JT21ID+P{t;1Z3GVIrM2gs1? zr_)_YIJPyjeA9emR8B4Ng<+|0%phvSZ|US~_OYpR3G7^4A0dz15kP5p+G`g<5s^Vn02+(~jUwwItg${F8VN)Nb>m^gf3!EFFy zG*qt!4w6<+o*I{JO2{bHYf@yb_`0oR}NF#w9PNfl^1pCdNqSp{xEhX$1ZQP+H8_PI+60^g6l0tRAH^>o%OFM zWW2P@H01Hi&4NoQ7vj$VTF-xsOs>0aQ|d4x*RsmH!BslG@uL4LQB^lbU*$FJEjf+D zFaN&5=>7L%I`9)kvJCBO5Y=zV*5S1;oRMTP!49c@nd?cnkS0)Q)W7sPl>m`c)Y1P zQV;*Zl?+cq-g_{))GL32Au+hOQ-42DtGa_tGG02}5PnWR+Dbq7tqz2Gn8J%sWpj^f zXS8C*z@ZpX&PsD2ho0@qn$x1w1so}*W~gXuSpKX#8m~Hs`~b;zLQz?@@L`A6T?rF) zczhkm<-yiSHc)Y2Ol3yPjjw9r_UFc|nhkd^Q!BGc_HpS!7ju~x*DKHEvd$o$ZS0iR z{znf@O;j5zySKJGZ5&NXs=RzkZw1)7<;F(k{C!NS<~ir9_7IvJQ{A6(39!>h010@u zHroLte1A${EpwnQ{^YldU;VEls_qm}i~QO#-KkCh10w@Sdvc2EOmZT(L#%>T24|JlK|qxk>N4nig`oYw;K zw}1TA_|b)RPe0#j`7vENbvuQ&5DNcI*IUpEG$VZveSU!U!(!U|9nWW-Z?A^-e)8J! zm-nrPXa>~?E6afLJZQux$JZO{yR})Hbb%pn;4i00{ehIWGSDw5~r7kKO(4cjEUq z1tt~&hTc;?9{kfUh?(nGzmi{saam@M15zFI2mV3B0q}6*(vk9Ob;D5)Pfv0$g8+PO z@?pY_9fmL7^q-RD^1M6XpnvoRFs6CisWFY;So7?jiTTcuP$$11p6`d=Zrl<$vNPaz zlpL2heXjbp6*|dUbEmVN8T}K6{_lU$uJsM%1c{GyHk2Fjxl?ue&Z1*C66@(6wBc;* z7s;h6torrGiM)7ckwnOjFc$-vgFa-pNme{8w47K#Kiz7>(7{3B_D7!jp8ovp*#0qY zz+9+V9YBu#Yig%7zbPQr`G`?Cqxt+V2ZA?5zb^3+eXgXUQ@@L2+|TI>3XGq(tT#Rc zs6RTEtzrjr59ct?>6tfXgpupQONPqwG;pXGBAl@<}nKVpg42X{L4!$SI?gUf=-RK zM!PIo9ER-W>-D_?>{qp3RbIYKN=vG*tvLN&WS}PpJ6(3!S2s>C7F67Jiuv~D@svMC zQO?eY!|Zjd7+B*ZVnKeHUN6Y*7#GQRlIScuBlWa!Lbl4y(SQj)xmsXx=VVc0P zWx*rrY0x~48V&+a3L8z>qL-4#&B~U6YMvpm`k`HlUC-*~>I-NGOoC4fk z+C%7*V*~l7qQ0JUhl$oedcT!jrg zF!ErO^(&(p`~0r4^+y`hN$$G#xK%%YvI$gj^Z;)aQo7bc$Yn8NZCJ~!B0FwgR4#4I zz8fZS4%jGs>h4)oIHMk~0w1lk0I|H6_=XhzSFnq>cM)F0n{S(q!YXTpW>wBznR#b1 zzfy+QU8QqCo=g?&Q|t9_*@&nke9>zeH?(sOw2gXpaag9%@|0Vum9_Fx$tV zo1$!Ee{1?xQVl}zZI}SWd!iw~KKP30QBJIr{R3Fa;JS$7z@-$CjIo9_X9M#L*ZU9B z$ac{653$Dj-26h~38SSu`ykv?@NZWTey^?Z6#nFf<%sV9cxu791n@3G@fSaXH3(=J@oZqfXl5v0!ZCI;aoQ7zo-yA(0g_48IfxwY|`qS*@a>a}a7%Uv6l z79NBRlUPb;pURMvclpEyY|l2pzBAP!0gp-ixd?M)0O^RRUJIz%(yuBJaSkd}-PVgq z-`ahZ;)%@nA*51~DxAw{#p<$f-XVCOpVlHwGCI5+p4PxMxAjzTX;=_TM+IW1pKtA3 z)R2J~WF6RGAESypR`(q6jWfQPpkT&V5{TCg0!OdwUTN6yjC-%wH)a66m$O{}{^4eg z{gca3oeSN((@bO)JNT4J__wq)(J?=cuocG_9=y*r^WA0v9^0pTtPenbRb zQcIg}Kfirm=1+igkZPCO^}#+udd8UlQBv~pV`{?;iIi~nkY_(+^5RE^lRW?BQ~X5x z)K(TsV~ofGg{|6;^>^T4c literal 0 HcmV?d00001 diff --git a/docs/images/CONFIGOPTS.png b/docs/images/CONFIGOPTS.png new file mode 100644 index 0000000000000000000000000000000000000000..51757698a0c7507a1d5094b90dc5504cbbf4f786 GIT binary patch literal 44622 zcmZs@1yo(Xwml374n>N)TXA=HE$;4=0>#~3i?_JDySux)JH_2y{-^i8_kH92?uD^Q z7}?n;$xhaqYi8#BRFIQ^hsA*f0|SHqDk-W21_m(=%HKdkf&Tin#m|Bga0ev`VX%q` zyd%&*fhHPXzst&kQG@c(U_fvbFo?gmfPTTialnB8%7cMPf#d#vc_nbl|G5VM1{Put z2KhhtXo1qdKM9}hIeWyGw+Dm;|6_JIi1#lAt5=@1>)G~Bc zEam&dbXCyMf$`*$7wr#d&|l4{zQjm9W?lpvN;iy=w=SH#dmCA0N z*Ve|{veg48yX+&8&hN1Qxm>5(|9HMqzFfP}S*0)T1%ZNM_WkXpSbnnu4IA5B>NB2` z`}#_~ijIzq*UO#5Z7tOU`tHH(@qB5q`E0>lohFO2(N@tc%+;0S;S}**Te(txST0Gy zTBG|sHGqK6eQU0_1@7=7BqCXd6VmkeOiHM+~ z0sl;JLB-AxF|V}$r3_TT3_290oS4`L_|K32cSATp#b;1NPnt-K%m4$7jdqK~U#*9B zn^E{Y;?jw<#Eg1j8c0iRZY+M-s(t=oOU>Q3gg#roCWV&M+r1&?*qb6iAkaS;9e}$~ zNx|qCu7T8rrP<(EY?RY*8eiTQ2pJUqWvUt<&SJ4FI}(?D>74b6V@#FN6dbia6zI3! z>M}diAFe76IjYBCqfp{!E|u=1qpaI4WfT6rxV5``vN+_{79L z4?R2%D>S8!cr?M8i;P?bFSbHbU|?WcI+sJirk&e)^8o-BQ!djnL0S31Odt%m^yf05HG%)k*yOp4^O+xicO2Rsx$%jKnM}LC%U0c&x zsWE}O3LLSqnvxp}3tc&#%7y^P^?_OO;|(!4H-`)_>x-4?)T2;4{7FF{my&W^Dedsyrlq%9q1*b*ChurwMrpO$Ku4581NMol z_J)gr`JC8iV^-3xC9GZQa_p`#O}bI)j%(5VW`F$c-cpDsicmoA<^C-9_SVs-H^-hh z7n#rFp11QXT6~*erOA%8y95*3|3F|P(u?a;yTAdh>!%8n%HQ}r&X4#QX9U6(cfs(u z&vyhpFBD8nzg)LBFPiH1+m;_6l|+BuleH){5+OlCZ<({l7wxyZDiiN<`&VcD}Dvj{CKGJ)Ez@ z#EuF6>U@8emOXx5&Ojy=pGxC$cwL4}bqEOxn!er}wYq=$Bb~J_;<9G|f=HH%>mz`WqskzZ>5qK318_1?5Sdo1rqOpZ71iuvN?D#y>|w*BQs3_B zw06>Lt;r7D3GoRfrps;hxh4_R4<9M5SXGebek z1F)T3SughD@qBA;HEZJokqwW&?53DQgJQ*%_}|vCpaLn8l%s+^zj@n#EfymI6^rH2 z9sE}-afuCR<@7q)5exT}s>9$Mqva1!q{e^*Ao{VGPACZ(GR1f*VQ>`uIi>y)nyr}d zD~Fz5=J6sd#Wz7ulM!$|HXdnA$5J_UJ)OZrM#+zV8o5f6sS+oPp20*CsXB(~_!b~I z1vIUehd=p8j40pA;8YC1ozzcyO6mf{Lb9t8?CGO6mK;%@ZaHVTf7rTrQNcJfpB#n* zb~=FJX%9X+vM};C@#%~%03xk1mxP*TF}8|&XT)lyn;0MS|JYJ!Gsr*<+AM}>R=Iz4 z_Fp!cCjXdTw#Vq19qECUs z^)#;-8pee6GK9xM-od$r*qOEpjrvJKnS9|_{XW#BR&BP(90_YHxyTc-xKcSTjeoR& z5e3lde4bZV$J*jLMTC%g8#%5KXGR){brimdB*~j59o^9!zF)~ZVK))4TIPUNSj3&K zE_36$`5XS|_9;Bwyw7*VhlflCR3U*~PGm{e4cGz|9mTbx?6JaHU|2tnmR^Bje zqvXeY$?u(!AxzC@j92I`tC!B$hnY3>K`L(Qz-Q-TIX<2~rMDHsI&TjQI-OX#Bh8@O zW7AJ_?=5zQ1WxYHZLH4^efVeuYRSg~L9^0`bp@rtb9Y4uRR8orN#K47blNEV9ca~} zFDSajt7y=)n@K_}nE3g&-`5CcIHLHyI#Byn=$h*Xbfs^{JUoutFHp?K8)UeXQbxn7 zJjhy5u63$CUj_}`ov-=hd0MQ`Y~4=;&deTz>Sg>&9hLqun9!n-o`1d&g!09+!vOdN zeV=*{Pc~y!)9lkz3|Y@t1`g28&KwtYloGYrqe$}Vxor>Q8djh57cwW&GV`(FW)7ba zAEt}fNPehr{O)iq4yT-&P>j|8$Bg!a6%wSKMhDcU^;JPvVYHjrG>qJ>wJCmKt02@Y zDb-!+n@W>+SJd-nny=X2fYtTfryVyMz0VAft^s%j+dnl$zpwf|J4ex}HlF?mCh!Xr z`}^?l#{i>X~wh6po0TBO9mO558qRs(s|Kv9~4dR>~*IjEvs=h<_M5 z11U(7+#E`B_Ju;K3elhJdsDyVJW%}#;5iXeoDTZpbfoyyc2=x(Klb^S9q)Q^k8-rt zX9X^RR;&F`IE%PKNV54KI4jE^2}#-!2u@eXL=R|;{2>_{0`+oV znu(vqVESd2c;b^6BU?1Nmz}S7T58EoO2}>TSCFM}{S3L2qwkr;wuc_q6z;=!!)Udc zd1l9F|DPZ*ju2ciXEZu{&cGfrWiC1k^W37`aOiMII7t@`UkCP<(m z`2gyl%S0Z(Fm*4roN1z=;!ELcspX2Nk*?@vJau9OM%M?{FsHYe4L#{96l8z1Kv8dp zH7Ua?@QVLW)NBya6?L{sMMoD2g^1lFPQAGlA@;KYLbn4JuqOs)xgJ*Cnue}PGqqPH zh46p92oj`>pJ4r=S&62`p|Z2JVva0RTwd&dOe`X3EJD8m+0?&gZ*!^9YuTt+`yXcN ze^&h^Dj-Mn1;&-D{2wdfUpQazk{IOjFLhbWtN&ZEZzjlTt;lzcn*Ya?`wQ*cqPsNn zny<2PU#Z>QiJF@FdhrN91M_TnY)pkP1W0XgP^?@yrwKSB;I`X=%+JeH5E2ps5-B{R zAtNIPzHm-`VPcvtq%A*x4)-`5OJ+fnG$s=e*t~c^8u*blJe@0XG?guK?EaK%rCO?% ze|l=^leV(QrL3$BV?3b0GwG65%b&^bl`=Csd$c=>eA(_jUP89SF?CI@=$iX)gwYO)UFH$5WT0uoe-+ORI!^A9Q=Y6}K4U{Jet+aP= zpW(2Y*~}4xlSjDG)Z}%55Nohr$6h+`g$ZQ7=aN#RHc0jw>Mz;z287R>~5MDt{!{LQ<5@DldNpMgl2(vo)!N^`4~x+@o!xhF!O zSG!Iv^l~BJhn~yr-g1{G6Wn560?$jKu!xA;(Wi3xuxh1N=QCf8tk$!J)gt{)Z*V5P zDvhOTV+o6**M_$t(rBasYa(d!k6iJ{YY$~xD+2dJVpzx^0#NswAiAI3H@zMdwX{+; zE29^D&(~WmQoUcoUF@?W(0SFj+8G1U#eJkVJG{(aAFt3bF!nDB6o?N(2^bB0C(+Ct zt55kmb+UF)iq2r`PSk&$!OU5J@+CtSqzAAFkN~GL zs2{EVe@diiM#I4-$K%37DW~_ul%oE*{Ql+H7I5EZlm7F5K1dx=OXL`jAq>Q`)G5-45OOJ7mXGEiJ$}p%zTHo{Q5&p_q#SfO@;tF zCP|*X37cAuv61C{>YFs}A3qQ1zavPP>*7!ess0GZIAM)g0v59o1Na3CKS>BN`M z@n6g>G=TqaWbp6Geo=yoiocAo5N@5-zP-d?WLH%w%wR10MOFJphtdJ;5tn!TZ0r%^ z2OvFm3GDsh1Z) zGE0ii9ux!$^}>SZ?Q2$hHqR3*k4=u|f@VYi;2<298uaM_nmQa8F#nrq6(ES?7m4-w z@q7c1>^mI=MF@sHcU^A?Vk#cY>iNY=b8O7Od}25p2)koAJdr~u!TOQQrXbBsgWiu| zO6d3T6h&9jKoB{DtQ3xhfd-AD22JE7j!HlSssM|&5wU&CD~p{-o#rkE;&PN=F>2=} z`}_r=3x#@b8r&_*{#Km1F}Nl~K_M~IAof*}^Z$uX)P{|LQIOF%&74>ZPEJoR*B>4^QL|Lpj4-+RO77G$69QJyZnzN(kkLERfHXBNdNejASlCM1RN^#B)5J zqq?)xU%?^+`G4_fzI&|tAM+zv_$66-c$~JrzkmOxWMQfGpE&%OeeH#ezB!mI9Hnm^ z`-p_e!ARqE`^|2%A#-$eBs6z?&Bg{oDBs7Dgmhb-+4_5go~CN>B7x9rrp?XG$Hq_n zOWLl8>uv|>DwTSC#x8U6?Xf2E0^kgV=#T(#zjlv@l3VDiwBbQ6sHdl=xonY7E5nx2 zNn5FkF~YfJGSv?IW5gQQ2ji)xVRp`E^@}J;#fkK~KL)aMX7;x;sKRlH8Am533KsP| z#?g#Tu6Kt=0FfSj5&lg6)c_lGdEn$ud7AD{ibVxezlPr;R$TV{m|S{%{2rA~FlDrh zg64(@mcGWA)ml?E4$JqXi_dtRF-pqH^TM&j^c^ATzKMt#bRB4lIbJ_2=IEdyIRQSk zcgC{?vfvvdVO9is+z#I-3nC&SZYYAa=W?U@r8FFuI=o(<-oCFaRe85dF(@VQPk!g^ zCi=mOVIecNKb|hl<8oT%w|BRwukOafWX_U{$L%PYk3l6JR%(*g1J%TCxin=vMfV5j zPZo~3dJCsUa*O^TNl@s)oQih&1+y3fbtGm7mdcB@9|c34ba;c$!;GGxOoJxEfT{Cp z0N1=f481^iOf0O)$zsvnvv~VCI_Br$p;@oyW%Xew*C??Zof%za+cj)Dr=2iei^c`V zD7%sloo3z8zayDZv)|sZ00bnnjhvvSr=@NGIDNWh;3D?`LUkC3Z&M*#tYtPI7^WwG zPU>@`=F#Y08|0GThT1HY&t%r5;NvqDiy!POGZ{@#DRs6=RW>5TfM2k)wqgmECelS- zTjG*h*x)vT+%M+B_CuY2%PXo z_Kfsm1Y1b^yu`)D-5ou%Fe`?S+!nS~d@i^kUbZ9Vh8g>Uk} za0k~89iO+mxfUl2c|^p+Yu81C2^8Z&F8@cHAuT;e#@qwp^cJUL;HHSH{EHNbCq%DN zs{G3n8suna-h6<#T@~it;=C-yFcwBKB^$J$i&l$lo#%f@f!q+NQ&xqI{DDiwU zikZSK1bh$ak5}U*E(I;*;#&VP;8nPWBU7r1=}yLiC!(SK!Ot$X2M~5W=I^x=$Duhy zv^V9j*}zL>XZC(|-J5v>fv}ZRj$TaHCxbFU^IK6QmQKT7DA4{v>xlIEaCNr=2Bc9} zzt&Ij*6p0t1}kk7)u7grWk|RW*)xosTLa$*q>UpYI@XlHkdRWYa6UVV&)_cWPKjD+ z5XC9WdGs{Xt^zODd>~qDSv>w$9b^ti0>R=eC}%7d$`n!Gm{pB(0_t2f7>9Sr*qu)` zQ13G04us|8qDUf`RR>3>H8n5{=~`aSTSpb8FY#edNOoi8`C&Q+UW)sP&_$XcG1y0Q zRGx~L_xR~BPA|cmH8gjIM%R6bN(DU-y`GN>VH*{5#etfLBO5xdfNmnNb6*fW9N$jD zHA4joD|mv$z5fNly8H#_OH_}3OVcazWJ!)f4){mr(os$be7x3%k#;`rgj=os!H?%y z=@1HlqGCX7su4-Xu#4;h;g&cfut_Y51+7JiPY;zubbWk)lVhRQrh%>VWE(BSnyjy} zO3@!fWRA}s3X(zx<6k9S=E9rl5)N1*Y| zALu=rK*vw9T=Gcp{1G9PxbvgG;PXnXYnZSI^|Vzkb%yJ%<2klg15*HsvVF0~3)b;E zQ-Y0D&YbZRtHqUK2?4%TqMl0lhuYQgyx2OSoOBL2pT&&NV7zlNEw@90^XX)P&3F6) zvJ;j=4R>(6+9J1H$>TZh6sU)auZuc6Njv;}r3&?Yomolrr)mM*4P^rrCg-V{b~P~= z<4n1C_khBm^3nlVA!?DiQiPU` zrXYfArJ?cLPI$-NA(F9r&Z)j1@I#q1ivmmF5cOSaw1uS-zUzp;vF;^)bHAx>m`jfTVG#rm2XJ|Jp;6rr`pH3H zC4H8nt}3NljJkZrX?w`ZcXe-FpT+pT@coA(csTAoVDEMNE06gxA=QtoTq!dX_b0yv zG;GD3VgY7z%zV566x|#R;o$|y`fVNx!kiYn?c1)-l#>3~6sCMkDinl+nZSp8rRQP5 z4;6}?Hk7wd41zLGPcce4m2FMzZ7zw%sjghhd}U z8HuB>iDY{ss#b@8^z#LM#kCK|jpSNduNN&NSIQwKm#^IW#HI6#^!aL#kfQGqTai^* z*kVSwJhMrHTqenYdq%iv6@aNRev2|q?9dY}@GhCC=U(hiV1b2m#BffyCJ}W`OgSy- z1hi*st33Tv5E&TYrLVIta&J<9G0FFkhNCSKf-vuleav8Q@aHY!<ka9|35^Y~oCH!pe&p?UbME~)WW#u>-;;}p-l4tq+ZoE0i!NVCeMrkIX(O7BiN6|s zaIrxvdst}SlyRK2y2O?Kw97Dr%(?QNXab>;MRKAC0ITS!r zMD}Xw$85!5z)Z+$79-VIWN4^AP_(ID4fov`pRiyf;nyRXLV&xO~WxEeuNKllnU*c+_Kp-sr-*EMWrt3spoS2YVBW6|Hz|3 zoT7T>{#D}TFy!lc(RWL8i}R;|wkmLrtB)EZPGg~z7Cl2%>S-Fph5{em=`5AAD)z-~ zc7Yooy5-;cs#FnGm!_HYIeBrs&V&47opijyFd_0FN&7{@A6C1qeAM9DtPJUyJ5cd8O-x_<2M|aau(MY>~ohjPT!<xllDR zX1rDRy-(0%(0io9UsuG@DMy+@j7rsAmc(4?z#7T;)hO{Z)}nb%m^#JT5SniW@HR9# z)+~r7qHcS=+dK@CJx=fUZ;5C)CiKO;&C(F%U`9#NrUJ~H=NhG*jt2=Xp3WzGrM7#) zio2_ql=V-f8mqLc>H(40UQ5hx+%#K@x?1whG7MU&a=0$(D%LX#cWw^2YQ~gP3Z8c9 zwrBiq6|o{ft@K2n5Y<+%@RAX$X5~_|#hE(1k zFJE+JgN?g<_1NO$@ujY#%K~{&Dc|8Vis6m-()hkXhqE7i-k(62t|#OqqHnFLN;>Z- zKz_RzzQ4U)r#lLI(VpdrZp&#J`5W#24H)ud}A=5c?z9L73opI6<8W1C?nuVI_bX>@Hr&bEk!fu+G2W8a^= z^NHpa>^d5BjXwScw3UzwF;T6EKjDQJyYww0RlY_#{q!!al z%jq?>^mw)r@7*(_DBSxf-WGWnnA?&JSA0KC3@kj<+Lk z_tjzY2Uy~=1riDJe<~wPX_qO)aY7_gs}?tD)dkM5`rpRA58Xja%Mi`H(W3*Rs2tq83lXs$25lOK70J@}mqyV~Z@ za=YeLu0mTYOI*red)Hy@>a`~vdH>4GFuxbpG@ngiv%jC2UC71mHsDXnYyRylY472| zgYv;%J$^g8Fy2L_f`b8S&BqvZ?;d|5;lUS(kpKrj>*e^l9pm|JV z3&Ba8(+T|Zq9lozt(x{9(!s~tI(Llj_Zezvql+S_v@tqtc@;kh2OjH|saE8VFV@vL zj25pIxZO%Z`7^wy_Boz*2qCwee}1Lc$rF_#tumb?Fe&~NZds|cXxrkgr-rC zcWc5M#7`K0xPV~|!0I$3liROaHBw2J1sD}9&x1(dd3BZ`bk)B8yD&1G*q6}@-NK=A zHLHnl_m+C9-yi1VL7RZ68MhD3=J~RpPk!Bck|%SICG?4^g+(h|;HpuU?%cm|jg&i$ zFUUM^&Osh~{gL|K-k|FIoq?|)Dgfn-&ToeK+7Di;8WI^($70%>QdxbiGvoci$|zYL zIC`Ld8tgGC%`?~2?LU7MMyw?tsMqjOAp)_GK4faG$FWwNLanW62{pHLgQsSwH!CXTd; zAywB-REJe5S2lxJ6GIp8vu4Z=5jjrvANTc6d==wR-Aiw`_ooAMDhE|F3|0}xvG8<3 z0y|gVuGQ|->j7LF%Ij*7QfBp3f%8-VqgiMIsheNd0BF@a|xogY}765h#(k=wf{H+w;C%>%7=pW!s5qMBD!deHS;tTGNUImV0L z>o>yk<>O{C94kngdx*;OZ*Yu?BF^LKT+JceA*}^|zi_L^s{Y&`Bb5%-ltpu8C<;Dy z?Y;blyX%i3PM`B7V2hid&B$JDIcK)2y$-Rs>FUG3{d1}^P!ULJ`K-MTLzR<-=PpgZ z+YdJP$#a{eT>TtpRS65n7vjLNGa#r&zuvrWLJK&Re(|d}+n)`Eib(0(sGR@Aj zkWvA1iTT}*jZK7yvuVh;G+Ha&i$(MiXygH)# zO`{ZP7d5$pSf4^fUwYfF@nMH2%UFpwnO3g)(&@}*Co!ibKF%a-1&+n!H>QP=jk=v4 zBP)0A(qqxnr6Njd1Se$*S~E8*W_10CUsiwW7MbKy>fU{;(q_`}CAKw&3aGFDW}oVJ zq^YK(ZA)+F1IqxbY^}$%{xO2!EGDNKP89lvzrY~J6z0)tRYl#a`YB-@V)z+- zD6X3XejugYmk2(b9VxE1aRc5o!D$F2q}U_Q?r_}}=p#uXyO(3o(P)OEPa5JzZ8`+8 zIcjx4I1Emj??rFTX}y@VS?6DVX*zf7L_M147J~J9g;o16*_irs)|XbaNNG;SoR544 zh#PMCzx1Zdq;V?tJClg^9Quo)sXrj?=yx~!Hkmi&5D0j$9mW*7;17fmJlui88;$ru z|L|#`D;VZWv#(R95PdMKzDDTG(pk9=#}4~BAz%0}1q>mzP*;OoxQtpXny93;`i_7X zs8>8BTCEhJ>t$Sorbi`9wp!*im@o-)?TO0@$W_K2!*Y|;!{9I&Y)ILZ-v)0U$e5Ye zo)ox^noTEi1_|F8(M39*&#LZ-^;Y$Un%&iFPdFi^P=`j!_T(CLdVp99l1*+W$hXzL z=#nvdkv?9S^CgNw%LS#=yM;VRYxZ{|AR=KT9=E`Za*OP!j#i>K9vdK56C~HaW?$#h z7;_EBbROy#{KEc>c<+eh>NRTpvL;#yE7_D4di|BLKO(#xgyQS-8J@*eT}0L49$4X1HB6$&3yF_LV?)ld!QK-()!AAGIL zd4{Q1;8r$fv)#72@jE`P#tgHN1f`nDHo|x;24fqvLz|F}(7@={J$h1F*vPH?i(6aRu#$SG_sm0PR$=a~Vd!D=~SQ9cAII?G&eonLJ2Yg$5X zcga9HPzFD+fzPqJdHX^sH0pp#U<}xJra&eF0qL^9r~r z#({coFAwU(EL&NO0bA=e{=;*ZW0&!92otxLG6skh$fzS>r&uH^Gs^h zG$MYUiOHe(Fl%M>)u#q7>^W>4MW@IQ|PU|{~xZz$W0uEyn3LJ+cU|4p~= z?0@s|kdYAQ`9)q`ijLCR>>yR2oU)Y6NZ+_rRq;(?!&n}2K~jT>xO!~tvvfhd#vlu+ zO+zP;ex#olD&^`9umAk@!Yi?e(c>jmbT4PK(Ba5JXMx>fggh!b?A8(=`l+}x=~o%r zC7nkN*L!o)dZYAl(z67tsv(CXZJOZE1`JxXIxE7_tFeiAgOh8lI)x#oV1xX@CBfG6 z%2Zjm_Z(fZ2$vUI;O=6u!8GL2L>t-JYNI+m|3=9q^j)8AnXbgdau}AZdX8oUhiJ3Bjb zd}tGfh!v1e3&=04`O=txufM1B(F+G1Of*G5sxKk?4?$})%d3qupdV<3yb$`>HI9}i zF)?#v<`rxjvp>vazbk3gX!y}%k2TD6eU8=z5)aIdGIW;pi243vVYkTz3ySl1SJK&T z286RcQmz?doi25i6FOkHT&#k4OyuiniW?G&2*`=0wg7y@^0y&M|AR1w%*;KtoRdX_ z!c}oLBWbIs8sQIKf%tbLsI!5z+9tVi+kPy*v{@ziZJqXeKu{KHA=8dqq7w6|Plzy- zGzVHbWCLDl72>sz@sLOWI2sHTmvVYa&?y8j*aBCH-}1*9>G|DRI_*FjZ=;iE{D4H<{| zq;)7UOJrX%NyHNx^46-Xv!!a0X1yJt$v2^juP%U5QhP-JCTU^jv~3s5rs@buIbNI< z<%Gduu>H5)wdF&W>+d|H>E(w0on3(IN}o6BH@0UVNwNXXl@v8o|)S`6fwS z?x2n@6rN)mhSJLnDyjM!{kzvc=NY+n9$xJVwNOxsSS`GDeYFoUVf@%okZ`A= z-682W;+aVQ%s`}1t?1`V1|sA0GW&!DGK6g3LJGrw&3bRQ7%*WH>W^@#R(ci+5^U;k zo>ick#IX3~!JRJ9u$xY)kT0|(CKoewx_A}GcCA3=4{8rvzCn1a#?pHOEgH2i2(tCyd&J0Ho)yyQ%pDBA>)l*)ZN54HW6U+k(X$?^DQ zUHBWvb=g{cGV7C+O|Jl-aU=PhO+v^X&npBb%U$BAj1KY9uWz6lM?KTd`n$D zMv(_LwZ^U64D1$b%}hL5Y5Dp9>mYqgVJO~q2X&93cN&Q`NRUDuIB=+DCSUm=g2MJpL^mVw5=Jhyu3oa7L~kgbKv@D*3c7N)6g2DtVkT~>ISSH4vCJVmE6LKYHMnc zPz9_?C*{$crolZ($qhp}`sgPW4A@yk4HB|7MM*fd(CDy{s6-l5&hc=~$LD4{NAS_J zVxC`C&8S0lntMQWdu{4wj$gkdF&V3<`+U5)D93;`w^k4p_lhE1?bza?Ruh4_n9$O` zg7zottWqB^(CJK}JIa@9O=)OwFt9)r0q8JENQ?7XiH>9SVa~yuHP=d#Hc=P=;djp9 z{d3Q6L>pk>%2*oL?189MgDK*e%}{!z>mkoYTkIAFgOL!}`598*6vfdNaTOkwb`%mN z2%8RntXL)2eb-JheLx9dl=Y>*e}g4jk!9;F}os_^l*m zFDfCXQot@#u_r6!$)?erJ>~1hmA+hsKkUVDk)_8`mPhQ8FxAuBzLG3x7_9n|@6)I@ za(2MrtaRRa_`={*SPigP^)bCO@Nr~QYkOpfVpq7RshRxl7H{6|BcXi zZGt-k!xe7GC9XwF>+LFyHxEhXj-`?RBysyEDEh%I?)Qk|s^EKkhHBPj(P+imI8IBH zwv&p4Qxp>+TV7NNL~~am6BUlmGq}V}@UKAkGvLCz6uw)};)Tt6X45;|90{^cHSS!L zg0Uf!KE(KrrB_t*Xf#bFQ0HLuIZ+Qw(v;m9n)axPP!s?GE@L2=6|_XdcUt2&{bREZ zfU2`Q9t<#W2NT3$uq178`<GMl^3w&RVAcs;7C-+MBQE<+{B_mq{h}uFs4kSQ@hct;9EVeAE4pEogGF_DeM!jl zC}M+}<$Or=K2!EQalG8gD~yh%sNIAC3OZuga623Vq=;}vE?EZHC(p2#4 z6dx=aTAtq&dt`yvdpjP?vh(0FnD1x);7g`-l>KuF%a2nc^u%^N6BJ(P0rhg6{CLlo zYxmAxbWQTx1~Wf+bOs}Js){<&EYWB}fs6*rW#KAuT+JBj9&}@_bN!}izumsiOyNxn zt_X%@hv^YrZ~A<#C2{v!Y#jbV|8l? zapRc!2SIvv)%zYqU_Pt2M?B8k#cU=l7cDsGXyt5! zI9riRnzGn<3*vn8RU0CU=*L8JJ!me585gBXztEB57B$_t(L~)?R-mk3X3E|!E$xS) zm11ZMY>Rs(>FyYwWpeKMD&b@Yws$35LphOv&Neh;^V%3Sde9ndwQ!=)l69fD18K6| zA?Y_JnR}m>KCG3cUZNnlZV_0V1Mb$u9~~uEgLKAhm(?o9+Yl@6o68&nn$9uF%SZRI z91QGE;^EEud}lDUQ@M3L%_pl@halj8Gsi@LV=@}_&aeKOt3Vcy-kjE<)8c$ypXR3= zpMOjt_HNf-JZ3e0V#@y#(ESc#WUpMKcZR<+y)p8L{YnF=+zTdTY!~OjV_$yU-*@eT zXAtOet;aWhV>=)zA5Z8#PqR_VgY^4z3f1uQCkK7gAxVj1Zm_n7fm;yhT+yJ_vH^Wn zr14nQ7PI3LW5_xf4M%8>b;}X?Y?HkRxJLg2l4NgJ)Ug~ZGk4z!wx*J@k{LzeL@VeB zM@aRRLYCoA@zYnfMV(wUAPc1g(Jj?J%taQl$eNxvB^Xg8KnO)gx&9zx6(XPvjQpJ@ zSt46Cm3=N{dGri8vI}ws@jH&7Ba_#y+0^$wKu=ys;MrCbs>|Q%u=WoUCf+N8G{$wL%J;5K$BI*o@UUV^uFsHQ2jDLiBOf# zEK{u}f*cIQ3p7$dDXk%MdM%e-hSk&ZC+gc zjVtF{cxFC5(7X)liew})?VS2|yiSuw^mj}fQ-F+qTjwp@hNj!g+mJlpO(rSceM~Xh zzDLp)JTWX+n{8F>puhlk1tCT(9*oh5A2^!5#Ts7x-8X#-IXZ~ZY>;S>l3SOH>=%I% zZNw@;8yI+^b(OBL-QiUC6>^8#*9Lo~?L(*W_=dn=v`ZN@B7|?_{O}P%h*$(lxCU|FS{SN(+a?yabqo)@wyEXbkLm5v*cPOFv_kx4K#HVC^ltsU4#Ff&xNq8UJ29G~V7&I5)6A0DX5YOn{1dfRaq(GuqfcnF}V zHyziT7;%1SBfPUesh{=lhh^{S8cCd&OC0So~0ECui6peaT9*1aZE@t#-xm?M!dJ#Y+;s$&YB z+j|i@aT!B|Ed1_3DHqTYRrB6pczR5py9Lamz2jzs>%ki=J-G2y z4vt6;vc(Sc%$N;NOgUUZoI9q@eZzl5UmnplR3&yicBu$Je`GtvITFy1qr&b=7B-6#3eS zu`3^Jf}-m8by?eA3@paF+*k~8!BGQddjr1Fv`@wY(2u-uB>X)ucn^tb9*I&|VPi`e ze>B0;!^N!WOD{V185Ba5WlOB6S{;F_69t`?gRrI^XsYhHeLjm0nn4T~h+1x;NCiog z)V`RmuM8?3s7;TwL}?gE_y-~2mC{E)PbwBWygat_C`BY$;(f|TPwEmbG2A{iqg*4h zQ^vBzuWEpxpsY@LX~;EJB=}+m+Vmta_2*`Oofh{0{`BV+$r|+*pI zWCFcziJCLA#VtsO*5cH8;}uSQ|Jl|=LQbwJjf7sSj|)KL=r<46ta}hOc(3xm-vuz9 zdT^82c9ZaUkT^Cb)4vf_XRDCck2-MY)O6l*f_B1;W={F_JIbYU+tYq3s~;Dn_v@8J z%XTpC*qm4N%QNV8&VIaYeoWVy&!VYy)OES7HNkQkkcBLCkqEe68a#tEeAHVJ-5y~e zeG@ezH|4Byfz0%t8)jSko%iU|hSJJ!R;)t-JaeKxBkj@oOYN#Y$0ai14?ErnR%Svj56 z*`LShmZ!CLc0s)@(%9-F9xSDVq0zS8?rXSs-j2*^hAh8ihvMAi{HC08S1et!x77|> z#n;;*;`f95l?*vTvjq0m4Sc4z3b+HjcUlUr{O zsJH1bl^oo7<<8i6!l-U|Mpt1^qi$T* z|5#in%^y{NvsJyY%Eg%>X_%^9&-kie%j~o`AGMsTJ5SsRWB`;le50O3(0JK&>q)9^ z==N};r5pTm@qAJVg2B&U_rlpzQ6j(MLN2I+1c3(NFQ7eb)#_=YNaj=&cxGHAlVJ@z zKDj#mUzkrX7o8Nl;la|i$&e9ip{u83fnRMk(LpjqRdrplzY1@q93l3gB?A_iM+io_ z+sou67)%K-VDTT)XkOtVEW52Pa1^o?WU2E9U_A=_$qBm5Zy=DnEX)|LoD_PiL%Y+N zv!C0}#@R8V^Pa5)SLg#rQ9mC%_3;S2#p#UK$qi0c;saWE|=SWLq1G>mYo zv55vXpkRauUFJ&FejToa{ z9;Rao3JR22{uO!XW~craPI~qQ!^lcN^FI4>f2z85&!7N73mLoG9pe0CG{Boq`H~Cm zG!vAMTXXeIxuD*n-E5&kiMqxgmCyT11|rOV1)RC^`udutm`KO|9#-l1`^`8b%|sG% z%5jWLHl+2ff4~=8qJUONZ~{Xl;DXf|M7R`>v=mSdrGSNh0(6IlDF1f?S1j3x-vy*f zI`EH%k+kw85$x;Z@2#NP0fdBW*%b01l`gsjX9qR2SLiZ6W$?1T)o}2~hG!682K6No zd7zbeiPtmAew8YIOB&%bAM>bgG*}C23X} zk(%9}RMv7Bwdy{=z>#ZA*#BADK_!n4D!wpUl+#$lV~juueq@h!jeC zL8bw+gXcT0J8;5d=<+&nzB8dhm8h_Cz^y1>Y`-WTTh&bbgpDIh=KTJLFn0rn#>O?+ z@7(}w$_@|irGxriOCLr$YRM=_;pn=`x3xtNsBw-PvpoV>LvcyeHp3r)VA@I~s7Jfg z)7mup12aj-y?htX5X7`Xv(aqK85Dz*6b7S!N$m`iJ^>S#q2mkWS0WF)blG@PoGA=> z{>*LkpjWt>sw)@f%K0|Jmp#$M~xh@r1AYJ3DktYt7baQuq6(w-6%lb-0F)9QhyVPX|9j5Wahva$z(I~QoS;8=SQ z(Ndwz@gM6b6FC?FMw##R^Gg{RmH{DxM6CB9c|rCUzZU#_jx*f&%pnfoYq{)str=EB zHNVd={RD3M?KDu@f86HVXrO#`!?F0%bEWc}+1AO2D8)m0 zmPCEBn9MaKxx^r+B$5t7Mo`j`p|$4C;C7aG!#}DFc0ewIay#%L?HFlmOzXr3XIt#I z1mgBgXlqhTd6RHxx$bm)D%Ie^8$L-_Q--pRN72>k6s}^24NPM+tNO;Mw)sBZxF%e zAh`0Q&v)Cyw|u4%4{-JAxsX!WfZgwk9}Jgk;}5_!^7Shq;`yRx0u)gyodmpBZAfXS zY1OOpLf|kwU?cL!jc?+-si>((r{C|IoFmQzINdLF#O?NvHAuH8V_z!I*Cweegtke6 zEHd}d(L_ce-C1gPDt%*Y%D!lr#e0XVDG5Fsi`i%0+I2&*H2oK`7Bt3Dp4>(}QxXCB zp%G(<3`Pv5zuAm1bH7l||Ar^s1o{X3Gra$eDyN&Fl&4vAlBb_3wq~6qXtN#UhfPIJ zKmo6dj1$L1B3fyL%fcp&pE%gxSCEy%H2;QSXTC(RNJ6#`%0NO6Z4j6>`G|zIF*LEo zLSqmyX)4Xug~8V>rftQ%iSb*C+{`5mw?PsHYz$?heggP&Ndla@p|LR~%8UMwX4jeU za1`Pg3cXZQG{=9ni3<0@|8tUFtlRk~{`{{VYH(0^z;?(^_8-{K|FNk16Kz8Rhc`gT z1pljN5gH5!@L^a>j_3>b1w-R~moAi{C_<_yiXSeLEH5utQBW{gq1KKRIz3Jx4GcyH zBf^m0^MkcNZI%kI4R@%lLgt>;Nn*g zZqj>o50AE@qxwFPk@u)OKIZ!Re;!6mjc*>`BKh zS8hO1AU1DXPUV>5tZO4oP>CvLX#1ln zB`gvmLvv`Y6YSN(cF`b#!~_raxaCfGaB!r&(cyYvI-!nFSEhg=??5od6CJ^%o4O90 zLaVOkNj2plFcunvlOvA}sx}Sapk{&9N!rlRu%z9-Db^^kGe)_F&2C+z{@Chu;^UF) zNOW`5(xNZ&gnE$wqW>RC%VCV-g1Cr2P$}G7GPy9Z6MQN@ITs|?oPJ#ZQ$l(WU$VS-A+acB44DWzu zn$2dp4pyM@Q$7qvuqw(hn*xE_>tBFqAr~E$|3>AJG2=@BmH+@GFrkvkEgzfI@LMzw z@Lx7rEzl^JEQn@utxG6G*5wf?1%l+Z_6^Q8WqYW70^1#z4c8@@WC{_Y$gOegY>bJu zs5d^y1zGA;3siWDzZFCVaY9B$B>gBvjF#Re`<=Yt@pJdxkDG<&xt^hie=>}v$Z;Ow zZz7_WN&I1?H7V(%Fd0jH9%4OjMky@^f?=c*>Z)~nu<=1XK<+QMeqR01>h@ySbz3q0 zcIj09Auc9{Bme}Dfnq*gpeF)`_vu3Af6{?~t+iEVhr*P*6>pCayv_k-AoaMRC+e
N~b@Umo-m2~4+eexe<>&~{DdMFGHfn05)=YV{ zar%EOD?=oqE`r?vAO$T~M-&MSkTwif8cfGnA=NC9Z%LRerim6jJ=%#UJ znE;3ZHkV_C6F?f|S&?WA5pWBT>U;@cSNTR3;(~9_)cJk> zz!Ch>HSy!wNDdsZz>8<#O@(uLA`9p7L3iCw@~SjjsREW%8PAFY<9}}vi)aZD?STe6 z6xrVH4{8{*cOUJajM3oxWeTj`Ag@RHqG5ErleW5!L+E4Y7+*KcP=D!=laniU$lTa6 z@Q=VIJrM+Iw@?PYB9!~S-2L4fH569HI9r#Dpa2$ByA~{3h16<@U;sJf41d1SnRW;` zZr_urTUc3G<{OLCuaSX!{3d+^=OtQ?Z=y)rh~yoBy~Ya>KGR9^AlMta$Qqc<<4q5U zdVe-E8$1trYXdAn#Btclzw4~|1Cx}LEDT_s?&k$2P>~6a-e*1Ll%6QP&cg^izAsI$ z0~9o%(zk$>RqDPCx%Bk!dV6E$vcax7g>WWGk&f_%60l}ys4VwCA}xp9!!n8a@Jkxh zF&T>mq~J3Y1D{(r9q3{q8D&PVP>_G+Z*GVv= z0ssLg1l0AJewa<=$^w>76%!-bEDl#yJ}N)aOeH@1iH8qY_xif!hNz>q9v?Sw{QBkG zVC7^Vwm1=ucfxtzN&HZ~&+fdsbhqC}(+Q{$^9_z@&AW(XdnU-$Yq{T53q{Jb*RU#Z zuy+@nYqvZTOD3gC5ao=fT{@r{&D~No}^6o*2=}O^<=)( z*)?qVAX`B6)ZGO6CA5L7B)DtC@cNcl{vbF1{MDI?wliLf34tD0oSP5f)Zb!!Y$78$ z%RTgppQVe%)mlApF133lxJBJ9c_`qKy(~sRh2Kxl92Y`-sL9M4D3C=ixE_1g^sYUc zE3aOI^(2IQxrCRrX*@pLAmZ`JUXQj{2+E#FC}ow9FRiS!`_}v21H$RIB~h2XgL_NK zpImb2d(e3|InN|!LJ;0SB_LoG?}#`47NE+A0cMcaiw*q4mLU^&;6A>|Xb9E|kQ4s} zCbCvMlPmf=-@d*8fpKB%sw-kdr|Q*a{*Evv(BQR;cw*7yU9>7+_k{~RlqgMD0wzbq zeeW_@#@uCTfDO1{-~0K&`%mLBUnqh2N)q}W+rw$K9ADw^!Uu!|z{FI({XS0csJ%LX zzMCIIRaa*`8R-2T_&QlFw&mjEsRX5(P4nA7XaPUq=v<=3??ATVbv!+;U%rUlI=fd1 zK3*R|zD+*LkQ;uY%3jw2i&D>PZM0D}zAmWjwn*OvyH{dTHmvmcZwG77i-HGB|4vBY z(+*5IW%az!YwkFeJXI-S&G^f9%FpUc$IWzZsTS;DE*5-`YbwTJZb3YF+Mi=Hd;3OU zA;$RL$^O38EL$d3<{e^dKTF+ld2sFm(3CDZ^O^bm^sVJ=^j^5mJl~_f06N>C6L+fF zxXsPCE+xOIs5R;k%x~GuuOj&p-Z=9SdBc|$!s=hks$VP-nUXi5^fyM~a6+m)!saS2 z85P8PKHiytvSLWBGI_^NgV)`|-uXFV^K1>2?uUj`vj0ICD$k2pgq#ewth>ze zHz3P9o#ft<=hbXO=)a>%GdKB#+vSyY4N$g3QPp_;{T?biIDQ3zs1GdoBBG-FlfstT zLCn4FS}IrqK5bGG$+@|9eI8N~_o(YV+WkS7y(vV*H3jxT236h+XkVF&G%HLQyQlII4m5`%!r@W3G* z`CBtwWT;mGL&g3jIJhbfh%_|x9MdSv(P2V*^ZR7jcKBzAp)<2DSymBfjSUdefHKgn z^~Y-#I8Sn_G_$Jc-@^p>CgqA=uC4ga#c2S1MH7N}?tg=hj$BZ+ldwZ9wex51;e$mm zrpDU_^<2>6v?S7a`sZ6YPea zg(YQ}+v_^=#M+5b=Y2uAkXND2czV!F*CIo>`opL%#*F5kX>RZeX%v_))irgrySJY# zpW!WQf46+2r!s9bVBw-$X`vPzwOXnKL27$}U`+vlUR}Id1`Dle7gail$BIe+@O{pN zk#KqZ0yq2)NXHA6nDBri>f>n-(NP^fdmM$09u%?vcMPtocZ#Y~mA$a@xzCD%jK8oB zbO1XKBjZ$BX;KG#ILLaov$J!G`qzP2F>p|O5+s+@Ir?u z-N$3Z-Re_|o;!DIFTr%!)-y*y^>)M z-a)nbjRN`!KA^3Qi+wai+x;J~Xc2+i@roFP(+=tLD-K(DG*%;)k2;xy*4HSyn-?P= zgL-lj5)ua-N_rR%@OWGtDIlE#q(oYgp=p5RP{Z&tyvGG)_up1`@3Lhr`!6UK_pGuCgS>n2Tb=2W_nr&>wEn(OD5}a$NB8ILsBEv}?BSb4h|E8V}Y*8lhK5ubE68v z(rE)*`?S+toIBschPZpADG`+)FFaE=*I22NX|@iYfsXBkm{IdIMWyZZULJrkZO|N~ z62CjBnZ%b_ZH})lak%$A=r`jSs=s{gRXWCM#dY2E_BHN!hSgTLKmBsz9L_v8vqCI^a5$4 zmy(RE?7Q_w$7ZGS6h+DuUD~m-M+?H0C6`IkO2m-s%>uCX4*t zxPQWwg6l2VL_hzMqJzk5BdqH+zV|tvR_A#bQYrmPUG0v*sT^-Fw9@Qm>5=Bgpm%R46ZL$8Y!o= z1IIp$x5#z3`WX{OO}sreVV_GOSc=fT?woFw)f)%uN`F_P#_n&PZnf9P;dUy*5yg`K zhH4_**I3Mv#J#IarWDx6Y}PjHQ=@r%D94>P7L}q7HYm@*k3_o?dh_9)3_)I z2Kf(r#NtM4mgHMYdzZNdyE-X*Q%doE|lT$xpx-41A)To zW=QUTCC+MCFnd4abceTI86r|XN!SrKjihhz)!CgKgFk-$)?G_4nfPu<(%{4M=jV_O zJHhHwyLF42^Atk8%sTq-eVcZg-&iKrOYp7DXSdUZ@LkKGJ~Y?7I5kHzs?xNpS~H(*>D<_;7@{8utZIgGGjum zp1;#wSvGeb5SjEtpz0RAJC%qPk~xV3OykqBdz2)MLO6}b1+hK3;x*~y6#&VuK~h9Z>m=ra+2 z67OV>+*6H>M_*jLBGK19)M_HzbPdva>a_8+i=0yq>@kxxfhmyE)g(=;sOd%p!};Bv zzg3o6NOh(9Nz|?NVv%9hmc0}kk;yt}8J3}&dBKJeJ-N0p8w0jxcJO6E3AVRW>Xha6 z_EUFGL$hXQwQA7+v}WwKcQ6n3Oo${D%c7|g06(}5*~xS2*i}~L3YLAv?aP-hJ>lbs zEmIvje|2)9p5a=EIa>sv!(AlQuUVKJXDJpspFfX^sYB4EtS{y2-xO{pBROZS0yOd3 z4Dit&Vb&WzO&}fkXWM1j466c%@RqveWG|UqgMO7dg}Y27dq7p4pic{U1jSyNYyUW z=JbX8<5minS?lY;a(O`jn>p!Go^L2az+rJ^kQPP1tzD+q-9f@|H*5K&2gl=qZDwZ_ z`3nEq=?i1qmBZ3O`b|o!D8!;N7y=Wt#_5p8M|{k*3+Y~!G^SYKKY23CIpkK9Tmx{dYesax-E~5 zl3iT_D%x=25F&`1eYXz~Z zOS|?dKjK)k4O)54C-%cz>`{}x*Fg<4IUIEQFCty6^}=ch$8l%wDv&fNJ*4XNZ5hY#eRIWU+Ywf^G= z8U?$^_4r(AO=9qAYp6JsqUkz~lB@Lo@J*?p;^pP_;8BlFHL4ofAHonSiul6dwK;dC z=kLF>0m!)tSa={G-Uk6;X@9yS#g#(a+s*jGRJbF1&~`?_BLT}70xiO8gxSVE_}Gx= z+apvA(&&qw!1l;aZ^^+a8NUQ?0%sll$lKD?$;JU+-g}SShPwU(Y}iZ+2VH`3yDo*i ze6)-2e6j$4dj5x+UqZ!k6ee#umc=<#(Szslq1oLTly}O|#lqCCCidfxKZkEA!^yl% zlWVyvRXaQgGrO&X9qr{W{M6*?nxG4DJRfj|&=}SH!s>BbVhPcpXvYzV%_ZaM+}gGK z4qBw!kVEClSymiv1qd4Z`O7?@AFk?KP0dYbmkjp%* zNE$gNXQOS~AiVYV_1-B`_VU4t|Zv88m zrr31h5tEA#M{S-*W|Q4a!_r;9jz!*RY1^jEjcg^HBt?#e<;nHY7^LgDf`WETAeAzZ0&(@SuHl@*QP%N+G?@iPTSQe z&>vZ;3*oJkjbC`~xBZ)f&3?!c0ftIt-)&Lqj*}IU7Sn|(KgeZ5Yr2)k(Xe>^qV-Qa?$K{uex_>x6K`T3pTkQPKhK?h=|00!2ytDpx9zayAbJx8Caq!P5A)%hv2tr zey=;|R5NMbcYlywE#Zf7of#rh)S(eXOyY1kZop_FB`ZtrAu*}}Qvio)B1_+j0)Jp( z@sn%ycO(Vc-!Q$ka*b-Jx}kPlR{Pe2CaLq~u~W&2K$7KlSN2j^mR$kl&#z0{;4P5e zbGxv`h<~H=@X+fZno&!I8ZeWw{j6EDHfnY=@}~1A)dhL`E+|q`a9QbYbK2r^VX^*1 zAq$S<^pHALR>*j%6||`-nb?WN!f^BP+iQ-Kx^=3>(A=$eS9>ZJF~nf^CaBdFyAzfk zd0p?l-yKNjs797IEZY0xi9oXzcQYh~c#^z(>MWX?Vv*TPB4YgX(Tb7%wOwoO#7otK z)mZnYCEeZT;Psx+a^imaUel5<+ZFHM{{6P1!g?7goUept7_T2Mkn%gu$5nx9U`ttyeYVEi* zshx1&w4#_Hj)$uAr;n&vHOVWty@8a#_w4xF*f^*$`m$lbQKz7Ca$xi+B*mrVWT`E^ z!O{c9V4G{VSF395sdLCq{|^01uRUQva)H2+IXbm42*&(;GFnP5RH`aa0z|;)8-a@r znQtgQcU~tTlyNgu)oHcMX#m^-5#J&!zq~%uaw;RuMkEu(_m_Ld>w%Yx>o_v}$>CKW zN($PZ{`xQL>9~-+$0iO`i&U|nf9}l2#nB3vjR1FDqCozPw2*d20leR7EAVIQz@#lU zIu@;@;{lB{xRQ$9YSVZ6W1}C&E}*>bD1zEThZg&20l9j^q< z1rgb16!LBDiAX{URVNm=ZWq@2i1BvLMKz~SZ3)p&s$j~T%vr0Rt~l?MXIhr{&Jz${ z1CV^QFzHYFvqVHN);15nD^V2s9Tyb@12^T)^QpgGZ6FQYI=FRyh^I#{#n#?zHSG1) zzPcgQ>jz7$2=SI(AmGJa7}`D(h%kRf>q2u%8O|QCH2mZxCG*&R?EE8@MjwL86@s=3 z1KGwA)ELZWUd#D1WMXpo*+n|dhTuzfJyX`QK34D~9vP2+gjsnupoCQGM3yPBRHfON zyfo0fq<31DzVl#6d_v^+ujV*}K8qo2=t2qL6gp|Z7%|&Ua5QJ-vlW2U_9<|NZT#fy zY@3xG1Gp%U5z3gy_?hoR)4sp__B_24e|7???$}&u)Y5Kyx?T_a%Jo!>qLY9S)G-(( zp`E$7TjaRTmV}01KR&EkWSWqY($!uG}4S_PWoM)xE zwYs@w=e{deZF82P_G8E@ecd?@>&}yRm2Mt+Y5#D;$@h<<+t&Se4q0c8Jg|;c!{l5*{BRp z{><@IRV4S?A#jO$C}G4F9B-PsOhmJR#^P)itDO_n`C4aJp5I)6fPpiZa2d`4*X0Pu z)%V=b`2k<*1L$Z_eg$c6dUr9r3ktr6C}y{r7zG87qE5-nl)&mug}Ma2*Few2pTVzr zIS$ROPh59$%K!VprvDlqgQQ3l)m?b)bRk_?huz>3!~78`*BD?rlFPX1dmfbjFWYWdS1$F#2$!po4lTszi@IuS@4O%$nieuTwV0e{-0T~q+5tg>d4GB7L=fvf z2}S6W$5-+#8f&Mas(5jGQ-td4A>D4hfj9nItGzscL%jd{+P>cC*w){I-5#8&(-4lL zIpg2Q1A9uk%k{IT6N0@4Hy;g+$weCut;UtNfr_!WDKY#`L1B^@VDK|RFG_fscS3gN z4Zdg;OOdCXjP)#Tz;NHebru!Uhd+mAt0Ig(kkfAsF5_c~S;zk?NzANeRideP?l~V1 zb5nQhY3|fi56zk7(kWorj%$YFFjI7M#WAlUVjNR)FR}0Xs<;)xAYFMzNrq?%&_u z*&Kj_`)3#5y$BfZ<)Tj`6~?;(iYQhyU~rf(0?)-YS%n?pj>2y`SHwuF+qO>ab`+43J# zpSl#<4d308R%Fc{KaUevRx;c-A30e&Z@c7C$>JhScKDMY&vp%K>b#)|2;(gxLw@rI zH_)PSg8EGDYQd<0KD};z+Vv=3aV8FRg#8(GCPQQ!so&8WwRb|0?Z1W5Y*&P()ylOE z><$YQyI7uZ{BZ0JR*J07^4L ztV-t;Xwa!%ERAl6csfFpy#a?~N+uuai#n%IFuBj~?7(xk)ShdT>wUVw&@7B(&`Fws z@*s+RbtxU)jCoY(2tm*Y63$(*1=a zI_ol!e;V)k`zGqZg+3vroV_hygHb{Hs<`!&#vx83v89wv+e4|aYfIyJ4^2uEVwZ#Sq1kSzJlRzHtcjY;iSXNS0aQ8Q_rL^2R(*&PMVc; z+x+oWqrDe6>tyn*0agCIF5c(rzOoph8hg*QN-+)E-}myM9&ZV8zsiH_&{Fkc9K%K2 z`g4gX)9H3%)lgW&Bf<_3~I&TAVYMzPu2T^oZqdOf^2ZFtnzw;+}}D)%tjnAibY>dz$_(kl7)@ zVWDPDr5aw1FHly0Y1h&v@#jXh`!qvLTj7cEeU7AEd1Hc^nMr!j-7ozoNty8mTTR0{ zH(9@{41UrcRy^M_Yk&koj#rXN=*aqXF8VtDhbP65H8MBJsM=zWK;UIE2Gci z%;pz&Mx&X{DmcF#4y*5X1dEmnX8w>u_g2Snt%T%eDgSi0k*M8+dM(Mw_14(yeKPDV z?}s0Eo04muuZW{%sU>5pqI2HlWxRwk)VfX5gF?zK6gYyygd!+mxM3oTmj)S?t?4+S zNfO75@CTs&`Rh|{LSTRpyBtXSjP?b5f&c2|dzA^DECdXiY!xkv1fUL<1YE~B$2IE= z12BEC?|`Bdht>r^;gb3^;ptftDcF$XFswb61-46^($^}vuOarXkbWvgu_c>nyj_W) zR0(Urc^!k;(-NXB*=b1~U(M{Xh_W*5g#_y>JZzu%)~8N-y^gx+WjnF$H5aRR`YQb5 z^y{gqujdnW3|_cxzs^!6e%rV!OiV2o`OL-7pi|4yTMlYmXy#N#=bf*0D~~hB4*5*i z@;6j`1RUWc9@p*7rv7qtK1CPnaPufpHXF5qizR&ogMl#OSW+8fF_yn+5JT3L``TJr zUXG3zGj+XM%jI**)z+J}XH^~LI5D*G2xVqI*IZe4<(D`Tv0RNG(KOr|=bd6~V_0lH zuGa^;qvPh4r=Zc~O}M8NFzOaD$++Uu5I$A67X|dIucv5^96y)TURC>LkkXwih9&fw z7>ICx`Zd{w+H1(c!_tq9*Yu`t?5Ba}s+4h56&l6ULyryeC zCu~pZS37LIpLro_wZ+cfX9{zD`K=TGR$_=~peS7nx{se1FJwvSFv)!+nZx61vimDS zr9k?16xR7O-UY!9Q2jk3-X?gqNfP-7D~?R_1G@>DnFI$BTbwU^c}(qrUc2Y3o~H;L z_<2E}KUr;4k_ddZ5j-a{C|7&dJ>gPA>+=BZU% zspX4PsJ|gP+qGyJ&roRVM6?3E`u(~K+qD*Oan-G?A-hG#Pj339@l{u78D;rehJPr4 z5cU>k+?^{*7^mxw=2tzyzO0pKvMcv`)tklV)-#FQ^~|m%A{N6s^-wsSRuO=v>1#|y z8?If@t@Q9}S6JF`(A9juRwYxM`l9igRHDUm*30PTVoLki8ZgxniBk(NY+0sGXlb0h z=r&QA^Cpw4QfUjH7RD%^D`f7xs3XNy-}0KcL%qq0&r>;KlD&6X3Bh0gw>$o!>S=$drR} z`HOyjrk@u)cmtA2CDjeP1jUS95)e4-20sp2ndC85E<;0I%(WJ~1@F^fGD=GAO4v8J zC`F+4o#|qgCIzWu5`{QiFVz(h`5Q4EA+8E>bVNh~B>Z_dr?iK~5YL<8L{sz)iDaxv z`<51bLD4_E%%3$$MrD(jm6xcm9aN4BZ!)|5*1l|?L-%!#gOEw$?Q$C(p!sb8EZFo< zI&%XcVM+q+$-`Rl$Ds?s#h*rT3}X}J?6Ln6gUJ{&Sl2C$+e}C4LH10I8aB#JHS@F) zK_Sg1r9(W(|077jC~=p>U#YkZZKgq$78L;-mLbF%2b2I^vot@vqyh}qni>3})j_a% z-Qk_xRi-sW9I5te%uWb-YlD`5KHD>@$;a>U#3Y7eHrX>R_%vH zn+cD6#5$%ySDaJ}ePIkMKU6Syb_Sp2K98*l=dD3kyjlbBNNNhAc!ksA$Cd-d0{*oD z4amDHYr1w#EBwhZ0o&x=fu}-v4bCvVi*xer6}KPbl0I&xNY^JhY`IvB`og{ENG9LH zlyJ)WYzb=yF6Ff!tYlKVTs}@1smr0d~V0hfx*@RG&5y+3hhp&NyWHQfbrLM z9sb*hQiWL!RGL{8>bdJT_fv3A3fVX6nWvn9K-(0N+g0Ykt-N38J@%EVwQ}UEdwj+y zR&hU$D6B*6#<%}o*V9LRurH8s*tTauc6K^$snTP?(&z=iuJ;eiDYP0?3&x~x35ox7 zXLELA_w@U-0tQ!J+7?Ubp`H60YV`b@cGsjO)hH16?h&WZH{*85d^6C^PeO2nnw_dI{|IyG@vs7O$4F39s9 z5gaEmLR!V-bqMNwtqgVato$B5hbqD6;It`)?akbIKd zBZrx)Snh8~WVynw9k*qc@4@zp1^z4=uJnF6l}z>*-QEJLpg-MDJB1TCP zUI~wsMHd0NzFX3D&+&O!F>jDVHBlf@L&2Kz1}!{+yl2ooG66roYDccPkDU#Qg~ zg{>ICaK}eV>pV5t{09zKn|VLvVgw#f7UW>zsvzD!35Ezp0dSI-0KH!22q0heWWcz& zxo-eS_BgU^y2~IuCl=?Y5y$98VLAwfAzg?iz^I=E8VFOBAa95cKmTMh3?#E48I)#| zfDqxxI3@3>jc|zR5u=+~+@34|v(2Zvs%4~bqD8_K{2B)RTre{~S zYDzT}rlw3rFKUD7L-$V6!-RUcbg%tt0K+_}ke$yJLntWV&!62q%ADgO;$|1g32M?7 z#u-&gv?oYI2K3vQu8QS=0L+daX2O=jky#*8DK(2?sr?jUuB{4BO2DOqyToWE0!4v_ z0(~ROnPw47&=_njduy0KKqL2vH*AAF6+1GL;r5u8p=(;SN$Zya8nVdh+=U~P?zbQ1 zvLf>Jwk$S_nvM~QPD`}8HV1C$+3cr;G>WeKl*ryaZGz@ zB0ZKRHk2ZxKs>fzF~}=VAUt)6=s>n4M#iFNy%UmkU`(T~LIs1rNNk!4Z zcZ@W%tJ|J_yuTc@T8q^RmT$Fs-w{O4@PXFX*CX>U8bF4zIF^A2&~4;*giX#yA#Dzz zp%4X7k@-Vmh2Q7lhCttZ#nz8ljB_sQ@wmmC2c?a4t`^F-T5GAMme}*pZ-^o$V>0`L zrJP9>97feFi+Myq(;U>0Z@e9Nxmw_})dOJHS*+%%lCC#GYwBR2P{Yk!OSm56gXBE} zbX#)|M6P`*w^V!s+8hT7&M^qse%w%<8YWT>kKH-V|SZ`>U11dYP(+BqCm@A6$WaHl?g_`@G;xc~ex;Z6JzS zN00VnCn3s@K_@E>q&B5X=1(TlyMXped%4)rU{2-#z2uU8BnH$oE=MilSB(F6Bw^4* z{H0uo{hyfs%P|e`GBItkr?me)iol@45(|-cAPK#tgOg-{jFH%^ba5w!Vcjjqhj?Av z_rf$3Dy@SrR%@G&iMK5|z+^b5(dp6gHYGz2$%1HxI3g0y@dM8eJk_do{_6FUD?=F8 zZ;Dd=6>~&g?)Gkdwlj>NF_qX1fX!&tgqIBkaQ z^8&vM3lfl!dKv7=V$a;$z2Uel6dWIq>mriGlHzF%k-;n&mV#Y^3Z3G}M<~S)gt1#g zdeOlfzD>-5nRyj%*OTPOF)F_>Yw#th>hyFf${qfxo&I@rcKnxDJa|4%YVSyiDH@H@_6*(;%48q>y z*}>SNWM5KWo#EiWEmv-3n+zD4Luj8r=ogc*WNH!7i0-uDgGpKGrf!`T92l<1K1_Wd z;_}S1P#FL9QuxMJphFKj8HT6`Y-E&ByVHwvJ^>u1d^QmZBA7y=TqsROr&-Y{~uAFG$B*?UDh zLL&q>H1ddo;C=*EnR8d+vRfrr&0B#;H^WMo%H^!{I*f9FsTA0Xj)qG1d4l6uaSQ`d zB`qQnc*{drKu}s*oB`FyVY?;;G&2%)K|5mawA}lq+)%c$V$NH8OMG^HV>(`Bx6y%u zN%+$njqeEon?>w!G6y$B>JDhWWD-Whb-s|ppNN*Vj;iwuBKfFa)GjO*pUJ!ZNf(DiwOr?S7(jN#0>(Sosz*nwRV~F_zg)pN3af4YXDN%^h4fNYnwk&o| zV<2w))z~6?aqt@gC0qcEYRXwf8dGr{oMoY#pPW48AbVZ%4~kUOwpTtddmIujNoC4) zd5i(%HTzAuOt$Y2))V^{s8rt!j5NgyY~P-N^25>G+}!Oe7tPY(8dR?^U_ylRdMhiA zzAWy%=%6h?v+(b8b&~AWwupG>p;JIOhxQBW%F8Pv`Vq#`T$EJ$wu9)$wAHWgd#|b@ zaFkC*`6Eo^ui{9$#T0GqI54pP9tK|mfD4-el?9khzXN?2Z7dRGfz?0*oQXSRltyOR z2XLp(wmBM~l75hbq9}ktFPs*rEf*D$0lit|$v8Mtb!dDCF)$zMMh~(-fB@K0YkQ8RlX=5Lq6w4_n{assp(G=(8vR#ypmDU zZPIe3g;Sd*RZtpdp_UANY>ij2-dI2OkFJjf&SEuHcSnaE>{g8#Aul;(+it^f%pc~$;99AG~b6{O-FFA8kSky?Vnl%Z_X%Dk$KsbbB0i4_07@lsC?e-18>XJI_v=wE`Aj6K2pXNb zbcf^RrkXb1+@y~XLtNkhpjEQv1Przy~G z7=Nr&*2=EiWsZ&rkc+->CMSsMV&@Y+z4EMd3rbAhuwMEv=G_^wvfhikFQot%&adtpY2=K+Bd9 zOZ>jUR`OBywE0#6hKDV3>sgb(9c-G-)VgxnZyQvvwuu#^{&1&W&E4{p(VI^mon4jh zpF8KyUz9$&akg=R)eeQ!A1XbHp+oX_G&bponk*2>bzvYV5WesCOS5XUR81B$1G(Q; zVE>JahnOLl`)ZS=CrX_7w!@&nzaddrUbLA+Qaq?4BRhJsTxpZ+Btyz^9e4M4KLBXIgfm}63 zO5tY3tMWn)Si^C{z5YqauRJ&OF$auy|{Tg6k)%?F3Hd&P-bQN-4*CLQVskxs>hGv z#y&9xFVgBCo0Csfu^&?C?je`!=#^uOa^mm4x_3)zBs}8%NuX2R9DcVq&zEAO>zg|y zNRC-!C0C|@!OY%s8o%S$tGn#?TrQ+d)(kbl=e2D!F00E_S3nHq6ey>;jI;ddZ8vGJ zFWu2pPE9DcLR#zBr2DOs#lDqJt8F@2tWSn~NE`%Pk<(_Gi0VM4yWQwg7 z0#a8)gPH+sWb%EjwpR++k`FJnGqIe;EW&Y-e%W=Mv3vCq{@{i7+Q$t`@EgU;Apy zSlrUK|5?Ziy>q?h|{cmaiiYu-CRD>RbL?JSeXJWmt{835-! z)*m!`-*u~k84zmN`&9qF;)lemU8U7trJ^`)lCzxntkm!6Ps>fWlS>Iv3KdD9_cX;2 zAoBFV>8--dM~%2SJ5QyPYx^lqU%U0;GffqKtnS@BE9X_5boTUN^gU}!fD=R3Sd#luhpM)%Z~%udV!i^f?Sva*Bt=+y*XbJ8v#ZoBJ;x2{a7Fyh$0>!OC3q?vH zxVsceaSQHHf)v_P++Bh@1pD5ebH2If-ZOLmf|JQ)k_Iq) z=?IfH_8wKRQN@J$X=!6HD8w*Zk5THm1XFl)Nqprk@E@QdP_O+DpT@u_+-}rzDtJ!T z9QPx)!;|5$QuAFg!>A$u{cu69rJ;+|WQAN{d%MA;ESIT+8LP1GMF6SQYc-)Js~p8moGfE~pd+$ow_|;cKSdcd-}Yx6gQyyw9pP9?QQC zoG$dNjH+Ti?1ZlfvcH;o5GhRiqRSu9yPX%DjZ4$tbC$fZvNZw7tN)^5a4|sL)Z6Ik z?Xbj}d1|e%YIN4<;2D}L|2@f; zTSePsw)f76UpUpRNs3Xt^QNW&1_A0GHQAxswgns@@N0m5A)pqrV|uUI3i1%U_d6i- zvu)+$ZaN*!RrCX_Ae#=wbGNq>txZdEN8n#Te8}HF04HKMhx=^1FR-G-1b|8dpE+is zDD@Z548dSsw(mVAx;H(Y)|9T<9d@lRR=mxJXWO5O#rVF6cLy}W97~Y0?u9KDu`Q$e z>7=#-%zTkCkRNZ|!3 z6w)U!17#e3`%IhD5`|uLHLzTOSZ{%{%WGDew&Z8da`(|b@7Q~&A1GaUMz%9-e!eV zTgYusRTore-dwK6P>-xA>*)FT{>YA#-Uhos=J|B(--3`;7ZZdaaUlqx&W@ixsKg7| zW&H6-e~eR3Dlcfv$-GF@^yFIgO?=kYn(0yiJ=c)@59tx8h}rV62n_ty_i-w7ejQo`PrYXVk2fMG;T3?Y@%E zkV~74x3`-77wXV`d2MtF>!xSckjrI}==U=e`_AiE)r;#DwupXDBt34Zq=NA$_lM25 zPR6H6kX!`^rn>p`HQdk4oPDd=?{rTeNu0OZDhA)wEydFQTC*$lh<`-#d~?lG`+Q0b zs2e*}ApDUFK@o9OI0Q-uC#|)as|}P1w4X{Vik&7DWpvt;`m7Mnp zX!EtsdhM!QBanPpMng7b)Xzc10NHzCs_O%;jpm!p$Fpk%6qGn?Loo+Rxpl;ECo8g8 zSXfepeH&-1iyZAF-t4B3U_~)VnUJI=Co#%~rSAKLjf>>n?=piDF@hxhQT-tx5)4*~ zN?@Z9za{gE{?BZkelH`_$oYt^&On(dfl?9J8JX+aBq4@Kznmwd;a&T!E7;#tqNR_{ zYBOJtJDnSmVW#GP$gpG8b*%N0Jr8UU2I9$A8Ap2MG&f3KZOA(aop@ho(-uT=8~ctq z_-igo+xZ+8dLAQt9jV>Z9cxs2#wo1x2*096QpDD|hnxNNxR&JN&PrnE3wT-+#^F%s zLDwd8>CDrE?X1vg(-t;NxB=I4+8~oi-sfkhWNm`7`DJbCQ@F{q^jQ{aO>C8TH?2w& zyt^ChZ}y~pG{1Xu4gM9zaZXO8YT*_e4IsM z;FN7cd?+fgZbY}dU((eQtmN}-uX229l3(G~D;u&Su8o8z>OEPn@|!*KcdmZP1XoXI z#u2R(Z2uYJ>} zs$Z*jqUJ*s9w%<$Ja4T^yhxpA4jPqx0761EZk+?K4Y#+oFiyWrDf1h_;>5Aj;^Ksn zEk~P!3O~IQy>lcC+s7I;SmJ|acs2QOd)%;s(6uVwQ5BSxY04cX+O+v-)Dj0?>rh;6 zoQ)iBtU9V0o9NXp-r576%&JbJhmd1sl#M;N*QA;$xHulSlqK3zsX>(2vKz{KbcJ8U zp!f`PXGz&pGw>u~&*obCt~!yCZ401G=JcW*?8P(|#^(}?kF{ct9SEFuJ`H1*o{R~aPk;afMzksR<^AEtH+ zcD4QraCh9y`Z}BT-p1%n)yOsWJ^@S2$4)~u66NgRsEt&9X$iNn=ptZeD*RCzMlJBg zK{sV`tVFvs^S9l3JccqA+RX+KZ+^k+d;Tt=x*24-uQE+#;jPSvX0<1&dy@VH1g_C} zv&#s1=e@5c#tRp8jjsM=;%?{9^;nCe!8XEPqGb;$5AM5inE=7H7?Qn)+vf8%kGCql z1ydLeGN$6|oAH#vU7j?oF|lv$P{P*tBMvIl(J2>4V9+k}s5{_H-i; zHv4(~!@*D&8l3Xs)_de8+{#fWKTXvc79$T62N6aBt||Vp+HM)G-xynN?TTd z#@%2XWdM8gWFT!r6|ieE7Hx=X1Ab;p)BuLb_E=NxD;44lY4wG8Ru$Dgx8w--Ki#so zm67BiplTZbo3V&w&;xMx=kZ=`je2nrH5jTGWtEb+;G((aSZRr}Rgw{i+V5Ecru{QU zPUGe=mi&)!5ve9El1qO81wUT;NHF+CDdBjQ(_+GTrcpCi77auvOLo5OxWI`nYUL}R zMz5#)wV2~T2IhWF$|7fQtF1A8`{p^!HTvSFzY#9e)v7T>c{Nzj@RVwuWamxvdqZ6R z1}>y;Z@bfUl66_a#eCvcp>)CU`M~(P4EzgXvXZ4*z{olpTa3uXT)y>KT01wUC`XIq zojw&0OIM;jc2Q2Mc;_8qqTX>74nlM+Ka43Neb9BLBc7B}NAoj|(%&{n4Ecm8ehJ#DG`RMvk|a+SMO8>|}SeDtai3VdC(Xn%pdf_7A0NVgX=7 zWsbTLEv@KR&fVIbax=2)1QcfGr+f~0{Eax))Q%_;Cq8n#+;DQN9zG#=Y^ut=L|lDmPe z_h2D1ct*7xs{Je_VbH$(G$|o{7CU*H4;6cWcwMe6Qt%-QB4-z4P^4MXuO0-~t(35o z3x`kY9!}FB4ffeFB}mO~AeZ4%O@n#`d0Rjuqmf<)-+H2c-o7Xb&SrtqO$jyaB)l;` zKYSIa5{_Qiu}?vtUrc-XHzSW78LlA@qW}{>y}NSP1A_U{u}T_uO%z_AF#V;$Km)qt zCeq|gK;9pw>6GHA$mhBafpno-O!28XM3n6QaSAyAFs8M41 zuiVwQEMZ%~Ak3grOcU07T+w>03Cdj5~KMKeV_hS@k0Y z!ZybrT(#BHCKg}lxibNXXx2(E^0nV)p#f_eN`2T(kezvYaW{h@?)CmDZ^5D3^tfi% zkIJJOe9Wec&()3F+Q>+Hi|UmJJ9Jz*v~3_vI6Bk*C2TX_UiH{xf2TeB5Vs<#M51$r zomeNn;+%z$pL#r@>R->Rk1&V%U9NkAM`kT+(JAttFfC9iH)*PmOU&plEx;_huyH4k zq%rm<`Xr2N3v|chl_)&FWjXxDv%0^j52}WLR*#=M3hy&Wm^mxFpZjE$0Kp?+)F=^X zcF&-8`_`8^%Q(cpO$+85L@urFJhBZ9&yV>PL;yaRaMQG_(M*_q8F9CJeH$jkc~>s5 z(Y#MpIF59_6(w7PA#OMtCj^6TLN_1U8x=V5kD!4SU9w`Dd499~zJi+%x@TQg#SZk{ zq%ZmWb#+)El_nCm(d^8BiqsS!octnEHe2ovjgOCKB9OXq35kyFVG8<1@+mTs z@A1pvc17KMS&cWIFU0VJe{}UH@euGFmzUVO=$Pi3j56SKAA7dV z4hbnKjZey<)Ya1_hFKsL;(j=HNKeQHNmVGb{%9K4N@L$quna@bP`D{SnZn{JKeON% z{Mf)kCA3R|x>Mj92-%(M`ec0+hT5%Us8XE}S>1s3z74`66}F;g5VokcVKH^K`229X zvdVD@>E}8*WrRsRd+`T%ZPLLG9QBZOXg|OSmS)6WR^BbCK+A7Yp4TY5dvEwf3>>?{cajKCm)nm+Ydr(LQRuK5yH73my9}G8 z#nOh3%LX4hwX7us(9dgEaN+D@su!;XAbnVR0pGS>3PKrk}hOY~HI%pN_uP z*{Qb|4)$uRu;D(~kE8u*f1TSWD|OTtmRpm)ntdsymLC3N9Vf9z)THz&1EJddc<9cUbMTFh7#&|_hiW#SD~9+&sjl>Cxb4k)tN4?onq zcr@j)n)%{GZ(m5UYMdytU{22Jf}Qxz%1+)b)uLlV$>8?hW1`c9y^AK^`VR|mEi>6L zAIb3%zT9<$KSPpK#Fr?w_>pM6BoY^!CPNs%oJTM~Fw38axLl?VJb)GSxXo?eh;C$( z)@i)RxjHAf?v_O(!FDkWlL3XI?#JLQ#la^>IZ7=oTq;i`5?4J_$he%~^6;AD@PPf3 zLXAOGm#e#2P?Z;g*P@)Vv5HFrEHm1dQq@_pP@p{VN zD*{8AEC}f2k^bvbWjm@w>ue|@i@hE=qlQ)ey=qMjN}8&`ADWW|_KZU$B9GEK6Xbzh~;XUn*M^>578*0y-0Yznpy zZ9V6#CDfbIq@iZ5B=o)Q4&fSP|8r)}c%NV4@mRYr@3b3_#&x;f?Ig(+eMnH4>CdHH z1Lqj$&jk{)sm%|4)~4s1i&j17d};mRtk^~ow-Kd}8t}WpRJl&`x))yTEuF`O6Xw~X zo4JbKiz5ik2=+qYj?e*@3@Wh5>(bF6_2^L`R0C(uFo zxa0n|@FMbahA0@b-p#CYQDy>6O|+f8@$IU?bhW>4yJZ@WW!UZv zT!sGq5}KNsedSBm#gEB{j{#~jscA5jyJ6uey3?%+`SWuVs`Ue2crOr+13~8|tS0^% z0GL-n@Aju01p&*s3Iejjm#O^hm*<%-KE5m<93lU z+R=Gmx<@CWhvHD1S2%ANE@`+X&$@(KRChVYbOWs$(YIw2!jjgf(4g~|d3j>S5H4?7g=i}@s{Klco(*EHl*8Zj!DDlG|#+%^k(wyaYk4+nwEY?}E zHmg-ms?|A2T=L$NU~SOLh}#04jxk7xA+2#-0>pn$c2Ib;;8%UJ#NIGqUty$eplP?o zqqyn6CYpPi>Jg0dY_L}@GMD&qhx19cFXf0#k78PKN&6$(>o7oK9&PM%MdtTHM~kNpnMQxrV3+!(xojl*g9NXu(fG- zAyC!EVU*8mw0*CVV>tBFYR@wRwIO#{o0NX82UXV*oTSRk$E4~Kg%E8NSd~)wr5-q} zj?Z!H2QN}j^M^=YJLSyzX}7{77CRuxGo>wp?HmEdS@vaXJORqa^F_&TXdgOlDy}3K z3<`puu2d7 zR!qO@K%p0D=Sb{5sZy~DyjJW#IB{{;t>*&P&B^d*Z{&Y|H9#Fujj}i+BAXsXy>KtjTU}?nHWTrJIO8%j(B3J@K2dXcvx`$cc3=JWG3b%;+bRcf6Tb>?B z(5%>-nwZG)*SXGIY-_o|ZchM=iAP%C5fW`6HhB%`Mv**_@N@`BL6(sEoNB#B2lCvH z1IYJW2|tLXCF8YEADZXhh-PVx%0Ms^R^%yWda>PUx!Or)DlI&F!AtGUx60|VuisR- zR@DE%z4$o2J{1HUCijZF7SN5O($hRk^mG(|MoaVD9^lZaj@Bk+@YZpkxpIy8&sdRH zmSWEy?xYugAKe>-wVR7q#Wv`AJE){MLt65UzIg_$j<+@2cs3k=wCq|(NqXj0T#a+X zIZw70)Urkn9uqnPah2PLxOnsG_=X2XRz1%4GW>*@2`Pvl!CN=vaxT zXxCVrdR5X8X4HPmK{9gO_{wJ2A&VP**b3~D6OQ^u zZ4)DHF8v5#h{Gvg8xCQ<3_7hVHkTl6^X)5(yF%Lg-7{ni)*@x_rt|h&ueGX6DzOtf z8Z}PGVzRZZVt4`_y9$M6ld4c~cu1l~x!IqA7k3{q%r4rhCK6xC!1lIRN4QS6s&EhH zQkZ@D1*sD#VdGiiI!VGUtR3z|yRN(Th(%Y~>H3b?CJq(!NZK0}!K-jVJGtFlg1EFf+^Uciac03A^SWEo@D29lqcV zC&-$A8Wc+`y`KVfT1a2aiIGGpmZ5$#O1#Aos30NgL)u2N&a4Edj@PGGVOL6HwpAt4 z^%ATm{;zL{T)s41ljL=afd-bGV<_Uwn;pTskZR=bbBCw^j* z{#XASpX^icm6aF=6GEY8GLa1UigIQR-q;Aq=K?U7n z*irHIo|ei0`JL|3Pd9R@tnjxk=NYEY%!y_&1I(!q(0FgrXQ!N6&_lIIHI1piOc|I~ z{VETRemT_n^oFLl6v*MEAS@Qj8^bUZpXi}u!5YtJ95BhZxBq;_sabmy{M4+JRrIol z!kIwN*m=Cypr_6XQiXg$Z$;>^gK;%moZLs<1e9KEkm^Y%V!gt7v%8i&AhR0VWSez) z*g?$wLKn*xhfM<1O97faHKk`);3Ppx5%iZhqJ|SPCAh)T1tQjQg9=rWQ{(04pErI` z9U+w7&`A9CcB(3`P7%L#%>-a(2Kb|R?c-AE7}h@tI_OxljFuRQ)R}0iH&X^0*Y#%} zoNOg$`p!h}SdIE|Wu*Yn90*l|ah99oyDyR;^8RqCYXVt()dCoG(@mD)nU#wT>qD~) z@taz)Y_*?wZH-5`#9M!Vv&5}mO#SrTTLoZ}3(bv;I$M}m{X?uKCC4JHb7M?FlB-{FWHzCKv5sm4Jg0E0bqNJiF9+2Z0L0m{*qsldXlf~ z;>Es3D--}j(RH`ZU6cy&}co8NbdA03h% zIN?XvPuE$aqb}yVTbY2x@4R3H_!etf-U~cOzf+fBq%Yg!L_LxH9|9o11F)Z-|lCM6qPB~Bva%yV(rc}y%BVpV*}`r zLS-@w5m~d}-J$Y9k{!O@ydts>&PF%yk0V(G9qmmpR+*}-Pi&_1SSt?whlq9eOD(cZ zIF?)i&9|SV*`D}~wS+v2-IWZX^mVS(e0~OAW16$+W1j_WQ8Ch2_E;0{*tA$h2P}?U zj6+MU{U}eCU;a$~Ewvu!#73toyrbdNW0x*juU@xmK|Ve=TXpj(*CX#k7mO^4IqdBA zWjkPhUP`X(*8!QsIFyL=EyfKDpM!XS#4r7KLVH`$NZgK=^DiLKb&H1lEq78x+xG*v z;=u5&k-f&DU^?(WzxRK81g?BT#KY{rT@p5r{vX^McuA}BLAlOSgHBBSUo+|N^#A9b z&AsGO#?n3h45BA?*?7vg-AM5S^ kx5oZ+)%|@3q71r`a&QP0g^1ctKLBn@a_X-tWXyv92ZCQT6#xJL literal 0 HcmV?d00001 diff --git a/docs/images/CSRNG_block.png b/docs/images/CSRNG_block.png new file mode 100644 index 0000000000000000000000000000000000000000..38eda90c0ff2d882d5b2e44594bcd9b08f1c247a GIT binary patch literal 97944 zcmeFZ1zVJB*fmT_3Jgd|H%fOS9g>Ox(k(J{x0DQ$g3_&s(gV^Z4N`)1hje$tdyQM& z`+1)~@EynZ>Toc?%-mO=*E-i)=N+o4u6PssHZ~Fx(oJP0xrazdsQpMtDBmz|fZqr_ z8Au1;kewbX${-c?Qm%p@g3Ps*E!5PI?t*SILJF}$Li_!iXW%>HUmW-X@BaNAH3RwIPs~91^J>)o4Aei*QNAJGCS&F? z48CF6E9p2PA(0XyzK|``2S693kd)=5AGsrMq+-=Z44t*7f7InyV!TTqlZhFs^y=*+ zxgdoe7YcrSp$D(|C)Wg7??&7fh=pZ!-ZEFBBd0gD(&RtBH?!BD@UQhF@e zaCi#!+IG{nuA4a?UB4`_)YiRt_gGaPO-|~6J!t9tIZCj_DpcKrTwyOU|M%l3EiEJ! zHKhK3{{<#xfibx`7;uK*V6x&hNRdf((a5Ng`O6LUULoW;%vQ0 z(CY}AEbdWa18*g9UC9i2*8J+`kyyRh3#2f9KWNI+yiO?z^Ev{fVpHC_ghN z)ALG)g^l&1hVobqNf9rvlVYPrlw=;$S5)q^{^i^C=dXGUW@V3Xhq0h>Y20qz!!Z?I#qo88C z1dLe!@%6zE4cEC~(rP5U36r9&;~Bq-2nwO`k7~)#q1?wuQ*JX=32kc;F1P#Z_j|=A z)`s$IRtK_=E{|s>z-W`}M%4eeNd%xtkc}6Qehp0QSI(LZ6Spas_$kLOj`G7{-HB?K zb?D-^cWtiss~i`hVBX3tdTv)RUhj2rJt>FkOn}$vli^bcc2Cu7h^~GJ;v9vUugS4j z*h~!Tw_@Ou&1R8H`}T?~kFunD&u>>o?x!AqY84e&{rvRr^knm?pBS+)-Y&3-FY}#wPEJ?t6Jep4Sf@-(mpVj$m zaWJV#(N}-iemPEx3?cBp#rTrA+V}G8m1f(E@QzC`9ng(YIQ72w-~&aS2L#J9K7z!a( zwWj6@8zza>L*E=9T)pHh48fX3+8B)*~v%+G_$G^oQ&BtP_htT+rx=z>#xe-UCIjH1VnMcDWcb+I1wZ4l75;w-KT`^5LVDN&LnH|mb3vCz4$E38l} zc9+xrrh^ZNpXwQd-Jc$|^rYZfRi1EG%BVH_5F>vxx_JNfWlx=LD%YRp)1sU*70bsx zKV@scSQmz{zCh8A*71sSdExQAy^imhsO!cG{s?7=xlm=|-fUkwZyXo95u>>1)yYCB z$1_Z1cKrv|-0OoT=s5U`DKuANnp|iRmS~;=E|aZ=FW9U)^(AL-|2O+ z_o<`df=5x^(H^6*G0pbeHSyt&U+lGA|263xpUvgPe&5+OL2%B$OO#25cKx&^9{UYb zwcJi#|0L#)eT3MZVXk}~IQsffUQzvvp;8lWNRRl>g&X6Q4yNZIPKY;i>G+IAnJ4{J znDO3^@VoajT2>s-37ib|H`#W1+9?;}tmpaQwGLZN!8iqui{ET7n~0NJl*_C~js#cw z@^wn}XeoV9<~Up4`^a&pd+&9QC7)caypOsakaXw*ApvM`Pq2za&b7jJO8lJLwp5R( z33qre> zVH+!%JNMPo<^LeW`$-`3DbFC6T??UzOEGvu-omWp1SSYdG0o*z6a957Y&Y?jTA<)$ z2}^^Jh4FDwPj-@AOgiuMzQi5xZ#enu+rn=rsm~h~tNfkmv+{s~7?3 z==UP@U5yJCcxr8BoOIv~of_B83#aR=%bQQ1FyA1cg>B(*)p8eRbB%wL43o-*B=A{A z`s}unUR{TF-X;DM*+3k>1#oMkBMaY(C_xVUJ3iy4Am}>r#M*Gdx=BJG<2@O7leuSb zlVC_rmF<+MhHucjL^87&T8aH`J_YE(1O&LHK8`2Il=oKO2Mj-T0F$Ea;l$smWp7qB#b8*yvK>9TDF~t87%hwO2*M2M-Y0yfC{p0SLir4>wD>H25&=u9H zSaN47@t~)Dj;(E}$ss$M_89WwS_Em@{&00uk2XiuNwbY+QQQ9Wt(u~+hk9C&T#jd7 z?4Me(cTkBs?>K2skXVzWkjL8{4Sm*XC%R+IVLMS?pc@Tofw_zq7&iFo;qbW7u7&Q) z6`NuyjxyXeLFXU2pP8;bCg!qchA(jtT~joi_q30Xu-zawJ;P6qRvv3s-oFBUn)ZTb z={oSg?IlFCw>p{Jkpr{qH8I<>A0O2u@I?B}(WQHQV254NP~vcDFHe(t8HiFsZvlv)gAsH14@@5ne?N=IijitI4Ym z{TBjdVlqCV4$f6)3H`c6B&A8HaxrF^UX^ik_}PoXH1kY66n+C0um|oIe#d;YHc$Kw z8G~}Rv%MIX$WD#KK8D1&fEh3Oxdzxj+`C5316XzxFlRf*J!5;175p1Hd z7`O9rWHpz6g5W%Iy1#smMwp3dRvmTh$5`a~#Sj7a?h_%){Pm9$k^D4>P;JoWcd zXIyv&kewbp#JyGRcIN99IVgPwWD@ha0sG@%T7~?F#h66f;qG$9CJrBcdrePS*UV_f zij{d^36OR1xzH85O?y}tS$4)SxgL#K)^tcLzSX*ldxT4;TFdV<#~19WEZOF$1G8er zB-jlj;n?#zz?q9&$xu6Tklg*Cy|2CW+RQRp7p7&t${wT!)jL`mF4zZsINol!p1y87 z@nX90Z?IGwU9poz^kJT8_V|q@Bn>s8IBMx-sNbvd*8oSM_~&2OY|Y<=q++|t`Y7E+ zre5*b6}p;f*l!q$N?1%Vy3<^uhRdr{>!JAd)jnie+dkcM?T&_O8}FmaV3yo-yNK2? zsnir2jqd|O2C!%|;zDBM-aXyB^wCfhRi(O}CY-BlhW@jyPFt-*#Nw6`B{B+UfrfkE z0VubG;>N(K=b#&el>@CLZAM>?W&2Lum*^iZAH|Wc%CFClQ;Un>^Ta$oQ2X{sDhUPA z>U))+3ArM?1XL^*ANw`Ex~^e>9lN*pyMDQJZ@=YCaoOsU8vh!TF&2r4KmT)NPBY>_ zBT_vRQ(-n9VnU&h{*1Qcj-#x^cX-=HAMuk}Xz!p{-uhhveA&su+aKGG#Dy1Ua=tyf*AN)96Y2_EU%s|{ zFqj_1nT!4BNbr9sXVmr3xuE*duiyAH64GK(t2}#LWH5DG$aW)fKUUkv^)cg2vw6xS z`rUsatL6ZJXewuTpL`JSQ<6o=_m}k8GpoR>c-~JxvwKA6jMC-!CCJQggT*;u`b3itl~z+QFhf8+@0`L2I*`;lDb&LYyNE%q@LasD&_?szTADB z`*YYvj{nKZO$xzLfI+(-A1srW{d3x5IhAujS+DIF zfo?el+-7_#xN?G7SrW;uo9-P?Ivw>0&73%3P&r3Wd9D}K3wmu&*G=75ufy~i_GthJ z|DsZbv#RCnXmj1g_wsN=5MaVQ!-jf&*D-U#ex{@N>{q`L4ecRTN2=K2_8U)D1VX)| zh@`^NRrmOlCY_;Av*YE@SdHpz(nc}IpGti}eP;uhX6#?h>is~fJ>_!_LR)b=wO9Au zr-jhK8#f)T_XF`ISDu)md6Zi8K)VxohKr45i}GC7he5(cp(12c%y|Zo`f^dcfoG?I z@2NRJU{lbJXgULpye9?Xe6|E9+PTSsHZQc>5G(%ogBAi#NIA1F`oDfbYlT)!*q6xH z9vi5Zz}-0Qxy}(~Xi(*tZH(S_-y0AW)r;iEYd3D*uB>t8w-9l@F{e%`*iQSlxr&(W ziL*nGtKL_*WNd$p^+=)ZVVmc4y^mLgd#uehfTZP2DsiJ5W2H7{2di7d1?Cng=KoRw zjP!u+gN2v>lZZ1$An~+U8ZNY5E=1j{K4D5Dr>j|r6!YZe4%&R8YqMx$@@{GLIaZgh zu|DV1!j$bSgCIxk1}f!p)1|R&ie6vrZ@y+LwC}G56J6bro%v}<#9IN4AqG;fo$rx@ zCatC?pkipOe6>}x4F$)iZLk6@!?(^3aQ;7!YHnK#C$3e9xIN8`)uP1v3iffDSuKf` zWPEjv=Fjf(pTuN^Yuh@1(fDV`@1W&A`aB=n0kcNH+5+#>y>i#hXY12-HM(!$q8M|O zw||NaoASCaB+SuF!PR^s~ejbin>AWui7 zAwvef_>NT3c{pFM{W>>Rn|+<_kB<>_wVfArfN1h8x5@wJEeSTtR8n^+YzDxasrYa7 znPl@I1}tsm)>-{vt}tQv6#Bj`YYJqz)?+2+M~BhR^Bor2(lo=J{=59RP;||DmagB8 zNRR(X;yURGOj2bh9evjqYbSf@x?G)oEQjMPPV)hQF^6%^0^ z**gPqNQHAx4SmXLLff&SdKI8W?iHE~g487eIh(Dy3x6Yas{&wDMm6*3{)wjZj4v0H z$}$&-O-hJ1!`y1i{{shUGnfidu>Rc`|Cuss#FQa@nELy%|2`oA?ZP1ZPfP!8vN)p2 z$VxSTQ>_1NeL!{LF&=RKyD9#Dq6dMd>y|i@RmH#1AByLH@A~3L{q>dCIoOsPMGaTu zDY^Hjs?5K=g;TkXW)A9CaB8E;(UxH;$>khfKG^MfQ;K{B{lp~RDbr(WQ1K~ zUxjU8i*N3GmOKuK75Z<=-+x22Fe3wW66d}u0$={_x)d@d?G3-n!>8v*6Ltk4&bQrO zx?4qLG;e%)c4%AIcd4{V47%$-&q52)zvv#y`uoN^jKy7?HKU1^X?LuhCATgotDL4h z)1zcvV2GF85<^xCCJ}QK_!XId_dJ@ypQC(~zG7;e1u-g2_rb);?dCcBSpol!t1}{E zsk^E8ATIttAFL0+0mby%Fyh}%{OQdHA`oqzt994%{vQ-g{K|bsfKeO zvvJ5mniUOy#C`=h(e}c|uI_Lc9Gf0EL)QOkum3GNS_sv$0K(+9>QszgYd)*lEVE0HF}UB`wPd+Kiil2F3YYoh~Dy&NF~3h+>|Vn1$AX ze8IH^qBv?>vl+Kj=`J>0WM~IAWN~LK%S7M3YbXE|Uu5wm1`(&Cu1(qFTCKgZO+bQ_ z}DuS+KxEKTy^J|BOuWxz|yvb{nR{(V2M&Ws7U+%1w^#5wg`%51%|!jvea||5PZvqOHp@m3?EX;~;?#roXUPkif4kK;wKrkcU~c+V zrVcvBOZruM5DdsnVfEVGyk}*(4xE`UZlST8h#O?8qn#o6-Q^UgNCZ{wI^{Al_7WKt z+M6O$ALRu0&&Y;oJeOe_$G24{g6aMkZ5SkmdI&L{hFc-3qeL#yDAq^e?`;|`k9_gA zCc!yXq3<>|G*tzb+>5?4xby16bMW?jlh)VMMWhDqjp(j`d)WY|b1|5JF>22hI=49s zlMI<)Y|i<2>CJG&A?wf2OsnF2d!{yKMYMFRo0W*GHEe8~dtJ?s>}zPc2~Fv8Wh@UL zm0s0od%3;jwm6!m2CMPMr2VYmZAuE8s6>~u=5C=)o`jIGqm`;N_TRyARqmzmQXGfw z_`&Lrn>akCRJPMBY3^BXDuNw|kJpZ;z4`#GSr}f}nyRVUx!Nf0^WM-0uqo^r+6D+a z1>oT0e5E0>c&p+rk*=CVrct(nl4%_PFM6XJY+{s-9dy)zJ$LcLtN1K?omGVB6L;f( zz7v{qjy>F*7Xm-DK z9|75BzEgp$B@x16)tTnCwaPcqVle012IVKu1kJP)JN+43U^7u(pWgWP1AS)n&g;ZA z5{_q}D*!DH$9@JZEZ;DoXsu`J>l|=xVrhfR0ay7f?_u_9{FYM7z9Zx$GEH1vgAtR~mK-$%mwbMKVz(#nY0*)TNyUtBjhof=<*^WZH>Os8 z6Q)g@@wARU z$h|ooI|n+$kAP@qMXK-orrpG$8%RHP2(`j;2LRHXn$T32VI4`?I^*UW=>m#7ytDK7 z5oE`?QIyJ9hIHWMYt^G(1gO>@Oc2T8p5;A3=tx)GjZ*g<=H=XUbc>Drshqlb+Hl2f zUvzgwQ3w^HS$($p9cDqY6#f~gH7<=^?n15`+BE9{3E8gmZ>|rE{HokrvBSTs|BR5g z*wiHGe5=i)`}rZ$gn7JvtpW2#S!rzsUr0NqGj`#Wv?fD0NK@QqdRv5iaEJF(UB~ZC zaHNfNTqMpB+|qb1546IvP)L#FS^zB-)KdtlAqc7sM5-=ON+596wT z4)CQ@1#kJj{a3Lq3)rz~l*F)pdsDbr>Fo7spWnv&D9ODL>>Eyh*iI!Ol<=s^M|@U2 zExbf+KoSax^4G&L)(nr;_T8>prmnyxqPPTX@>wN?S6$;cWsSrcm2tR>*T4tmE#_%( zc#)2)Y8rx|C3!lPA&q(uJ(E(7e;a|3`qr`0*(}XsV2_3+x zc}8Y!TEWv9@szZQ)c9&K-ta6T96iY)$sNi*l!>2NzjCa}LbRfqR$6%I)F-i=W)fuf zX2Q%#<$0j>?06Q@($B}zwF(ve)XU#fZzM-B{D&C9FEIA2U#B_j@MyR}(d60~}=iyeAy#RP8cg zl(Wby?0T6(vG~ddBPaw>g@d=j*~}-$ziZGU#i#vn1;2C*R~^$6JB!@SiGAjlMQtK7 z{^6;@-_*)V3aPPMJ0zYsQ;Y5zGmAX))w@#9!?h>x6>cUASjVwonbtCd2`1^G);a_1r6pd_XEg_hfW&&sww$?Hkdz zhI?MNRn;ckv@kN+$@(6GKn@sOYqFz1I8n?0@Yw)7?nP;mZL?N7X`S@Cdjnq9V%zkl zrJV67Y2*S`5odWUakA=6reqK!y@>bn=<~+;2qUv@%arV%Ph@7Nckc^d1Er+rs{5qt zgZpUvLmhUH-~{tM%6_UN`3d%pWrWz4jzh4)p4{qUBErHRZf&}V&y1?QkhF}>ya040 zwTi6f*Wt?uaWu@B`BK^a+lPDSn8XZ;6ewgGV!iKgnlv7dS<-0qzCushCm2(GQv7o? znABh;oS?r&?gy#PdmP87F8P=rNC5~ws5WF8(7i!>1JA-MQODdu>{k9aT*+=>KHXki z&Oq|gzFIPGhh=I(Xo6*r#jM$@1Uc49y9IiHl;Y0^C|i6WPE;JYXE~{^NfH2l)kmGJ z;M;I#^wac88^tDsODBcgWFP&zMI+Hn4AVsu zRBpB}Tk^Jmlc%_&hY9kvY9~W}be|}c`oF?4*)dT_LAyIBF9CRXnd`OwkgCgkWlliUY zIVUQcoYWr)w-3yc2Rn7nl<-@xat}8tP?{Pqo#rUSjZn=aG>S5c67kh0!elav6^uUF zIT4m}TOW`rci^Iw$XT}eux&@cUyqoPVfpvG+7PEmHBDxA>qI}2G z%!l8|a1&fwZzeCkC*vo3PS9Y6CqMYm{yjbQYxn0d-rTUcEjjU9fe>q1qIFdius>5^ z*STr$7=t}u4Ck*d^ZcN|=Ia$Q>p7zDfWP3==0#OwwjIy9hZ{rL4&}p=m5GVf4Ecke zq|kT+r3i8{P1<~?J=BePX|@GrdfcaJal=WiZA|^I5X$bl@N#VB%?kUNFuJ(iLRn|N zMva8qecZL_4sGQ1Eo5D`dlyZ77+X`~sHx5a%3Dv=S;^bb&)`5(#1~l*kuwqHyP9?; zrYBI5q8E*W)i2+F*_~3`)Xmi-{EUpdn0;Sn(1a=yj~3UtHY@nj>^p8~`=}yCzl>Oa zi>WaUDl->$X(#*=t9|t!!cYorAQ1_xaObK?=38awUSyCQWUFPgJt0is7P3-gjFHV$ zinWDat3VP{k+adD0xM9J*+gMi%^8^j}xFUGuT+#V#^ ziKEf-Z~q&BEK0rt=FTG@k;mNryO!2(jjpetO|2 z7$&#o5|cK0`0LW2L+SGyVdI3qa(PyLG$sDvMM4MNTj)HBC>)Lfv{<~7v#+~l?)J;EWSka3ezXwj6Ckh@drek=XTIWD3T06K@(`Y$;FNBp$yxP zD8z`+#w9V86Q1WbpnJfw)dg$&Q9|hbupM~9Rs*P>S_O%XbpMoA629HSJ8Xp}II}8S zf@30BZsdYTviHedeuqBJ!(o$=#?&K^U|$uJEY9oxEa95PrDcL6`=K1p6DTwPC~0c( zr!?ZEHWjdYX-ffZVR>{pH1wANyM>Cp=Uxl+q%=LEFrFDNkYaE)bv~ztgt+h}aiLkS z0{Iepak7ix2u@1*3sks2#`b7M(Zq1y2o9IlTXpXrd2v$31_U0s~ozT?2+At(OcZ#~CHPi_HM zdC~TId34_d-FdXn;{h^NIU>QJ-AA$dv_*@IdMrGjB?1uPt%U3mU&f9>X*WTZ0)Ng3U`#7nQr(L5@Q z`H1Y;HqN~1@bL;OFnZ=Bn(A3W^ErpR}=2c5z zy$H`{^g7yD)%lz(WVe;~oc3qcwK$Pcrh-x_2_Jn@rA=HVBZ+9zX*Ksq?+odt(dOd2 z@TN;68Dt!9cM@fVx_(hdqP_lw10B#Y9|UW+UB@<%#*hIhUR#>zW?4eM-b&dwvth`k z#lh0=7HJd6w*fk9dI57g18KQ;hL%ZWP{NmAvg7Miq=hDcSS^jm8cnvPumxcmdbRHG znoD|mk2l({86TPXwTaes=%m)|70~&HpH`zQibN(m*;czDb`ER90Zod z2|aAojUAD8qjvE7=##Y!g-O*r^SK7Q#E2lpj}dzw3OKn$A3q!)7fk*6M1o$k9~QIb z8B}~0;W)D))Sexd+2o(dVNFK2tTXY>o4%@tjJ8QpsMR->6FA+9Wa?suKO)t$mv(jS zdhRnGebM@_;+UH&}bCqDs!R7K%h z(oVl-SmRm{m7|>@d)~rBBz6f-H_sbZ<)sHiU5^H6TEtD6hz3<787kpsia027?v{F$ zz@P#Z1NJ@r{Mbj~oyakf4+s_+f70JbA$u`dB@*(%05MZvzM=SioDq*|*$-#4H*d8^ z>7!EB`#$5;E25}kLxSogKnDy-2uoi_j&u!fZ_7=%Bz+)*twtp*)pPB0+d~Rt5uC{( zcOL5=qi`IGz!ys10oehGjyJZrt=09OxbM)HGNB4=n+>)Ehx^BlLC*+EOn6y$6ESXB zG>OEK8{W{in6skE?3-0P&s5jzvG-UorW?cOwz8)flK;gii6X~5o*VE2-qNaUfz_KI zjDy3uP;cPwU>UbD@Vdl~kpsCzU@z1PZE5N4?0C0(2RJ@}-cM8Wlyt$GRXc#320=j3 z;46lc%@NJ!q&U7V3Itritm)?*ASsYE zH*pqLnYKqNliPJV_Q+iUq9KmoZR`7TmU{>mE=(4m=(c=+-b@5(UXXaIr3)U`;f@5(L+TE$9KQQ!~E&up1*t;iH9I`GP$UB z?|$D#cx${e2D6(`4s8ac7Pw-|qXH{Ki2Az8MzU4nDd~yWcujvkP^4NkrP0X~Nrxx6 zwb`0{Of>Ta=13ph2xj*8>DuvC?Ssuf1$Mu;=q38)R@#)T4btFRz zJVD;$vl>>jRoyk!4s%gxDSt8a4a1}4B`*WDg@wnb*tPqB zCqq^}dN5wO+eU%lr_yer!+W14;9d;2A^~?^R86!+G>dB(x$}IHPF&#CcG-wQa*rJG zKQt;#iXGYHHF<1D#}rT;HFjD@-{uFD9XI3O`;u2!{jFV`(A0Q$b0{yKOE%cPSr!LJ z_|hom6rL}T0_h5$$baAM+vYUg=@pP+JDi`W;m(loKDcv5Y`gZyLb{GZ7&m7Nd+gj` zu(la5B^U3SSrv<%m`SpeRcz?4GvsT(^~f*ov?B~y*9?O0pZe12EGUFcKNb`*ri6pESXxs~ygT#|; z9cLkDq1J6);R#le+0<>1*NpDz6s{7@>|&aR7IF9Tg#yNL{1L*X>#xd?VOXwO^Io~0 zI<8C@Xr(?o<_bxPD^*U*)rX1F?<(2!$_d7CKXtz#B2`Nvo{uMA;m+XYqmulgmOs#K zvaqLCr=8^B!Ei3rWur+G>^5$UL?iIx(UFmcs$f>?CGJ3F_Jd;8bU@n~(8O8gPo;{v z9bw#<&;BDB(vG2#g_#sobwUG`mnZK-&%?uD1_u3vlkYv2-|1oj8{1EXP>%j}`3^<> zTJr7proJ0hXTKD#RaF3ZQ@0Ix4ye|*xf(9!Q8`ou31+%g#W*K%KWQh{xNUD`5sz3; zHYiI5L^C_F*p6!ZJMcB%EC896h-XAL1)E^$7$C5n*@Tq4Bu{@5rR(I_w0It}cL~v_ zm=?cqqUM|KcINBQ3Yeg@cAkHs&P&=NJfBj@L-;t&&H%`NN zHUzt@3?!J;Tj7a1Se(!3UL~@bkxi6V0uILIm5UJ$s85?P@+eL^W)!{VYHAx*e>GRfzCm{vnQ zj)M&}hROuE+>KBTrMFGbUbkqyiJ&l6BeBUJVI+88*BVCZHE?UV!t99BIFgp7QyW+u z#M8pc3C3>C4|EW!r;xgspts*uJF@*lD*jd3MkzsxX@^&bD@i)nT|xp!hp43R7ikid zRyr=IQxU?74fs0iitn1jnK={PEhZa2pLg^1;pR-xg;359o40TtVpKqbTo>9Rrt~Qu z^bt}4SG4m3N*8%qaeQ)K#u~{;CNb7!(|63q_doHS)B60q?(Zl5GKdlZH2%~*H8xsq_VA`Uw(Fz32IoeeCjoT?zaME=Divv|UiB`o~uCufL=dzwuM2@Cgto_}A4BUc77gE1OlK2KF2zyEiEm=UpReGI2^8GLXAM9QQf zW5GCYA6CP9@A}AJS}VQgpTdEEGH5WDtS)H9Qcpo7`0We;?8dv7C4y#asY5P*6TZKz zfiV@Fp961lW&ZbKP-OxU^0V8M5#a6nTf+3ulW1oE+msb^vHyo2{%iGti^(~E1Uggv zzrFYa7Y4v^uuy%z3xMChi|*I+jz@?@!P62L2mh~2fk+K32C2>;ay9?=D%S!ZX!$=o zCWS?G0)`5Dy0?fh^dL+V_bY{782lPwSunsNl#j#y(=;jEUj~a;Hz_Ot;7z&&RZToz zhmR32ABY8A@thVq6m7=Z`QF&9;BNTk`5PY~>XQ2oRg3@XgFmakq3&Hxar!JOtCG~-O0P|#lQKsypYxG!7`9$YSHJDK1^eJ)Go4Mg~KCvQuNU|-D{ zprXI%isRU?zr3ct{B=C7su`^xY}I>mp(HB4#E1oPdjcUt0w%c(oT3BN9zP-0z`l)G z8HsL<)%5=~h7v77IF;A@HKG*fN%nnW>;A0Zp-&H*S)N*UCm5&u93_U0gIrPKooT)0V2|+H&txpSW6L*s8C>g5cIupp8(1eLeee=?9T+~pWTe# zb=`<=rVP6ERCO7`IT^>P{{oQfF3920pv0+e6X83Ua-Haz_C2@R#&3#g^wlD~k zQ7drm5>PBQ(}xh;F2rm;Ay$XfM-bh6-->-+R}%H-PB#3gnz&I7sT5Cv0s{rfRGNyr=~dFUv@kXCvuUb|7b5P6lVb3RsTIf3vMeEFfie}pK3vmPxrZW@O_ zQ#_Xy`^`wNDjM}92?&~X5P-xz4QCl)Za`GefgIv!Sl4b0P)f!%+x0buqd?Q&AS$pK z{{o+D4ykFa077!PStlWa7JHnp-H*Nv>NoVwz$jcwDkB^OBP!tJf`@W=6bj-e6&(;YS8 zaGiLBYXAde+j0n%W~IaN9mD$Gr}dgiGbsvWUUuZY=hg+ogCCy)RY@rwT-`gHwQ%R z5+G@IrgWH+yQzJHrk`0J5pMCrWYfPBGLd8*%LXh>o+pEZ`5@{)T0PpG~eRuc;@GLHG z*;WqFH$GpBJlE5A-G(Gka2*_4kl z8w|b5MzR)|2Ly%QS754M=bWwFT%8l-6tubJ|4@-u_2(|doA3blHW#pneRoJ31TI{e z^0=*FdAp$eaw|5zGgnA|t&z9;1M5~_I0ulg5ygVlUHr#;K*l(_w0|*Dm|F9g!CoY8 z0XT6sY^OlBR9fTA#}lR^yr2!!Z$pWIS+Ik>mNeHCaqb36B`^3$%!7Eg=CvEhn}tJo zA{=7QdweW;6CGY}4wX(eBqDt+OD-pv9_*9jK94ySis3wdcnQLDhaMsxd)c{|2h+Y> zBp(r&|F#7bWIr2mT6uyJcMFykWtjQ*;0(UbjLTAx-#?{gqi>mgFh(o;-5 zY)%_-f@uEW(M1eu_pdan_Q|HNQZb_C(DRTv-C-s{d|W1-#hm-aFn8wi2+?BCSS03W zj$WhL>4UQQ{3IdV3+Wwqsb{57_5a)ppx`N@4C_8AG8&<6xi*HD&Uac|h@GlM-0;I9 z0|^QqlORM{vVFWo$pJW3D!{_~9?b60S$W@y22McK7ATMyGvR)#E7pOdLPn_{*#1or zUZe(0a}(U~9&Bn|j97ti#e?LagcQXNh@aQ5NEm$wA+jv0uZhOUybQsnmMJDH<;S3Z zmn~vn(^glb7)3o?r~yNvJLZm8;JfIjxg@?5Cmd`&=y`U4INbpJ(s3~j zdz(82K#DEg}ohqr+<5{KE%i0;e=Wut`8WWc2y@^^oYL6 zQDB<22rS?pwnyrwv%8=1`9dB(0+|F~}fM@#rGH*(WBHVH9H6JBO$&sm_6ywDX zy&b7P-&zmVbJsMc!TJjO(frNRzn^_W@%5A!@-<@aFzHiQ!=|i zt{BTcq=&!x()sY|0T!~Fo}lUHUvz{S3IO)1m0{JdK0s*eaX?O;3+WO!ixx(==G%$} znn)fM@ab_r^7tyu!A6~k@k%Z@gfOxSl)PWg1%wckWX53P!n@cip$u&vn$mJk)ajjZ z_w{JocV`38UozbR+L@G;e-nF3wzC6jmzFl@3842-8BWWWE^%enq4tDu~Y$>3aWE>F8x*<0P z48_cFFt2v(MLmx8VbVY0z-@S=&HZ>!``RX0!4ehhY z#eMrK^DNGa;#jjICIkJS2}X-ZXD}8GU+hb!euf7ByY!%hC4pcB%|&?zy^IUxGoYtM z%eF#1V`b0+lp;4DK6+FdCBPqgK7aDu_$!k%F1_dj{r-gopGX_FX&&f;Fty->S!)+v zF4G61Hv_H^RuL3flX3H_Q%Bd%Ep*N)GpUc!w;S)7&XVf<5MK8A6~NpN(*fpQn_)QQZpG3A z&r9sL2BueQ!FDfH(!R9u3UT76U|Gfu*X`1 z3irMWbV|q-qMT%W{~#9H<^IiRPJy7b1nHa&)W~o#Lv+ZIxXAY;cXV5L7)=-x=?~we zirjWf9y#2fP)@^8!(5WTe;$^E*93ZrY)R2?H=;(WSy6rVH`f2Kw{Fq*3NTd8>1N3 z-ZY5`C$3pFSx5Oey(Dl$iguxetcWnS)7#)p3v?Vo@#2V@sNhrJw}eyJ)%M5Z$PqA< zbe>12HyzSW>ZA*c@5Mw7}5bhe)=J}K|s-N$!Dz`&!uHhkLzIw?klnE!LT!WN2xKXM?hJQ zG$<*O0~_UnYVY{duNxa64A~EE12ZQ&tyIb_P6Gt6MO4rZq@bh}$|A5ND11?Bk$>Vh zjLqy@S{&=Cip!~AJ&4W|`YnOcOdhFL?*=ZJQGsrSE2+cqg}hQJnh~%?>6?9*v|Sh~ zC8R2`y#(&|jZR|Vak2n)Lz?wdov}CMiGQcLQV`($<)kmp6|6#o6@`^4&AN6R8TohG zg{6e(l8_h6N)zmV?+j!u`c8r$4Q+2C;`q|l&Hsda_$^*ngUvUJb@}7;cb*Gwgus}kHOKi09}6qm*mXExryMwr z4PA4UW0|)Em9nA`CRdQ6EqA^+n(_0iXli*qHTpV~oN+qpgRr*FCp&QB91A3ReAi7f z_n(u7gL_6?87WPOlf_KMkx8`NbqD~(ockC`yd6tS8LOyUZ?*FsLVnK09;Sxg`QTd^ zY$gthggXo*!^qgg2ta&2r^`W-ySBM-MhR>nHZVf2-CoAj>f!9BKmx_&>`Z{bDIhW`TsOz7c3N*<;z%T#RqqsnaRJWU9viXD0X1noV#7^x|w7H`DWMPoPr=r&`??=X~4~B zuEd(gdtonz+grVu-3RP(8){T9j9nC-?~8rg#&75XE$R_ z%2HwWA{<>)MDV@&Z&S?H9FNBt-g69_2MOW_nx!uKLrASByR2$}lu6w_nJJ4=LAE@; zVlqdQTwI2kV+W@WTCyBM3 z1U+TmKc2r^aY#*-z;AXXLy2*`2?Em3PlOIs9Qj%_*bS+`%lzOZ_YbAq!3shgxr-Rz zx{3W$=2a4`7pyG|3+fSHyhF-Kc5DXb9aPq)O>BxJFGkOkHUm;iKOr58>=2!*+#%HZ7Dfn{l0}Yxtc_GUq%) zr%%@!FO%1?hcg0>*bM6axq%1)CdxB@5a9oLTq#P?pZT#L+-b$6WrYtTN)4!&!?Z2b z29j;7I}JQnnJQRKIgG_rR2PYz+<+z7Q(yZ7oR>(b)}$4iwEuy%ia72@JJTEY1ppbl z3R+;$$qx9VWyQ)@ArD%FL%Wn5U%4cmG=umw)}6>Vb-xD<`HAb=$8^1WB$mA_aY@=% z;|ktS`(8uZiE9CGQf~ulCPn5yHkWs5_HtxgP zOhdyv4&7n>%FqefL6gIqO@#hrWiL$^<=stEm)wIcnBw*3CyG&=3u!!be*Z+*N$eO-70LctN~{v+!U^ zLRmFEw-v<=9`sZ7c>(sB7I&fN-!o`)*0g^u@jtYtk~LTsRzByvHT#TTq(9L8AF|#9 zoa+DmACFX`aLCHul@+qd7NOGMi0qXW$=)J+l#(rkl;j|e2yv|J?3J0l3uWYgzmz`j z@9%$IUGJ-RmpbRX&g*qQ@B6XtEL0TQztJwDft`xfnlILrfYYu6=q)E^!fw|iV{QP} zl@W_J72A`nvqUyxBgnF^wSg^QO8(h0JBe;^NzPI|;)Pw{#iCA@=d6$Z=?JOvm{6acl`?cK7 zne(1r8RmkJCYf$uP?=bW*DFzMLp|g3k!9SSC?=V&Cb;h&k&*w0hVWDW``|u&hd1U4 z?LGE=ys06fI+2m8Yy z_D0H{og|Cbsq-ew$B*9)5J;!p{Bcbz%G*%$Qu~)m%TKmR-`@6#n$(@3&h65`zd#-l zSS?J}sgc?jR`84^@)xq^x*QF1wuj#Y>e} z*Ir+p{I>A#qX0wbr00G96p`*w!c%dwxeYJz35FmfDCSP@>sxO;kDm)LvpFt+GySDp zwKkAMZhKfx)xV%4d}U7n#R@O@HH z`k8uOVoK~la!)vnSdb0Tl{+#h`WdOS3>=SCRT-^vn@ zy}?P=7e+#)NFZORzaa;sq^8YzyWZf#Ii$ zf3Ut1{eZdp9<9D;!U^@DvdoK!TS#`69SnF9%O1-5!qpf6*=oIQrB*O{l<(5d1h4E| zIfN^8rdCS5nGHX>MaKM+ok3{!US9pRts$26{je=X=3zLKvj-V&`22aZiZ2ckuqzWE zQVaU)Mv}cqXrpgvdGT~R%ipHuzYzWZz=VGl=-+Qbli+hdX45+SzrT0Q72ovc9!$w= z&vcS0+0dD3%z+<3ZZ2scQouY-If>q?z5cX_@*?%iRGv0vCQ;jgQ_lfR+!@^_`ohJq z4~+)`FL4KbU*eCEcfag~#vK}eY<3EALt?WVb^%MXS(|JG#P$jPy45HDBO;dV%-evV z5k1hCBhjz$EImncz6yb?JuBcGSOlCtk~?^!dmG6n^ZEUq3CZ5j3$ACZsX#*3oD2W> z$Q~mEBzf-ZKbLL)b&0MVQdKZnab!-{nVxL@X^JF-Sc3Gd;z} ziaz(^0V{3YapLj>z5HpERr zIFu1URWIJ^m44yHL4a3ASUdOtkr*^%=nNgz_|)Qr?S}fSx?YV@S?4yQtpOXigH!V( z3m+Iy9l3#i>IUzd0Z%fGF4<(T-ke#)5;zKx1fTGQrKBj~q>86ddjXu1F%YqZVzdDS z!64ZDmg<@9X4R4ITcV^(-W}Jmz+y16e=t;>WW4~sSL9tfa@#>@-XZ|~ zMISc?nhUO7a{C5k&{Asun;26D*whbbjWSZ3%Hn)BU1d|8Z58ItSVsNZ4rnCz?Y z5VPMe>8ftJj!+I)u z@|x9s!?9mF^4vdtaO=P;{PetE_Z#g&u%_QhGW?_#JOBFaPJ9t%k{b_GM462|?tr9;LwhQctF_Ml5XJ`u-HC9!Moa7N5$ zbmLTfxD$^27zxJ(P_`}h=f$(jHIqj*JIJXVWeFqR048i|+5}Z6EGaS-@0h;>1*)rf z*je|DPGMG=|AhP%Ci@KKS0*P(z|Wu5DXNc-L~>~Mwg=!qm--!l9IqIOwz3z$2fe$2 z?u-HU9pZaO&%nnS-mYD@AHh7T51p}Z1%hc%#3+aIqZY);fB&(sXzWsC+=pfW4xNu}%aC+@G5FOa==kE3#(=@+R z(ia22SBrOj5(pq8_{7MaBL1k_0bM)GR&TtR#Sq|`?q4QjE8rk2^D5Ey&zsdFxL~HO z_p&?k;M-vKQ)JB|DEm>8^^kdMF!Fl7pQ#Z~M}RMmD2h%*%cS6T%&Bl!lkrdme7;EW ziEtsQ4r-l3R4$>BaVqBGgqyEr-Sr`i$t9PZx({KuXvPv!s~yy>qBZEW*Pv>r@@f6j zB^r-&H{L6rImR02x&v6nX@>z<>Xpc!5mdQDr;PJM-sXX$vi^!tPV}p2Z1_CAjCXnc zeR%#78B-Z3H$qywo%ea7qj);$F>;zt|7h~yDs!@`n9nd#L9*d)u|4TLB-HJ zx-iM<*ZUN*19Co-e74$GMnex08hofnj^OD0`nX^iC8;&r@+yI?QJEi^LN1g0Od8*l z^!3sEQV(XXxzKU4XcgTV_i&J_OIN+l^Xw-<{>w*bL!Q@!Jyoc*A+Y7jJf64>A$VGM zhu)gpDb&fP#cOeHy$9JG^(2n^z&>yhIxShBA#~MGvtO1^?xNrY0bT3w&{vS2agA&s z*h0~J=4#(1!Tf7vtH94XayzE?nUCjXP$=%S#I&Dy+QmJS48A)N>ApA4F!-~deb0QCf>Wu>di7Y;T@Kti9$2#KuftkT% zZ%*+zVzI2Qs&fHq=U1~eHJ|BjhFR ziRt6IThIMpkuXzgY+*DelS zWM(3Cl(Q9kAKd?73dXEe4<(Js9pan)<7$%=TsyDj|L$O14mK2&tiK&xgXwS?3Pybu z9kPE2{mSRIPiC=V+5>Wm;HqnRWSOnev-)v1E3uq`{LbU{(6~EKR56hPt9ij|Kr&D3 z;yRhOBBS}kzX`cZ1Bkgwm~SWHGXn86dE>7p@V>x>@sk2TXF5dX*xx4%vgDWX?Dg6DHIGahmEhnA!` zc+Yn@u#!a`@-bjnFj2+qxL?A(xikomz-3VwrN4OXIj#Tcz-v{QaY3AAssVUTF}F%V zumOR7{CmyURUtw_sp4%FuHRS~Ub|=*Dox6X1w<;G+l0U;B^>}`x21#!CWbeFyS%k^ zF1(@cZIW5MN`TUKuI3I@xl=PwYStUb?=ag)h@}$ztW4j>fD=J-!tM_+6R>8x^ z-j6x*3#@GGJoO<@u6*mhWtL1;VpB$gM#C$Ft(C*X5i~r*E$-kpyssHC z?_^I|Ul0W6gbTriUFO0E&(7Uiv%02kccM40lEa10+;WIN_>yAe4;zU z_V36P%|}4Qo{q{f{i%4NEkU@6A~N~`K`-Bv$fpmC>b9S0>#v%gfeYqn)b@q3IW)%f zh^X*C<)+_H0)NTPFPUn02-uP!!13DrP-)@SB!Dcc$huGEehH$#A6)jBf^HbB-+SuL zc27d2H~LJJ>4jH2Kzgul5%}}1)j?v)OKLwUr3-m?2rcw5=4~m9)o##5)*YfFa?s4V zZqKbSY4UhVX`CW=lBc5s01Oi)i=%);%PHf;W2B5p z!?)@>03sFNmZX}#7Ad`fo={>K+sVJWUkc#@0^>IxYRS_|s2Tg_C*Ya;T9&(=Sx7H< zLNj@eWA1ic0TbJ$$Om#7=@dL&*aQsyCFu0RgN_`ZyIGkrm%871$U0D{Jo>4j%uwq! zY;`a-uCYe*rtkfnAT@AhOlux+!y2Nf*Flms9iM9rIPucgJD@=-AK?D7iwsTm_iC*J z=5?V1uSCQ$;HHZmn~Qj*eC>PkiI=NSvT$#%BG23Z^XoE`-`mCnai8Cw`+_42cIcO48@Grkog%9AHHBS}W9K zlJmFP$!4K~r-)U%tuvx#+uLq_%l7`myPP70PkpoH4UBvh0Y`L7P#x^#NILG0V?%rV zE43sUn8pp{Uo(#jYkCC^N3JaQzDs>9E%olAkXw~-;e%sKL+mt2%!;T6J@!Cn4+*Gs z=5@|JeteA%68tGYkP+?|QPbx)e2agBqWscXqm$9$0k?C%HH7^f-B=tPT>I7}UFQl3 zAr%{ffb%S6DIPQG3Ce{K>5z9d1&XT)YaQ@PeU*;g3aS|jWu>twUH z4l8T!vb@s&bIvq#fjf&S^Kkg9vTEo9u8iWh9$4W=n4lbs7-P zkuXSL!+t;pi5HnS6nUw$)IDFBvuZqwQpSJNZ;|PJB5TdH?uX|N5zq<41Icw9iPj-__M&x<7z|u|Wbx#NNzcI9A;TZA;!G z6YqMj!Lpa46mSz8937PJ{{(*hAE3w&sbm?wpzWib2u!3|t<4#A4h)7Yx57i+vkU^)`+K2H_?)ya@5O7{;i-h?js=lbosRZjCh9=>>-tNL4b4Ky| zrjZiW)UjB?#Q@v#7*x_CBr=D9D6kP?jSFV%yr};39u8m$R<>(rP+p)VyA9*l0?bbj zH0j)f&QDSD@(9&#Jw3I7pb?0ccK~1`V+5sHpXp$8ca3aY9m0ittdr2lT7j6JeJx|m51?tXejPCPt2EW zb5Z;Wz3Bc91%OwP*ctPKym%x`anBP5@3PEtpS|CYy7m6q%SV?9R)`>aI@xvz+rjk$ zwgL1mJcMK;3nuGTMw7+{h|hKtyS0J0w_7zSv3_1aKf2nrRR}+3+%^6d66cZ_;U%;C zBc`NnQffE!ZK?@`YJHnVrnI`39}mjPsbhZlVKcxB~Xk!JU1X0YMf+ib*^i_rAF>sUIMa45P*NsNS5e^fT`saJtW;| z5gLWxUU0ltMD4Hv6j|coGj^Pc&I&|pQq*Pe81s@z$QOKdoj8d^;H0_$BH#D;6636i zWc6=gMb}i_I0q0A58)_TVij}%??n2!N1_9mdd5Ts395}hp*`@7hla}fDgFR>)ezx0 zkph40B2OFpNe>VwFV4Mr=Uifoy9b)Rg6(ZUv<4w2>e=o`Apf0VlY*&_g)Hv0*Vb(I zAfi<&>CwlLXXMk1v67ED*K}}rDO0>J*_7+w; z3?M?=(#uGs)aKj=WL$og5m^AjfIIS4bplHvtnYJlpWleq`YMhUzE^JHnvJr07xfuO9iOb6#Uc zc{Or8?>kH?6`gT4*vj}Bqt_2jmkv(nbGK<0Xp+t@)V$yB);4e=7FaUDX^lX}QOAt} z848oya8aRwQ{$P?`-~TMbcI=qISI;Ef(Ajv^QXPb{A*xqNvE1^{+DUFlYD5Y7iyxz zSSY1CfbPzaqUvFDA+Iw)iRA^VJHQrEWZOAJ_5@JVm`G_^aCQUIc!#O;cu6(e2^ZU; zVmI&L560hz&ckHGRD+Q~h*`eZ3TP_EdtJh=D~2w-mv9iUTb-zE*g2v=B91e8?Z$ZR zfKnc3kG_YB(7kZHX8aj|#&v@WgEWLS-bWSmf1PdS>ohMXA8s9`tq>x-LDS&y{eX#v z21wmkbq>gCtS^$X8fKN-o_k-8WtE!BIJb(&R_CL;(@3jKcxUh;WXpAMb*i5JJdf-* zffIS~PHCfdwKq=}xR)(AO2-Z#Z!vF8nx&W|oX@{~%%KTKx#wCLd7Y*7TC8Qp+mD?7SNE7*@X=wa?9ShwX%94VrP?4u zr?TsgRQL(U?j>j|pt$N>{&1~ztHWLZZpFEvgIJKM z(WD9s3vH}*c~gU*pzXi~>i#Yb`5Qg2m7Ce-9 z-18A08no@A|Mp0Q^%O_EkXDww>cf}U&uKooX?fF>DC86?yU7`ax@gQbK}tb(LE)h} z=dw-by{{u9KGL@J;%%-e)8aK2AN8lj+xijffcJ7?+t<`}hFGLNDkAVkQOIlQzteK? zVSGX@f;A*Wt;cu>6MsF_)g-Hkm4!yj5XbZDQbX1*4Bm0=Cm9*3M*R*S`v6;Mbkr}X zO2-!qUQLnWd>InM2Y!8fib79ONRA7503H(!#T(h+Xy*LT|A*z1FAwcuO$=p-bmypVNyq;h06zR!fG z9&pfHB)!gw;SK+hc?UoIBMW<@Ot3&vTny><76G%iUxEjt(!g-uy zgO<3&<#1FNNxH#7t8qV}D%GVq>1*M}Ki?Y}1%W@lgb)J}kzw()Bl{TLfVb89bzSO# z$cqOz;}w+3<|%FQZkEMM|L@!fC#&%@NW{aqn|JX8OvFYQJsM1-Cir`++OuG9XIiF( z@>$$veQe-$bp1_9b~R)1rL?pj!8z1&2sgLG3)-_bmwa{}Tr6tyK9g%w>CIsG2EA3i zD8sgW^UmLkfV7)_Lx?eIdsQU(#PhbC}1>I>uQS1Hny8UJ9gNp~kmD2!W(%u`z&w zh~kTSZy{*XO02riQB1R6MOtSbT?hNUyY$6cVY1a^hK957&tl~Gjy`+9z}}qG?S~AA zve(I@C0(wkI5QLx;*Q}lmZRPP-&i>77|Ww$%wQqjxeO$cv>iY#k4>@r1@0q}IR~|A9r}QQu7obe>n57lFv~-kI-t`?eJ#Ci-Xg}cEeW7HrlypfuMZlU?ws9Dgja6#V1~U z%YzRQG!%}LZ9Ul8(=rgDL?`FdeM>vuz5>o#+fZhnsfFq9Z8D+`R}F3|GWQ%m?~5Z2 z6X<1Gc6BA-Z8#ydx@#^zM)t|cMos0g(Fr}tov3L-o$}p7&+d)ftM|6-G{`ugPO^Ts z+~UGQwMf@N2zD^yB|UTamLohm9UN6dMG$EaT5A3d@UYXec|#i=HRF3RSXP95)I;qI zLGRIrvYsyShZ9N@N`KSfj1LiAC0;wF=RTXcF@D>05Q1X{or9+U`Wc|_)N|CArI7z* zNZE-NP*L&-Ftle7Qql?`=NLkc%-&ug5Y6zDEA-sHJ=2n*BfX<>@YE&%johjDk_fIq zsgiGy6T#U)8WYC$v*-$NKS_D8n4&Nc23i#4#8`pZSgVo&e!!7s7o>7SNFXEWT2IxO zOwpY}bB$hz#|+Ey1`0Iy_tUdC==UfTB3{((o|+eQdKh?djKWK40Ak+$IGjdELqE#!GtreLh3UP$EK8xK&$tRiXoQa4T-=|D|329@^#}KpEEqBg+1c?J7pVF57m(;R z{8E5!%#Wu)aNzNI$t7&f&_}SF&vB~98LHySttueo#+;qw{D zlsI`Uf?oWKlsL`Ic*2-Fhc=#;9nUC)z6kR_h5@A%RwLjJUtHJRFU`!KjyI4ek8+`d zxbQ@W+>6!dI_FadQu{rK5-!4ztLG|KKed8YlZ+1mR_?^1jPLBPIo{6Z#R+ zwKe!C^%OrKnB$s6dYNM_rQ}ucRcHwIAnLp?PfnhGWzz7RL|!4{TXX_ZfT&}?xs0Bg z9+T;Z=>by@eXMJtMuq3EA%Ioxv7lC~uOhB2q|V!b3!iJ_S9eEm{yw3%w%$aQSO9j8H;)=~`lC&lylVImHlUnv4?(QU-bQP3PFxExC@ zwR;xLuU{cN2P!0xZ5>0%qzS3{GfK>9ge#-153Zy05ZwW$Nvdk%dc?O9hyk4<3f<*_CK|T@lWc*_ zPuC}~s*B5cvA{s4k1{N&i);Us_L~GXLUPjdolCs<4wnvk2yNS&6i7)hN^J%uz1f~5TwREJFO<7C0Jk=gP|+AsrOzrbTSZcdnNF< zVWz?vlW$oJxTD)_AvDGb<8Q5tX==$~L0U=B1(SR|t6vx&7jT853JwU<|U&ZF}M=ZI|EK*nyqQSep0m*dL2 zx>dz|bLB$>wfCPdE?9OPu~!W_zG0*A3t+A45~*N*>aaOUnPVt05$JTnrQJU88=+l5 zAwT+!+v-Znz{Bmc*-6Ifv}4T*7g_!Nxvk*D_HuNm_QSGQZKld`3Eq#<_Qhi+xX^iP zH6D*$O6pAJ+7FrND1|_FtYHHaM9JYBvK2s>iOhm^Zhy!7fRBh z@f-hWV_Y@>cDA3BCHX3q=Zf}kFCM>@tFgyDuoCB?M>1t2Q`0$pxJ*{b7 zhhRMZkUT9)g9bkkYev+2DupKCLO@vs;bSZS5Rs>=$hL#_kovS*)?FtucSD> zWdr!Q0pD+RqP`57{=ma5P5jpK#((=tS1x9kC0Ch;bCrbb=kcZtkewU!{obnAaW}6+ z@R@_@24Z0zhJnN8^tq{gGkuFWfmllA)-Jkz!wQ?cg52pVeJF$Dyv_2799()Af?{w^e7q*uxm!Zdtojsd{)kox3O<8%NK@(a`2bYr<(cGfG05R;R$F>6CMybbA zX?+B!|0#LU?Yj_WByvpXdxKzYEBL%0vpzzW8zjCf2H_Q_GZJ_QA%l09deKqfgK@&4vEXsUUsW6bF&F&j-Qa+7dAcDao7d3Z$9Mv>Hrbk)&1_ zYB!-C>l`)F`v^^<-L^EySoEOrg{xi{lwPbIl>WN3!H$b`nG6_b~kj9)|29c6pk%`8_H|C3s~Zp;JXw_3k!1wA=nVaaJTqN zfggUeq;j_fu%;&4k&5AuJ8Ml#(0rEcc05OfSYRI>hHVoLFmyja&`QKM0q**=jK#-n zmp<&Tq*MLL)Bf_yH}t18+_)l#ecw`8}e_@1v|jW!uHT0~aWlzN*y=Cs}fj>H6V zHAPeJKKEkFg1+`Heu~8Z$XaL#S78aOL`7RF#4a4ys0zEnpCpbRO85FTZ?I>D|GX{O z{Nj!0eP-jSs7mx}o#&$W^uwGkKA4H70|zo991PJLe+9GKf*@-g){1EGTVqW9I7X*! znpG(j9ezg3!Z@9jQEDdtT3e!M+eY9wlGWylvxHt-{`p#e0j>QbKG+A}{dL%$<102Y zKe#fMnF|B&n{eMjy~o>1`*=a^nxRKWi{!X7+*Oh2yLx2~jo?uzVrzAW9&u_)qj?`L zQ2k6+NoY`98`|v5&dB!F4OZ_`>3TsHTItu19%X={-cAx(?Lt@bV@L6Of!o(r=5Yqw zeDigU5wg_^gNnBQv(_Zo0J@I)<RI6w768;#6_7K2}4gVDAgbn~9n>;K)85-p2tjeQZ5qk!^LWb-w{A`7M>PW2dG zl-XISp*q}hQxiH|;m0iwsrJ>!&3Acky?(0W{j2%G4FEob#=>jk`(^=)(0w8hunw%e z%^WPBVR3KF9fqOe6idrZZBO$=pk}_3KNN7HYzj`StA-<0vx&U*w~BI|q-t~DQOzqS zid{&r7Jbi{_}^FNXUYz{4+K-37zzn-$MMF4VIH*OA9{-+I7aM0K&>b|9xIP8Fdprt zyfo@;`n3X>#0APcjR5`|7Gr{p;U?zpxrT7dT3o+q95EH>;nIHn%9?=w|G6pbmYCtZ*M!dmhBq;PhlvBEf?9xyN z=j)CC{^6hBlgGljR-JO2;m=g@?}d@qE<*Nj4JdX4#V8wzVlLZ&`jPN$cX!!m&k;m% zu`XsIVBTJd`7t3qYz0^X_QT$7ZPEeC>itSMnI4fY!x7@bI>l@Q@5?RTp3808^Z30(P1~+19<<2*L5FB34gS645cRsssw&A>EV4*`s>ZBv^ z6Hd>QL)*s=vH z?TPQ+*K&}qIxWHa4+jtiUd~VWeL#q_5uTCUM2Y>7JNPDXC7QLlo zJr3b}TfLRJ%U$0O6P0iK9iCfROU>wa5i9f=xcbIE4+%Mcu>R2bPqQZrot~r%Px8t2 zgD2rhaFr@o@+5*MIZk}7^r_T&fjL2a=33QIA=2U?XMdsKgmZ+L;>vZEb3X^H-<2YI zt|!E-6*ZIrqSe2U1d+uk$tAI%K^LSQ0B+A>X&grRl08wIzR?u#t-BN(m{@MSj$a6H zQ04~VZ1nYG#5{oOnJmqnIH8^*)sJ*O$i_R^J?^%IY)k`xR2H&1*Wn|`SZ%fNE4?m! zRsBME^)pBjVyt44nvhZVBgDk?q^dj<&x0i|pOc}0$G3W*a%_2#o5e4e3`zv|kD|8+ zbwf7mIJ5m#$;AsU;~`uLujbm*9+u6dhYf+==x#L@@C$QNI!Fs7#M& zP)INuy5-fB#&6*4dGG>Ih-3$DBZz{0o~)z|1F_ zo$gR!Aon*C;4G#c3vLqeKaH6oPCq#>+@ss`IOzml5V6>}M3Ex-c-ngUYJ7#8HMc*t z0~%{@q+Ran;AmZcZV%|v0Ykj{5aEPs=e?ixGU^v5{38!#CJVKS)kHqSdkD~4Tke95 zf|MJ^xR⪼K=U{QAs<3d4NUU+WvfeEduWZ`3Iw2rBgyxvfJ!;z|#J7eHN-l(Z#Wi zNG$)&SJ67IWongdj&}Pwt`4$7O6`d#2ocU)eGL|-6{J3qcj#ta014v9x*1E zfax|?Q<|LrD38j(qic`E{h6#U9Pt|gyiJnJo0)*x>*$sI7i_@CPc0^VrATj7mrEYH8rdLN}) zP8N)o$_aZh;gkCW*?yzLf=I^0-qcoyzEBJfq{5x`4;QibnEHMMqK*R+1?^fFh&AIA zZV4AH=6Yr^1rLE8Tc=zwq?@b=tHj2K!8spZ3?eVB$2fl@ypEOZa%-bQ_q zF=(*Eb;1FUwjNY8mMa&*PndK_vTkLXZe$|vjMcONw@MyR37iR6#l{Gvll9HUt1vHz5-9g5}cN9vn2I@E30i$l>S8JOn z<}lu%P+Qs7+#*>WS1ma>!5ZWWtbrQm?KJ$oH_T(|YX&Rsn8X=yrKVIXR-0tF|Zd0@8ZBQ;m_UYo;a*sC`m%HlK z3_)klSrjs;Oh!?rZnSM*yVzNw-xEq z(;X3Y*aFE8({}=3e}ayi(AejRZKBIpl_8vap*t5_Y*9Z(|f|Z4GXr;mza;Ipq}6aJvJ>aewU&B`2Aax#dkFmRoGr;b}3-u zbBojG`i@RFSvG#w8;<9M86ytpBepY96$qz~l+^sDFf-=z2BABATE>jb3PJ zEZChtJ!HPFJLRRhO`Aizw(&cMoM|z821MLpd&NFW#6;F_xBZ^pFSCf>mET`hLdPbK z^u*I>>#KjeH!??p@l?tj^JoOM6S7bJCfVQ%^qkhabg*qs`H)=ADLL#91dh+86zI`>Y)Pa&LPU8k&NrM(E-;W` zHMC5~xIIj(js9^6TKR&Y3K{*3>>-N5h)gO}a})Jc$VMhCU5Xt$&G%`szZXo67K1|3 zrj~IE> z=dhKSFzr90wJ(H@zC-YPr?w?e`qe-7*DsFU*PbO7nSW!Otv43>1^U}ml8t{GkNabC zS$~Y*LbKQ_9J#v^*VxK%j!&meFjD-?i-&{1_HtqdHgXmROHiH`KD5J+7R6hy3AEkc znu>{76-T3~haT-stVQriQ*3?~XW{lxZ8&ZZ`_>@DGBo31F0nLOh{tf?U0dT%Ttkw&-YG- zD?>um$C%(Cj#onfPwwE+~) zXIsC^z}cu3ELu~`Go<2U?~%(nG}-8zK-s}))4A7H!{_Kp&ZVqpcss;(#~Hyc8ffwL z_OJ|yx?jAo=E1@dqo!r*N+xvXER#U7sa(a}>o?7TadAVrr^a;mjhLW+Z@#t_~szqyD$Oz{D>Fw=}R+Jk_`Uf~*RR_AH^rW}3N z^!STs&e+XzIj*rPt2}ttT~R>ly(hM0Nx6juiSmTb%*v0eIOp%zRrT?4bul~PlXv%# zf#_ivc?%QmpOmycq$L$mxA3F%|0S#X$lrGdUVaEci)y$+l!EWFEpfm1e;*Kp0mD#2 zU_kRr^>?2lV!mNHjgzYcKS^w?LPBanB2$k+ZWTI}ltIFD-Bkrg868yKMYNsCu+>yU zS3d^kHQO1|eg$I2RCt_`wAhqd<5?jZFyKbRPQq*g>{J{4(j z{v2lF7xj*Mfot6|%sn>A=)HY2f30*HL4l7C-F%i7b`3^QMekl+B^1(&XO09|F;h_=k ztWRHd`2_&rkS7@TgPTVxDN}5T4gVeF*x7;jdy20?VGGvQEdiJWc@Ha9RcAixGa2@L7w%TrXqUN7q#Eq=elx4 zz8y18XwDASX8e4e`viXby{WayPZ7tAaz7K!BH{yJM4`t@Ob|ZZBqHjVD>~Hf)?jk6 z6@uCm)FvS2buK?C9AXw2d63S>I?7EDFxoK$Iu-?Wr{ony!PORYEaEbz=&MNmVFLM; z#q=m2&@aZtUFDU0{ih^|U-gxf@^++A342yu#a1b_<9%N2X9HVQT;DgLU8N*JN=>a$ z>gf}l${B_n$o7j}#wU*xb5bG1>hReu5@=Q@+5H-O@wVFV+8Fgr%soEJ~MGD0~7=z?}-H5(6|uH zl-$!c0B8yp!O1YS^kJTFp48EdHL0I#{iE4Y&8RnAUS{oMU}F}wRvW4CYQc~E#({Q? ze(81eDA`g`)5hr#((7OL;B?ACjfw{2NgX}%%XXsV_~WZ$!F#rsZMT#3>+eZdP7HCA zwY$!~`rD+-UO`rOW`}U~|8_lNaz#~V6X8rvF;pwm#eFe=`HpzA#og0u6#HrpqDkmjOO zFnv*s(gW+Wo%Of}Ku9jeJsf17Gg37OrQ|l>UV_2&b?naQ#+CunZneS~p~m@$lE3Hs znH4(KaUm(^pAoo4DWOiD+1vxYgBCc{ES|k6zWVHt)>j0p*P}rN5#Ci~$26d`5h-Ndn`iFwHfLi(}p@^a6oFvP_$v)yKKwR4jb3?AM=*kR{_x zCn8(!k4LzXnRr;$Y_587QLqB4-d z(mS+SFcKkYUG<@5BC1WTGJBqma`j%r)I7^L{`*otn6j{i^J!lwz96|8w+Vjoj&Gi4 zBogO?`^==$#g%{6BG zJ99T|^+QH~+Kw=%L9P>^&x|V-+!nLiV;nd-erj{pKZwEymhD^|+X{Db2UN{Gjbxbf zryDSp#nKKP^a_B%@MOh%w~OMW9UfxC+u{Uj5N|xyJ0x$2;=@8>#cDdAKW$pnOx@)c z*+ZSphG(ah_K*@<0U1I)B05z%^|FVyjnCt0s|$90A>~=O2`bCj7of9~<9RET%B)B> zJ`Hf?`$sX_A%Ja316QLrya{W0H^`5e@-DF-lh+0xCB;K5cTE3jwe!at^3j(HcwAA# zFI6eRlt3w|bu-!aH_@IqNx;|3^X%@-m34Ur=L`O?e1nk(8jxoMC zK$}aI0LLC;O=aH(6J9YQfKpd^B70)Ytxb9p3nqaNkqnXv!F8E*erkezJhhLBzdt=@q0nhf<~TN3hm(&E6Q-h+|70bMW==)RW~)Yl zF22Ssy5bDRA9tTTcbly{AKYfw7=p1bxH4pHnNz zgeBuAgp=6ySj=JgH&l-<14!HN4C`Zxf5Rd<{Rw|nWyiQDlP6eClH#0iFU>xtK_C<8 zm00o0oqkGBZ$^&XIACWRG=Maj=GeDOy*vH1|{WndgH-#U5(_)OmM0blkPaN!?yn9tShlK0!w2_Y+!o2A^pjBad+3lOIf{C;gtheAH)k zaODA_|MN>F!k0WOQ~A=oA~O*|zUg-&ZB|}VZBgl_>x0_v#o7%2&p+D*#WK6~lC=`E z8bRFYF!Ve?EA-tYj?!1-&VNF3--{zZfNxRiwZ1zw^NYr{7O8G_?i>Fjg7#lF_#%kx zW`u5qu|~`L-pwX^uP#S2@GC+|qh|0fdoL#d=I z$_jL7;^&y(4?5%@bN5C5xS-1#LXF3lsp3CUG5gFj7u~%M>D`x|_$(uR)rX1{vh2rS zHYRE40w!Cap;P-q7cwCV2~5>Rl>{#gT;ho_qn{VtLlP>BWd`;3o zYXK;_H_I;V9pNYfzcUP&NpAUogzWa-!+xB!tI9MHHAIvOh7x*;4{*INaIYe|RS13@t=O95rpRsfYVmAu799q?o%XA1EG39C^%G>b zI0ESIA7VBYmI8tIx*&ZyK6~!gYcx~i&s_w1M?`iA`Jc5h2f)<&bM-MOF-fH^Y*=7l zW+j{#EWf`zx{ByLMN%)|C;g5|(&(%rIIcA9bClz0va|#bq(=Si7~t`i#4B~I-=QKYUIRo`2Xb;ZY*fVXmig8&{2P%+1Oc;xH9+@lSU z9Tk%G-M3!k0e@sP{W-ErIh`-)b8tg92-iYh%e?I`Yg zlJMdbCK#{S2x~bT7XgZWSIcgw+w0ToE2$2*EPRmlw`FUexm(#-{h%{c8}yM>%Vj2G~qni`@#FwNv8;dG))Jy@MWrk=6e5lXbg zOR!9PNXH`CEY@T|L1kNh#FL(PX@Jl^K%xn5KD*Ob_%V8Mn z5d|8}tv2_Kz=mvtAJ6;jb0?su35$zQ{5^_a0dnt6*t<}QAoLKJaXS^+w@A@WPrd%- zTvrBDdA3Vp(Li&vyQ_n`4llh>{ozCq29_e!sGG4kBYqOyQ6;gU4xUebahAnzivP<@ z7eql(+Q8gu>Q_JH@DR<}8aHW-_Q<}Py2J;qdy`efHQYy9zhI|ci{{8KUcHY9VZYiy zD1_s4=mzK!1Iq<$wRCg85-vc#aVanfj7DjSHi1+G65~{FIJL}v-y6bW*1680Q8>VI zM4Ke?hHxe^*b_}>0IikkvB(|staB;{K|K|}My|-V`8nR9${I>z_Yju)_g;_ya@IKU zBJ*dAicq#w{?)MoBU--2AEcNzW@Y!{kS;B)*g^x>M@@PukDXByU~t2fw!MS&ihxp? zEO^RBvRK(-hxwaS1|QF@F|g&(PxFZ#qR6R;{oC^IKg+nIa0vB@k*_cnOv`_1 z6eYb8cieeS3j`bl&s}n|_;%&nP12NR3Q1NG_BipUUDvC}9K1C|A@7IEo>N4r3koXI z_1Ni!Vmv2ES{+c15oDYcqJx-wRfwnATR2JZf> z_-Xd|>+G?^6gCf!NF|I5ez{n~Yf(v0aiZY5*wquU_7#n;k<-2w538p1l)j7Ijrj?I z&jEDp|MqkvMNHNcp5(}=?=SV0nX8hT5PE99D<>wT=Y@w2@aIEdgSyA}g^-tkI5>KslaP=Ok(TZdHqsys5-JuT z%_apTBt<|F*hoo9OR0c-b9v%9&-;GA;2nc;ba24E_Fnh8?>Vn|#c*w&$iuIujTDJ} z+kL=n3<_*5>=!d`hKjl4cgJ^>Oj*LTE&J%bI~i@KKE_Huo6(OI>eOPuEFvZh*%@fg zCz7;oH6;5No(-Lx5RUC2Oxmz0tBUesLBp~ZzG5cwd+*g)x*sx6(2lUJ?&)8Yz;Gb(Jwh0L5eqe04Jl_&Ol zq4NbZ)rd^b!+?j3na;mmEL@QEvC0!ymcmb)_Pgr;V>1MI;%T1ZgkJB8%au#2Y%oi{ z?dm`#ksyL|s&%5+NYvwb=TMKB0T(?lh?BHg4Q!>O8YEy+I{RwirY^dC{#U%GLM92W z2q{)Pq2EEp66)9nACQ zeUdczn`qaqE#A4!{rW$+E&woA@f3JdYPjRHYyF?)%v0T&57ACH-aN1V8}$BrEBzCJ zl(-9@dCG5IHmv{qf36^DNoLny{VO5*_ZR;k{;haDKOCHY-zY^=3+z@FtKh|TqEbxl z(1A>hHC|Vl{5>3+LzqIC$I_nve4`?-1ac=6yDgV685eNAi(ur=+2IUuFE0hQvz?*7C-K~a`*@Os6$lzaaO zv@AnfKmxt$45qxmR(!&@M<5)8aqF^qdD`ip89+I>!%3$NMEI ztI$Ug0!B2TdI7taxnL?JxH{iPOR76BSeJ;Wd< zgont|XTC3e$or^s>kr=b_W{pF3|$(}tmYVdjA9|h51>aa0h@gdqH)&C&fC@GK)S8~ z&_y$+OeX*4n*KQvxhUa82yX&RCJocb<7)m+6aMEV{=+5C;C2Ox zlg$67<8dTX<2}li;W_Wf`hV{P#Ft?SvFkn|`xEN+-?Bu7jR(9|m+)-X|M4jMpZ^Q8 zGk9C=4kMTUTaBX>?@>y+&V(R*<3l*T5|-(EfmFGKJYr1cveo2$@3#|zkKO^ABHqs+ z_2TeD@b6*dgV!q2ETM*JI~2BJ(oX09cY+&5bPIUUm;$6a1tOxn>kDvDx*{~4Rp{rl zEG2E8ygOlwMMh}{(A3DN_}sMtS^%W98aYE-`SRAVH066S_&Bwn3`hPS?>`ArD?#Go z^XS|!h~u&?_zg?JaV}$>vK!WcanBO4=_`CKOgqDvtAsdvKs}~zyi{8-fKWfdCv%l< z{1D*|-Mkb3BA=WiQ5PqRjypI1CPd2ohm5GgcKl}tSh~|%awMX%3q9}L;y~`|@BP}h zbqK!+A$%h?i-@7-;s*2~_iBMDOg#WU=>9N7?LOkl1%v--W?7#>M6*;1Q#*u9RrU+; zZg<3kp%+j~s|M)KKLmmm!Ui~Cx-Rsldjoy7Y@s!1C74n}5QbyJSG4xv!Yq@qBtE*H z8*=|vAyB=stZY7r}wu)Gr7w{)&R|AkhX85>2pJtXG0Tb#j#B3B{ z#q#x|rXP^dWp!k(gD7MX1{d=Pmk=Qh)drsgAuz4SPkD%^n*vS69MUdFJ@Z3+<6$VV z0Y(*NhYA9VM6P_(7da9c0C=*C%&LJ63wA4{cei?42ZHnsNaU=NKL+oB#c$QnS{mdl zVtXSxa}6=xx}+dTMA`=(P9iV(z)V?(6NWc@2HY8Q=l6FyZxAQe0dG~n=90uZaJa_L z`@mEV14PG7=(H9Dfd2-T)Mrz)v7ZGaw~8&2*c|^>Hv^VV(8HD>%xV#= zmlxIInO2adr50%8F^-F7hrprFRILMJ9@?coal_1Uf>qHx2M@3l;I61U*kN)7=tX8@ z3QSAf7J5=Wpa*h$d-OfV_-#;Awva{GIyng#1zA6W-CiyFWiyn4+7#yPbx_26iFPcI zQ0l~<;T%QHygfPI^rBwu#8f*PH2f5yl7qv8x+zwJPIgU1%`zqbs_o4zYc9mSpBQRo zjy5+LFSOdGwg zt##HOLfmNf@jW+&|G}_+q+lJ`Z=^$mUvqd#-)5s=lH9I;@yo-lXNl)YEnyA!}iW*Z}7bI+^~yS4&ro@G`o&e#*4z&_I$#=F4&UbBr^rIm1V z?!#!fEp#gNA3Z82F+io(gVq$GV$Fahqf@soeRb#e*h|^yVrULC6G48M8+R|tQN8!? z9fQq?*d$=PBVKX|@p7OFnJtX_(XpQO)vTFfS{BH~G<41zpHv^xxFM9XDZA*DPJDl$ z$X-ZuijdOZK#F)k#6DMIhfiQ$6L-)3jz5z%SPCrlvEsqq2bWzY#-aVC44XVq-Q-9_ zXu&H5PZ0=QSUDtV9-*HBHy_m_%Y3DvGf+vD(G!mUj4pf;0UH2r!Wd^n83`X z49~hFfhedg0xqb)+*%PzJH7nnJVzubX z&RaRL)1)ZTmiT2B8LwiSBU?F7AkuiN=sx1~FV*8nl!g;*BmCV^~K&e<9{f^ z$jRi|&y6{?Ds-@N^#i|rN?!_B0TE^fOX_4kC~l?*Z)`i$|B|5AvUG&F;M@3<6~aBG z)!XNeDgVWwK%Rwi!a+Re5Y8%a)(R25O`Vm8GIxB|Pj1R~jG`u28%kWl#-#Gy85Qvj z8!oBwM&HV~Aj0RZTN36hME3t08>p~}gu2e1!Pdcu35*-295-F6N{tn;J9M3lIQ3)j zc)613X*#mSXud=U`hCfR>ZhLMc)!zNHz3wER;MwMj#CV+T& zqg^>0p)_+bs&m6Xc)%!}sYE$zh>qUjF#G$nV6!6RLLregx(nc@RR=MrR1WgMxX2K_a3*AwXVlgF zGMmqmJhE?E+fqoS>W?B-Zo8v5^SS~Ezv4Msa1*@i7F)xMr(|W5RIGstub&r{4D5#n z#PE3T36gh_t&l~?YnkH$e!QF$3$6Ghm&&gLmRIc{rJ>j<`v_$pAxW+$`<3F|1Cq0& zE`mb|(3JkQdsM_>ZI=NkT{TX7WYTVJ!mUQT$%%;~?keCjuIaOM*W}ZTCl!&nL08ak ze%>+%+n_kTeS-{6b74;^&lgwjtpjw#*UFWb+54Hic<#7e4y)EmHe;FO@C0f(ei`tw z)TDI^2CmxTRJ&NLkLNba3l-q6eg|hRrx?l^lsjIfH;F%B`PaoS zU*-H41MSO77ug?Psd30J)>)vq^+# zc)gDjdke7l?>^RUc2ezz+tX ziOP6oObCz%Yv(MbMoKZwvQhm{%F@}*dPSOcKRq4kcxm9+r% z;|=JzJswu=!y*AA6!iASm!?VQq4p}uvEsi5xfH>8TYMa~s-Qv8d0{CfaIG-HDEQs! zL=H%O`LajHD{MI$Oh(0~c2;JATpCm815^#^j!uSo@h2C8 zE#DCHe;?gMNbkQ2%Gm78@Pn|zFx-Z_fxz^QBVI)?UtpMI$VgZiAXc;sLcU-(HwE|R zo@<-S6K~4*$U`x%s=tn?ak#K8C+Qcst?q*5OPj4mTzCBIzl~zlDHMxEghcom5EaR4oe1tIlN_`88p0al zALm+y)6D+xR7tO0gcR+4lZFdK{=b-GD{IbZ?k~a2$pfHdaWW|C%h1bE^xbBXIZx4z z_+ufCcO5zNw>sqlffup(OU`fCehkn)kLP+K_oXo=Y_7Y4+5-2L8W5d-Xby)WACq8m z?9bv7scM(EtSlRCax*{o{60ux6R4sDbL0c-vw^p2<65Ph<_vh1G!UPc@%EzDKZGrc_PGisIpL&-pUc`R7xa;FCOZlO=5^Ol zlWnT}7}nWJy_EiV?KOyPQ^HlTC}Bx)z%}5A|e8%traQd7StLIb0U5*eg{^9MX>&=~Ym> zxms0L@txaSxpm-=Q?biL@sgG5W72y>DWG_hXe&g;JrOk5&oOvUhFl34XJ;cADYge? zzy9!jD*9Rb<|_|e6^)dy+(Wy}*T%0=iM9FWLM&jky@_b%Fe7CX;@aTInnp@RxGiTb zMb5^RKZ_l^GgI-+7n&#M0=Ni#+XX;P%bc%dY_A7$v!V$1R2}h4RXvDN{+%Fo%Ju%Ko3)y$Ns=(;BnA3^y#xz427gJQ|2IAB&lI3yrH)Kc7Wk4zqiHKRwc$onNgr~`+-c8fO@;Qn0%OBv;JVv!%)g>JcJEC`Xo=t+bZH%R_7;TNRHN3}1D{ zF?!#ey!}^mPr-~s8m3lJ%8~JtnaqHQ+N#;9o$Bo#+Apf!KWb99SB32?UOXuuN-gHE ztN}|DRoHO~o4o!bta=gX?vM-;S3$@(;4c(P z(Iz9AKQ}h7t0BWhW5p0I#P#ZLGhvht&XN93wg5JB_7cxEf4DC%?DV_N;b`iHB&~o4 zk_=UuxzX2-IPqGN(E*T2*MpXuWg%Hz>Cx}w!%1l>)Xd|wiTfAp0{P0zg7L(5(8<WaMv=A~SOipqy+ER8*BdK8TB=SV9ctoI z=mclKfssN6`J=X=^UckHgRECLl-ESMTal(cy2TaS`WKx7|EY~I!;9z9&eY0XG9AXx z$}QR6gU^ChcZVw_Pjmdr8|zu2o4@`lRpHjSX29g88JP&-W2_R|#4_R%7G%@tHi(LP7gO#E;%Jw{t_9QOt96uSLUYf;MiMR5rPG za<CtzYFTDUJuo3n`xeYKl{X^pe&>3>H3itk)vuWUP<+NR@ z1$~jNXJ6lCj(qS`%@Xk>U{C<`U<1en7Q>uLXCC$SqlC?%U~TgMF&pL7d<9d1@Uitj zBF+v)>*l|&2v&e&uo1OCkyz37Z(o`kqOI|mMsJ!VyYZ-rSzYQl_D3MI<@XvoX%1%5 zIS<4*mq@pJd%NVK$OQ0Ig~Az$T<(BzTAq|T>CLN3liQxVf2r#!lpLJ~uLrwS0YF2Z&O1@ibKb)rSE0?GQ( zF;x$cTPd{3h=8l&^D3l&^dk^#%^eYC(M#$O0FD9l@1B`u@jQ|3ukas;#8Ri}6Mz;7 z4Ip#Swpn{o##Y|(w;d4eqL!*zfQ|dwxVE$bw10oZvK)tC&-4gI_A}CU-y}*bWo1*_ zUgmGt=tObs#!~w8;ODtO8J^?d^3(1#!86K13~%PpRaLwS#C*kT=+>VNLk&x~gGSZp z0uX_OFC%Wh9$n1~X~r1XikxsrEc)I{DIG)mR9*Lwva?S!&R@K(7EVIigIE&DJ$ef} zM!r0hHKsQD6Jjl4^<@q*1@>O?-%@S(qmX=2nd3*~-Tv=f8n{CnPG9k7gMfAMiIkOW zQgo})rk8f-bB`|IjQU|wu1nV zGXY;K>r1B}6n%i_2EN?XLk>;Bov|;u?^u}g!7m?w_Cf&Vc!=yDzRt-n4umtN{T%k( zOUhpG5U%mA2ozls!{@E|fCV5zSX#S&yM>i68Ljh1D|BhstTXRTu1skC!SvbqaeyV& zWDLG4Qy(}!Eqv)}ss7-R^u>E4uhrlF>3+2dULnF6wNJV!gFN`^A;7sj!z17ao&G)t zqlAQSyNHLL_XcJhQ==)_`L2~ju+f}}!shZU6V0e0Vs8`ZA#n%f^XJGL05@E34PEyU zE}_~q%qzD~vA+l4IoX)IN+6((eOV<@k$u7I@8?e6Ah>|K!gJ%V^gWc~J_V#|Mfu)B zWY-%tlg=KEhc8(w?Go)i{gKEP58-?9bJv5Y)3#)>Mf1MWqVu;3C+IDhS2uIq=>G8n$m~4D-WAY! z>($NjmuQq{=cjD?WyE4F;?i7v>=e;2u<*>hbp)J15BS@s_x=$sw73*LrxO{bj8~01 zPkgY1MEm{>L)nqtHPV(#+S&x0fRb|- zusX7%7hp#+<`5rXrVVT)Mof5c&*aE_YXaSl_|>+V_n@Lmr>bNLBENkRx&Au!5bVpc z_V2Bk^&U(c6Fgs07&e++=(w5G7vcsL<)l~wDeWJBIq)6uNb{k$^~-@avb%>mGJ&>( z6&p`%+L3rAHdiK(EB41cXie+D#%}Va0oRVw(Jv~R!5|~QFM(|pyCZv^T={a*Tbr^^ zDW42%9-J{Su^@Luqzc$1EF&3_q)BnA?Z&y9-y&PMI|G4-o;JG&=$LLMuk_L5s+-8t z2JR;An+OWZcq+XIc8NeCp4hC|l)!xl@PDb%6Na)h)V}pD%PO1^$^#S%+ID$?HFY+T z#Y5_pC`TNWJSO7SkRH}GRsA)vMjE2JnhS15wyE;75!6G|sJn}j*nA&A$K}lx`OY-k z$4mB9hCw!V0>YpM9D7_A3wGv(EiCh9mo!c^~VO=qFHv|1H3}*q|8k0{ax328LjI#Bt7KJ zh4>)7uE(?Wr$v@fCt*p5nO)qz91=y&LW-)K?+78BmBOIw%6A66z`Ma%gpsA#s8gBA zZL^OzzV`@mH>#GAk2h?h3H{2g*!&P4hshB&*&|W&K3v&w`>)xGe9*>pxN`}e&pH$O z9qbZ6lM=%wEv==(Dt-U`-sbc)V$MIQD)!+O1`zmHGzL6*9>UPLuZn7R(0}GqAi_C| z9hFY;w!8bbMk9>R@-jn8AXVq4u73ulhkKq4v#}=tEDqm;EGi2qwzmQJBdVBV6So29*qUG9L|A`2e(BOG-Ya z9u3PEO}_Vg{Vv#H@dvxNF#&Uz`Cbwwn-@4KX>J7+=oxl8q+f0r{Ej3Oe9tc$|C{)#Uu~Xka%tF<3Rl-_3z#RYXJS@S zO3YNHk+c)+2c(Aw$MEu#c{b4l>!SI`f6>}h;;_g`tS7m9rN~dUpDs13>@4EkQIfiE z2PnBWf=J7@%=Gk+*nF2KdiL}K2ZcYL1{F%Or40iXT{~P)(+wxew7{D~$Xx5Pia^`h zkDZqi&XKamosk*RCPZ1;UZaQT#%NcTdB5g|@Ea#8^3dXX%5UL&7HOgF_~Evb81PM|dF^PAh3+1u{t+ ztF+Ubl<1ZK4A=oDXEVq?RHA^?Cs>%it?5y_H_hlr+A-#Jp}`K%uE5Opm!tt6$B+Wi zCQF4DuhV^t(564`CLD2BQ956}SS2X+(}+Ww$HDQ=Uq`^WRtVu~7VUC*umu=*#-Q&a zI!%mJT>&8p`8l-3K8TOh27C>D0TE2aa1&{s#3;s6eFpOk;!)5MOyJgTa*u7p!*cI2 z5BfbMGpEuHwYuOIW=|9Tesi@TEP7o---6{U5Ct4@9f>SOG&!3ohuhyw~78@r+?qp z;AH4-dXOkZU*JQu4MAu$E4Ru^*y1j5h?RILjfrk4^NE!&U6yddyGSe{C5R!^M0X#g z8v6ed0pz+tjXn_)%8$tmG-%b&!QegzrydBzf>6=LOvPs9A+`rdjN(*#!f1HP%oA&fQsOLjN zKL(m~f{K5sQ#H0thP(j))>=mS4XcqZzh`{QA7y#LU8y1pi+qlVtaN(@SH3V~8t2rQ zSX)RVkx!=JwcMXj56!M#*`JCy;qWc3{BgQWbap@_n|9 zZP&|eYyuPmq=W1mnOZJDhQF|GO`iMJ@l!*LXkzQngQW{*8iT>j-py>*n&OdN_-U9v z?&2rR1c7Bjgm0+#=QpFc9xvQ(J;V5Mpt|GWCwTWt2$Dp2J#FRYTSDJe*lNKQm+UxT z#NHq&I8A!rLZ^sTo4xP&2V!93nC@r;el*-QZZ_)oJjkO6&A5{GS0_J|ct?Y<=gsk7 zp~2Q9*lJ_GGc&VU*@EP!zXZlbIovVqQpOeh_Pu3c^-vm7=%P$T_rD?5xACONP zww5){w)Nx6jnxFZiGdNQ?%D${H0M`r77Oi0?m`^OR{65`zHXf^gl?}#*gYf;58TH2 ztfs9C)$o$OQc&v2tmUP<@L+yd^UdSYQ?zE?hV)m1^|B?bx2xMXe|(u+)SmV4Zz=|` zNxYi-K#Z?G^XC9t?9Yw4)YgNErK1q?aGAz;adU5|zx*tvy?FC|b3=_t8*B3+sWp2$ zJC zNs9I7cYbEQ%~L~jC98IE+m-cRRNz&@YjY(ilyf^y;FCM(G)`%XWw`5=%`1BMiCoR- z=`BLq$#c}6FXTAjuL|NSF)4$}pdS~77G`4}(6xh9D zxaKCt+NR*=CN+IiyXlHb*T~9MyT51ce>gfr%2?&08l4L?tykQIF&5L(Om{RZ1e4jf zx8fH_+>~TRSDT1*omh!zBM1vaek_1;Z*o_$irT^dTk-{_g4j7MCZ{t(y#6N)Psqqo__8^MMq?&ROZ9OcHAH!Tey1SBQ z?Cb^-7}41_ZEO^M+U&+J=x;yY1TdFlWC1^A)JWs;9;(B@%(tU?7%ic$tf-u@Nxw0H zK<^!@3I`Yu@gFL-Ye1dIdX^rT^fg8@IN4vAt=(J9{&M_%?uT@O&SS*uNTwTi!1!pF z`Fxxk%mF7BcOR@qUL~yf;*mS|^0MruF+v{*jO!{Q8;xcmY&VU%Xl}})ID^XXma`OuOxjEGroV~7KTE=}QDpYn zXBN_WgTHa)2lidmOruyq&sMOu<9lc*fFy`^KKj8ggyFC+vRcSE%%hsyOM~4ky260z z)}F62UU$ur4A(W`;hL}#LM@o(n?Xzht=_<1%gi9i*_`sDKA_G04^{_{cbK`Kcmq1A z9dWoRH%1^>H1>Urw0ziv5Eg%>{2*Q0M*`<5>vLU|5!if7kf8L00Es8o}3GlDqmlh-8(Mpw$638Yp}mMIkZc9>OVcTVva zlj{(vF%x7#z?z-#hXP#P0B#StH(=cVyFQ@0d*V_3vHTQ7)s3cF|e>-xXdsYC!xt8=a}y1x@YcVz)pH*j}yyw#LLTIR(ooj)|! zP!o1VDk_$nP5y>zGOh1K(k@`SEiZA}t0ideLEYX)Ju{nQ;6=yh)*kX*Yd`EcMdon# za;&*!elmKjnCvE(%-EW2kSrmZXHkVcD9b<=Hc=nRE8m{{q0cGS6K%0|zL4D3CtB&F zp?ZRV;P9oAjy2jI7FGEuC5|D1l|T-WWK$3O;It131y0~+ObwSZhno=xjR>cxj$svv=9mCjj_&-(1U;FJtD3e|9Jemh zn0M+A4#go^kvXf&Wa<7btfvq3zqvgQcN+={Y(%!dNroH2@FxG=0WBk25YilMJmw6w znkuxL59-R06mW*_=o@ji>}1UBI`{Ymf7=CFU>X=A&`|6Z*2z?-;^F}V>|Xgs`?2~d zBXe`cayf?~E^mmAi`2o&z%`BgCIH!K4{yZ%m(toUja_Vsrt$ABr}IS99NwFfj}gl& z&v~G$t~w`7W&MYNGnlwfRlsLjKVo6}1}3Q^{O=5Fk!O%bJ7OkL@!?8a#*jug(~Uvv zLj`%wj0E+AF|53wd5)p0Ux7R(o)9`nwjKC<13dmPz|^)17icD9XzDJGv&nLd@Ms7+ z_B#L#$mFB@@-gy-pwB%&E0plm9 zhk}c5<86U^mA9=VX5nk=JPVSj29R4kv+K|D98rXRs-z~KE93wVhjvw=Fl|%HBV$Ky z>FiHv(zTi9!{SKfJ&F+TK7zOmYGx8KqqR)RaPMXbqHoA(JP=wr?&i$4S1?EyPmsp> z1w9yIp!G)*UJ@k=PLizKdmxT)Gmu6gu*i0H}9QJN@@n4Xz)!^bGF7Z zZNwmaZ)0jwZg1oU_k=mAacT}{88{6d3RpQr%7v?=jYZcP!&P!v2~ZlN-B^Si^f4k! ziH?yw-ClQsem*0%EyZuY6n-rNTj&;7;Ssp#iSb_2{AxJRa>n@xNy0!Bci4=jtP@=% z9A*#sK5n}gjp+S{ydKI&sVFA8v<{K$a~JiCml2=#QSk_74lG_KS_zZ*%rN3!`r$)T z7TM42(r~f1!^=RF{gBjsf^brwTB2N2M~KZ|c6lB`$8aC+f0g9&NbTUn8du1Ip_~P_AEFWLxfWTvZ&|)lw06E z!_+1TERL*A$#7K@9)vl_D1HCMB-j=Pp15stGcY{}rKIXC=iit_CLaHAvZYqZB{w{nk zS_#WdG4^>dnrDMLeW=lQXM&w-a)sX=WtZpE$EvXEI~%XXSj=OTR@Au@vCE=|M^W;- zA*O7hQZPV`zLI70bZHb4KRcIR7a4GQmm`*et5ww>N)KqHZN;yuzQ8S@Fw3bty+^TF z<@xTk1R6*u#=4;ZFf~*TNb@>d7TQGbPh&N~=Gl&i9b_NfWD$`}m}xCg#unWV)Pj_A zq*W;lX^5N&wh1_1)0Q2r0BJJh2vKzcE5>~#QY6Z)*piEG=iJcdxwp^SH|8p|7!kTP zfcvFWm1Tu5t#h$(cq`9|`TN;UYNoA&@#=k#ep@Zk}Aww?UgY z(0qu#JwGG|bT!6+wgpB<5uEI>Y;k>Ss!sOeZr&DiYJGcxoHD~3gyq`FB7Bn(SJ?8w zp^_OW#&QNQB0x*xQ+;%E%No(7)DXZq%CKUdA(R+;r!7LkZbV2MU;NNsEd_CC>23ZA zG{s3VYBY<8vJ zatQy*g;x6lOwq;t4ALd-F5uu(OyZ$hzaAv~&<)zr5EzB{Pmig^*=Z8tFVTm)Z$r6M zEbCe15eJQ+Y+&O%P!sWWy;@xD6c7Ep&V1zh8sRsJe(KKm6uWVnYg~=Ulxn>igul%v z*4FAk-P!+fTTXiIruSzckF+pz4L`;BO| z%QJH|stf?K*^KbrD2kn;XV~s|cFIv~N8&ryyJaExd@f!nHLYRW>dIqP%NT!5`WT~~ zE#_c3eu;AE5ddRF?Z)`xV{eh;Bas)6f)Hh9b`-xoPRFtqI2$_MrCAw!NGW)Sf(Vlr zHhJiYuz&DIoNA|RS#%kue|_MWWZkyxKB^q6+hNTi(hCXP^6#6G#9bzG+}I3Ce1DJ} z%GrFAlvAXK z^b`w6I6h^c$;(GbT<5aLll~;WIqSL(937KcNE9@uWs2DOu{~cB2V=h?bmTbB@t<2r z&pyNYU341^aXtJKFSyl4hZy}TU1oo)7R z72_38>*#+26%@rO%yreBQCvSWfZYU^>b4JKIHG&cW6o2ybB!Tw*(JT~q27l}|9A*P5(5aw0;%4;Y!}2XRbF)H_;g(r?>DQzH@2Pe zt$p%LcAtItvUPsd> z0b`JxGX1WvNz`K?Q);PiCqUkAAd{Il$bY?QZ1d$AB0QWp6}I;~5g$pZO66 zM0k;xaIWxIkAxfw`X;EzvzLU1pgnstG?=<#*enT+=j(TC&xm|&NUP9_;XF-@hZ73F zL~nu@ra~_8<(e^@P%-6ljP0=Sf@pE;x5NPt$~WqeF&dY1|EZvry4(WVm3@=h^Q&Xr zrBMwSMcBp5tsTGrJp792{WaGKhvy4xLnRZP_6Epx;N-?CCNAqX*MF>4%vYrlayWtX zXLp>7<>J&-z5HcWV#>u0eAm*dQ_iu?cJ`f1?%h#THBQw$TgOGm@dG%5r>l&Z-5!^- zWiNs2ZjRSyizuevso@IaXO$H(4J;3|)7Q7<1aQ(4=ZgeG^)wFIw;;WM-)zV-)jkC}#wuSBopT9jSUh%gW>2l->q?lwZy>)t-I7X3Fa-zabO$ z%G=|0mlX7%QlWu~TSvQ1ouJd|%hSb5=_c-)U#cNw@KS6`v{C9;N69PY=UIJbLZx&k zuQNdAyPnzg*E}X%ms1A$xRAGwe5lADw(JyK^+xa2>fjrRlx5cVV;`frvtH<};&bX0 zcf{%XSx+fA@d^uic?dJOd)J#1xStq8?72^ z;AD_$_2L4auGGS#U2sl&s!D6bl~M!rFWHj1#jLoy?%qDIn!HLhpEP3oy_TpUL~iz=7LhD0gYM)9G%=t7a<~nz60TA^g#WPSJ`>L6LYa+Z zwJ7+(d|GUkN6!pp`8iC_8B*n*A*qsHIWoLZwvV`%`3I0~p+>1Crt5Y`@X2jJKr^xy0YK0KQ%l1;C&M3Ar7R)r-kH|Ofik4nvZIX&q``0 zF5bzWjn&+hgC!U#f%7;gPOmh^Gc&D92?PU^0wFT6Q=!$<4%mS5o#+`J|Cq*XOR zx~K6K_w{wsBm3V{)n5zRq9FQvkNh$1O+6FN6};-AHud^&w;~D56dO zjrZe&w1ZnNoAn?M-HFadt7Hx9$~avRZ;qnCX7MOBkaPZ}{P`%pSX$%0y4mRRP1wV3 zQl1xl41v2`$II#pTJT^5JPt4v>9(GOyOAwUU&Mss`KNrmj|E)3_fSj+|QJL>Y#I7>^h~ z7@t;V@54LJwTd--ZeZ&9>0W_=usUSFcyND=XCLL}HnSW(uhv*s?{;bba%n0x)j!)J zDFF^j_;pnPW$}>yqr@w?#6_5rQ|DawhO^JrpHJ@fu8#d7Cq~p>O@9{CW#E{&yj?(j zh&;#h6p7K3&kfUGKOGa%msbU*Q~h_*U^@48R%$v&$q$e*GbKDc&%|^>N{nL@Cdrkm z{tTW-AvQcr?c?rZrzXk<>@%4BlvAM&4{BOpHoko|bvTsX{3xJZ`eg4-@M*!Ki;~Q{ z4H#bLk!MBspGns`YT=tcAc%IRt+?h4x1~x9C(f@W?Wq<2)VRe68g^sZ1~#%M0_q01 zp*89=dcnVLNJHdu78TVELCN5sy?5LT`M;>$(7AN0spC_iJcpn|#==>Ds!9LJvEl9V zl0XU}7hi~M9)A6%d16qFZlSXL)2W9XxsDNYJ>PiyAF+MxSCQx;`|DhzzlnGL(%pG1 z!fNbmMsO{=B-jxjzI$?S#$9*be~nEoX(&MY^Z`%uISS*;i&>7PIee98Ca9d~ned9w zw#DcU)vA5r>G6Z06L>DK_&sb8!1HqT+uy!j)!lQUqTATWrZAfO*N@AApE-61a`KHj z6m5H} zDsS;c^5bemNknA-hVo!NlG+4sr=nM&*KJo{sDSV6T`CMfP^gfc&Ib+N(E#Sc?s%SIUR{ZdQ zOQb)~Bl{e3^!)kbH~SWhQWv*~JIUPvwR&!oZYtgn%b#CDorG%Yts|p4a!h5jwJ=8$ zc!26i4-N`#vtRSj3~GVj{AJx9caR?~Sqnp6iq9{I$9UY1bB4s$h`gR>wJNYfc#oz2}JZVG(u^DDO)cnOPx9+x-awE|2!m8W}^M)vPduDfa=pg z8WK_KQ=Ui1A>_L6TIWxWr%&WwIAvb7iOXKmc~SJ475Rl0HqNcrcaAT19jrlx;Q(su z57i*|TO$rtONKdPiW!s^yTCSBO6Yt2_V?bKop*J+7Lz!XDt(e~w`U!(?a2C-gF%Qs$`N z#8gvQGdeZX0XzLi!XMM*^a#2%xih)a1s1;pue(3R<4y05OY?0Fjo|w*0gu-bpy3c?IbV4JK_#6@}@-}p(r^CoE!+kPhjynWkJ-B zM|KtC%faSQ(x8R%-`xYx-~2k)t*EEp=4}4!$Gd7@&}x0(%dmWSq0>LjM!@9FZ(CxO zdr1ARJybl4YLD(_9+-O^YS+Z&p+xU~Quq7U>M(e8S1RO<7j86uAb%KG@hSP!Mq#jaeJz+gQU+bI0ysh_^a>ikX z!TIQFhL~0aDIci0<1PyH{C(gO;Ykx4)4a*h(Y#x43ShNlap|3pifU%HVNgg_f zB1p&)N%=0_Alo_&oTYF~o=d60f>vVg99i}!9iOCktf1%Pdl|?(Om@}2n=WO$G)w6f+bplGqaWYig1*P*`-6KW z_1|7)(wz3Dic@4fpwU4s1H?H-ec#i`Bd+;pzl-f_k+mrvP~@lYRIov;u2;>~H|3pY zS3tA+zTFlU*g)x*xo0*nREpy!!Rl|U3M?gYIcpcGaRJiDUy#W?q!MZ?l6Ec5i(6t(2# z*$~rR;eU3aWkDRD1YbtgN-2@KBM8xb3LvvABYzN_J&Sb)!KaOmoz#Ql$*HDTtNbKK z+mFiLk@ZsBjK1pT&gL_&(v?}STEn@UEKp8)@DU>H&l@Kt-F3?>&LU)TRrKNwgr?%V zbTo>)KjuWIEkSBAV@;r^!V@+!JIA8#-1?k^GH?v7nS9v)EizHC;ys5$#~FjZ_1TJ^ z`p7=^swSN(5;yg)sXB&tjclrjXRF?5xVfq9C-sSp?`HSDroS=Sp56BB(MkZ9R@&Fw zAN7_RLbYhMk1t!IKUMVb*Dn`NY2ht7M%6ePv)4Xz`fX5D@o=u-HVJ0u?OrbE)ZumeGy(hynz=@lV9AsZSV2>pYAO4Q1lE z-R?y#Ma$ns8Lq*sajsXSEzb2(!hE79-fe#-y+j)76F>na(v6Ao18uGkB>#qsT|B8{ z`FsLzm3&2;j7#b*{T1f~uM2n99N2kjh>E?4Xh^u?sKff4 z^tBF=UNwboV`EE6snaXzYT%6nXqt0OM=YLbOPpDMgr~?^Z!&5`ZM0#ye1X}798ZJw zQI~SQA2rAMj&Q7PDd@6yE-BrtV)lAO`OO!UA|7|pK6ks|aeb_rDUD8lrz!{TGp^hQ z3-t_yVs}+!s`BRT$Y~EzG0Q<8P z|M%~5YQr6$mTWM#qZ4nxeXTS**DUVL#CRdXzBJ`Vp3hc-7UW1*=5{r|4qQLBH1n(-~ zlxb6s>drI%Fu8KF6{QfX{M5)b^~1wrW)#-5up0Z#z=NsuAZRj|Dg@w3i#?b8f)9r` zg89O>FBCGBw@icEZ&%WDsPj!>zO5TP{*Ah3!>_XOiBljGe`s5EM(0|nQ29;BhDtI@ zDhNb1{pQV{EO)$6I_Waja!Iv@g6=WChN!^|ltQA%&`|b!a=N}RTP5Wj+h0;R@#RjS z;VzSfY|e^gIRKW}haQF=@}9Fx4Z0I90oS*#E=rDhj*@G={6xeW!47TRrT3!N$k>K{BcmWW&R%H(@Rt!e%L(e;*b zQEl!2upla>q?AZAfDGNzNJ-yGpe<^Jv5F@si$rH06cgDU>A9fujVqTF$-wH1QDK%ayDZI74 zr<;DLKB3hdp*zHxB4AG%Me2_~G$U{>z~o$)`PmjzIa!%N>fHS4z);vID1x3B9#}qf zGcy^XaY)`R8B!zZi)cfb)euFhy$NtplXKFZLrf!_@BrcgFb_PGY19Z>M})1j^~WSD zbL(u!kar)eM;;@7yt*yVH{qsGpv}fYk>gkyudT*QlUPPd8X&Emt;b{bf>65UFxB1A z?$%zn2?x8uEE~tQ?avVzZCIyvc4WFGM?L%w^(26K?LZhWO_$HxuyPAd7VMmc2v#QG zNi+K;%+PV}Nj{0aF*!z`LI9`ZaQs<@cS+$k(mGH8nij5&yCM1`rBw#GW`dvwUryx3|;u))Sxqypnf9X^L=Q%n0qfOIKq7VHQJrHTv{*n6pGdh`AAwjq26esQ{V zU2B!)c`9XhS<=u*{Fjq)2h(mZvIsJ~6yg;2HnKuYevant!(&KpByWE@@MxCsmeY?CUhEd*~-YCsYbEhYg@9u}5Kdrw3H!75!b3=OsnyEE{Ju-#Gf zhGg=~$A4&|tGgd^b#q!-7U6Ha*e*Gl47WDXj4bgo@m9p>TiSUSEP)&4d3NBuKc!1UzG{Y z=sQsX>Y+CAX%6x%-*6ZE`e@&f5VpcgOs|_yllEMksOtq-K6#1!5?sVGKfGm{pH4g& zG2iCed)D2=NG)U7UNu9=)XXB=^i)JwlvI=+M0vga(|Gq{N$6efw*9MnjXCf`{(|Te zt(}GD@%x=O{^^?iWoXblPoti3bkh36h)bSe#xIm~>6IeBVOPk$VLX>AX#0s^?^etU zt4^D&@X35hL!1;rOCdvPTQGP(3pL%=+Sci@>U^Dly#kkn)QE_DyPuI(t<*fGD5zrr zINqPZgT!F$7?RZ@_{A6kwuPkL(nbV0%d>^pyDK)a*N&INH?`f%?J~62iDK#%v*EuN zmeW(`LggDvT=CrtHSQ^AU~5jd+q`$|isi2|u<}%UK-@>M8>>xkG>v6RqNpj?qRha? z#XwT?__OZ^((Vb(@om7t^DKS&poEn8g5KiVFpENLAs@S9X>s7hL>AiixAv0y|tQ=ngaSIeH^`q4Ju;}0JW z+#K8kc>?M8QXFW5KT8n&is5T+@@?c12~5y5;Lh9GHr?T*ew@80a<; zy9e_^^SVuut2b{@gb^>6-JZ|y;J;BgOFEAu;%c{FCj72U(}~YG#gq zsS_(+bmPb}A~p7D6GmmuBfuXxD6-b6hT=~V2d;+=RN4_bR>pZbo3CIiKX*X4LpKPO zncNc}NTv1dEi06YV`2F0sQDy~i72O1KNm(eO&^(tg%J%?q}w5&j~J(C2#=@?D5=Z^95bsBu!H-m0Y6e;(G*%vrt@Au+`ngmVj(vq$hTQ!qDfffDH~ds`-~jbO>Cpx%RI}x)fBTl zbD2y<#KwHuLhuWW_SdUSs;mkymO&9}X2LL9uM3R@|MG7)kJHZjn^uHY@6+o=tfn8Q zn2OMQKliRZ$!{HNko-;DD8N1Twf&m5cIuB z`0FG}S_2s0M)jb_p+;nki;@bySs^uIoIN>F{jELRsU#Gp+(l>mJ|Lqc!zdER!Wb1^ z%)Z+D>-N253L<#u*WD7&o{&_RYAlvnK(19Oauio{_Y`(H649>G#Bs`0*AU4%nI{?@ zO307B-YPjD#vWjrRtSFC{2?Q>Vp3S;3`FLJ>xG-jx*;*BzM21E&hX#yT~NGdS1wsL zgMlX`eeq-Q&j_q-ns4}yiF)K8n-*1;TeZ`#4MK^i%5LG{Mkh3r6uhxZmOK<1^l)!2 zOEg);RrTk$I0)u@LD#{r$+)C72dnAG5W9~md=xb&buwNd7|6U^#eJ#D2 z{!>{3URmDl`jGSkKP8ETh-p#}T114L>KP95gMHNghcwyosSh-Xu-i2u^)}I}!;=$K zPcO8>yTesg?OD&*h>GHi9OK5smLqZ4r3{Z)X4;D6Yvy1AT~F=xF+C$?O4DBBE{EN% z$8^z>zVx^0ee~Tg>RlaE>-)fIgTkmfpTr3|Sbzp$oYI&2+NLqnoK2q-;^Bb&Y5w%{ z>1$OsNFs_ys9B%pL`x!_G{(YAy6^^3MMAvmrV`xLX{JP` zUl;7mC}{g}K@Fk)rSUzR8=LFeioz9z^v+}F@W{oL1QzwV ziFC084?o8lre`Y>gV|4zMAL?`l z`yGzzdmnTSHJ7|0eBjDv+)Z#AH(#CE&K4m4NZW$w2*VRHxW{sB3x`f$hCkqnvjtc? zI^a8FB`TixuG#MyhdhHR+@C!+9_3?CGD$}!W&a{{n1Q{P=jGPdoA9R1sCVh-74wU^ zkp?Tvg3F|^!)i)K;_#rmFfRL9v=^Oi;(CGYU9@?ou6=#|?$6B11O{f4Ji)&FberD6 zPg(%WCnP&n6^a6rqB<4e^6z0>^u2uQ4&+?~`maQZU8QnAgj_35Kh&M-Y6`W8lNo8Z z@ZF9;dc-VDGdM>0kP&)LBgVF&5)AZ%5;gUl;hhuQU&kI(WIYe}6lgT|XI^c)T|=^YtEw-*%{0_yz{RCU_5i@kW0V$c^c{|a&jvX< znV-%Lq*nzW&RlHlf6y@mUvHWK&A?EO5+Hcjifb2|WQNcdX(-q0*GX#AYqvfR){jqUg#3vOcJynrvVD`G^4JI zizrv6n@Y>KTmk|&ZO4`f(8m8HNW7fFthZztV%{-ZwOB7`V{z`iu$+@IfdKIBvk}60 zlh>lpqe!EDHCF<9@qNmEF9g`C=)U^mILPJhlcv$mvjZF~l&hI5euHG4u4tqxpbfee z``U=LlNcv=HBtHnZLkCr-De&pn7=Wp#w)jU#)XDtsre=yEbD_!VqQb7#d+4Kj%j-k24QvGZ?fh6Ye^MYG3NY3p1pW z^^y(Cl1&@Z5)eSkuwSTkPrEq5Gug;w{azZ~OyW5h+s+3}@?LHRLGGo#V;%?^nKWH+yujHF_+zKKOHZdHTd-i{ht?f5qi78~iYG)A{Qns{J?}|9$1hTi#XKjBxyckQ}6 z5*p+&HKGn9!VK$vt}RZ4F=Y+%Hn!{{WwmXWur_Z@E*GVJ&LF$nTJmT5vN(CH5|8$y zT*?y9x7IC#S(K)(J>NIw^^;R?Za653y;~=CbM3#}QSZTG#3G-^{NW^iXiZDq{6lNQ7ym$_@yo7fLd_d%Wr5wqKoHaZ%x2BuG?2OuH?bXl2 zXY)eSZT5y89_GJ7D+iF5(N6?*Kg3#wcNK6Kq(bWXy8+ zgC%aDg=&}l0L)~TX@Yg9GDf|s;xzqDO%FsexsI1v*39wYgkgTV_m6pH`oV|&u@4Kq zF=>XmZ&#(HMC$I_+?!`~6)Jr8p@#Ri25;&36m{J_pX`p zMfk;hLtJ_g;jTq@nu7nEOpBf#(;Z>**`_5kb2)65ICDdeSJDG@`RzHaS7Rz8R~V}I zUq#%7fl6U;)kAA$cDu#fR}CNY>pSe?CZVsu#huIboezJf?19v-FuoO}`nmVQJcSrj z2_KC^kb}2umb>3&>xiy;oSUtYfu>}bcLfAXJI1lDRQBuN9uD|f(YZHWGTRJfD=XS! zHX#vsf37{g(}UfLUIjQg+{SW29{jay81?OyCs@18Y4R8wpk?W0F#q;WZ4SedX0FEU zt~#S$;R9mCp2QQ2yDrb>b_ArtmKEC8n$3eBb*+Gx)j=@_!-_C|NvM)x9p}I|q{6)l zh@I!yn+`_&n(Q7$nA=-gvt#}2sVHNq$h}uz=nAgi!n6J(w9c zy~LLBy_Ci~TOH$aD5mN3?v5qOB=TaoDRl<7F$%i;ZXAV5bux8DwU|C*wdj*n`o*?u?_!=J~rmdM!g5-`CSO zV%lo6Xrw^4ShV&BG=GRHj4$3yp0$#)IBpsUml#?S4y=AkIf*^FQ!aO*^z8$8Cy> z>ZbMesCQ_v?n2S3488k#zT25vu|OSpYmcvVi{38b;STqzPWDVvj7Bg~yJCgZ%q`oA zyT-M1TqX060C3(i+qMpnjVqq7Hha0t;#``KEfmVvDQHqt^5DbML+`O_jGk_n;&udH zd`@s_<<=tnl4jCNhehXv}Ak835=}ZY~pJV(c%iEQ60CeNnakZ3J>=A=S%CiWcYt1zjU>f|O8o z%!DNd6XIfBTlZpvTT$$EKifOA4)x;m!8iiF@IN;RpVIc%`sP*o0C#?IW}}dS)B}=d z+g%%X4ZfOUl3Su=i4O<8^#&4KT^k6cD-&AHBN@}W6zFPda%BulI%5-dqxQ;P(FCb! zsHvZ>c})^~{)Xt|Ij66;%{?#PWDs{O>yVmk>I)QXir#wKrYf{ip^T6h{&BO6t)s&t z+dggPdm!~rk4<)?DT_EmC~w>c_C;j*o!_SYM}THuHFenkBo~aLI!sJZ79_KK1iF}) z6`+c6faj^{&~Qp(C}FTM(i7kuJ7l>bYKw`8iLMi=aWoV9TD5+8_^67KWEiVeZvqXR z7;~Ki;>!t-LZkz6QztoR@g>6X{7$={g|IHKmpa z(Fk@I*c%-`ju08O@RW*aNZmPQkF38%PuFAgMK%jh_-DBiaiWuRBXL%m>Ihz8DdpQc zgVuwa9wa-A*al{4pUV@frUNRXd;*2Wol}Tw6!lr67h5mr%7hhp>{t-8?qS!pvlOg| ziZGLYo|3jIseQ#Mu6Ip$iRq^65ujr6I0Df^CFR=~c)=qrd$++kI4{VW-NSFHVsLGq zwB)G6oNWa)d2&^f6M&LjJX}qRW{3kri88^6I%_cxrfYBt!>KV@Tp=0}!Kk-aeD{Ao!vlfEZeWH>HQoZ44M z2HEtwMnOrP=B&ZbV*1g>+k>sT=D{zm78H#)p0mOZud%Zs&^2|glc4V65u6KLv@12N z+H~#+GPR3CrJxbU#f~-qce=$k&{dN$qi=~#QCPL;{uXhFfZ4a7zAA;$ip~C#yAkPt z>Lscu)Vuje(eBRl zokD7JR7|&Ci1)BlDv2vRnm_5O{^q=B$G)r-2kl)G!1pq9QFtP2$&spxfpl`^D0AQHF<}$a!G&F?Uj)TAnEDVOCU0^qe&dBHk?MgC%gb#BB zfVt?iy|RPo(`#B|Q*g?ZdgW`S^?`26?jiVs{%4uLPQ%MR6l!=`(vmpW}XSjHw^4eeEP87y6^!XOJ(i;M#k0 zg(Lk2=?q(DoKuM~&ubI5QMeUrP8#B^4wR=*ko@4|tm%g__j-s-HC%+2^XnamB|744 z+jR>@L-$(ujO6aSKdT*i>hnYiDJQI9J!Cz>c{(}|ZE!oAq@{SEZ-&ts(Sg1N_ZEis zUR#dlR~hW!lA{G@u`V3Km|4KJHZMLQKmnGJKG~MYo8cyb)DWUz?8`<(waeGLU#)Yk z+2`T88*VX^X12HJKOlWF#j~b)d+)-`YJD4?#?SeIU>EB~|-u zT1JmGpKe?~FF~=~RK7*)Mu~5N-eb=SCyH#op7e7* z?-1#WksP;tOf^r_rp@1M`-ppQ;DiW3V(#=qVyZ`<8Ypi3g1Bs(TT{a+;k z@s3K*;%(b@zLXRbY~BCB-S)y&LoSQOH)d4F@isQ2sopyoRqTpaHoo8PVY*>MQhd~7 zS@C};An?FxuGb2pJ`^)6azu0WmMDm9ax3yk^-M>T2~#=qy(fJhqfJ*Ds#t?z0&g}i z*zu|;2WXm#7-@ynta*^ErY&1sk8#nTFL(h7L<-Jzd)S_|WiDB;mg2aWttM^9 zg(_+Osc-$a$5xtKkA#?-uLt`OI1TPby{ukD(Gq_-H*Q4YF=x-Z20S$i<9=(c$Ffk}1g1Q?cTikOPKRy^YE1ODF{@^ZCS~`t<{b}(7L5N3rNhIFH ziiedg+xTwaCcTlh(C+WWOYew?!@2{?_S>vAWEzuu4M(kv&mjNIc^RVm zFO562Z?R%%ComEfr<#bC&CQQq%3C+ck1fuz+sAp-;-SO)$NAEYVra%Hr+RVYrCm|A z%Q*>AkkrZQ4tly=%|X#V8)=!JQ8$S}yoHWsjH9*&AxT%%-BxEmE^V zxz{k)nLX^V#bu$L|6+5}`evD$#ntDP#z4Dp^TXlVzdk=WfHvrlv$G!<*RikuC=BqTSA-JkGR&*gQSd-jwWg%6*&|ACq!tYnDoj4wq~ z!ZNb{0A$0gO~9ZdG)1__q4KPD#{ysPM4R*;`{L7VwazET_YZ6N(e-LroMI#qJ1nt& zu1iPjJ5?WReD9UwVCdk1D!SBDxj^RcZ+=}msODRxbVR=dEKxpzF+MyCey9A*J=a2t zUH1sMrLsX>XA`AXWDMP#w>d74Yu9=T^+Vfra$M~HaB#Ega#GqV6g`Y!d}nh^izYTu z9JOiXtLD`85KiQ*(G~=WQmuiLTamT2THS!ER~w%R5}R5SeFlNc6Z%YxuP%~Ab?^5) zk+V*}jI=kWUMvXYrkio_W+m@rl&?B+{~~ocW(-f;`=+)roS&puIvo$1%Y(ar>2*I8 zm-WokkL!XGadm&sMPSbDsV1FT_!Ymg51@uQ5xdvRf8T#eS)=CPE1L#l)-N^aA7ZM| zN?&Jtdw+1$G8CVH&E)rcYG8esBk^G$!`uW`r^-|x5Zvya`Jj!=+8?wym0@-rqC^$3WpGaz2+0F2eL zbb(S9ElhN#HJekNK|CL${gmf|KhF<20}#pmv^s&6jpi5o=={Ah_o!bc3!J6u4&>}CX z2LgWw578P6-ifp4cw&Ma?XUBI7Yv>}4|KLXbHG71g+1_aV2*NFmdXZIGhxun05T#y z`$G$~0}1wk(ve>GIl&yyfFM0>Gw{6o4meyz%7aV?CR!wz?Paq4ny(}gKU6C}VF|d! z#G8J#;vgL~*TK&UH}nkvCLOs0-;@6hy2vERp`^6<7R(59z`Y~#>vIe%Ji%p^7DWq@ zNy)oIkLd;=Q})h4bN{0dR)L*ck0q8WyuMZI%;;iuS}D>z!2{>crX|Hs(GKX<1?lGoi7EPaam;8ZzIqa72i_&^XjFJP=c+#wwlQq0E&C|Nemf>Gb zY7mZ~O*yAJGo`)}v|o%(-qP|bjAPj~!QCtXoKGsc_B9#?Bv!!N)K_*19d9tIFhAi} zkMrvN>6@o%S1y9C-;q}p*_EC)OIGG)m%n&d=3YYJ5f!!*aNLmj?kTgNC5SQ@L{*T1 znTjjk_J&@#Z)U+Fo>Qc|ZPB!4K#Gb+71nRoMUiE9Rr;pZh1V2-VF4>`sk-l;18g@% z{Q<=MXFUh2YUMjc(anEOXZsh|JRR7FM@2`Zz$b!wtEl`V(V`o+P z#-3S6v(?I%G$i@<10S3C^_+^v3Kk2Xiea&;+wC0iZ$2aVbrkSr{^)pB{U-fMW_!pbK)4Z1yCs61IwmzDeH z1_TGw2l3Jszz5;FU3BPOHZe-zU>NXj!4fWJturGrGfSeG03j(RI_9YwE|v7je%qt) zmbgbjV80u+OO$y)ki7mTn|p?=qP6D;%O84bd4 zS_!>(h!&)|Eq#(oO4tb<`37y56YXFM#_41HL6?{XG4uR$_+-iR)9(9vCj9JMaTRuC zN-PwV0+S?GTT4-Qs7ZEzod(G-v}-p4(fnCJD-ERrEu%LbiliM7+jOu%fD9?_5l{kO zsWkw~0M?5+LH~g)50ns8c@v^O_pfSBu$9D+qM6}jTDV`da|9%^eM^e#)*JANVXmx= zN(8w$?UkE#k!JwkPCc%`rmvBIz{;*j?jj6yyp~!Hg~`2jk>W`OVyG&4MHS7(Ld2MM z2O=5Cz*?+XecgK!pb{?JoC*#2`rWNL`^ou#7?R~XE4rfk$2oR~_4*Q>FtnR+*z1kJ z+5NV%K|ozp%sDQAhHti>RNE-{0GNM7SZP3JRs(L&?0EDu{f^#Ri@@S!TaKSmA+M&~ zJs$t=Ah;pTiWSYXgS9lP4NFn#pmV&n6#F7V4~_oQ;`t-zk;hsb(<3b4J8!43k^_$F z@5j6$aSdT)FM}KHWN1N~7ECd0&XvXx4Q8~Mbh<9p`Vo7YGweZf`M0d9Y4+VnrA35C zqMr1)+$^WMrdQJTPelp=M(sQnA`})!h z*P~a}*2lI~TX-0!A)hasSk7GBD4{;%@3P{4?@*OushoM9T*O`>b=B3?7dkgxb4-Felit~U3O zBGaN@6tt%MV)jz__%n;mI@9aA!rHF|fQRGfg8}sy-|08PoFLNwnsF_^Vc;K53d0>`TSH2m?=8m+} zYoR6edgx;TxyW>@Nln7(3sP#qhH^)|h!3K+0NIJ60=+j1aW142%ib$V-dcYUW*-+%8UF zt4%egeyi^s{mwe;KEACz8V{G97=1cN^x<`#tBEho5GjU;a416;(dXFgB%F$sj{Zl| zd1$?*8mYqmECN?zfM$A5hbL+agVFR??>`ZgdUP39&*2w{>j_kpSb&maM@X%B=wt zeaB4a0B}{+V(5(&M*x3u+v{e!syuuHx)f;Yf?^It*gM|J+niI$;#>y|`^$j4Ube>^ zI;8(BBwmc*r1M&BX+%n-V?LoT^Q%--8>aHvX#W&f06?M+<9%(l5jG0sHK=F3T6uj# zujS%ZAV8&7B=zW9-h{4x1>`}VCPK^HBe0sP7scsueJc_@U8Oa=A@LIY72zD!1d9&& zU%!r18q+D0QrN@Jpdn+10S8||LBSv2Wb?kRO2>3b(vvCno7&?R6;)j&xT8JaJJ{GW zZXgqWjj&zn5>0)oj;N>{(+yv}0rDzG;+= zjIb~kDBE-0_+E4T{eIn+xmHnAR(=={jB72Hit&v3a3li>fpO|Oxpyu&ceyK4CvRoC z_T3TwnGpFCc*^rZbm{mkzrqJIhqAk<;>~xj<9fITB5F$}X89PgD&JHn4Vh3WXUVy- zp}B)v*Nv%FeHBQJvg1Vdx*E&&j=@CD@Fc6l-jQ=a-B?r>-%h!i7O!I(%eOJ`(7wq! zvxQB85B~=&5Ez#&d-WKeQDBBRtNgtp4GAJL)|%<={-eso1^FjyQ2of!A37-tu&+$% zIC37CZYsje{L0Kd^8RgJmH`;$XvYO=Z4gCJqm2R(ADccn)YpMiQeJ)$3{}gAywOzM zrS74Iz!F*w3SyLdCI{fG?0)!zE@O{SRs3`~p1ZpdoR1@*+fGfls(iyGpn|anI)}$l zH#2SZI+puOy5GA*6RZcDGGMr7U#1|K5x+QvU8+B>z>Y33`2?G|LRlEm$8zgWStBfd23!*Kj@K17xM%!tVz;jPRd%4NabRy&^ZENuWdmsH6tYMX&1-1s^EJrp znr3A4qcc(sQ}jgePOJkTpSWeaxiV@xR>XC|;)_1`;`QFW;rv|Cxb(^!J`m@F^}HTlh3y&`u=eVOi>3j?{Vy^FrL;4+l6?~( zpR05qV^$A#mEEh_o%n0Mg8AF-{ZZHh@2c zef9@alm}-hJ98V}lF7R32mD558tJ|ur}Yrwj$f(R2FXk%Xq2fn zM2dH!I(Sc1EBq<~qHn#p!5HE}Q$9PKs48e(T;zeTJcGzS45ka_E_hs^$dfn*LKwJn z-NzBuU}kxjriYnl&6s8{`yND=egeMO%1x18Q7umls@<{LhEF92itq|)AE8~8R=%RmT3ayYMpy0v@LWb=9w7@XY6H13{u@d!_pS9j{i&(mBmEf}d)k;MtxYICWhsM_#B~mCN(W)IHQvLbfFWlNDoMBn4DoOo^hHNRDCTttLb*y8-&z zV$Zy_RVuc644akd_$TPb1R6}~b1po8raV>2jJy}p`Kg#vI-ZgEm8rMr+RNuIN{3Jm zL1V6Y&BubdjUPuPsy%Xj{#rMTo54)7O4QVHW;-J0psZm#OW^`I+DB(KL&=3?ln{NM zQ|9SPvLH?|HL&X2Q+SMO!Zm-&;k##S?0SuL`$+rE<0}q%2WnZ^aS(FNAf0|qX=4Y> zNvMYG1HB@4KVHW;g1nK;XRNQ=J_Vl5EDRXjA|};aG-jF+a?V3_m3(0>JFgy9>D}ES z)pt{ahRs$TqnS_vZ1@KHwi*@7w(f$)4TGwgCGzi?%VNK9H`aeo{N$JZeMoCG+X#HM zQ;L>SgUhEj8;w=Zr9J2QVyXVd?Rc-DCF8IsK|S0<;6LUGmMyefUEFMVP;)ucnS`8m z=|x4ta30XHdr%mcic+arbq!RtJr5uaz;&n!f~}3F5G$mpL#P#_;wc?FWMI zZSsov!x$paHu*>$R_W(p8&GgbTxT=bvPPlAow)|K9r{Q08TJJRCnZ43wGLdJO5(q} zRg=1#F`Ne8mSr$mcnytrj}Lm>RD@LY05py;lqdq#ksnv(7^;L%i|r5d-O_mdKA$ci;PI&1eQxJ?nbNE=0k2tyhbH zVT^x!T3)cy#s%P&I}P>i>8?I!vO|a2C#6(GqRXDYQR#y{Xye8TbKlz+_4U5qHfndppbQuy$IY(wqEyvh2=8w?GLC0katN{iCkkppL)a(+XZj zL4axc2 z=Kd}3M?Y<3j@GBS@jdeC;8kMh1n%)0>UW4;O12+JvYXSU#g#5TIif9sjh58Gk*7`| z3&BE))nFDWcKz<^5DvmFa#);K@85a9A~ICotUFP{`SQ zNOxf}N??|@0>LGQn_%1E(cL&qMCF?2H253dy)HUNK7$S*V$M8Kq8P&klxGv_X!Xzh z_WO$zg3g=hTj8LS_h>K~p1;~g9+IIEN#<)37go;K-i=-jJ$=D>q^V`tyY@bAzULYB z-sWg_imA`cj$TE(NjT!0c_$1CZ-C7YQ0Gq?#=XDrQ2Cwjo`q7Jg+@wO6`u(-@5cU= za-a_D1lj8u6It`Xk(^w=2AM%k-}{?gb03$uiWd zKZ9ADuMG;nNw)}G;d#5VR*VkCpI$)186m`^o@;+9+d$ecV`o_s?eIj))m)HdAap@d zA>qUrvNY1)S~AEzXW^E%U*rAt04#b%;{$~!&w1t_IbTOb(8C0 zNxj2&QdKM2#o%)AeT*si%s$%Zda#NtP;fID&aLT!0^(cPwMv>%#|rf^4egv+CJY9j z#i)BDlo3`xiqzF$#*F$UST0SHzGZW^C8zG^^Pnn+8gwQ`bTk#|sAcgPbpgK1Vam zQkYxzpI%=M&E%{xhr&_`9Yq@uK!&Z`%8Q#l!gWB1-BoB@!mpX$kMI)IR>u5>wF)`XSsq=syNT1qJ2U{&VI3b-DM0 zz}tDJK4LiRzpn5<-|~wj%`EcPt<`t`#p_(%f_L|Vp?I`)kl?BWMauZF^*;OsazSlX zc*i#-|Kg}1ZUgNRDbv3Y-rra7Jo+=>dXw23f3@;j^kp1?gP+GAJhR_tiiW4^AaCR% z_2SQ}xaFSTtaE1(0llnr?e>=@5w#gk=xSbchs8Pk??+aPqZ6r$IA;Ob$|z{jv#OjS zf%Q5-Y5cB4WKiT--Bm8wPT-}lN#fOt=%B|>Tk9~TVEo_D!a!G+Gy?6XDg=F=f_5fX zyGZ;PIama&$pX5$8W9p8b!}rEpwOT=N@2whyNS+nBQg$X|Ne8=pj%l@i$04)+3quEDjbLW{aIo4ZeZk`F@b3;XMO1Z&{!^FRcdPvn8oJ z`#Q+Ba6DaR5}z_9JxF9d;~5kg)wd9G8c|?UhGL(x0NK;~2FOXWAS(aOX9{pB|ND&l zA6@I#0|Tm(Cs!eyoM=@1d|h=N^h`kbP_3Tjy^`t6SkP}f)~N_undnh4a3t>y2pMgB zDpjrOw@73SFkmGp8%C-ULK=BNvVIYyFk({5HjNYVu@}bq11iwOvnD9Bs`7E^sIq{5 zmd%IvA=0E0iw)Kg2trY<=JbEwo*@y8G>~p!7uF0w{Sh!p~s?%^DXlTD0_Py0Nc;A;4-Lk4Ojm zdsGlF4Q1>+<1lO%E{^ItyCuYirHP6@1{@X(eW8dx3_PC*M45{3XAUlZO7tvhEoi@xAAznlmCJXe?Qo2d~`%t2lVCdQNRG8HaS>KrSaO z*>RwRb|B(Ta|_%HcK;tZhVtNs_`|k1p_n(QB6OV<9BBf%zuEDzi;tNMtm{=OFRUrbfXi^U=V1^c^RRSrlxZ{gqSaqh<Vxv(AGl0S%+<-TKRq!Xk_ywSFlc`ic5D3}Dz6>cHAA%-Br^9D~2v$*A z1N9R+6ZAU&h5ubG*n_BR6ISC>5c$J2ZenhpS&B{9f2!NigZCv8YM$?$4Zjtqy%oWq~A@Q<#Vr&g9M}^*~dv(j^kTna| ztJea?Bm7~o_ye-YdLD<{*G|FuxqIP4uQCN)FvJ-k<+)_RS=2Mi|NF>sxzJ}b7{5sg zCMrmmGgbC6etNt0e9kY;$yNs<_oi-S3qSO1wj7n z|9xFdFlnBLX`fQrcy2X+{wihXZ`(b9KO07(!wg}uku&ZZKbJy49ijLZ;tda265SDNeY#|LIrWpAP`7Z{POqU=%>xHYzq)mmlu`wogWp-dlPM< zU(m`Oq!(>yF;D;B`%n#Lye8JXWK(3UAe-0#BmUusz}YZRVQ%=_qP+#|Z&v~^qBI2~i~e;W?*2qM z^3ib%Kd`?^q%Z)(iyV?P2}v4g{8kI>j%A~jcQf6M8Tmh(Td>4;aZDN+koIQy32GvxNo&R1*y8tl^W|a&1`-_u#1V)i0k5n^9 zdI%XlrG8YYX1m(_je%n)#IADddn=z3yMi0f)gOk_I6?AL&`rH>D3J=m>7D~YeOJw8Jw{=ner2e zXE}ct2}4qt5tiF5Z;-r+he(FQ4@u^s^>tpB%k}un>MC2FETbN~qhscuf10lUWU2DD z7a}@s`9Pd8R8i65h*_`cWN!|ZNRJlN^i{IgXHKb?Sr`^%?!H~0D7^5<58SovT&6aN z`aTJh1O1S)EY0>S*!9^T?YAPgf8kKh;Xqp{fMxLMEtLm9pkCgeo-4Dj4vlGJE2VNB zFFWCHn5)FnwVl`#{ihIn9uLm8FP$Pbf7|FlP$ULg8mVnDAdgh8yyPuc^nl-K< z`f5V(`s=RSNbCN)#9(wm_4L1H$kR0X-MHBA3XPKuVrc0xT z=lP+c{GMT>)jy~n4d*0g=NnqquVeWi8(yAHFlBtVET17eyn%j5BQbS# z@YK0SLAwkGq?|< z;EhOx5>Bb&BV&Ulc<@i8d9Y)-|8tbQ~62S^?jVkk&Hor&`I22Y}@t~Xg#EE6C@c>so^b{80RiO3UgRloQ>82AR_ zjn0qiTKZeq5bY1IoIt_l(gOE>Fhkk1SND7kAERW(8=#LC>6-eVE-%hTL8x>>eUpk6 zGV@L_%_lBgeEb4V5ZqOyp^0FGu(LVQJb-SE0)#dT+Q+u-cVF*fMkqk^c@=5UFCvG7 zh12o65h(DBRTxZ2tn@twY<{){__qfjKaFkGT5u)9w6gMfU63Vo-hDgikckZb9EcC< zX59ZOhS!MDp-jA5DJ?wySLWwfSQrnn&?3K%gLqqB5Yx8e;JgXVJA0mSyH#doeGeQ~ z4{5(b1v_CfyB`JumL~+jV4GTzD%mO}MT}KS7bq+ZTuFoORJuS%zQoHfP@Tm2Qcdrl zjejT-GeQ|MnRPf96AM2eQERl4rx{Yf?2-}(z_Xccb$~K>e%65C$JW}GtnUI7o8&#l zEWGnTqg+Y11gfP0thQ%^o95slszPV*G{_4ZeJghOzEN)h!sj9Pn7R2a#Ur3#x%sL_ zt=&lms;f6s=cw-{hQYH6e=U13`CQp>6| z)<8Jl0DBscb3gJFS>z05_%wSB!%T|`)Jy|@b3uy~25nG-VFAoDb~l;;qN-k863I^D z1*9+bUV$$@NqCbQfDhX4yG#nT2hy9V4LH9~MLS8aGQ2k$Nfk$+9^yt|8nx*u1aq|8 z7bl!isI`dQQz4y59MQ~&Dz~5JoI_%)wneF$kCSfj>j1|F#V|9+KUcaYfdOV+56`jM z)@Hp_0g;E9&*aea9jq4R#0ewJ$RgkWh{5d#NECF4T#3Ce40x9@ zYli7V2+MU{v3Hmj(YHGTEkGvQsQdvC52b$$-c)Pj!X!=K0cHF{4sSl7(OJ#da8YUq zq#rCp7EOqF3M2G%^KRw;?gN>c?!CFUrDq%D-6D&q<;jnh9dp{w@pxf^jVrz zauNV1^k03cpU9GZ1e=Qz|Cy7Q?tMC;47*EESeTwpPc)~QDR5MFP}mlNB30CK3qa+U zB5`up(hbelfheSleHQ8fF6OKOY{Qlhnz4qZ104R`6Z>^;gPR=^8pb0N&FYPitW(I= z*Klxs#~D;z(b|;%+aM2dVkmhb?jZ7{I^wUHaCI{z$6UVTpX-hC13Qc5B7?Ax1_R-v zsOEzrjv^>+J)ZQ;xB`fQ3fXEW>1Z?IH6}%=V?DdoW6g)F(B!Y2Hy{G>B8S^9-o92i zFk#JDxVm8Je{aB6s6=s_nZR`(tk#|+lN!|1a^hZ>vE0lXI*rA6c7Ap^SQuIUA2A~1 zxc<$v{I}V&kG)p65L|yz>;Crj?xS#tcQ)a;r|_;L;^fE~2p)A#=SkNBI!{189|Gr}& zGj_$0@3bOn^OYqw=-vcjJ14kfi{s8nRmdE{-9mQJ#fh#=u-Q&z8@7Lev zH@|Y1GYi&M6xG0l+X3k6rL(H7tK$|hyj2Z{CmXxCWbeMYZcA+bf9-vDJl5|U zZ=`Q#_8!?ID`X}lLPkmP*x9mYb|GXFvZIt#=3`|iTL>k4KUR^9tgLf=itq1t&g*sl zKd*Dne&sy4jHFIi}AcV$DB><8ilgxzH1r z=IUG>9N8f!%2YoD8Y6A`%zoj6eO}1GUB5y8)V{R^$@GYpmYF^?tO%^}jw%Jwzwkzi z94mgCBN4?4j_GRO1Rw0E{{D9TK`L@zA(hK)aE#~nnqmg90p!h$3Fq124sMceLB$Ps80LmKxTdc+*hMZYWFZQ&JXs%5WNkjN}O!J zdSYL|4?{npY`iy3-SLKK_uj;(^Noae!Pr{`g1Xl*G;0W_yyAvaFpQL!f4G%p<^Q`K zPP5!0lZD2unHanSo4NgE_`%S^yfhOwp2F1 zz1>@ldC>XsWtPF)<@H@C@^<4O^-vp#`E?sY*;g|J{T_v`_1wi zt3cZ3fG7{iEl%82cb=;jHx&fXz0t_P z&kPjX8Bl8(rGllZuvgtw2>oZ4M+|XPy5^77qDhw!L85+nhUavcTRqn4mq=X}nS(QA zxc4$%;~EH^Q009@s^?#PVSIQZt9{yTX-f&b^q=|)uI$l3aDAZhV->ZAHETOeF(>p3@5x)O4k)&kPxns~4e+G=oj?NY0%^v?uf zg;#m+zB2Q922wefj+~&YeGea=C)mo^{Q(`5KV3EBB34OwSZCxJ#02A!CwccHPYz#o z$k#t!i=Ut_uJ*EWv=J$goYkf&)v^NL`!8R^%l~Dj8fmbE5)3rMJi8F$>#*DJYgMtq zhkPMkv0H({gOdh2%6al{spdxbjPa(Auv$WLnV1@++XU3Ja{%x_X{Fr;pIBY9PnURgy z5;;kEfziS|v|pLcmUhzmV|UDp@nY}X7j` z64`xD4AKI7ZOa7jpg!e2F&K=pbhrNIaxy$)5vaep?Ju9RXa|53n+QoHV!r=tcTf8w zB(KMA&POrBmk^8mqQEnmrk93mLJlt#?L>HzQ0*@fC+6-B^OTw}@jMQh*_v&K>o}2k zDn;yX$bRz9jU`I}b67%u*v^%iuCf7_%TxYLM8RUnKKxk?vB>AN{EB|bIQ_UAE*iD* z=Djo2kBMsD2jPY#VosPGATG`@roOs#&@{rLV-XtmpFCmNn9T8=HGu3uw^IAMuAgL* zX)yEC4P}*}(4K229+ejNXi*_m6m9w25c z1tr1!W;)Q3k`#B~d&XAP*X1=C2U`p>!2W!Op?mY_H!QzdPL#}iuc``9JwW~hd5vB35L8q!uFyr9QtoX zg=*#MgG;>Z&4-*RoaUoMx>YFleMho)K(Nd&y(hO*X6O;aEs_x|8hUu*#3g4Hh0C`P z67McF$+S`38AuIf6Qwe@d-u-{(u2;mI9e11q?b20x$VnIco1y@xh;D6%qKu$ZGre7 zi&Q!jPEYC+7P%5!oP?_7tg({*!mg-r4Y3N&<9?aPgWqVmp>%eJisYY@vI;s(Co)cEI}8?cRzE5L|7eEl zA554XXJ}luY<`k`ieEicLW|w2fVa^GKj8!@%&#%!fH`iZ>T;mvMy~Gce})SjU0T0r zvEobQGdff_-8o$q-@dn1asF}maZLz)`=0VVOZ~K>+KO$V(9OFI(S60QcI>5Tv`l6A zA8?*|7yD4pEum}pD$gJF(~K^KxUvTN9~afx4dxV@;^$25=!z!R@cwg3Rn4WWm-G6J zcZUaDo+>M|;g;TG{gs!1wCQ;RGFwaSXf}IMZVatLtat2mFV)i&`=+1=Mz-y=1^aj& z(=LjTCh_O^&$fo{Mn+U7yP6I8&1AToWp0XA%KGs_dOAeISz&0l4lN@=P--Rl+5Xbn zy(fTWyl1nB@Qhu&W7`QMm*6&;F3}jYYM}9PX_T;YTMFRY-;eC*(R@YABAb zC}Aje>9CGci+B?pF_HZ=n`z(KNZFRP2wc`JP7zyl-|+0BS#SF(P`wmaOT%rw{QYB= zz?(s+8T1mRN?1gD>*M=vR zeqN6ev-Z94@Am(53hsEa-XRm5d$N1sozBZAPT5ouon2{)-3sG46FF+r_Vk&_3%th4 zCkR+vOe$G2T?DW{;Oi(1h4Kprw&~kM-A>gnYf=?HOQ15z*^&LVE+ABD<8iP7S(zUC zClITJW9ea{)Sm5|^3XG+=a~B3n&s4uyN@Q8dCXm>9oOdiO>Hp~_gOS1NgYdXcwp67Ao<3uHuE9V8h! zzzdxxzuRAeIFVOBz9!Ma+WCDC(!3eb3|;G8R$QF82pUwsQn9de2Apt9@vy7hZyRcN z*E$5dn;r%a#-aYWa~-y=BUbnMBg&Flyvt=IYc@c1<{LRzfN)U9f!NgeN76m!<&`&- zx@Zf&!nng>v+2XBFn_diyUVxiq`9(c-6xfn^j7j`V=V(w9bENYX$0>M^Urqu?qpT3 zWP9Mx(Gt1qTAkRQ)hWyrb#XE9V&;=wE7@J$y6#~i0V(BQD>c`%2L9c5TBLVsp#w_X z<&`OAn7Y`|^xHPZSkX_11}5sCDn7U@(&N5){R20GElFOxiPkcC$_(BA6;noP^0{uc za!b2AYy!r5p^E`vTSfE(5=kIuq}6Auit}x^=41MTk~?Ak<*J?GJYY@n_#V8ChB)0g zwv+{=4Z^F2^%>_LpWXMlRP{(hZPD3?9fffV+k+PIy2pmEo2y*16V(~s=#;NoE^X+< zE`ZKUR8Y3*$y1I4gd8fsEMMH8y+4wcW=FDcz&gIuTAf5<)U7ttf7n87+Ue5C+vuX* zCU`1JZELv9ZhRhsxPCC4#6+IOVu;RiCf%&R!qV zu6wL!7QE}8&z#E9A?~5o+81{{r80t{779I?$nbgGhQ38ddx-!il1sO=l}mXOuwaj0=*CMwZuhs{_RHf4Uv${UDC2E&$uB37Q4~bSK^%BP^_gu<;eoNLQCa)8JTq#guS1b4%sPR2 ze$;kj9!7|07*v6t{ev9*w(a6#x1W}eL+;^O_NuRiH%k9yyR1cU*b~qR?SL-RFqjKx zb<1an*RFkRBAANZpn0z7PwLchh`Jiwah6)Q=?d$s>Z(yfKemBUd=4M^dv61aKmk4` z`S=S`5gA~cEsp9b=|Lp?m1k^=U0Nws-`4gEDM~l}(k(xQUY^0bLs>HQo4zu;?_2wi zA zuW^T^@~z)2i*9ZHA&nEsk!eX_5h>9NOm_Cr?NeS3QVp7ynOEN9?im{$$o*@3id=pF zFxP`jl{`zz``5x!3%^*3syKnu*K2bgXnD3yM2a{JVA<6-r{Zp+Nx#{UF_d}RYaEfz zHwC@Vsd_pFVTpgH;Iv&Wrqh;HNL`c=e#qzQhm3z;7vg(7Tn)+9d}J}=-2Vp+?kKJO zX<%^1T~U~_CnLixy@&{pw|Hrwf8(e)qKAtWZ%%7uK`gUW)Cdg_wF$`7`RkutB1^T& zg`d1~%}&IPZ2lxH9rwk_>nYSais>s{NX%7pB(yK#O5QYZZ>+V(Do z=BMzg3T-WC;C!R}V!&5F{Y3Y9r4Cz#!8=l;R+eepdn)X+47%?*wDqi>x(ln6+bayX zD1kg`{m}8J!DN2=+TnWx-fJq^LDy7xsz^9oR266ARBw^B+_AAvy-b7I6gILn3T3Y~ zFda2IC#)iRi+h$V-1OYL(VOQCZ#ak?)DAditWV5KWVbBqQ*t_2gFm$Nz(CptQ5{-L?e?r5T10SWUb2I}8NwcgD& zCm)_Bj-IfeuHd)0G6`hz8Kg9RM-->YX3eK#hCO8&ua&2;7^VlWz@)C0vd)M!JO09Z zlpcnPZqB#%)Ue57{c+ft18$Pa`I2p^Y1`%1vEQ0z9I&0vL|@=)5Z}O-HYf?gm!}!9 zpH|X+m_RcN^EL%I|G6@0n%%;f(5-t~;tj!+>a?(F`e`CMinAnM5>4kc>_j^3O`Zq{ z=+fAmwX+yBR*DfjN-I-&*x96w6>Q^Z=j&0%M+o(+eR}E5M1ozvpRQKrB)d9|TBhXm zC1KGhx7+bMaj}7m#LhD(mYbxTqEijC}d^ze{i<0GBxgO~Yo6KF~-LPRjSORvaL3^i$Loel16 zGvB`2cRf?)QuuP;>5I`XqCxK?B{Rr1Vm@C!BM>0zI@c7rq?W-PWc&3kjhbAR{kd&LFXnk3{zP_j1a+&Z4>^{W_JBsKd-8(3 z)9TaawQV44T5p`;ENnCJzv!sAq$4$$aGO!hZJN|yG#@R(9Q=J8(y7)$oMp0E0_xLeg*19mkQkE{_+0K`G%d!kxYWMMfYCpPx5$< zy_Wc=8GDpV^SjNGNI&tNokqyQ#O4|Y69#U69$)j-QApzQE9A$*Q|2 zB5%)KCVU>*qs~;0zQ^YKI`eeX%c9Ij>$~EXvn_8!t>-TBuv4%V&@B=sIxsDGCady| zwma9&pTWxO&eM&)Q{S9v_rpBSs8ghU6Y(Z$c_mOzZgLD!v$yPe0;?$0 zTUmi zI@Fr)D^eef%mhSKjWH)PYuP&K>;nmB>&qyl*^cJ?9XU@35hrum(xI7fRXkxry9TD_ zkzDoz`li*DbV%!!TG*k|4Ou3InW8&SLV$^uE@<I{tmNsTHcg_-18VZFS0` z-^}Ed8IN=)2JNd7rY~&8oCnqJOzSpzL=`dBU1@4?^&`9LB6}YFvC@-{(w!xSs3YqE zMX&A!8ZpJc=QY+A$5R4x`*Ym6XIkC->V|LT9UXkM21Z9EmvcRFLc8X%75AiztZ{hFD6A}jIOe?`D=M` z#3w|m6hEzTonU)L`bAA_%0XRCM0?Jh>|DDL7H^_pW5h#g>>#zt&8NN|xX)QOpN5h1 zO?$d9*J+K!{ivb*@5_=IPBybWj&fkosUOg@7iH?bJX)zq!A#}ErifzxRU~Fs#>rG_ z)t%AnCu$$n_9eXNnK3Xsz23ye3Oc>+PUmbBbp6>QBv4ze;#GWa`Qnki>KBPA-$B}t6s2bvls9ea57WS^c0O z->lZi&e*tim4R!Kj*ZG`wngtL#i&u(X~i3;`za^VCu`mW>I?Q?OMJm=KYd}^i(F?) z-HIQ`(Tz&j|o^8Kz5{#__D4nM@9$kB=tB#?s-utlXs3c2ejI`w)il z-BOcb+V3LE_*;=`qcu5H-#NGH;d(Pmbf}BLbrv2MY2R3Q5fg%BxZZp(%Z19K*T%IK zlD2R?{f@T}7fSDbc~zfvGfqlc%mZ(-Ac{a- zHFv76%4w>>Gsf-bcx}&`Rd(&6OH%r!`1(UqxlxDZ-GGrz7#!OeghxJoQ&)vd`jc7K zIp;4Lls#|k$ay`#TMd=xhkhz2MPE9?F4A27yG;GuWMAyg$vC=(lJp=pAD8nc=Azw= z?~~QvP76|oAYnwF>(&@0kV`o=bxBsK(@2LM+tZ0T5$~Y{X!6Vgg@(HwFLsm=4OSFf z*yVN6RM45}E%|Zu3Je@_c8si57lJFQ!iyBH-Tgf$`HjW@&YZ<#f1J%HFvwWqo1<&WV!J%v>IiLHIL+1@4jYME70XGt@P*L*W&$IrDR*jj8y+#@W*Qy zE60P&ou!J^rSAovV9Z?bsYS?jK&Kgy227sQJd-2`%l5avf}8*NT#%!>t_BA^O# z#m0V+3#u2fNzh9ErW zwV46)O2OAXQR-xO_~yFOs8huq>)OpmAE)6^^j1n1Y+gGGD+4%1a_>P>+1u>i98Sq{ zTWw{s+UD!qBG|Cn%d-?DL_B1e8gtguq_+Y|<8_#uJ;5C)z zP^Ig<6VMvLz9=oa$G5BR*^gBbEc=Joe!%I4dzKLf{k;$R_9f>LqQ$NO)N$&m&6ii| z3oz&Y_08;SpRSWpx>YfVJIxnpkLp1)Op5%NF{>)caHS(hI7>%U{4J z)KoKjzW6y?b?~14*?@52wV&atcfgM&TvZS0iUQu^K5nRO1P*(wB=!MuoMd@F-`}gL zO$8hGGi2yoqfm`70rYj<_H39YxbzJ)Ao*s$cl^g9HJyAt(VZ_Icrz4Obur%#H0CIn zFR}$(AibfGgZJ_)a>GFwSqrWQgnhOS(&r-Yjqf)uh^!P^_=nyb`)aJ(yUr17gzXtR z$@+<%Vs-G%gb&$Mw2Ww`g?C`MZNBk)8N0zEmVPSpMa-n_<#R;w8$Pj!Cr7Vz4HBayeE72brj~ewnu#a1_QY;ycOo*_YV%q zGT(7~x#%4Q`T1AV%=XbW~&WFHcgCF3w5N0nwDWF%% zO?XyDdQMuIXlSzT`^Y;-gYfUrG9F`ViwH0SW*#nGxex;)CNP!cngUYq45&-+Fs(5$ zepy#4Iy@Hp`9lKx@^nSa{PwcLckE}XO^2khIF-WFl!x|oIYx3r1!x6hj;XmXNtG5vyXa=z^81>ye>Lbqz_b7UnGysT&5lT;6ByXL_R|C8_$e0o1`!$y7AQnBK^nE{k-I}NoZJo zFG@bOC=M|m8ij(4$?V4`CV*yyhK7M&M};;)3n`N;OVJ@{8;Ovi>lIM4oW3}nV9`J_ zhkEmkI54$c{*QBHFfx5Pd>fgDit*#`TRGeh`1-W`0Z2ree{7bp;#A0rh|+dsQ}inO z@8p zw^mAob^o4a=PIr;r;zEDeX9~2nxAT33_Mxl5#vvfucBMGu$%16p36%kgDqE0GU_Jd zK3J?FCKWgZ3^uH^+@1ve2u}1529!}3|(ikaCxZDckaao?@73r^mL8; z7wtp*S-nM^Mvt+mTr<1BM24`%wQZO^NP9L>%jd(%L&VZ+p(+KHadT^aSS&0-gPw_zzKdzlDsMU4%cTc6E~p};%-8$=_$78=y|RA0(+~=+_<3DOwmku z3Up(5_QR#^$+l(%&Ly(VJs@Pzh5DML~Xm>|9Sp_bYd9JA10ELTD( zf-KK_-2-Wo($9#Qz3FlE+f3;1Fq!L2aqij;IV^E;<=db6DdYE>BK;bxu6iiYFquTG66FYa*^#yXI4q7SjsoJlO zHK#^VrbT|O(c(I#<4BhA*zn?4sp#M;>srt3$#4yW1K@%wq9vw7QKt_{$kh!*3nt8& z=cW3Rn#`yf7OonR-B6gHEgHFtgs&kb<_#0BT|KLabLX)5u8rzfxWwgC6MS8#7vYX) zZezv5ONcx%kyGWq*ix2o2vV;gq;*Y5dmFA-K67;q8xD<>fmiq)8uoWTtkpP-Rar}B zh*)V*bcI^+Vc78-{pbq`hSvabHe=$dc8Cz|3?_)qZ&LAtS+Ify!j`I{I5J)L5a5b5 z;d(M;nyI(CsMCZhVuxG*{EHX3J+M31FrOdI_OJ%1kui_QvFlUeDc|Y9yVHCLYP=XS z9Z}o z;*(60_-Lr+Z8s>;dan^Y^_kybuF?|E#`E_(D6g%QO8a*xf# zi3<|g8S?QlG{OmYRZ?GW&eATQj?NxyX3X@}Hh3SNEB=OSD5-|pjQzls34@10h@^o7p=YZA|vRK_1j(QA+1v@cWVX_7do&!&kF#4UE_YY=9wH zfIRs_RTbZh#x*&(A@I*W*mIurH5Jn@v$^f0_PuOj1hyIj63~)SZy*N}>Lv4IHtK_& z3EMY?3+@VOv%;f%Dpn2;510+=Dd^?nDOyXM`9(x8W~XU=g7!X_Mmh~b*C}3@H-xG6 zQ-DsRBjGsKn|Kg0CZKgjhLUu62>iF&4f*@Xm`b^B5_;OOAT6 z1tLsb#5>U-x-~Y`yvY~2B>)zOFLa{IERPrY+GT$QSCoVJJXvie!u~SkH<(k5r>BW* zu&I&OE)EnD>lpjFgG`LN0g83hhyh{+p@z#-y)v6%XawcNw+mVX_LJTN@uxKD%~Eu3 z&qO+_?zf1dv*NcGpxwgWKqrZ@BS|nO(CcFYk4alB%M|F7h`_%@NqWoU{jMR!2-H^8 zX{6k&D*!uPK{C^Pm58=^gM!BUc{A{)2m$Iv+75FjE)C)yLdFf{ibw$ER)qPK$Jz6Z zo7gC$Zty3#Nw6^EjXPva*4vIo)fR&MWr4NpqA4v)hTdE4e7ZAI3(wBqTN+$=8x?vr z2%{I(oa$~9k%u`{G0AlC%cQYjwW*Avi zitY=l=a+yot+3?(AqDNR)9iA+nE3TSE6g153og5yq@1!N=9Ybh7nk{dhj958aOzPG z7*xE^<`S)x+ro`Jnpdju2z?^&W{BDAm7Xs&tQPA`5#d5mUR6-eJ^FyCK}P6nkXtbQ zc6GZBly<%NUFeDsHeV>Zb@yq#u=RLOe6V%ez230qmjt!VmMh?yVy8|JX^Zr#Afy*K*YIiB;CCjxovXiN+Z-sqNCs{MvM z;q3~PLlreUH$mx2#^0pa&4NcG&<~$ig9dP_bVl-Ggg_NH)5i_8#`-n>iev;r^?sG! za4jdDX}Uvx+7C=j6?I$;8qv=%0uFzlTPJxB*;B6;qszzl2Oo#OyT@dGKyPt`90yaC zMMq+9v8tM@&4;I{OT&;F5vh;%k6a4#5RHVYwM+;QUQ6^fPa6(?3w9UMlQ$$Q)xbYD&F+%7dc^6WAxa@MCuNyE)V$Xll;Sm3L=ZD9^XE52xKbC7e_N*47tDmyE(`bF~KoS{DBx1 zaWY(K6SwK(#$Sj2B2K*&QVgzN@xyo(1|cMqtrylcUvn5Kx9{!v{qE1Infv=MkJ9?v zJUQNA=CT3*5YZ`UMr@=6KD#=|`qKG*%UXm*3hEnJt-ha3hD$>*TsN;i??-xihot!e z=en4~g!xzjWcs7svgZAk?Ywy%<~Urr9uswbS0KuoB|7%|&-n%k2d4c1I^q$MwN1W? zD%%Sp*9h(ZVU_78)?(pG*t#aU{J&kc*b|8(S^fIc&&WThnVuhgMfz67KzNKrqaemMJ#Jgmiz_s+msdzdIAIJ85Qr8kw~=ws)^{7<^#dh+Qw5 zL-I9T!c%=(*ZJFdn0WuhCR$El`v^~#d;j~=M^UPc5$^p_T2-IFG3@y9z(%6wgmCs> z#M{4Y&L|7~;3>M4>%ac$3;Au&;n?P%uQ~peMXKA<&^- z$Dn`nUedTtZhiyQ9vinN9vI)F-nd2qI87`5xhi01Lytv!~5J)m8-Okm1(y`I8n5D*a z!Es#LZK3CRP3ojO2Xg=e2dr*yK$-r(tINz1i~DWHapDhA`|KBv8lSfJy$qheaP&|4 z;?I{}SLH~bTAg(8I3{$De+0im(VJ~GpYrctJbIL|R`3HavB`TnM|bYOfAZRO;M@M+ zeUWnh{Wt$Euv{J4V3Em{Z4mG_fD)4oWMgTeQ{bme2;H9UcP0?>5&(o=(NE~1zrGFK zEDhjyK!5J`+gsMg4J#0d98o2f>Vv=_H6jx}d>JMlK<-xr`AJ2WO!RCs8ZlRXZnl4P zrejs$6sdhKbNIX6}n2Yf5Y_4hZrYRGjyPmgMIU>@|z1Z%v2%4SURuIBxU*bsx--1t2@VJ)Y~)`@LB~ zla@$Y%{JC&Xl7%Vb`DJiHao;taRs_1SUNLhW%KmP&Vg?kzsb@dTGQ!ziZI9CM&W~~ zK&dBP#Ik!N!Q0c#5hoLE4>a)mE%QF1YQ5lO@=1dtNz;I@xIj(r0m00z@!@V>JczMnpdb;Dh4g+Ox|K_SuXcQIh77kPDev=cE3fp;#ZB(11D^Rn-96MoOgbXYev#V}&CWRzHW@ zD2NDkK#d}7c?5SJF{1)J!lw3AO7w#L@CD#N*RA{|PQUw!cxE6}yUE7)uTwBfju>%9 z_XM^Ov&tyt7OHI*oy{0(tN5$?#_xc?(KQs_!<9Sv+DGpp0!Kq;XJ(K;?}N$*Z?$4U zBV6mCAJEr}tv%Ct<|3b79x1d`#GG-R{}e?v11*_c_}^bf&oB-+1#PZOHNH6U{`=e9 z29O*uAdVoOxEpM*o$&yJ-a}*yr8l|ecaI)O+XXDMED`m=-$z%D&3?yDFD`t{^|#@qwmk1#|jeY&>MqXvR6Jz zxrHOG8>O1Mk);)v+GjR)v^!qL`wR7cdwJLJ@7)_9&EoH*xN@_IGae0Kf5-&NX^|Z|EP1@Q z-%=oEsR(J<1KI#5Xen#KC`*N;i3t|_=1_fNTND$Ru*0xwm_lu)k~4D^5&4-JzPK8N zUl9e!RlJPu-O*E^WrGMpqsMz(j^o5K$i3*X%n-~+TdwvS5_y+G@6%&>G5F+{(*}E}EnvNUVI0uJ^Y8LK_ zg=|MrN=}0uA(T7YqC8~~2eDTdfE`mUg?W382^5dJp}svTz$87^g&8Vp;R0>YCgajO}pig z-+Z^xR`Jh|VmTm!>I##H9o^kGdhv?Vzvk(dm|nHd>uHkEKPuH$Uae1FFre4mMc(|g zKr-eq3fqHoHRt9|6fgCqOS=BKEFkkE5piLA%TLxujlCCwW=~s8obL!7>Gnn5&V28? zKOd$z6!FO-hhB2hb2Io^n=qYouAb zJM+UfhtXV7`~Z{=&~ivOc-2xHPvi0h;oUZPFpcW8&Jhr0Qss@~(MYQX&Z zz6GbRF(={Lfuqaw2ZsmFtUO7-EsWt&AF>rW2l5rXc^$eL{pU6g$Yb{BeYswxD@4Iz zgG0_tKrKKBcT99x6LJ;##}+9w8{>H%&M&r&{Pbmx4YeMtTsJBG@dDX5_;Qm;{Wt|D z4BI+53-sR!R5>G)nx6aZZuVm5@Y))U-)Lx)&mBjWS355lNFSEWmwzpBCPOwlmUQLvrE z%QLE#61ZCi71T$Ff28?C@efEiXY!hPjL-xA?$Twkk~=_ChpleeyC*a zf9r<(cOhJ>P3JTHb4UQV1trIf#yWP)#gs<0oKCJbKmNgKCQoL)XGnSCtxh4+;+*F- z7mdBf2S=NX2lgV*`OyUAEW$Rs(}Bw@28ByXDfjObdgXSN7F?9BeQ=l?6L_>5pdLyC zMtYBmfC##}^&MQkWSIMqkbT}`dDQy00}wVf;|O$krJhDr6!awos!Gy*Z*TKDoiU{e z*oQ*rBPbU%OF;)(VGqb3i{SchO}5|E;==1CSuntWLo_~kA)9sze>wmLA_(rZkl`|0 z>Mu63f6v(ew5eee+$5|2JOcF!>wuO(gVaFP4QSsz+Y)p3EaWnjimM>|IGJdo@B?U& z7bN45&SzO9yT2yw0>1seCsY<2BWXpZy>J&GSW7L#0a?f1_>?NjtCvRl6)Nb_@@am! z_Y}5htMW{Y_t!VA)B>-+N!HAH2sLMj3k>7`E~R<+ ziS~+AG5g=SZx4a)H(hcmG^%Yt8qn(}kn7N4D0EiHtflGqX($@y_d$l=gbH;YgRSg9 zBkk!_FYgCZzejJhaza3()#6IB;~TQE147Ce)@q-vvRj2G__B2o)C7Nl#h5k4Ii zf=&?DhI?`ZR!v#W@()V_8w!zaWGU?ZrCwp(gjrUg4u93d76v8KiDKh@M96mQ%bY_4 zpt^Wb#0ZMiQf16q)UK$*XsC6Q$nr$f74gwzS_T3)vWtG;;~WBcco5=nROOGM%P{}( z%9SDMcNUTTNO{zvA=|Jq^vf23vNsVAv}}K_UdjmA>zY5kfSw&3)$Fq5klWFwJfDX2L_6{Yty zEDV7VsA6*!Pq2r>k9%nU_IZ;8W>|gtJkDx`Ge-WYlsh(F3&|8k(*@Q&NZTR{5jvnM z2LAWB&|DSBVuU1zUKLFJ<(p&QWqa+?NBiS|#U5*k%@;3v z_w!3`2j{Yujno+vc0x8oy|@r}iMa_R_IZ=wHHu zj7(YK*WAOPYp6Gmj%ndzlHMQ3B?W+BlF~rkF)e%iBRM@NxO@r!^6}_?JzfW8<|O!m ztC!o4w7(DR_=P~d^924@zC`}9c>V~g{QnpEzhk-n^PvA_bl?dy@5x%-RSk|}dgKI? P*iWb^YA6)Q-wFI5g6D@t literal 0 HcmV?d00001 diff --git a/docs/images/ECDSA_arch.png b/docs/images/ECDSA_arch.png new file mode 100644 index 0000000000000000000000000000000000000000..0c5d98087cce66bfdaad74323c8c1c55baeb9031 GIT binary patch literal 106253 zcmaI71z1#F_dYCPpooDYHUbLvbYS3gcaMrrclTIef`Ncyf{luu_}HkZfZc(Lf!){z z-yZ9EfA9ajzH1IMXU^=i&)#dVxYxZlIb1fSb;~X-n>12}pHfa)mrA0Gv zGGHDw_o~0Ie-HwLxppsDIr7gTUYeq0oVFh!6(t4afa_ zgF^-(Pzd?2_6nWG`n!ANAPDFnm8DRDC)iy2-f%qlP6JOfgHLc7ymJKLO$z>?kTFo? z7<6B7inD_uNHj`@!QC51M#9m9;3#l8l|dDu5F zp-}7~NazJF3KUv})9@c%gy!SbI9&#t_0Mz$fzE#oT;o>geqHrKye>aNCuOsRBrc4E zGOM_OUv1n0yXIG$U!n?Kg_D4A)dq#msQ@B_7=BBNswUA%@St9T2{PP#u0^8ah<`Ol z%b{*D%E^IZ)LNm$t%f4lS^`W)G0`+Qh1iS|I5{qQ03zb!g?JJNi^6KLc%IVan=er=se; zW*SuP7yEHMZ_q(BaBL_ba(s}lW}uyBC=@AUqP@`_L3eYpf;S@o+LeM=G7NF>L6mX4;c4&1F1UO?8 z^lp*Z<`%K}QWpw}6^q0atX}QrLD>N)9R?L!pj5TX3&bK0s`z9&DAzfdg@7&Y!t_i{B-hlJUJP_vq4$GTt~ug-;(d&nG$3H-`I!;}z(E!2sN zL{SDQd?r%`RrrCHpr9y&2BA@Dq&gea&Gmb^SUDk}M_Ytq6y6D?I+0QyN8#jZm2SCF z4`rJo~^q1XgoJvTr?AvF@EO>9=8eRdzot5Q&O zB8kc?0XK+%hLM>R0^O~|qPTKAMS~0JQs^o;7{;K~qA}|2A`#wVv{=v*sFz648od}F zQtgw8f;1+H(J_F}!kaWqJ%*#z!aP1Si|_I{P%Nwnt46T65}C?C z7U|tKCIshG!(k``-o(VQVQw>7_z)D0hBxVD4iir#prQmcz0NMs6U;2E7s6758%Pk6R!Q+nMQ{yD#1AdA zQv}g)p<1O_qjrduaGynv!W#5ku8SwJ8$bg*RBe`cZDm!QD%5E8FgL@*37Kt3HQaVOj1tf~ zXa>35u6HBd78}7Y<+0=;7lANSsRWvb#=*(Fb}z(0CDTM+I0z5*0*y6(k#sjDw-|ZVE^+AEqtK`AOc&80KoNYpqJXoB^%s?S&K82KQS7>k^7a_(?LMDs{ z#qcGLkjKM=cc~o?{4pNl5F*TUAA&%UQgvD<1OdU35keXdLDa*&Rtk^pV}e!~6_UwS z1{^;F0zr)D+GKhT6()p-1fz#w4Tg}%B6>6~l+eWvd1$}F8PXUhTcBcvd?MTr2FaCr zRDobnsX+6kPMHN|rmKFsA*hrGvw4YPUC^r#o7@T!8iYGC-K#cBja(2>$RzM*W-6s@ zKRdJxFeHqLfy-fDn8)bUYPdv;L;6#df+&yGOTc+Ka+QD^mqS&QE|1364i3D_AH#lFVXoR4kp*k5Vc5LZp#v(>d`pq(Q0olMNP@T*VQ9 zQQ(O@mI)*GQ?VL0hHvD+v3LzkuZ2R4P82F=3At>&m8sUf@fZvf3rW?eX%4d_ zG=GWEg+vH69y#1)(XgB>qe(852Vhu+(IsWzp?I}AsKKe^I=Po^WjMu7EL_L9QN(CK6pPl)w&gay&+aR0?$xrB)8h;IxY=2sD~1!8nx=yF%>8 zShQv@SqEbh8BmNyL8lR^OsxltQUv4Ky5`tgnc@K0n2h;baf3Y^&}Di7VF=K2s8 z7J*LC8ze?5m!RSDgLDtg=(Es48y@&GVl?6)j0Hzf$pR1@lti=wqd*6R4lKl`^&{Oj zm<;ZLLJ>+C≩y;6+XD}*rN9wXHawc#Ncv;l*GqQsn#7Hd2Vug1X)xeBO4$wa~} zPPSMFo?>BPX;Qxx&c)lEP`=AYanP7Dp_IxbqBX`Kk7M95xkP@*!^*4{td?t|GpRHd zmxVA$fDQ-ga0<@OG?D@i4xh&h&}B%R5J$4hS$>6&h_izSAl*nVooOa%NPd`@Vn&#C z5VD@cGddXvtzT!N+t7xPt5pS%GNE6<_JMPpfs3$1Ny4DUibe>{*nq;MGMX?}4;)Da zUpd^MPGGa45jZV|?2?N=T&@tZ$wIwd z$EBD|3Z%@A!BDBd1(GEsk&i;=iCI{zN&+EBY(xtm*c1heQ87I#m>owWpy&*Z-OqGu zs7g1BEGLouSQJ|q07EbnU1k=;VB_%lBB}*%)angn9z%y9Q^*ty7H4MQkS4M;fXA|! zHWS2!^J649sUM{<)3h8qmtkY`nS4B5p<~O*a*x7(djA*8~h=0xw9gVGRtlK;)Mr5gZ1QfJ9lnG7TZX;*f1l zAIq+{<5fJo$&VxRRRRN*Ll6Y%REtDzqKSEa7X%W-)4etUTS!BgY)%tLE*4Q}LWor$ zm+E0iq28|+YY7Ul9s)j{&*8|y?^HfpqNi}2JSoNp$I~QuAmM{4l$JtCJ>=Lo=5F00PQlSek4w2fKeliAQlImbet6hheNHA&!h+AX?3c{!2Bs>*TsIf?~Z1Yb* zVDpGDF1;T_%s>crF=;X;3L?i!czP)?axz>)mP7eyw_1*IS$#Arj3^_LrD|CyfmPpN{vHMty&$z z3M|4Jw7`IyCEFle1JkasxMe7{&hFJyOi-4d<+gCSG>A%s7c=-cvBfq(}pwi}wntVyM?jgmOd_KF!WXF|MHP0v?jr zX;AQ_0-8&!4c z8bD|T!s^kWC1##R!U?&5B~U3cmL~Ce*bp8IEy2LtDyPdxGrP2Y93Q5$I=w+0Q--r~ zT^c$fXjHpBSO(yH&^#i^8We_Ls7>V4YP?9O7wXnnp|nu1TF_|-k6EQmyjUty!^A=j z-zWxoyOyfPs>D78)~hCmKp{aEB(kkC5t={>&FnGaCA7GW3}K2KBh{CQ^E)g2vrXV3A|W^Gngct z5J3YDghoaSXhUN*k>zM<2zWB^rqEzDdK3pPp*!tRomJygvTbOeNvhEzpdi*F(F_+` zh{hNLMyonxfp7uN?r|%rNGpw|Li#u?t%|S5p@?pa-pqwMWY&O7=%W(QN&?HOQjtQs z?9karHZTgjP%MV9&}@-Tj0NK(%c(A-%>cu>MBq0l_zNwE6ivVaV2k$){bCV=;}6QA z3LljfN|W#~CYPlp!x3_r3#$)MxdxO?#MWsA0u)U~#vln=kCrRJnf+QHol5{qfDank zCcm1E;M*)BkC+c6%R~z3I+V}Jrpc8)8B34!VS(!)8z>Hw|9N>$& zVlmIA1W*GXgdlJt9K>#=nRF&}NV;5X$hhIkkQE{%Cuo>)|f>+nV3NF@F^CZ(kVcwAtHx_9E39MauSHl zMmwC32b7oHp%>uQG<#@$)Mg0EuBKD1cCA$f5y?D8h*M}ZY4|1*%5BF<w4@FI;t3&~T#^I*f;Q^fhVq$s%1d5jK*NACmh{;Z%GU+BA zh9wc%C48KKfDK5=RyvialTg6#0XvCgfCThpnFqz;qZm3fz$tV$Q-&luIOG5vFP3PG zb_W-!3KHQa1HffU3ghs>({TVNcqrQs-pBg1g zgiE+Br=_}5OP1+ZU1-}*lkM-(7q%v>bYfpx=ODA)vNdgcUr6ZkT|gr}>Lpl@NLVR5 z*7)JZGS~8NKRzC;-G6SFZu$OCdzb$R2A+<4edpVz4;kZ@yFTtSZ5^#Xw`|zBsl9bk z-w!u_YK&|w>Bm}%>iGR}$E)WUGsj~l7EmHjMuc9=JB?q~z{>nYxYH((UiTv}bb>3d z_`1DCaXj|-Yv_CoK z{%1x}DR@70mSJg7{E;W@6u0sp zRew7D|7r2&@U6j>0elKMcTS5msPpt);lfC2=KndmrQ{vREr~tmP<`#VoE?3*Lc09_ z{2pqaur%^XMP;8K1I8?6&mPb1y}ej)Gp|Y1 ze;pOmz^onHlRx~IG(umq7R9cJO?uR9ZScPY5&GJ;Q@C4MalGL2l>Zo9LffqL#_t01 zoG!gZ8-)31i-(v#?Vj+>yEuK)q48fHE_5Dijn?nkxm~$7+I)E$EWb%q#XRu*x4Skj z5TDLjIW4E~uPmHX%OA{5A9Q=4M{sk{$TB7y`*1!US^JM;^{8<#cf%a_iK4CEmpAJ# zPH+=%{W<~ElOc6+IxOzak2~0+kY0JZF;z1Ei=E>pnpd6{dkF! zPP?)})Jo($ncKbkhU38;boIp&hU#9$n^OrBS7&wsgZqaFM7VHM$H|-A%BfBANB@;w z#mw^hho?%E$7k~QIv*ZO`O8P$Eze9! zim9Qsg!f-1$i+U2e|oWG*7t9H@mmP^hc?)Dx(sP zXsZf(6Ar)HGJ3!8D7$XfdeKHPI}JMV+e`GriX&y6&C6HDCcRgjhync|q97^L`>e-^ zSH~vhic8qP7d)?XmhVo0a$-)`l+TT2mYOx}; zmJd}a%PY&XA~YXg+?YHMxvljY+Ppr-{NkcZ%2Nvl!X|umKg@n|e&rN|^_J8Uc0~8- zmTT^)Nk2a9nD`@zV`oEECn~oojtSH4V@G+eh+q@Hf1X;D*Ry2I;fcDU+xz!C>wn2vJK4!%(g_i5KJwMrV(x$J? zR8QtiZm3=`aA~R0ObMylp{O_R_O+GwFJ-c4f4*L@6h;h2625!EYa^w_{oT%6`Hu8MJ{BW!m@ zwY~lJvVc?7YVd&-?<>N46mjKc=2Cxv9sS;QnMaA5*t_EJ_79Ow>nFT9(%e~>PtmSP z>C$_7mvHPo1YUM9xOJpAWu}{#KHPq?2cuiK7hZ*rJCg?yvRVO3Z9JII**x`DAW-Lu%E? zAw4F3Rvej?*s_d2%6mgo51w%9?a7{7<0=>n22@3~YV|R<`;dN=u(%h%uti^z?lu9= zdQ4qrL=5%vnEl?(&Z7B)$7GO{V~5cDJlwMX6+b3|=ueOz?Vr&B@9aR^;fi3lGHR59o1t9fxz`n$uBPm`4(D>R zCZ)eS4e!SfZ_4Yu%=&pq;izfQH%0Mw^%2KWd}xX{5<)R|{ktV0Rrqy`4GY5ziW%}^ z+pdE5uWQHLg_L_E>v&IY?9|;%Lgmj5t06I$Uw?b7P5S!Oc4nGvue0Q4pYW5-S~@AW zg3mWc{fJ3>lCZll{Nxn;jPFffZj@}@GW={sP8K!leg3Gk>5bzHr`(_1Y7jR7gAH7a zf#$V|7)j(NEbLU3b+}!xDb&v9j+1wu>^}_}68-b--J|kGECR8(#k@Y>690|CGg^#Y znOhVGY^`Yg*Qe|H_WqREs_mStflP_4}u%DpW|omAt7w;(o4*bs3x zr+E+mh=*3{!;11xdu}-yWcKXItGER&Wt~r%;Hx+__^`P+_Q8R7X9exLgQ0C>H4TuZ zKjA>v5FBXc$(Z+VFdmSQIlg@co?S+q`Q*AvGTz{50zE*WrsIEd{0TLUyd>4hQ#)(S9I&iv!zr`dSTg6v21Nod?h@*?AM4a0R5-iFu9vqD#{}Qi&Etq?joX?qw`sT5sbA(^ zs^G0{{Ce$h%lSQMgCCz+no>e9T^#=WXy+!)o3js8?z+5rq{Je^9ofyh z8{e0=YHC5xYa@EJ=>`uTjY>(K5z%s0-}77a7ghxZ&AF6jH#Vm?#4?wSdxb5GjD2{K zF<6v#G(Viugq?sZV0JLCE0yow@b%Njmo-jP5)N7y3eC!|5+&>GB8a4(Ikxu?)pnJErU|f4c)L)zqX?NHgl?nNv5XQeWk$ zyyu3#JH3mR0T(Z79h!1NYmgE+&DrO3@>R{&?fb1Kd6S#O-RPd?reY$wtz$ANJtqhU z-a0rcHp5j{`Q+;6rShV2C6u{6VBi| z*pXfDMrR$!>)0+1vw4l{@!4vq3n1)D;LL?nX-?dPB7Xh3Neu$agdd+XiL^{Cbk!QmjonWwww+GsFyptM+QDpsg&q2Qzt!$xk%up@8?rg_ z@Lk*OeWDGLt;@<@^_=v5WtqI(!CT8*%tao*-y=V!D!=1Wv`u_=;pBq3+Mr|2+>wXI z@9kzU56c;qxcFnoHQirC$_|Y0lU+FihZkLH8q*5{-!?6oS+@3}wh_qwZLji6wEHSX z?UM63_qulO`WMm1C%pOkd~2(sZWCK3#!NP!dE?p)d7fDC;Q7|WAFuRwPAsP#`x%dt z6Z&0iS9Ye?>vntQ)m_g9LEvOGJA}l4>NY?8jv;Yk#j&`&AD?P#IkEkpDZf>NOyeaZ zuIS_Bsii-C#|Yp$>fXmw?=UX!GF&Rs;vW9K9r#(`$Y9%9M>t2k)4%6nf9yIZ9&IkY z0g|4rr&O(C>J8g|4fuS{5$NA|_@5_tTZCCt92MLS{}Bj6Bl~$civg0_J3M@S`ftDa zclO`}g9o_|C0c`LKB|D=3Mc%{O8z!llnCsi%wF$i%8Rm138Fx~ehjRKw`_k)rj>L@__q3t~ z{rvWiZY4$wnaK!;_PKj|ZvDpWcZc5=OZZpb9n$?ce2&EtRn8b+aI)ENc46@N43O1- zI`-t%j4!h06AqTdCZ*y|-n^7^Z_$y|K~WWpGJWaBi)(1hDqA(QuNX6#`J=t?y!*cY z0eGp@_zjeSzi5TFFB&p^2LtaOy?h91`8CK$A#*3^?p_yr3_683s(m&z?9N=JdDI5- zAIOpSF7oL3YbroM^k6Gu)^s~Ty#m$@pHNOb)@y{i({G~&3o*8D7UbHpp~V&aBuX#w zqKLjN1A*3mVA;R$;8TmKdq1v;JvQvyj6M#~3bFEfyZ>iRMr``kDoIQ@wj35+`|;$g z-G4RZ@1lN>ZQ*)JOPM~Y!HoMk+_tbRNKbRGStnloZ39jQB!WbdbYewnXsme~BacQy zPm88sUiw=#KnrJ9NIS-Ao>4>HEC8whF=qsC=)Z&CHWf5j5TDpp2^l}6>*W9oFa(oZ z09ADSdhky@eA~P2qnq%(TNB5Dv@Ujx`SO7Dut8lgeZT|Ey~@Ak4_vUK+rQ#!J3LJA zItY?$TmOyY5Xihu;w48x-YunNeoE?p2EX#(86ze zX|!Gu&z`KFyI={@R1F+K%T35Yy?XDPqpd_lGDri8x-L<~BtM?NuKZtl{W10YwqVg0 zgTNZfd4_*`G4HArB%=qq1?uwaZ<@Atl=?uH?L5-7Qzgi8QasPEvTBxFIv(vtEqh81 z{41(Ij^RZ@XwlXc#kYzZkQd5z%dEHiy?cCa;ZoZnS@8Xn{B6$;1m4wwtZo6IJgNY# z^YFH>w(!xJrLBrOrs{n)mOWK~4*|$v;4}F9DVuv!x_jn3y+ePzzjP6xpT!Wx? z<`fWIUc_U+tQq^ctWdj>```0w+dmu##&sfRyW;`5G~?jD_s^~{nnlO2D2gsl^AsRW zSE&FwsqY<~<^-7fRLb)4i77?)$xWO9F|7efSX2tRrpyvzWdIxCN3OVgcf>(3CK@13 z9)7H`EtF&gNHN3SbN7NQ>I$lZ8H;_f?U)kTq%=CT(o(w$xet(JwQoano*C~@oIhJEE1pvKFodtdE){$e|<_s^{M zRMgbYO8wpq9p?UPmehnMSw#+|e|CsV0omL?n=%f{0qiJQ)w>JYIsjn5c_C5&P$BIx z`LPjI!`iVzDSqX!Pq&f|H#Y3wFzf!q3XoD=mrp)qUlPSeDt;x<=aYa#=#g^u-=rmL zR7#$J9gRs`%UQmqD7wF7i;x#*S6<#AV*HpvZC!Wz4Sh%KYG6+=>n+Te`?ChuEmGy? zOpBdzuV(M?AEoJ0*9jnmj5FhC|7uu*IkcqnzV+Lo0~E5Nm5DLwjyJDVu&x^WxG3rM zfp@tG<7Fxqx~+H(b1{rxW|rYzMZc~qP^X*#R(1JC8E5F*sUgBIP!!*D;^&n`?u)d3 zRqGh}@q-3+S%R|kqn1}In`iZ5Em?m6G9bC_{LEROR@enel%hS8v}oH`}|76m7L`38zvt*k=wn0_N{FP7j>cb`7nsTCcEPLHEsLi z{6mH|NmADiL8sRgtRb}@>rW6F5#G5iSU0^lx>(bwRrSCcRpj#USiwhjvJbVRm&$el&(Ux?bzgG(?eSY z>vGeUeE>YEIJXVa8e$+jFGBC@v$k0Qt@S^86z$ri+FdgyY0W%H7#Pj2-v3G^FSExV zvFP&`#qv6)0%~pH*mi)8*&g{Nz2P&Vn8{y>Z@PPTWFu$LXY0$GyPwq+3>;ZT1@80R zu50j>-FGuvC(pa-xL_{W(g^04Nec69E)L9Trz@~1ubNg;1(-M;&TW8J7}*IKQP z>2yZHjo#YkX423Fd+!JqRV{NC_x|u7{B=zz?42&XL^H$HWe=lV38a!%ozC#`ukMd| zx$I1wS@R{9u(8{LU zl3r)~PFp6vvtKY@%Pbl8Vn_3m-^|kQ8Fc;C@iB6%$J>~P8@_G~Y3h~(^>jcYe#)Q; z_Ug+__VYcwH;!oxN5^m5dpc{!yuTr%NmK_mFoxW=&s!9EZyM_F&FHyd-WY(DR~L2u zjBeTKjCb*@kK0c57{pi|tISqy2072;9ZTjH|7-V~`kY@wi#;-zf$Ee7HJ7n-tINh7 z2{&9?J13Nx&+3Ga|agS|GsdRf{ar}+LdwM5BJo9!^Ni*BG14&h4_?c6G!D-%z zUXjd$wa*Vc*C-dwXU}G)sk(lP0;Y*W+G@%+pI!_HTY}%exFNs}j=|h*a%FQVt)uYK zC<|v?-G+z9u8n*=vEpsqaNozD;OCdvsz8Ufr=bp`(x9-FkL+Cq5q(<}*be^?gA93~ zUH$&~HBLXtmZEh-1oQf3pWb_G!N*F?^BEiWpZ$^jVBn}nFXsHaob|Dg4~I(LZCRLu z1We7;CC4nx)@xQ!uWphh0dz8OTUXG<`qO)FF8f$1IyGbKo}XQefAu2&-!2NG76ofB z2CiM&Jypz(zI|4DZeTb7qw5i9Wa+AcbnCU1!|rP@ym-2L-iw?E1IK;5SNIn#I;Uio ze%cI>>CJWX>ZVsY8K)r4`NBFTYs31wxiudB+<#=3trIYJUX-;dN%~{K_T|5C0=2C4 zv#_fPr?cj7Z#%r}k|o07$H#tXY`&*3M(6yCUBx42wP*b~+Ju7Zt9iTMk&+OQL!|Or&o+Jj| zok2f5m)>|KpCt%ttSKja@a-};9aN_p+-p5-)#=o||mr_3M)8d&;?Yge4@j`Qoi%vv`!l)J?Qj7 zGG>Bk`1dyiEC|?Zc&o|$UHS{*owjT}P(Kq8^`z%ZK6@JHpzpMe-mqZc$Z8&?d&y0O zW6qe5zLz&k8ctkTqgxhOD_%ZGTzz%T60A$B>`J?_L!$v9#n_*sXv%J8+eiU8MgwYn zeK#J{+J~){{X&M5PuuTKCSy#O#ZDThwP|MTwbkcWWP;VmBxKw14Q z*Ey2%j`>DX`-lE~YSj6hc73fGv;U}LW@O9If=mz>$G<+@c<3vsYfKg>3(=-DYn|AW zZo0aOH!@-y>n^B+Ts+hOYKa5Cz1*{WD4;#~TSj3+^v6R0tmntFF}NSbh8w!&rDLkT zy?>enSQFc-F&OL{#y0>63g4~XHf{4YJ;*$ba8n!lOm0add~z@fx084hYU)iKiwhmHG~>>P7>J&$k* z6gcKzx%Z}iRo_FPFg0~vwF#oGZ2PqK@ZqoU!?6*|doAg@IBe{ny#U8*Ru!C8v|qb- zhh{FsGj@!(Ey&?whHzW{HJ4j`#y}a}4R@*ee|!i-!hw%v6pbHmh&4rvK|h z-?oHkNNo3`{p`sJ-w#Q*D{qcInv>Nnay5Aleb-(vh7w!tA)~1YciH&*svXMXEx&xY zHl_qrN;cd9^|F<5W#E1LS7bV%slUBGyf7&jgU62BHYcoUW6z=fZRKGCKrS?3+AHO{r+n+rfkfoJPJB>6Nj^<~IHC{3FO$$a8ya zX15Q)`Z`dI;?3E9u=xeBu^4LaQVnv&twikm^Mr>pdQQw%4_dUZ>gmWC$OrflkB^*q z8`sGs_&1V{{O#;dO{FEXXKx<4r`odTcArxV2TO9Ic=nkQaqm6PF7sw>1yUYEf+a|r zu}7AFY*n{qggT;3pD?P&;n_)@B6BxZcv};Z12PUsEicQDHs%pxXs4P)#daDXO&EOe zeL;2Kw;%yd-J8cL{{|NSm0%sk{o*>0G}`}VV9S{m)I$kz2d>M*J8wToyp|IdS9vaL z8Z5W|z|*x$=kE-!A?3{qYdV0wU_dE*4K;Tkpu#p+O^}p->^Zx4@}-IjLI*BzpQ7|_ zZBFRRisLKKTr3r&1VFv4Ff~3lzALYT0KGR={TTToamBl_5Bxh%Y3EP>W3>qr|EwV% z-ae&SV%u%Lp1I9Bn5)W{#npK*59bRm53Zqp{sOYPjpzMSE0Y={%8HU_d>GfR1=X9q zW`=&sz^-=d*syi;YQtLz2Yk+J(XM95U3>Y*xu6(c4Scwee|F-JuTiT=Zx}-xYPXCY z(%aWBrCHsGLsN&m`iIc_%hY95`G)5ena9?E=v=-1;z~mE-D6K2#Wu2gMh_yY5eBrK(E@eWX*T?##skK9HA34?h9u^uZ@a?@fz3cp3sx$l2h-DK#$_ne+=M3Cl z63K3Rd-9Xk-#5ghG;6tPaPZTs&1IIcge(xsykR$jkO z3s0+BhPhLO7&xuZU%Zk16K@p9=PzSVeiDfZZ`+Vd!pvM4d(5T03;+IXjv##-wJX|M zL|>4T-RfM=3v@(ZtCCzW6RwqPfFHegcvubbNG9yc#G z;-pkLDc#vRAsE)S6`1fseYZ0+?cc4p_myr=&Yr&QNKV+vh@zg7(Vip z>Pw3J_jAT6GLsA-F@ENneWS3ygr3vBcm3sAU$aH#o%Okyn?X*ZWG~vK5PpP|Mtt+m z0K*HW<%X2&3np_L zuVsw0Y<)0jJ1cT;8 z`QjzQFS3MBQRd=qVe<~hu+P3NvmXEq;j_r4b?tWp!|(@k3*9F_O;0Q)sI#-<;bmW2O)uf<}lYprqCp*(dv%9g}}h%brfV^S8Z=?9#|Jo@FFfrC;r zFMu?4Z(RW+1eU8y!*${Hs=IP~?utQdZq@6G5r`JudQ^_f4BF4H=vlO?;05(ayDj$e zp$(rOJX>;Ylxxn-W)bU}wJex_wB3}~j(*Kr=FUAH*L6Oq-j6C4hO_O@l0o`=bg$tq zW+dk3w&F<2?X~@uZGJNW5Tqd@H?ToDB;S`j_{7etm&yM?5(y9;_bzoQT*t-F~R z_w6U$w6w! zE;oD6Ea_Stzxe!}{b#b!&QSCnrTN!5|; zD{ph@EVlFchlix;cWtjf-&P0icAJuPe4gg$)Trtl?Y@8P^WbMPf6M^H+H5@P!zdM?>b0fpeFyP@ zODb;j3%H%SbL`}?#|`MJk<7NGVH85cQuj599Xo5%Q#B~qnb~62Ddq#9?@q6?u&+gCY^Ii3@qB3&CmfcWf9@B|H zmNKimJw9ZZiy4WQ-~NeK8_f8O2LE={?_f}oHRAl^N#{W!tU}R2wrR@QRYy>7S*(6F z@7<&xH;;8>+!(wr#0H#M-o5Hn&%sxJ$IC2C+ZJEHx4F5DJsUGSahB)23~{~Bp;DS= z>9Q@AgmM2U`+j?Pd2dh0fiF9g0$&~+zxmrawrw7cTi%GPq1>y;wt#!1M_fvKGN23d z6qnn0=k5Nc6LdzthHwruYTn1}DIH&)$EDneJ-IY}Wd6eRj7@*IOh-c7_g{MMn$Uqg z`}#6=`x#++d2^uon9|j`*E01!D?cHn*O#1(y_}HJl zsERQ=)HzPI`OX1Zh)de;);jR(fQb6&TSYR(AV zxUMIc9(EA^*F1TUHL*!8s}D>c9G=0RJ>lbxlzRu>v>(3@QaZlxbHC>1F-L?v8Eloi z*LBJ}`1rMd2_$pM?I?jm-0$_c=QoW4X`HGTP!52OC6(yWME zsty$c?-3sV|C_1e1hM9%dVT%V0bTG1S7>#U8ooTvpll4Xfcmm7NC)-|yE%oBI3PUj zQ&KK^(ysq4WkRv#w^}y#`M@ApKLX zygwr0$-8v@xHRZ}$jkv(ak>j*mwsN`N2CZgfP}QZ#&)=56aUcoz>Qr7!Lmz2K=kwh znbM>zi}%&7zFkq~>(7T2RZbR2L80qe*CAV`naHDeDCVyB)O}dhYlSQQzW<%BRek-x z1=oa_$jQSSr4BKfS0=o=wHM}k#QbtHy`h(-rmPTbb$(rfdUo*h{aMex0r9LoUjOb~ z`l%wUs}3Zm+*S|2et6!hS-0vJH+F`Inw;T-4u7u?|8_}+Skp22e#^Ce3Uj-Rm4kvF z@yd49N&4fn#j%r)VK0D6*O$)^=3dclYXsZA`T;OAc-y$yov*jn0LI5z-FMykNl8f7 z!a<`Wf2C9HYESLN{$R6JYKJpm!{R`IV+$L8 zeA`HZB!-{Ai`Ih~{{eusE#!mz^*vG(T@&utGM zf;LsMvox1Cg*Kv?=2~* zD6*^rrfHh{Ny5B7EP8h27wzdqfS$<+0A}q<;)hK;&+>a7x$)-ils46%M7*x3Z7RsD zgqCH2N1Y!=(jHfo@4lCSeX?STP}r(v;$ZnMeXmf>a+mdXn&Su9$xA;x@dqfzJMJRD zRwm3<9jq|sf3NV9U9rbTRz98^a~*J_88r;?W_d~6x6mfu zk+y@#Hf^>Z+%qk)I&4OEb0RzWc_^K#X<5)|!Sz9tN3{U8o97v{p4$gD39fZ1$F>Sf zL@t~7)ex!z7v9W^IQ$50yEF8h5>p&YNEttbz9sD%3|Z>lGAC^xdp6jkm@(+!`-{Xq z_FEn6vWEZyRJbPQ1lf7P0eWixsC7Y>cB8tc$Bm0iubqhr177ad|MqgBSaKo>D&8`x zbk$(*i|Z>_#cW&HbJn(yICg<3B%3RjJ<7Ypd0`RF)~B}I+F||^ zcy{aqP~uv!eB#%>=aB9XEjDJ9y==Fj$BUG2k?tO55VT(q|93D$JgX=V?>4e0_Pd%JAu_*qZu!JMe0e^di)RO-HarV`5RjpmO(kYSx0@5KM zp@M{T*OpY2kW^9{K|-2Mw}66lBPF7A2*?HnQIQl$K}tdqLFCSbo^#&w-t&I%ckh3m z-_Nt$>sj-e&zxh9F`h5!B~&>5ymJd}zN((dJ8!tW9BFU5wm-HyrbGUUNO%rT6{3GS zRpNqjbhyKwOoeUb8rQq3Gb>U@Gn_|>ADN1l?U9PDRGcIfe1e18YO@%0v+yFruMMZd zHsYSz8L6?3ip-}wXA|!ll+TAI4t!%ZQf1B?euTJVW7<$o9~m>_7WpGm02rSe+6b67 ziLrCz@80=TkgES0r5N3Y2}t51|CC@%JPwFU5)da!mQ+tfG?6SG>pxmKWrH#%h|N?W z6Ib-p{)^9vTFZKsrhiX>SR z>W6TmKX3^&g@ja!{88u!PH_D$LcYXE2(N1h`wOF_WAd`$$Hkl9?_L@zvxb4#8OO16 z9%Eq(ow6N$(1=L4<2;X)1|&WReN;?wDn7uH&7}Pk&yF?YcjBh}2!Q%d!W5-6k!q0M zTtYa}Iw%;6MEA=9VEML^!ijtqgSP?tEmbPNb%DD@W+B7vt>91RdtTo10Oz`QJb5D= zv%BYTk+&5^x-R$j*veYUoMIMid_eqJ2T!7+5&4VwB$Q0IaW`)l0^5l~deq^A;Im=$ zUoBWZ`>(g+{oY~h!}ZO;>SE8rgWKHar>F^>%riek1fp^ITM|BCCs2+w=%i8McuWV# z?!%P8`%w3H8Q^^1V<*`z2OlhXfmjEraGW)LF- zEOk+@3`pa+o?WZdDulNo8|QHHk{N4javKw9{2lK5ji&`-__g?=nsDRR$=Jo znRE>m)AUD{8o!4e&MCjzseVae=cOJP8&xpU3`p;lJA7y{0m4XWqScK1Q8CVVb{sXn zgjsCyb5##<)I=bk@DVUN2kcfVgp(~j0?O@W&UHnbpHLOd!!s^L$@|77Nyfqev)1xu zAJfLCqO8(g=Ob;lfs15f`0M9g)!TH|fz(`zCi-0b0*=b7u@Xx>oO~$ND-G@?W3Pj# z)Nj_$AE#joV%Kfn1kk-0kJtaS%37?r+sESkY;W9}su4F(Yg%EgC#HcaspE^|~NzYV}gJ|KQG_8qyLJcjkgTZ4v0~(zK3`H5b zyRAf~ha%~r#S&NQX+N*`D-?ju`)+4sO`o-n``rebNd{&Q9AvL%-m)+o410LOSty$Y z8;kn}%gppW`wAU0GKYwOvp~VYFBM?KH_Vvsq{wKRI(;I6oWe-0>Zlb=r*!j_k3Po3 zjl~f=N34GL3c2hYRof{JG2IuK;a19{xh9`pay{IVHHc+FK?XpmvfqI z^3GlJ7&f^)MNtMwo&k4GHp}iTEQ%c}vQqP8ss6{M4|8m;l5FD&$8i zBln#!Jc%~%A{Vnh!dxa`#7~8w(5Q8kWP7;tZI+q#ivYj|17}8F=@Ru94N*qgky70T zcUuo);-6W@EN)24*t);1$NX(^(6UmM)R(L|C+=2lIWv88Lpfol)*+`>|y@u)aQ5?UI|rdqM|;tzw}?AQRk# zS$+xQDb=QQncH0T3WDkOKUHDA;;}L!oFJJ8J(wK{)n@6)CC&G94sVh+N92$$pXr}^ zs6XKoq7QXizIsWeLQ)A6G~937#1mSlY8g3Fm0n*GG%vbL5FtsiAKY1qN2A!oB1oEu zxg8;uaNqh>wp_$RF3c)qyW!TdveP--~KZy$R+sANQ zbhfX*Z8yb+#3*IO7x8L{Cu+?-gUAjD@N_%$2b3QueLv0>r(JH?@Ke(l{n zv$SfcH%J)Oc0t^LnW%RgB09m(VRkQoB&qit&i&mYDTkV{@tQ>kr&%aHEdN94;lv%b z(A4IChcPFTU3$9Hj5Uyl@TpKq?vz=dh|Mu0{^=G*B<2+Q2iDVTRDS=4s?1+$R%d1N10Jh+5qYj`sureAPMli{9r?uN`3g+0PLk30x#|G#`-AL1 z<|4ffB4D*nTuzWG>7Sua0Iexz4wHn(&FzK9eQjmzai6E8Zc7>kk5yW?rrzF=FmQpc zxvA5M0vD)*i?`!HZtP~wk>g99s3^GFqjmA}T#l4+ zb@IOJ(nK6Yz-YoheYJ*Ys zKV?6%sX7{Yi2J$toV)P{7vL`pP;DE5EMxzvfn*M)QrL4(6r)R)nB$b?##d3)#s*+a zZr)L!~MzX48CGPOKhsMTVK9!MEM^P2N^yvh4fvVe(%K&fsV_U{DQ%LClh`pgi852s)Yrs$FLQ{LUK>Y_r9xt*g*1OB^t1b3(e&zKwO4B zEmlUV71Wb?%p0#J4-ouH7Jle^E(XA3Q(o{_KqhXr?&i9q-7oSl)P}1y)DN8pxNrRa zUx=XtXTU0ZFu}3AM;SzWV6vK$1|!B)=roJ(#L)@M$4c+oeEke7Tw;|4DxGTeoht}S z3MsE|bVbo#_NV}+Ekb#E+e$Gi3|j6K4NK_H5S2D=7(x;PS+gzQ-Hc>D1jgIbe+5|) zshp4X&zNKAu_dDL$ISo+to!sS5`G}x-I{Bt%smRgp(PXl#GwVK0-jC7a}p2|mH1ih zFj9K9a3;^R-CN7=9u94k0%s+0?R{e({f&$SXHA6rck=ckYdth_^9VEJ>#enklArzn zSq^=0j@2(W)TuI=e>5PSH1^oOY+yj@o3Z!m<6&cETFKF11p2#(r@vq#NM#8^uKzVs zIU{={HX7UzP8m#%h|QqcH;WB`Rz%|`47x5vi@w`$uLqpx?*KyK4IE2GB{DqbO1?LBlAF7Do!o0qxF0*r+eS(*Hxfce3 z(xWtkOQmR7Yd>58qnN$z)p=061A(POjtar_rGVc_7AY2y4|zrFnqE?`sFX=U__lMG z?si|vFJx5ZuWdnw3vE=D7H-j9FO`R&{@vSzp*%-j0zx@)R^%f_cyQ>$LNm|V0xSmZ zTb`vZ@i=}o$C#%Rh48n*JAkBG#gisP7H}%u^AyJ^3Dsq}N0Umz?X*W79WR>JT@7gFmEeHtpG%@ghjd-1cJ1*kf1){|GU z^c9&Bp4=EXY1EnXTh2p4j&K@*7$|S@3E4%nv62EVLqPQHqq%IH^Rt`H8PL_G4?u29 zCSdl}-e*|dnEeRSmAp+$L^0tf?YUz32^hh<=QrPE0ySf)_#MK+2Q-!;@Oo2-z^^Rk zF;A)+hl*zTGV<;Uwt!~;91rQSb9urUOs>=yj$&o5Itsinx=*TQDtaZj2H{%Bp2MyB zx&tFheOe7^Wtuw&un%}UhWVU5+pu&1Xi8zn!9x-Kh$)Ui3@i|F$b7kMyGz-~?Hcz_ zx&WV{aO?&Ydf*{>_N`0wJB#e3GLPUaVhXd?iyK(bX6*>(JVK{z{^PKX&on zIW+`PE}q*$_qJ7+Lk=F$+PQ1X^lMBf_Sej(PX9}r#i+FS^ajE=9QxVGsu0#=Ta;NS zzdLp106@IC=5LdhH>2C~0+>d?{{&hl^F2QS-}(J|AeKOFq6M)LEe(6>E!r`ivabPiqZ+{i zdLm7&exU-B=Gz`@dhRt%n5=Mbscj|fQ4W~IxSCI1Pcr{$pOmWgF8jB9l7sx{?>29KkVtLW5wlcZs4f^CLt$mFRX=gv0w?^3)?0DDsKVM%`PoraUk3!F;Q zcd|NcW_N`B&RG54esBIL)`6b4v;(8ecSox23DV!A+N#sNKR3x?R@+IF zUV^cWOts&~-)nMVI{&th5or%I2!8H7kGP!S zzHvjT(f*Bq=Xm&Q$fYAnETxYxzw&W=wXLIQ0hMTDw`cxqMUezH1NAKnSiq0-ewW>} zOg|9UU=i7nPhEHwkgU&%YMRN9lHnUOx$jv$E}a|;;x++norldqllPIi*s}^3T1ng7 zd#a*AXGO%dJ}upADio{wFu~RK53hAaB6IOV>esxLdbK})3Y5hkcVab{js0cQ?qw84 zFZ_=WXed7JBXBl%WsL%DXH3w19@qoDXAaA`&gPAa5eh73cCKM8R|8F+a#fxpTRGo? z;LxxhE6m)cF0Z??XjUt<8b=(NQwyT(su#|%_pY79%Dj~+R7-r@iEK=oliFp4nNM|nFs7CHnb|F^Vw+<1Gof3xjh`@ zM1k4gK4!1xX(ythd3cO&#}v!obI|_~iUFx-414&Qbm$B_md2Vyy40V15Zj1M5@^_V z%Q?9^6O=NMth10lRd_x59_|Y&!o$}|3Huin*2n5i;yUu4d4dp#z}~tFjC~}|UW_0X z6j%#^GJAgynCI4?+#e7LEUS#VCCR2m?Q{_0A1=1KsNU8+(9+z$2x21=IxW~J4UK;; z^_t2*F7+F4uBYY9)&pADIx)+K?*|S@FE^Od-|1-O_fT)Tu<9kf2`6kRZQ!aDoHtCz zRa89O7EZ;Te~V)HQMtQaW7f*a@1?LMK1-O}(0rL>L`oNP!vw#44)P(znn7G76PWya zCWxb|6#FzY5a~HwX!2X_P!$!>--x24Jd7e}iGsz2JLpcuZd1ThDB@s^)GrUuiF5_- zcc%vQSKj=GH&X}SA2Zs(;&-NUpI;tQE2chic*d-%7DU*wDU~LF?1Dt{vTv{A*iS1fJb*;uDa)HA%g)M)Qqs%;cqwRqyk18yP#Cb+p*eejS)zQ`SBGArPT>`VvT z8+NYuUJr?QYq^-U)@uc9wPo$kcJiSbb{^%ve{4(JxH?wg3Y z!LFnq_@5u3b9&qOy5i`2cJiU8WWOTE8Zd2F$q1j>EXr`%wzqSna<$lv&RV8=j3Z9I zM2i_igpj#@#80gBQvSJk;{pn;AqMjb=if` z6nG_~ng8pR25^x)!C{stdzi4eJhpZVe-R)&kd5hXVR+twCteO)La@BzrBU$H+J;!< z6X#lAP~jaEh^6b56ZUvOL{_SlpvFRp{pSok_Z=gI5Kead&tdnjy6+!E$hAhN)NkNnK?

(j}yX{_lm7uX*ZF{rUS2S*1?M zUL$1=79umyI?6#if}1&^v%Gk(NoQ;N>jXE#SGcf#W8p9h{4BS*<;c|71f@7AO<2I$ zsgxfWmnY8Im_5-=?kC2E48w<8K+Z80EUQ0zbzxGIJM7rA>Qachd#awc`%livao>6? zQP1V{*4Inw8_^;l8SsI{|NOv4!vaa%7w>`_lD3l7TbS=Z)CE38Jg})T#X5Pf-yJQ( z4oZ;xHFqX1)7Yj8kkxNBBp^@FQfoU8DVFI&=J8ju-zGDbuF8!UEe$9CjP4|~d@J@g zyd-cA8yi`@wf~%wmdC9BP1*c1?=3WW)?jd@=356=a|X0oVBq8^c;x$XxY8nc6(xet zpn9QXnHGlTuKxP_=f9shHl-FK_hj3B)dp_cS zHWWNN4I_uUw5DEiKYwgpgt2<`g!8kbyt}jT*OKnvY)~`VixC)gJB zd(%YdgQ!dumu!O#nh!MF$4|ZgA!q1~766k(7aGd>G+EopruyA3x8E!e#F;JG4O>kn zidIknbldu|kqA3sNCp@M`R32Uf(Xfyk@*t5lYmq6*Sk}f9mNef)WJNJ zK4^wnVd~vF0mGQrjklbkZjn1hvd`N>Yg!aB1ovdku+)^NU*K{3bvPy*ndy# zCh;c!uHi0dOB1%712Q>EOxV=_yR|nkP3A!pMC0&GRuQi*4)G%+;>OPvir2uw>n9SE zB6oCY!y@Pjz{0Tt5o8cL4kqnaK<8D#$PN=_gRg@(LWybg59hS{nV^+ni~Hs7q{9OC zN1wh_jo6E{|n7hS@_(w~2gN&2p{xKGIuDdA;Pg?9ZmzKJ2 zb4-OFSqNmly2dFapeZ>vnYEf%3a?XTJqxO-1c3cb9I50sTrIp3=ypiS@WnW%p33HT zAy#m8zS%64?4uEZ1BZm16I9$N{c*Kdv~`i$evO{1ht6b1I!&$sn((O*?JBy5f#zO9 zDz`>~v65=YLXg5h`z=z&O$d1`UDhxYrRx{KB^Kz*1%&D?qMNQsmXDeYeU=b8+D zro^o^{@Lyry+NwyjBc8NsAw9#OT~GY5>%;-6sl5iDJU?gXrNZJyXX?uWwKnvb2T&@ zC#;J=Y-j+A=*owcwbLL24HoMbL{cC>bEWRV``1d3N1}qTKVQ?)-o6v# zFru_z^1PMH=LsRQ3(ObeD~`dyfnw%cHK@ksH1R**YpP~Er{Bwi6m8H+aH0RK9|U>? zKtx9QVga#)12l8&0xBBukwbh2!TqL1eeFBUGD@@60~$22$;u#k%q7V|NCJ`W0mUE@?Dv8sdGF2)0?8cUwn8(2IQ*fzB*MN5PQ9yjx`_SV$jP>EJ=TF#T2+p?`%}0H&rkYjG7U!RsUQTL*oPOEiu2~c z4nt@E4mdKn{@8fl2a<-AH`wDE$WZuaH-taEwX(=KxA1;l9@eBq4F9b|A zh^0{Uhc>ZXTLbZ~2wlwC6u z6o~awdkca+V;PECj#5ie1+kC>n@+~BPQJs4p93O3wPovZ49uWKr?p)o_l;A`0UEe| z0+q9|`{FA(aqgsKGfAu{c#n z{fKcqC8pF>=JVcTxIhF8b@r6zv5cmUM0z;h<*!Sre2Dy3xtP9eEZpx&beMa0!t1v+ zK`(t$Wpq?ATOEn3u}c{&I|}7Jer|6;}>T`>>!7n9i>UF z($dd7spd*#t?(EbzLPN0is9jb=36Q;;W&WNKq!=P%*%hH(8zx21xS<9&8W^yw!$#! z0sp91MMynbP_qxos9C?-0Mce*=KEKXMj!y`nE$Uv;4@_<;L;jk(*ZgG0UdFYbrN4! z7o_lYZS*u=1r83FBN`RERE6SsiyOWmf91`UR$p^q>V2>|@FMK^=+iq&A{D_9?@-~3 zMz7QLAq74~&cBuB`)H&nHkl38_Gf%dRA2p=Wi);Ip1@E3`J1ax$M6C%JyAM_?Hkqp zY@!p7T|GJCmS~r&YG?QP_!9@eCdKTfB3%G3vaS9%jRb+-j|Fw0@^&*rt8z_pQF}~kVhYsZC%O~0eF?MXByzZ)*>Gv{NBc5D7|1ZbgMxBg5M$wd% zL!IBCG}-m45aqL*_uWuqj?8+m2iJU(=J)&F+MFGB676>R>jbTL>3g80pSFRR-TRGYYLIgoP@3UFAM_ohw!21(rRA6by|U5#7$X^3m= zyU_*Z3hP-nl!R3|xl&BW8uTt5Z5?};>8SHQvq!oBW62b;pQz8|*cE@qcU6{!;d}*) z9dsPzCZnR~e|0W1Qld~!4K&AI`g*SLZ@h#$l?<%HdQr< zIvt(qee)IIw>glQUOqSqo~I!5W7;iniGgy-5FC71j{&r~xL>p!Uw2W3NAklPfzjy) zt7XdOw;(6gi7%SU5SupCRGrMEldxt(h%4JTQOApuG z)X?PqlR`t9x1Zq!@`1hHn=lCSklNI43^VxI#lvvE;Nh}Cn+W2vaOzTdn&VdEN(FeSRpC?;=q0&6e*UFVIxK$L03tNNrqe(8$y@cxBm|y<4bK%1z*4tevx} zWyJF6r)}zsr}dM(#Er`rsEnuS@B}X#j&R%%U7`HQ)*^KG@XMw+6n+$e9P_fA&Cd#plr_R53Pug99@lpcf zJq!fSd8q7Dz^ObC-;T4qv=HAcs|vy6Ie}S&A?2UsYNWRq&gaJb}eriEt&x%Ea5b7up%M zFO8GVKa?+*#r{rs*arS2I9e}=P-l^}hZw>(^$^dM1 z91vfaBqu$K3K#(gJN-&iliOdHIKiVb9{L_tFm}YP7eeeyz~vwb+;;St0(Zexs2AAi z`7oS9OeW_E1F3MyQlK3uJ9uJ0jIxh@;xMX<_!z(xwyyl@V|7lhuH&iDjK%{yHE8r< zr+B)_&(Vh4)SgIo=b=+>=OdPy@%&Zjag+8VUl}MLLghWux|C=;wo#kIjK11zyUD6Fdy=`;{$*|AW> z8M24&&A^&ROt5=50v8FBUFUmCijRs3Ym@s0a^yaJ2Rw9kD8ic&UZyL|8GAt4T_|F> z_w93KhLH0Eq=OXK1Ye;1IW2+O=HvZ6e1ifB>`!KbY8z$F#>4niXQ)5S1nE~_$^6Ho zxy<(PerBzVctKw^AI5M~JIj3eIq)s4VY+4~i2>Jw zIi$BFrr})y11aTqK#v{rOoDT~jE6+gECZA$OUuDz5~@lbUcZF4H=Dm+`VQSuKE&WA zbZ%uWB(Cz*qOKr8HnJrDDh#espT?h5k}`-!qeuw~B^UB>l+UoRt*#y81=2T-!K%Xu zC*aaZ(-7AY(GG%?d#8?@a+gL}`d0dj~js?u)fsR z9=J+BGK00CoF(l?>|p`yR3_d^{0#P?b{Ea%oQt}^Mpz?8DwVZ{;l%IuQOu8Eg`6xsku#qCz!PP{PE|%RtC!bibvg)%`;yD z)}!9Oo%_NUe$#JwZfGd#t7_XZtp4CuTPU)xxq=^Ru_NP%0Gu=S9&Chlo`d6tE>47HoXO_{o@5@Q=ZLv0e1MYE zf`Y9-YI;Mlm|lH@k!02)G=(Z>|K$w&23WpMRdmp0>f4>GCy;)9Rssp^q7!_-4)B|2 z6L)PW0Kj}K@Ia1pckrsAy5c7(A! zQb5;Fp2bFCIgHBsaU3XCkj(Yi08n8^LO?h+>m()uuo#O%7^zN#bOIr@N-1Kp!Fvsl z*pv}Lg;I@OBg(I}n$EunP{2h|I~*%9uCFPLN>X`E!$H~g)gElsRW~CXIh}1Lz~ek< z^|Wcu>YIcY{oiUeJfGg!E7cj1D(y0*=Fgj=2|el3s4TjY3pE7(0&?6jhmn2S1y&{# zt3R^_GRU+79D<=wPN5*0@|Cyw{DqNmTwpQiVa`Q?HiwZ6TuH9wzJ}h)8V2@DoyVv% ze(E&1f9m|E+IalYj6JWL_xHzb5}vtQU7f>#VOV(@qthE31$d&Ge5+pTa3 z>IIs>mEsJsdE9Y5cVfp%g;To{wHjYuI6k#FeCSAq0fA-ThSrXfD%VI?!1s)_7;U#LeJIXuKsZ^(o$p`Uvw($ud{-H0VzncP-n-anQ~TqFE(0*`_xsQIQ+q*AG3Y- zVL5s`ZXdA618(zY2a{o|j37|ayb0#OX1WnJlkW&~0b3diJjx!G!#QAl zpeH+re||cbxB7;n_s`ITlZ51ddy@S9^!SJORd3vTbmYHg@cz*4^oH~i8-`v*>=Huf zlXGh$*?(2sN{xH$nx;R!lnf*pJR|H@X@0#K+Kzf*(E}MO&WQZWiy=eB_{Qy`zyAL} zx{AMj(7&G3Q;?pFXEKZA%z=Lk56GD~GPN@Xsj%P={#tOm>KpfHcH{p4a?%77M{RRv zU6IL3ZIo?EZk3OIl+>H@Tu%!CNSG0ID-fr&%!U9};6!R4ARP6{{M?AE-CrGjq#_MY zXbAHNseyFCcca#^<_c@1OU5-BWImaOJ9OG+Psm+$m>`qx6XC|5Atkf}T*0@rCJo6> z@LDGa^po%{1nN*3z880ND)P3Wm0Y#BTFSFhv85^W@P^^ON_$_@S1>C`I4O0z2QZ&` zkjV6_hJvdT(Jqog4fYVt6F}GcHrD`HO~NE`_d%Iz&H?G8*O*4s z;aM$z?SIN0dtl0=6Y!H zO5#x_1flTb&HRJPCVIB||2roIl>?P77P<;4)@{9uQk>wFprvdF99RuRt2u^BKcfUu zlUkPrH>>mj!7XQLAHJRIR3Jg2W711vol(v!&xL3=LaRv*5hkm%B9$;|{ixa7@mT9< zK7aAdULxc&C%JPBfW;PGEmy`SI^;?OPcRAnEp!`U>Q(!|{3|nFC4wG0a(XZ3gwG)+ zO}WEBO+l=_JtQJ;wtpTi&RXg8LJ)DdpFm?YbeIcZMe1B$?G9VuucK=e^{qC;NmHTgOmB)+NlQTctrmi>-Y#ZdkCTcZQX+J{@@Zr}) zq$40igBBBoC>9IG7@V3|!5xiX|0VPv7%-=OxM_xzGZ5h15J4pqu6?IVnNSSkpvm3( zf>nfp0R^s+YJ6}WlC=}%xVArZJ>GN6Yu|a{$Y_}^|s;UCK zM)kGMVKg^a?c|*wE-B$S9w1vQ|0UoA_wZvct#n30QPEAf?vr272n(_Q;Tj0E6!7rI zo1VGXBq)p-nNmNqZonD zi1Cs1q%RRtBI9NeBCN}}$_`%0Cy*wKn&9tK^o_@NBwpI=xrfwjHOC^&bBX_;)|0f} zSp0Mo56}~zflW^@=A6CTb)r>hL3gm)M_@y>sLa+B-L?p^|G-jfIyxZf2%bv8NuQN! zpNI%YmLBtJNUrnol5nR8l?VCvDRF_sOgi)zF<>PcNGY0eEHp179SGnfeyJ;+bZ4y4 zuBOQS90+n<_W3;6vN*fGEzwiK>>~Y3Pb@5t5Gz3j6Z&nyBg6?Rx>NQ1$j?2$SHiT2 z`2pzzRIWJPjA0Cl^w#Fcy@vEo7h}Dm9m=T-=t{>wBiLir6=V}-`@4|q-%x&OCtyxS z#9yJ0RSYKmzL`9ZUmDB{-{$N~;Vbg*Dx^|ixPrR$Hj_KOEs%W%9Cmg3u`<|ZQvPJp ztpI|AxCHum#O*rMfndN$8vg6Thi637^R;bFQeb&8^bNrwdK&{(j}Dkmi-bIS)|Uw$ zQHa?j047y!I)Q|7b3v(Tpk)MyP_|t@)54*b}^|hnYrZf^JRWQL$Hl$<1zeA>2iX+3b6)Z9X@+Z~IH|188fcDjro5;qZ`Q0P(z_!etof3Z zU^nIsPKV%42+~T%hOZ_`moE#xW5<%gg~|7;$jc^UlPI6Unm%w|(a)7-97JEcL^1!W z$=l6CpPl%)B-*r1-X0X*uHYdFIqC4KDNyknEIgf!)f;5632r)J_^K@;(C;gpbb77) zsr*-Thq_vR!ovCovQ$^B;tL@5%5=2o@oQl{gu#e zODCBM#B;fn5$6+e1|1l}-9@Z}N2)FHh$y8@UO)EuzQ^;?GA%08;gKk&?6+XGST+0y zqP&lYTWkJiI-jp0;LL9^fe^Uk>CV-IrYPW1C5ZS-PkbB%3gF!$ts1Jsfig7x#f(g7 z_qMw=KVlQ-x-qe8;I{>oTaLu2dsR7VjrobgDK3tYT50wdVF_OlZ`(=%b@Wa0%)b=J z_hYt>v}$v5Ux=yzbSE+oTpd>K~){^6L`5Odi?-L)CzyR>%uB+qT~19ynXn9 zq=tl&m~F`%;#!?PB%59M8lqzc*$?3hrj<7;JBqGD?0m55q1Ml zpQi{fgGJ1B112j;pn%s<^3P^b$Keh$!wRqej$8_Y0C_Z+z##UM2~O;-hx+YpkJp5Z z9O&Kk?Ny(C@*ljh#feYv@_a;?FElNMUhUrl2&3jW?tM=;LwVOzR6Ry;$OvA#R_6|y zIWy4LtTP_J=DQIZ880tKO|@x}?`9z@-4mgwO1?jrX_z=c#{GkZt#6!+>IOJM$Bhbo z1u#SN-b$YxHi*wrA>mvy+KDnx46yW$$r^nvFs_GYD0HaK`$#%PL+mx54U28Kn5=|W zvHiGGM6|cVQD<`Q}*mGIHO|$Ck_F zoIy4e1LiY@)+DJCk>88AG@U~q5}Drlu@NreO0A=Okku@R^}){OfLY@zI@f_SRNdOU zpfyJbG?==`}A2NuR#?O6{SiCQscR(;%B;u&pU-w&GeDJ+nC0)+gYD>J^gU3 zY%Jt7gU62Z+3LZY6_0$$2@&R@Sik_!j!cW{wDr;PHrC_^QCDz{XD1z&e>^;$N~>uH z0E({d_@FTbP7=MtG#W$ENuX#u7fCmA8cXAxwzI(9j49t}!4U&C{H1P6GR>uAG`g5H z*_Ff2)d*=#yZ#JcvS2}mHT?Aa@R?To-gzY{BUY{{jq)*X4jv1{&dqgMQ8O+6;zt1PXpst( zlR{y>(-1B$Gajg{bMGwUT{2)(20NrKs7>Z;sC};}>-0&iPcVzv>0cKoY7ww3(a4$S z7?C(*hl)1i!1q-!J*G*SzvNhFpz z%Z4f!x|TQV;a#(*q~WjfbsenT4mtrbs_h6 zG(>6^S~H;w z#EI7U8daYO?x^H<0o&({h1`1DSO;AKB|5upL>q4gt5XIYjkZ`DuFNt{46;7SL(VMZ z&zfC~EetzULxIKc(2UJkCxMAvaJq<6z?rH0oa>O;EoSNz+;fZElLONXxh0ykNlhVN zU#9vyk}w#p-k@N2IHrm5h6V0hpDH7*^XkxQgn68+jMs3UP(abc`w@M{)lT!FvT1t> z_OmQm=p4gmB($#9W)Cm4$h$>^2iQ+Mx7WH|(f^#}u7?>LPBm5ma0Og3TrHCp!xTSa zUU~co!;swdVjJY{Bwi5i> zjHh`npQ!Pi*>fsFTGfV#pw*MCqWs2BwNV*W$<#@biY_dh*sUeFXmXYfC3*^)25c-` z^ZlN0fpY~t!!CWNpICVs44Qmic8T0r?4z~sV%@dRIc7oa)wQaD)|Ge1QzTGS%SIYI zGlqBacnOzBm~eS!ZBrOe)&z=2#vj@0G}fBNjY>)^{c~ zTUt@N7<(`e=3VKn!bA$VxJzxgYNxG<#yT&XX;zUpW8F7Qtt6ITW|cRSKmIt|RCA_o z#3HIV^|rTk+;fL9@(mmcQuz~``g1Lp&zRoy+&X-dS?sHIRl=kG{Snjh7u9+Ql9*2A za3xzEPa^h}lA|j-rbB0w|M0$=+L2AA=m0#pvB<;Ck#SLxv@K-aRnZzTE56bwVi+Ja zWYEyS^s}P)HDHcFS2Pa)?vnj$GkIY4Lq_>5+3sd>OqasYN}wFdD@}dR*9d$z-KW3)ewPU*oGd z+>O^j(Hnb#p74>9zVd6<+Jd_Cm}TQxX*GW1n#@b4KzwM zR3{J`ANYfp{0VtzNSrnKS#r5fAMQ@M;r_>JUo8Ll3CD$3qDchpL`D;cMd<9cH#ifc z7zkO^PPBNPy>@e5=cei6ZWh@wqhkh{@8zFAi=9mNonQ7HMW< zZzMWGoSr-0dniXfT)YOw@aKQF^b0_En`KyDnylZu1mI)$@Q^p?UX7h@tnpJxxqNM=B5=mXLOTPOq=bLWbS7dpV8ZzWx3qY6_ek^V3qB zR%VS7iq^@?4ZA#k7?HKSod+M?(rLeq6ikJ5gb5}|4dDQ(j3e1#CRBZ0EilzbYS1q( zaf`~+yumcmn4Y|B>~0>n8T5YM^vay+9VH$&wP?o$styZ_y$dTSY>L?s z@Pl}-<`C>yI^n+rwg;XH6;J4ekdnbhjzp#bv%{&65w^(DalDW< zKt9LOr)>tw!r1&4)LaLEAyFc|S>xU46C$P2K59P;x0*381=|1k**udvB_nxlQKhGC zYm#sUxwtn(>#HC+xx8cxdpS8}_P$O+x2mKLKQP$&_H-gFii_Y03`!#ENEBUHN&Ql3 z*&GqOO04_cXZeh?K6<)6v`_l}!=qci*c*+E*vUlE*`7#e9kVE7uSQc~{|G@1zE5_4 zPUU+4Wg#vsbtD!2c^w<|2DQoS>&+M~Ply<=oWqE@R{reE5#pwfo%RJQ_IO-DYBxcu z3lNu=_O5gawKu4Ej4Y}0TRa^nazD@c-sP52_k-^N$j`hoqZ-|@0}p#i(f`M9n^358 zkE5%6UH!=0nzBjzMYE>abrOc8)dg@QPTQ^}OJXV^$Az~pisxRdLqegGp^eNdMz;DV z&Brnb@;B~txKVWc7CWMCCJad}(>I=7Lw3U;LYAlA3iNpEjH%NJ+Ba-rpLR8$oX70`&PFero_2QQU*i$W zshU1nQ{dC-l@gozk}qj0)MCvV`>fGOnggume|>B&j*12K^&XKmt#6#ymMu*uEMtSH zh#Aatwi5*^-hmyCCIch+pJ5=v$3{zclz2-B6~s;<(c>~6T32h zd@*mI!)xPr8ZjVXB>962kfcP)tdglBJ$?fBq})_;84|#mcBGQCOps7gF$4@WLWS(Y zn!_rgIH61}=XJ6f-{WslGMyd2tnDms{wx(^N+*44op_cYD=9{nvT0|tA_-SiXOBCI zPKRQ4i=B1q84LO?%fQ8V8#$6|-dm&lArw6CT77-ZMNUgNb0|-f^?oZ1A#lRldJ*N* zboj{UH{^3BWq;?RG}_jzdI&0+?xyW{C-R$vMY9UGCLX@4I^V+D#-{Ajtr^AE@-@!l z=O)Ce=pV5PC-~aehqc~{gK>UcF9;kAJJ%oIqF=wymX8USGdrU0RQd|}Ia&&%I@}ay z6nSE&86&2@U1sGb$h0onNjImTqAV?fn1|$BthDDU866z&x?$V zBo1GG`8g7yBJ$^obDza5wiKi)7ga$J6Y(__%Z8epRuHcmF6Ok z?2;^H?Rh+;JyqZlbg?)D)+{Zfb3Fg;?;=^$Cpg$+d`Y#vaO?ywS;wbP4GC#y->yg+ z?{QraMC!oJA>IyPwJ-y?(twXC&`>%7eCHn^x(k+uXuv_kJBV&C0Se2PX;g$_x^k;} z6Iwv^58J>?*RI>l`~sC)B*+L95pHVD%f^+OD7b(maK!zMRu{C5op3Qu7YwDY>F$HO zQ=-QlU-6x?hjo}X|)Z=7l31KO@&1PUEEW$jGX=Tvg8`i)vFP+@MwW$ zM6=72pQ1Mrr*5lIZLb+cR=9ljz3KSZoQq{XniZ$tH}};!8Q<~8O{4vG8~-qK`*Kk) z9i{fFAEtW$jfcq8_tS7)RrQenap4JOCuOPHp@bk5EQWF*PhPLP@01sS+g-p!xgSc* zwSmm!>4eMze(cvTJfH&ma#|S9+koXBw>2S(3roJ0W z;?qa(0&6G|ct>bpYM1d?O5OfK4uI!zpV4GYp>Ox={q{6!3B#scN zzoFkT3p_B2Je9cPFfQU7J8pbTy3HQ`81;aJ5r_#W7w{#!w8Z>0UMad`J!Q)^5nctg z4HfiljE`1DT2AAwlyh|7b4Lh;1glxknPMHT-FFM7Fxmf-l;sE=j$+4|dG;DGF^jbT z?kR5GMS@Gr)tVOnkqTD`t7RsVZ_YbS=*~tLZ7#SIq>En65EEwioq8^8*^Ne+SW#-= zbBo6{QhMRX`ZHa|K^jFA;#c}W)Mwkl1z`UrfrRI&r;WJ!FKlU^>d!{*6wmefwx)YQ z_ARbr6wts7kSkQucW265_Mo9fyw|x9#l)2l815{7uo87W6)l?_K}b0p4O$Of9Ju%eHU}Z0U~eiY$W`EeCPBdpWNe6_{%JsGzaZ^AB|CZte%mp6lOTyJ zojenqeNvROFay0CbYe{eF`2rHtbFK+Mc+ghh}qfr?uvLMfma7Q7h`w#v7W)M;P{oi z$9@;%=0Ua>mxZ7Zl{2#^_agS5xw*Wf{al!$1+IX}Z$-pXRD-_r$feT=K{6a)yEpHub8h&&XZv z@cEBzE&$1=SXU8WYz$a;bK*OZ(%{hN0@kY6hxE!1zV8gZtXEig=94Zv zeq5Ame4sJuu+G->%$Fx0If45?{=w663F)^kikih3iI$JEBzIaiLDG+gE)1gpG}{Km zt)K=)qO4qugT`+g-j%loxs7WslkvNtA9Ow8I^`^_&w#U+MZxa822yoiBDQ)DmPB(v z1vYQ+Z^4?HxU~M&QRbd=&9ejEkYM@&HfI((@B>9AHU$AEbGTu59-BN|15;5>$T%NgagaiK zU7mJ~TsS!`Njr)1d=#2Vk+-B~^!k0GFQ*CpTynE0oeb5PRL9d$I9k*h+9Rqsw6=cR z1)=vjc7>5zLFQj;1ujWlR%Dl7WW6}y$i!Ty7!6ZmgA3qynXYz*?c643Wr$D}Ty7Hu z4sJx6?+hx!gxK(LlcoK3(MU<10Zg>lmvVY=lyKQZs$O8@71A4);04 zsNtC+b0tzb*<}1qj^qhKs!TKmHIMT?!i5Cm_#*z+XJ|Sg6~`Zm<1wVfJun~=Pzf}{ zUIW9Yp|7op?V5}7hZPoOP=VDWbf>H-DPbb|U64(C0v+cwHCOYo?sG&ocVm840E-v= zY3nBHk6ykA-H%BjL_z@OFcR3phzg}12G3xb|GBfOI4xLYlmHAn+eblsdh{t=Ml+X> z_nk5R7HcHB8d!Hx-7yS()}-KD^Ma%Q2ONg^LWz|uf_T0Ph+FU3nebR1{>Oo@YF>(t zFQQI+U5G>na-M)2Oic;}6rZlZ@OslJ6CL>DIJ5{(AFpz7z4zUE`RE5RyM^piSvDPN z{)^(PwQtUNn9Y>97SBcD>u@jaUc;Dix#!ppw!8Zgwd>Yg0eD`L{M3d#=Z>b)hg0+% z&jqYZ8QB#H>1}UF+C_APVKAuI{5n%9?hJmlS-66Sm3mr+PYrr{ws@=O22_FUgc+^| z&%;ks%)+@t0~BF$kpAU#;zaOL43hKrd5Xsp3cBbIR~sgG~Izsj|zpK#9Win8?4Q1-iHvW&f#BfrWd9TVlH)Iv`B zypHw|CPh)XPFd#p_iCCf>U!a8>GHF9Mnr6~NGo-X%g6jSGoGpWk14kr3RQp*COs0{ zxaFrdqP32&}D~_0jIOiY=FE-5W5IBI1fwx*Qf87j3OC zu7BM@k<+5~_xudo4c~#%oF6r4wi!Q=jHq8@`|tCjr<}V}5ge{!%cTT_xAvP9hJ21G*9krPI>|QEnc! zmG#rGR_nfUqpPk6Vr1fU#Ya4Ncc&BS92lDp6JM}O@Bhoa3fNSYeYP?UziVjr%_nmS z6k>C0*cHfcaKi+6|AmFeDzd)ndO~!A<7qJ2pq1aF^k~O(SyrW8yv1!D%IE6ZV8R5-E)H zl@9(9NxQC%1ak#4;ml1=Pn|E3{Q_$rZXmTdn^)UjuxV>nELBzvKKJT$p-Ec3xpd@%#lmwpPtN&XK2SeBr*!N~W78i(!%ltOQA$L@ejkn9* zB#rz#ed^rVC>=Fq=UXVi%lKxUcFb__xsgdeFl8B6DO1O3Lc14g;)}JJLnsx^lI#Ap zTVE?za@_X4Ikt-uZojsT?~QXwB2{CXon9A|I1BJ=U;*Z7jY3iTm35iuSD z)Ar}kO!TD-Rt7aR@U+te*2>aI@wD6B&Zj~0eKUEt^vP}8HM@&bk}o{D9A_btX9Sei z4uRKQ@+kz$RI1Lo6J=AA1@Epqn0FG>Ims1e_L=jjrX2L;Jx(HCB=+Lea)a&%u~dss z$X;brq!Y(&Z*8~wrkh~8T*WKR#gMEkE=n$%1R8$o=C98&NR@P(!W%(v?+ag}dZPsk z*}OOs1mDT9ZHM$0m(yi=*%d7`KP*e)3=(2IPxL0m#2<+xwY+1%YD4iQ5iosV;|xZD zCJvcV_7%&Q;YFm~iiBmba#j5_t6VqU@H1>%^7dxH+4)5FlD9CNo!VDw6}HY!6_`(9 zP2-#e4AzSM(dV|tG}Oa?kG!N^zE^O#ipXK&ZW&JD`fM92XN*O}^iJI^wkzRrwWS6) zW*tXKdD^loMk0dnjqOoLIa?DBALN|YjY|Ao_fNrPr;W?@SeMuVR_zS)KEB;Lz%aeM$fi{or)1GmydXxj|bPf_;HuALON z5xBj@{jTvU{?&%&Vr(*F7uHri$mBV`Jm>xi!)=Y({zVEV$U_h6@L;t zRlZH-cp|IEw);~3c7Dtpp81=rY{;^gd71vO{k3J;xUP}ZP_L1 zBD*ps1^63)`R5&Wxa^W0u8;_@F%>ADSecm z2fys}F`jej;Z;L|KjflHhMU{l9|@3|1hs*SOy@D!KY+!3N=kzGoG~R^KsU!}3_IFiy2J*aVLj$zB+*&R+YFn#^X@ zX5T6#ZT>+)Tw23iIk}jpJ3t&i$BD2i9H4)bY!X{H*X$6(#FOY3W)*M7V0SSk)YR?D&$-U8-x`c$lxTRAxf7+Q5 zaA{e+<@Th;3v`GE3zLIcT?-Un!#JT3!%9w&&2lSh6%atV^1N6FTIXRC=&%=FQ{CO1 z+VW2-zPD#=YA^X!Nv5#4Vl`9`d7gSNRcJ=<14$}e+6D!~B=;mAx+Bb;QaNC}=#|CM z%tva`(Xuw{mpf=2_PZvCk)jnehQrXJ(5A4`JPd?+P9y! z_E^4s#vrqQATGHC z7Sc;Etd(U1enEQi=h$dEdaGoXHb1p2qLJYj5fXO=YI2EDyFSfG#P}|Q$HvRxl&Kv{ z4?uQNcnXgpQ{r2abWshA-^5>$+i^Sx%WiYIy*~H(z+L76KB&T3I0&|Q;aR@f?pA^R z0HJ<{Z=*U0gD<0%PwE^HFB(bbo4zJ*o>y5{w(p^r zt`Q`A3Z$*jeH-Bul-hZe{kzUu=Fi>z+YV~Tn|k%{{L4z9D9Sbny|rFAc)~;cknGZQ z5Kbg+Yw}@YR<^?XpZz@#C(S6HE=!<5pcKHR8h#EblhltJ%em|-_uZx?N}A-}r$7GQ znz21o7f0RR(oIoEl?DI^^Q^GLqM6^Ph~#8^-VVGGL;GVO&9r9;m`rg%|N5n4g^l!? zEXUl*OmnB@#Dyp5Dq&mkfyk_G#m^vb&y+r!7fVyJ|DC_IRz5?$yI=Z_iS0JG40Hpn zwUvt%BaVHLN#e<}D$uAxduNxhbH}L{s(UPJVg*h@ht=(f&!HqU5-tbl zUnywW$+)_L-^JGByP7*bcjU-r%cBQqPspM|#SASus?}9(9EvU!W_wkalw<+RidL>t z(7)+_Y*f_>NIUVod1R?}{KM8|k95q@vHxSU@y|Ace>=J@Kx+?luE=ymr$?sn{ zzl|FGphs;JbPh%KQ-`+$f3-l9uXp*}QyXh+$1Ho`m1NTiSWZ~$k67D7 z)qI&X*j&#jF1UQ5;F)o~u_SY_0W$hH>nn(L3s7Lxd;!@s|g3H)-@^E-g%M_OZBxi{x`-_{Z2q1P@swge9oH z7_{(DO?@Y`x0Si`+ISrww_2bQ`tZ5GW z`PGKRQ6WKW^op*J?_}Pt|525lO5Y`rk-9roAP6`6F_mCfNsF^we)$av+WtCk6;)vR zuC^0}vV9>LTz~bMLnhv~vFO}l_;$67=hBj!3UxdZo)c^58)3%uSncDdd$2r8Rk_){ zhsqLzXh1l&H&9Fk2EiLS_8EM*aA0Jm)+94Jp;A9A@ z4s__}wn?6`Z5{dGzUb-Bpfpk8(J{fP#p2ElRr(z3Ugho+=k9c$`_VR7y!re4!{Bv6 z%hC&R!9SC1JG+N3O}|PTG>eWJIQv{*ta^vuz7;Q`S4Y=jYR0d)=tF2r!&pjM{`J=-+8P(@ zWdE*@iiMggE~N^M6}MZ!d+74a|77S-W6tvWgeH zVJjeS(n<5vVC8I>KmS%LT_x%h)7F{PMqv7W#1yZCmVFdHJ_MU$e#4CFYZzbnzqjT$ zfZc*k(udT>-v|rtMu%{950IB_^J+4ePPSfgaXgEvrE52;!N-Z88x@a`Xh|1O+aKV0 zz26vRk~^*}cF*MpRh7Iqvx3WO0`qf-r0F&VXLQ&=kI^$Kg5X)IY_&OOc6-!}Y)9Bh`5D&eo|xwXXBTU=adWWcP+ zLKc$;M)0T^MsdD1cpFWy+c>tYGpQbX&7_m)@a*fyVg3XLme9+l5{2+o@v3DSkmeR! zH4ek{Cihj+PhEl*H7maDQ{}RC`NyP=3S-wUmrmMui=_X8IGOW zmjl^gd@fdJ=OpEGwij-IKvq^lj@n~Ydrxf0&6uw3oZ#M^`nZQdHi0+xNWS~{>fQ}q zUIN>zBG)YegNRmCF;4ajQ5Yw2y(Jk@=ERyO7jr%Pp~Ds5a;fO80UVI-PBjxp7w?#e z*8;5Fz9}rA(43cYs)o1`i=k4zTe0%2xw3>aaz#NSntxddd#=6OwzcPopBBme$u2=W z8>{l`)sVn_WY<{{8QlKOKlBRu4s`OEmycF(*001ROJ*Z+>_bA*rIaNjV#M|_dUewBh&|i&YURs#+#6(b0t2-zu~pAE{+* zP{)4aA5TO-#@j*UG?pXgGz840tUK^aRD37R}K zX`p@F*f@D?mQ=bc73MDIsj4A4Zg2vIwrM50{KhF_)obqI2|C|iM;5;&VKf7!ROE{XqkEZgOE#UYi;`Hiz>M1syIBa0SRJM}yxfk;xj5D6 z<0>9zLZW5ifw z3fLzjk!gxXgeie{c097FyA<+AL$DLN+gfSFI*K`N>3_8-C>e_8w!kAfsdg zr9!f_I|ErSIv>qIR4<~ec>QFYY%C~g%71>ybDDJ8Z8ht64xaA?)r9IFSQQvHwnkq| zXmMmcVoyq&iWWhsRc$*KzwM0xD%cMH^ec;fIPHj6vdlZ)x?a;^sH!69q> z!hyLS;)(cEYJFen8&m@I@_b9k>cN1_!_cr8=Er6!s-vwLmuLhjX!?&DzE4^m+nQ zSW~OAsmsOK+TLe6&F^CUq9R; z+y3|Wdg1^4z5aJ+g|AmIJNEkw>||-k7S;BHy{h18i0`@06-C&^KtUmlli6OMnkfMf zU0hwNE>3ps{FxoSv_@iGxc@SLXpzASN9#^vnf-z+l?z61ehalEblDT6^667nNDTHv zW>_EnURFv4@Tb^q-G!G=gl31D)b`GwH))m32>Or`a%(^7cXgeE3HyRe+&ebR&5^bW zSoYVsS=&6gy8c+hL@KVIUodXsNFYbq*b8+mW;;aMK5geIQ$trEA)FKaIxypC9)Bgo zI6r-U{5FU|d@e?h9B?xcVS1_&pkXVc_Mc~*G5>|fQ5q;Uso{8Zy@!u7yk>k?11f%Bv|pI8U}`it(paaJlujb zd07N&=N1EO{!s`eP5S*K#a;bk>L8|heOq;S=BoasIR3XUg| zJ+dxF)Q}Lyw5_?PaJ@7CE1djDW3O_PwSJ6~A;njB-f2IifQ^8@L_KB?NKn6go-|;? zKiBFg^;}cTZtnb=SUnlL)wF|xtNyqustP}4^{@^OjDEfSoq^@f*Ir~8#S=qyHHGXk zm!eiI_EjjI<~%LeF&R$Z3@U?JZ&54DC8O(QI|79V1kArAQ$>i_tL~5pwtg~jrP`mO z!{TvZ8O27APX1Xpc{);w8yuWjDmP`j-^AVgT3OM}x^aud63RZNSy>acphyk75&G8? z8Bz&(o@*hTS{)!uBgNIkd6%?>5tmx6ls6vd-9(}{Hy?dXtV?-`$O-RFp>0+7^PkHh z>|%^EnlhBtlBQPDiD7oq&b6tLUXiZ}CY+qNMFnol!x}!5cdF?#3rMET>4U-i#tC_8 zZiHO)5HvCU!Rp*~_$-AmS-MU0w<)&-;~7yS$T&Km@e^niyl&hJzGxwu`m#K-rtnJ# zkDa{(Y9W8m&iDJAoO-`Wx&?{iy_RwoO zqeoY|qTn4=pnGhm;u$H-(lKq=0Fn~%OZRuK>s2Nbw41V$bW_a-GxW4H8uku*S?!JL z>PS6uY>_TOj#F6M4%8n~t9$p{W3VTfQ&M{Frl`lQAzow<1a*x`Ce9!!?&6rFOfXa>$vFeMJvB%hSBORNlcPMxw7^`#Bwl8I-S34ASI z2r@=3b9N)a{Jl4y4S^m)=EMUnTg|S^i*pCQw;vtkbkt7dRXe>t$FIZErN_3e0!Hk^ zPZDome{Ax*muZ8r&BtT>BO@Ync&5iaZhTRXf*y>gLmE=Pe;QJv8b(!(=(2dIC_p;f z`|WAQ`1tO#an-&c1#4m-?&`!1|AMvV%4WexI)r7Wu(&iDxi9U-HV~;S)^8X(FOpj_ z#)}1@k2tG)4U$w!hhBbMdnS@WO}*Zj`86h0sV>v%j9n`~NnBCL2+ee^6tx>;XDCkJ zdm3_N9DH|=A)CL7>Pzckf%XBUyXXWZo+;6^@ip+v`h4N2QMp^^p2W+AZvP%dIJ0=* z$;{PoMQ0pCyHeg+!`X!HN9CGm>u(){x>Gk+#9N!|hgFclPaEM(WOS;Yycp7DxoPh2 zq@|WT9(GL7Y*5A2Xwvze$MxT6-&`FyQ_Nd%x3Zj|?BJ?cdsrX_5gI1dmiDXd&3__9 z?Peqyl;BfPR>xoDHN!XFU{2L1sGGRQPiAfKpfF`JHBlJ9XSH7>J?#gu+RRx3-OtPN zWW4sb!njXPLawHhW$a5Ce*7nN`g{=BdcF8A;XD&#%)!TkFXB6+X?I}{4t0~B#JM&? z8PkB|$T9vF@$+bcNZx&9;f~Vbh0L78a+S!G==SNmY+ogWA3)b0tm6R2LM0Y%6)TqtTq5=2L$O{{w|{b+a^UHTi9I9IPka9^*6TkOHFdFg3FK zj#(P<6t-sT)Qb-daLQ`BV05Z}DsR>>c1r+45_htp)-nlXr$lW5Be?s))Sd$9ot>6n zugo*$XXmK9A)l0)@6GFtSgH7CJna;5(1G;4LgX->fPdq0jM{8;n)1;@QG=QphsjS> zZZv;;rP~=#!9Qi}#306!P^!KuF841`@qv3t~5o1^iy! zy-xJt#E7qLH-H>nMc6QUWnN?W#T{TQG~g-TPF`U%SQc85C0lU&quA~;uY1*?S$})= zu>DX;H*|?3bKNhPt{o?_kDmnBwghN~%DD2wF$D`r%errF#Dmmt@hdYjwXtiy+z5oZ zoG`St?Q{Ue2WsT)!-|xVg>4)|0bpSHMhyWZfp;DapTtzx(Q%H;yW;V1pubtl2D6JT zbw)YAEuQ)C%Hf-?_m%y|MpaLLJZ9NAUs;1#|`0uamSw_H(*vS;or#QnU-=$Y6T$eM94FJ z*nLlRPjINSo~(Nm_zLbeKWc1T#dgVeb6_9L{w^Kj5o80p>FBN2q9v*}teUyTOj!b{ zxCTzU9vuHqWqzGBF{sq!7`uN@N{@nc+gf9Kb$O%8TF|HS^`ch1So+LrVqf<}*_}+A z2^))(x|XiiFA}@sL32sS4ncj(YVw891akASmUTd46la=A_GwM77u{LWU#p(pA z+!YMtrCJixykVbR#BRLhiO;X~7=l2|!C&HzJ&>8hM|}iUpFm<|+5Gt_dqML%AV*>) z@UM81{cM#F;Z#RC|2nnr^*uE(YwST3M&C1iG6dq*kmh4L(pTljfcH-wnJw8^hqsx0 z=-`6fiPw#{BA4Ol9}MIU^-4xduhI&Lk|ERy@&cB<9&mL}fM}5``#BoMj01tPmC`XrBHK@SJ3z zn)jFs(s)F6hvoF_0_TsE1Xe#Y_6~G$gC{qHP|SHx@RN)L-I^VWD=tKGo7U}_#~J)1 zSNUr_rU9UwT2iGAA3*EUW!l*PCt&B)#=+prLls?KFG#+48<~PXJ z2&0XdI(ZiGRKF}?9s@>{p}kxCCMHe}s!fVb-5B$`Ww1j-WiiO)e>^3EpQCRTL}!DA^51LeSg{kwLOBq_ zll_5%mCB^;$eM%=LwD45AgYB};{rioX*uR)2oKKoMXe@d)0wGBL?mxbV8bz%p~}px z`4-MmWtlJ%<_;zFQCRb{%WD zz}CiOFY1zxYD2p=6DVjarw>E7goHSnQa1Z#A9ox)$9HAfoQ8}Lt5;j`(xfoIT$0? z-A2a9EiJN$p)9z}ZtE@F{PPwrrrt`pvG>NI=5^@^#eMmUi7&5+Ju;kTy(Q7Q;hI!0 z$bhXll0ICCz)X<%A)JIVHA*j4K=dp9pTo=120j<%OMZOx*}AuB zUU@~qm4A5~D9Vic-_MPxJsbGt2l8RJ5ll}kuCto9@}Q97y&5UrpUr%fGdd3p-n3Xb z^uI^KPEJk*M|Fp1^ihAzJkcj6zHGhioPD_t{B?RJ=}DaWYs5v;;1vt*TEM=iRPMcz zf{_1HBJ_u`sPZM3Zp~DIGv;y~N1=RFPk4GDyO7`SS)Q93veE_fm=#l@iZ|Ml1Y^|} z62FoAp}z}*gt8raGNT{N4HNvgQNPp>$GEoMOY_+S!vL|tLvnR^(4d7(&nKf=k@pBt z7LdeTK_GYO-5itmjQMpBafRIa@Stj^d`8#yUc#AScX9i9n{OQ?p>ygpaOosCAa8nF zcF_Q%wl#|XC>ph7DCZAx8#c&TszeFe+4O?lC##N3wmc}%3OHZ? zWzeb%@P=LRVpO6F0Ks}>qVe9%&(Os1BLVjBz({j9(V^ls7#58C&hM~l1~D@QMh^Ul zn0I3nz9cCGJB5Uc*aNXD2uuJ^e6$i@C5Ipums-#O)xx~v{TNu>L3E(t9l7)qaa+J0 zd|y`cNnOzaUyyQ1zFVKAgJVdX!H)yfRKj^zk0LguGjh81qNc4|f&guqiX?a{@85EPKxTpxGU}=A;Mh$A zca3QZ|Ju&Hx-^m9`w(I>D;*Lystw;nc|jnsRFnOx8cc_fy)%Y}L#RhQ%65~8R1?t* zB8`eY_?nu(e)~M>$-Z6FoRtr5-TZHOb+Bhz>VA1x;_j>hibe}>ffWkD2mG2I9PmeLK$_i?%b>u2?kjI z+%HU-U(HBOK(>vghku=fO(=4@NZ1qyx(d)I!u8#Yeg)f;|J~ClTApkF;T5pWeD1b^ zfS>JrtZZxr4Z1OlIC`IJ7s9x}26L_0oU9&ugq0?6G=h~B3+aBYNRlBX+eQoLvI__V zW$l0~M&du@WxiZ14o;~D4}=dG?OCl!cHnqAhUj+84aopRLs_|k18R3IXzriPbWhMP zGg9JKn2qSGJntRNt#EIuYyO6OR4$*PDU%r+s6A*VsB;^HPott3L3rvP7L(dMF(TU4 zF1tB*FQ^IzP{YdHg1UIs3A2dae1NB0fr{a}E9IZuez~e&JG84|-#owANillX-$BQ9 zfZ^2zL9|kM7^dWB?S_~K*MMC5uV7)o!n&-A zha1u~6itA57(o(Es)_rVp)^)kSU%MiCN#LuU`r_Ti0yt7jt+(RTg}I|&wvBvkM95} zAkm0`P;NH4>DE@k#8CEvF$hIq%rlgY!w(O2CUeh8is3kEB}AQ>G>y)Xr+PB^);vYy z65Hv&B9Oim`n{D-Sw{5IidZ%5n;_5(Cc`c@7181oT>uQhoL7Z3P7W{D-d)a6e~dj# zk7F=mU@bEsvt@BV;UKUteZ6P*07T5Wy`GtFJfVi(Z4FqB8VNROCmL77n=?XJu#Pt` z(D0+7!|vrHRFpk~XA*9?l(i;amaC5R#@_jGapSbxjn&kQCJ^Xt)^8G{bOFNEi7*8X z4V`!wnQ3%C!A3xWYzB3*AvWPiij<$gJguKtsCMwoJ3x(X>?DyeIrTB6K(YGm9t}4$+=Yil&~v3Th6`{0};N{X=4uhTgP+>9MiB0_}eqPRm%MLCz)8m zV9k`tFb$xrUOy&o2H}n?bUKQ5cs~3oR6CUgR`h0YT13gol3ks1U^4HJBJHxh3=9j8 z@F&fxX!M3$UEu$Kguuy|b!A=A6pHg7XVSzx? z1g+t<2gubWDA|XKWp9dxzOZ-D?z*iu`-+8+ zlmotzWul{{E`6F2&WWi)}nhwV)d+ ziUI#7s>h&KRAhh?bUhbzIR@ygr0{6`LL`h`vMCImH1P768`60i262#BZu&jm6=p4+ zY0W)MHkzypa18?S*b`Zd|Gkts8O*Oo4H!nVL3?ZE%=?7;Sw;eVUVg=#B#;twdrfQ7 z7(Twvx-^aGJ_0)O$Hz~}SQp@-ERp_|6dPB4|KY|;Dvuie19?AdFqwRvZ2`||UK>WC z-h*Y1m4UtLX#4T{f$yKjoRtF%z0GnixRfnbd=!IIQg6iiN9k&IMpF`<)FuD~uer&i za1uNBaF(@!-V(EI$0F|m`7-u{f(O~#86RD)LR@OX7| zU?TDTyk}hh4(8qK(7po-542L$JQ`05Re^~F3c+Z5#6&VjD&4AIT}*DVWiv`P#?uAC zIOmClL724R$c}TKWi6oSv5|h5Py)*kYxSi;&Nw5R5nSHcW*+b~J>XH#lwsiJz_8NZ zw)Tsg=Z%(I@fS98e#4s*$+0eRN*-Ai+>9)SI4Np%Z3Uafch%9@*4Q}}tO&jpPeofD zz{(9kNw_1I9~ECIP1y zE%(Bfrgnt+2^`7_5=J!=tQ%(n$cZr>GWUdK*e}^bWqi1{@r24v>0g-V$Mhd7p=H-q zq$SDh2YvVJ;~2gxEs)Xl3`UQ@vMIT`h#!zy@#c{~QY3%X-?7%Lhiz|B)cvgwa6+T) z*Yg~r+kKMFeH^7-L&M!?&i(uqdLNE1iV-R=885GpMx$5bE>p0qjWRHdu^t_|H}E3J z(!+Ya_}i&JlTy=Hk@EMS{^twc(xa?+VZ*Jxyoa|2eQHPky}ZdXVZSq;;~0Sam7R`8 zD6StAw!=L4?kZghm>nWI*kNj}`hR?N&jvu6a)THY3_epyULOm?sa{8n3%ec>#(WgW4Q>mxsX>M5zqoS#O3#T zmi&KrPC%1(c2;rtRBFQFc*eRJ4DaZqLF>}mc zOA1p%%ij22YvOa-4yR5PJJ1nKO)M) zsZlW1zd|nWA-&3ueP$F~$HbqqwP{TKTOTtTxBzidJEO1E?=-9-5^NWAuds%FZA&L% zRce}^o|Ce=O?O^5;sEcI z#B|ib4lIc*=msk6CFD-8c?`CueioknfegCNYA&~jH}o9(L(eDy1{BaUzyyPkBcyk8 zr3#!rfkK+$@r-@9^Q#EhYcX97Lwj{cs+TaTn{R5%bh9H$NxO@ckl}KI-z~AjY97Z{ za{f>~jsVredyDGvr``w7#=sw{hrxj!kH!>Y@o(Pw1J29jhwadwCp}JCv3{G#^(n9p z`KiJPgDvTd&|&+jIa8}&D}+BB4i|u$^uR&0WsWWhC;r>V3+$2Obi1c^pthFt517tg z$cI;WEDZh6D8VcEcDAM!Pq@^Csn{o(wp7r}fEjfDBD^Z?54tO(L--{O>Y5w!LtJz^ zI3oBN3nI=FoLY-TuLlBNc)gvJEq)|_&?C*z_a@;V0i?0;e|Qiln$i`75#mkV|4O{M zdOkyl^Y@H{$JQ6bzpt`ozen2I^8;@u!Il3H9c8g_N^~VrudKCKM%QgQH)6TzDcCok zm|I>K0|FFR$lE>(-;W!9`+#B1=rm=NxW1C%cu7}zXcJEJjoWXypTCXv`Tf_yqh+RV zyU;0zJ|c?zGmC{}z8y{X?5BM0GrqrhA;JqMaY3(%{lJ`+dG(4Bud&1AJiX}0^g)KlIi2Cin6jY>UPPxd|{ODDI&>aU}(ze^b)#J zTcU0`iRJ{2XDz@V>|wWD8$4&pmtDreHN6`8U1BWyOnrmDG6B^WLu#ej9`>NcrjyV7 z8Q&P8&AAM6QPrJ7?@JjhmMFno$GL;Ssq>#34V{q}mdc~si&ZgTu$lcgp;cKm&+mlZMGMQNte5%e;eW~B02^H-h^AMC{Fz9K6J_A z_Jsd#VR$3j#T8E}9u>IZvCGKU1CFDwX-j+qUaFSU!YQYV$CM|UY`L^&@A&=!vUTKM zG@ebml}?&Ht*BAQB(tZaV}QaIBSn}1+D5Qo4|J~LM9U=V@#%vGa@cTtG zt)JF}ZHF#rVadNG+DyXKSB;oLj~F9w1~WnCwDoQtmy~1iIPrN`72fA>Xin9aJoE-I z2e<+a@+@5AxqAh|QMax=;`@!w0&%@m*z1VHNC}t%xu3NAuTNPa$m1x0b5q)=jKxC6 zd*BbhYiS()ppmSZch=N2c0Ge#u!pgajioOuZOfVOa9PBl5S;lXaMb%O{`s>?`zC~) zB|Qok%q2O5G${uISJ1n34Yih>haN&#XkYE2w|@AU?b7$9iz|gyc=Da6^@FD0E~}#Y z9u_@_2k;d?@@uS5@_#R?=23p|@amI)|JyK%efuu3`4|wzmapy$emXQY%W|UrBAIQ| z?W0pz`@Qo|Q{w4D1ex_Vt9^b?%x3=cuf0RCIu}h`E@}7tdS)`$q!OY6dl2L-EBSDb zu>GyyskPxcY}vxm>3s>4O|=3jzc`1O`u zct!ZW&*agRHVdx~XTtTG(%Ul%oXYFBBE5W+-6^TB`QKg=T~*~P%vY5ixcGND7{~WA zd`NaFW!@{op0C$6OOoXt<@NlYBq9 zLdpNc=Tqf%P;WS7t$x|%D$>KO{pU-m-4kb^TY8(j>SfeEHS~$^5^rxe|Kwc#EWHT> z%;qbJ+)xPX&9X&$pU*~8P;YuX*wssh)DaTcYK_}ahCYDv&+WhEcrAS-eCDk2%d9im z)~j4h-2e7vsy7q3kIhZ!)*R`z@8`JJB^X1?`XUPo6cn#M0TE`v)g7Dt@FX zgoD(Dvi6KQ+^z93SBQgtv30SwJs{NZ&!_&OyRZ>~?$QOz-)tSuGDKrl@jy91MpA7b za>S7R|Mw#XSagAR?WUd*OtqEWbgaI=JX`s+p33|aG!2~5v5(6m71dXfp>X<=t?R4*W z?-upJ_2xNF!ISLg7t9y$$`{MPNeX*cs17Qp<9NG7xW!_(2rU@CdCnkmMxu3C|LU`QQmTo}S|9$ihIwONJ3 z?V~;g(W+(s|M;W6Z%F(X=8+2Ec2_djmV0j*Cc~D@NN^Cgw6;gO$SL?8kfm`TFuEt8 zc_cG@^Vjz-nE!EctMMtj$s+N1%TVI70QKnOUv)oj_B0^x@~e<~#^9G&8teI6ZLprv zmX=+Dqe7U!rP$=<;i$ID;~U<;C%lW{_{+Z=NZBfs>cIB-2qt(46Qc_PXz@iqfRmgI zF7drbzuD}ZkUYa7B9G9vkwN?|+A^5+Qb0_H_8dTDr@Eh< z*sJM3Vuz62y-$ozm%A`*p`F0^?v0E0uc#5v2X%)w@^evV{H;hym}$?wvvqXNu!=62 zX(9K79`(;#o9^{ivF5BnK3k9IuY2V*-NN4_4qgBVkZ1F^SAP4eZowi>S=<+#|KTe= zGCn+{Qge0;4q`IS3g`r1L4f~V2;N3(;)G)m%+OQetJpuJ0oEHOq0_)so1!%Q zz-=F^+KI5SWEd6NzPPzxV>J?xLgjk?jBJzO4v>HpTjl75$%ha7QfpuCb4_-%)sbSq zV%lHZWu-O`KNr*Ohf2;#)+B$>`U607jHBzCx>EB-K@hoUmUEf&H-;Y6sOKx!ntWzk z-IEa^klJS@kaOgz1)EIcVU$wk^3Bm{pa2umBLHAmFQZ2@(AX>SmSu;ZUUbma1{ZH? z$`+Pd`~8*dPUWTrLjF~!TL<>;TLr9=RUF#N5DZy35y&gy1KTuTl1P|~DUV^!D$tt+_IfuQwZ6AOpnm?0KTIQ*s3m{}%Mu8`pz7#c$$~!d+^AJkAMS`X{=|j6*JxUfKKy&;;E~HrZ@ZW(mp@n|4~3C#&XMZ6 zE{kK5Ob2$5Tj|t-rb(l%i2V#<4@v(by-tIvsN35sL^&QvSUK<%7+ewk(7lp?e5Mc= z3dwIYB%AVgx|>jUKI}Z}^?ic5#QG_|heto$`9o%2Uih>Zgfg75BioDNhj{Q3Dkj{> zN5<{O`b`Q3%IiL#i0@+m-1S?JD(JKLzjgD;tdrPDo|-_RnqdzUFywgzFpJ)S^4kd|w3S2VB%%IKNdH@%AosPNdY-;-%PM7)Btbdne$d|?C`lUs6^GiWeZFh5m zVe&WOw+VcoRfqh8sGn?#b{!HD&fVQVJ|-{T5DIy(a$j2a@Nb)vBP|?%_%hzM z(}W|xPq`N3pPC>|=!(miPh&fKm&sQc!!W+jN(_gBBsWO?Y&o!)BHW3`{D!-_w+jil zuSHVK0T)0B2?)HOwa4I>(*oS(D+RAENbanihr&rI7Kt-C z`J-T0Xl0-4zx?In7r-gh_JL%IXMv4gjj^e)Bns|q)WCLGPq0+0 zD~%st2W%c!Jx7GL(`@3dGa)!b>I(4Qtg;x(A;J?el1)D|=FE*bs4xuQu|<}|CG0_J z%=6}i<(~Y8{4H95Nt&vja{2s~_w=}20(^t-m=n)ddH=x0~YJQ?ZcXx8u@YcKV6YU=Pg{_=?|o9FkWA8^?qNjn#AmmksrI(}^YFQ?xPEVc z;?~&7_duo3K<}-WqPmKgU74PYQt`WsEO#p&-O1y#oi3evjWlAmTQuYEk9Z_&+X1a)z+7PdxFwDAwNM&JOKpN43k-hdxBHZmiS$q$r&~1zl35zVY(|bzHpk zZw=%rn)4ben%ZWyTNiwSY=LC-u>;x`+s&RM%`kZRG(~wr1YBp%o3Ix>XlrW>2$f_m zI?yAPBE+A#O{}JWUV>N4DJ*KPP3j>Gh5naL7AoiO)+Hu8F5bHv$i>O{y^2W%fW|x{ z=ku(zP#~V;!Ma)VZ~gnvt#v#u-AbPgzf&H`!^y)cq)!G&a<$^Xk6TS*%i(2n9XtH` z9{Q#EFD3m`H1dB`)x#?lC-~mjGcVbe3Q8oI4KOt$V`|yNZ5h?IFSDXz6Q&=Tcy%z} z+~2vBD|G;QnWB5{M%5oWyMFR44$5)wghVO+h&Mm;Q*TOYAU)ABf=|D~7OVJe_;Qsr z%qZ?Za@4g0YxS9?mHdBS6V=E1%B}ndeztEsKPdW$0G*@MtB?Zj1I-;S2W{I+NHMlt z%{i;%Z0uVGDI$vO-4M9pnFzF9KD-*T{2v5zJWhg0Jlr#(gESPU{Uj}*1hFh5c1<&dlJ9r zv_`&utS=e@A26zIF22IUw6^^-t^~QSmU1zFzap#CA4UEDmk(*M-Qv7-q*L(*sOu>} zyWKBR1yKfN5DZkh%ge+h5wb9R%0oWFHLCSGe8w(x_98k4=?j*}L!Krh`&|&KKDctq z-;LB|_3R|fch81hy$55O!6%CMZ^)wld@h65iQ95TJR<^ojwAK#|G3eM;ggA!epa^q z>2_WjxC3hhK(AC_5OX@Ol$P|lfXYp*>M`eiORrmi!{xGp=lCroh=rBZgzG-#%*26u0ws(26b#W)9k9p#+(^?yQl>Hw zMJz1yEOTVhG7p&}^GbSje2AC`5)3N+D!eGK9*Qk|ZTVGDlRu^P>BG_TKON zJn#Ga(qfsj6+ zKW;ge!!_II+6HtAf39KBOgeHGTs>-2kvs5fo?#B;lbKLD^#AfbIGKY%+Qf0O9s<;p z4u?UA5E5w46iLHg+Jl8bBfM_@;o3XOWoSL4)<@+DX?WZGw*@=V>RZnfeMQKD7pwg_ zAH5JlvisTN8T=vZ&j!ca;;?|KF!vchSsnxs)^~SEiwP??Vi&i@ARMq!)p@$`shcg; zz-z0EECAZwBrw`oV5TI_fsw*M9f_W0U{Yy7-P@(9J6C@*B06GcelW!_9(L^$7bTS0 zKeZCv#!s5yuV7p|Vi@_SxaDwbDR##> z&>dW@LE?aD?v_=X!7F~JBnLVI(%5AFn7+zCk`a*CrV=mkg`ZA;b=qWwiAAPTe%AQN zH!M<}8TF3YgY;2`EZUJ3DTbzI*&u(~2LE@pU-ooQ@1|Eh@&_keX^D41i=u)FS2B894yl zco9Pt#V6xU&L^Ir{DmXnd_@cbhJm==@yeLI8QssSKV&5)VQE$>KKsA_tePauEzF|8 z^_@WZ{_d{T+L%(jFvD#7Zw0I`v%1VY^}&k z=VbIJ>Anb157NFfWae?ZId8fG!b`awfNtUhKjM)d<@SxGPpA8j!-VkGJ`hO93KAZH zh-m|)T~iUno9qLr{=Ut09CFG(rgZ5jBE4CE^WqRI^pR(oQ*|e!+BM28&;Pf;?}(65 zFeHkB&mif<{LF`rvMhZ>q~bu-4yfzWnbh-L4^K!-jmZld5y@Fwewv~czx*lN)=-XU zJn$lN#`qXw~$YL|2W<)x6H2240?ZrE~sX{S&5TsVnAed zx#CjaFdr#y`15;fDJ;R7LSBmT{igwWDEFH_w=c*(c2n5X4vf{ervDKG``V`mN5&O( zsB}rfbR}Gs9SQzmoF5bPxc9o_yz4qoI9?He3GGRKT-53?HIeV?3szB#CvFN?2fy47 zc$pw5WZG2Kh(7jte>%gS1PsW-ej$Jv5fatblde7k7lhDt`?wIG8JYZ~1ww_NJ%w(0 z)|YI+^(-ViW?CGOcQ$oS;jeo#HFduHQg0+#;_x2?h1EZV_yg_1OHX}|B7W1ZWyn<> zDJ%55Ui!4vx82e4N_$^V+&&9~2ZxPAnunHph_SI(~FHK@SVV7J&zkXc#Gs~vpc8Nn{J&Q5s zk_n5xgGCT{IT2~u^KCm`PR=}G1TYTeaVWt3Kl-_aY`LX8h>7wJeqdsif}vWh!0Pr9 zh<;E%D~hPHZ*C!Q0RJHjW+xea3>@UJshct3oe~TZNFw_7iI)^T?<@g0z=ZLJfMqYL z13-N&^oPKr9pOA7B9M1w5zHLv(}m%!t!1LTmvFi}^SIsvz1oG82sShpw3v^BE#p7> zmDfFYQsArp&7Xc$F=9D{`+(c0wO;EO*{R8B?%ZXHVJLufGnIb;kS$T@E`?r z6<~@m*v9bP*(ZQxsw~s9G-+=ncqGN^dJ$sw7WZAA-K%n8;yC?l#O3_@*nh34=h;4s zPa|Coq>fiE`+B1F31$4<>foSTqLiYk!t$hb2XUm{9tp#8(ht*N>(B=ibY_B!2G^{&iHQvU>fN7S?mIX z@N^h{XCcoO{WXdIH;XS~)bK_pu1|9(y@}JJt8dB)G(gw`0&$2tMP%Op!9H)EQO|rK z_wc?K=gNZ)VdCM;46KQi2i%?lx9SW*hq2kEr3St547s&Avsa2>raxl~rJG0mp^pi{ zjW4Xb`p}9S1v`y zg@`vZp9q|dM`G~RH0xuYhyPp)m9yKoLg09nIaElbhoDo?q9CJ)$Zj?v&Uwl~oWi3n zkWEIMqG#wSarcdGeVuL0N1oKw9(-*-Ig(hE9FuhUEcQd1o;*K6n5v} zLYJ&<=fjwf%skyAa@W}%7h!>RB!wYElEPHpSz_ENwI1Ag;J;M6|C>UqL}TC1?M_Qz z`gOx?GKyO*h;28==ayY}e~h5Bl^t2B@-UsmSV5sf;b?T^g<4CW6$0q;j#Cfpu5~}XLYr69$6gNIUfT4WhV>}H#--4 zBa9qLCd4C*O$vy+RSVCh*5KOq=;dnx&JHXnD8?%$%TC|uTEAdSccH=mL~2*Ul(_{mBw$;jDItT;0nUGS5U`cf%pW4@c! zk7e!1p7_f7TV=qLYzFi+olByH)xi@ z@P`jvppdLx%fEAh*nbEbHEcva?K|;BD>~_22b`l@Jom?MT+n6SIYUGH301yGoNXOh z>QTB=y*oX?x~!1)pP?Ff8B+AJyb%=fw_$;h^w!kW^dl|RylXHT2_ItjAP@Zi89to8 z>7S?b@^(AcUk2C?(eaHp&tHcdGVk-nr5Bt|>h)fq-5h0a*~+Faa@Kbd4LIxni6dM# zzPSPN3SUjGdx3=}>9pk>{JWuoJB?drZx%NWBJ>H}-GJ?X%r4y~`HdXQ21s$XYDaG? z?z+Sgz8?=BBX@didb~Iq-p*7=!g43Acc$i^8`^>KRh&*c;V!EaBwyq*v$*l?k}+%> zql6!dX?^TBPCltT* z^GKfe@7{iglMl)1F$ktJ8g35G#1Gin|K*FwoZuaCL5G1@Fik^{Njkt<7s6lFS3>wwLR%pcn-*>&}OnTWgg>nKB{@F zT}T#1#F&7eWs_l=@tu)7*+)S{{=9AEw%+dAFLrr-=@)w3v(>$Qt78y^wH!>iu7?v`*de^`14FiY@_kccbI2 z?4V^|ri?&^EzN6W?Gd+I_Z)EALkB%CmywcY^*xM2%ZGiLU8Uj5($|X{e?nRPOF#Ge z(ZL?Tz?l$qz-{vGm{5@Cn)v$j9ouJqsXLFGO{P2SwC7!XXdqM0y$oWl{Z}Z$G9v{A zP!eZABruWAZGUKpBQ1VxYX=lX;>*iBQtrcL2SyAF=dvh1C7rx)f?Tze5#w>O;Pl)G z|Kjvsj!UEB$>afqGU4xAXVSnB^)B9YfZ5)cU>H^0)jwMw@o#t8FRq_ zI^ERF;sk4rTgK#Ft^9NRCol858>DEI76ba&VE#MB3wAk%k zBxu^S7%7s4koh-AO?ZckaXVk+tm){k*_e&Lwn6MCpP;wuQp0!mq z&@a!Ka!AH0o4G$eCiVXxiHcT zi^=#Oi%AuYtNU?oXR@r_AA?>SxX&-hOpSNGI>nqy55oT&reu$OorSO3PdOpgA!yu5 zWjvsJsZ%7x72NX1GRw){ILaSFV3$pxUBA*Y~UW>J<1I` zzJ=^KVt-oTc-A2id4EDC5(UIR`jO7}?eJ)FaCoviQ?C?r*AJRipY05nP2j_{Lxw4s z;pudOEe-VaXv8Jlpde^?F%Vj4gvv1SYs4>8q^%VbPH`F%xyew9{R|HpmSdYa4k~%)`oncTHvPmOU`&Jz zKwAF%q8-o$QP4gRsHppLu74rgt1Ya<>^HwCK1g*%)+Bk|v_dDb4D-t*J5>Ql%Oe!#EL@F1biFOzcSu*R02I;MZM(kMw=;n$xxTTGR=O4ongs7fn2 zD=nWSm)kOk&*ST6(M;XrA}kx3@@$WYC}W|XTfWBWZS0!4=rN{3@&mDEXHE1>e~1PmO}7K5uGx#YvFQAHqF zr*mu^vdhNlht`G`Y8C3=APrGargL0kqIibPWQ!UMeY$r{?-hHQ5DYE&M0H)PqE_>Z zb210?q?&_8Hb+`oNn#or4GAD&C#`W$Y4%Nre*NiBpDade=&4M89}O+dGw9Z$h&lK) zCPnq4!zDS*o{E@IA@pR$h|2fdSQ*t4KF%NwjJ}kulv3q+Z-!UpsTkVZ0bdSO-3D1t zZGEOwAQ(iTlnLJO_SqLE)&)&RccMv3fZ`3oArb%f@lt<7z=f(be?WAG0M{D=(xB#X zf=gi4OuCWxqlCjg;bfn`qYKAI^6Y#Q1L_&?ViRi}*TV~Kvad^`Wpn&4UT2OD(It>H zy2ShpZ`yg;K zmyf!eQ;Z)(BEoc#bE7(AeShQzB}rUWr~YGpl{Jg_Ga^W^e7dEl zUj{qrQ}1#66k7NX7sZ0NQg=JAiE=5MK!8i2&=i%~{$uDE9F7q~WHf1+BAmQubTfao zx;Lsp>DnKvjl5K!a3ROT0Up;T){w>D$ zHBHfUUp_X`@H_gYQxyfW2??QnPm3BK{96AQ`GScPZTJiWpK|?rKR!4wWCmNE-~ok^ zLp~%%H~{vVcoq>eY?xrki8AXd()Yy+L?!{ItI<(=WRtDWuP?k8lN6?EAg0)<#}m3A z@oWm*k|wZrD4YLoNmKdEBwmNtFeb1r~*4kC&|m`-n_EctU*n5ZN-b(*IhDC)o%L9NZb=GJKj zl~YVR`+}wo=(|RxbPTf)_-*8;9zki~S?A!gL2@>Vr7)v>K>ndaB_q{SV?NAR0L^I7 z0YG0l0kW~)|LrqLzvBEV<>DT`j>6r0m{8#p{x;EZPKd~8LEMs)ddAWxrK>7&@+HN?LyQL*2kJtelVa*0 z7ueh}*7Bv`cYN$=a*9)(G1vGf(er21;VXW3J$kwXsrb!*Yb2rc;+^zAey~|mrml}v zdREKt^mF0#!K*oQu9uhw`sI|G;1Lh$nmpd;K353gBg^4}Mz(`8gvdK>m0s*r$WY3# zuTDfERInr3d|_v$RVk4`e<#C1WF%E*BUKU-Vfv4T^qU&G(=>vyw~KmAq@S z_Q|U5^S+Mrye9T@4M8a+sEHv3%`B z?(#kRxr&itgCZUSBnoCjljc+9$y0bY35}r)Oi$eRN{nvU1q=$0VW&zW zby9Y7@e81WN6loyuaWunXXAAVnQgcEi?rb>5ILAa@gPIgsx0%Mv=i=ZxlH~ew%Kzn zREvkSzafv1gh#~06_}R|Jla%nd@N(t6e^hmUnGr{>2ZFUyu$DF#IEx9L927|!sSu* zH@V51+KPGcp;YJt|&gJov z*^vhi(#37^Jsa1oYTO0{*PzyVO;ABQZJ0?;je0V8V?S-ag^~~$A@SYch{;OWEYvl2 zo_aAXVpf(RhAvL6)4Ykjrd$wlWEt%L5R@>6vXw7bK(uH9#flXpHf$?Y&I%R0#>>El zehHKTRcjNNVRaAvZ5|4HO(BmxM)P64g`Yk7PP_i$DYt6JKEHAS=5^z#j8BCfo=Qz^ z1R-C^OsYs4@@EU+l{E|Zj0?@dXXYIEx6gzP;5{^!oFU~{0kKiunZE3jqZ<*D zh0;tJeC!=}n3a@t$g_LAF=zlWrBlJjgB9J-|7@W zLHN;QoMQf(@|-mM)S1)4qxBls&u`=5Mhex>A6cM+YPWi+NuH*gvp;l6SwMh|T_8cU zmtMVqv_q6ym6x9B$^1xdmcsF;bsDcwoU`*wR%eZ;)6YLMEqi&{nx(K?o-ynzCU<2) zkBMI;FnLY?qNv$$gwW=Jr*1D5@Hj=3D%q@d+OPIQ;BmoNc7bA3p#blDzuM-z9y+Z!u7n!YJvY8^;A3yM)IwtzuFt zo?ArPDKN&!A&ZKY;VT!NVRwBSd`s#bhnOQS!#Ibo*h#7U6`wSWC6ssRWQfX51jY!f zViH8##Xg^&cilt^`Ie78Y?fJu9^lomvTTocE1YHijDGGK>Z8m1b zpgu*xU1gkjk$#gw4({t0Z2n67891rR2f`2; zk48{)afb++#*-XsqbwOIP{r421bLxZppBcrxMy>q!#2s~K@!6RuC_RNbt-`Nj^t0Kl3E40|dKQ@`B4-2Lk4fT{WMKj^midpQ-i)9K zP~Qn!pD(!yN#W>8C>^SH{YK>rBNtxr@`4?2Dbi;&1Qq?FeAAiL=RQI*WgG(b*Yh@N zUErgtaiLgkHm9mm2lPhuP@GWEN&*=1BiB5GUbzvzUfS<5O^>R)6ly>oLu+j{abHz8 z936UGvS1G3o;`4W%TxM;OSd!$a=AoPUAxLf?w+(^w)69upEEyYNplq zz&}`n601;YTQ9jwC!9pS;{}Nr_4~cONsu6~7GYk`n%Zk{u(mi?$|XfZ zJIg~~BQVv7$LIcw%hqGqzBQ(>4L%$be^a$$lW&v9^|(-GUCmH%7y^jf8Go6q=~oNIDkwei;I`Dh(mPmfAVIXxQe1eX zpSB(~RTCP6P-T+n5T#h_+E5bNLRRHVthy9dTcvTy+_7!^a@s}t`Ra*nuq})1eCN9Bjg(%G!eFhA3!vv$O=k(8&i??Ta(Er6-bcW)NxL&5ye z!a#`OhLCI&yJt*K*7=TJ2;}US&J&Z3y|xEODu47|wtgefxyc-MmLDvU+xK(Nu3Xyc zI(w>fNJJo7hf8xYitf*yxz>#H6^zsVSqz;wjFI*a!pX$WUsQ&fBHvu@1?YVIe(%R! zVJ8lfJce}^V6Em$DmDLb0hovPRbEsAp``Znl2l|u#c$&>wZ zF!#F#NX@EEKk81pG9WIUk}*}dXQrg+c1l`Nk_@m zUMxzo>FfaHHc@f1s4IN0_-V#Wp&~DsW#GMeZLJy7($8MdTU1wYL2Kf;5ap<@x2aC> zzDF8X2@rUj{Cu2Y_l?&0%Y0436$xFDI1lM&W=VB~i(b2cIX{b}xzEI?f;x);l%cWW zqU@q4H%mTvd8pJtf;!dE~FE@-%v$9)E4OIb_!5sPZd+*y1oMPT%8$9;8j&h z9ZduVwvvmw9{QX_1I<#vu`iBAgl}!}aKG0{$^$x1TI77>SH&C}{PQa;UBShtWEB`@ z$xfaO|1&G9zP%XHvsXsR?1tR}ZT+x?iF(O!cylQx&SZUllz&(}y=?KR;hQ7LcW(Ke z?&nmzqTOf&S7^3+@@EW8*I1k@(_!L2^LFarcd5{L9J-`w&^TG5^Dhs@IGxAn%pQ7> z>{a!9^Ni9GALd+rTuoxdiIZ>S z@_)tjEIX?tO1;4_PJH+Ss<5TN3RSsw7I-&IL1d06WsJcduy(Qr%Xj=HyKps$MY>9d zjoOaRlzob_UuGEeb)Re6QS{Ji9C*)`({g*PFYH@>7}-UZ3Z@zTC>mBSso|GbT9&YK zmU4}3^IqQX^iEV}u)46`rM}jA>D0-lWXg0}eiR-@yBqxEYhgdm6 z5uGj~O&Ms($j!&6_j@ICAkOJ+W6%@ycI0O*Xn$>&Y6O~{JG5_TGBy7q`3fBX0lkB= zE-{b7t}6Xb@Fy?+RDfaA0KdjMW`V@N$h<)xS~n;Y+&p@v{_WGt4^&_ZdAsX4pAgc2 zY14+Vc#bZlfB31xb0tkGAv9IFaz7uEnlt>7?sVNGVU)YnsHO&S>t(>mzM>yWGq z>BV!*rTkm&xM(26xW>aqkC9ru!AP0>)A_v<=vp_CJ-g^a9V(^N&)F)@O$yT@U_zat z4YE)Tb%-yu8M;5PRyY8e+6&RxnAGsEe=tXJXRJS`7)U0EyzT%dlmwn;%vzj@VnM2M z5JUWkb{;0u?7Y|_vHzsu1pBIt$cJ2mm=%81k~VJoeJWNv-mmMwGMD#KIrxScz;|sW z9Gg_DmMvstCd^P7`!a1KCUE+C)^^s|=f~*Pum?EHP#I~^q@=04*V+s-ly@aQ+-bb( zRCMj#W>FW=f+V_Q^gL@n?$N8F8euQO?&)_J252YO?DM0TP(}3iTlR+DZu>dm^7cch z*PdFN+O|!0hZw1j?8aoR75jK^t2;jCWplt6Eo2yTUwY#l&M|qyn*2zrUOa*_0R*h4 zN>}Tb>!89!5k{Si^4VDYIQzdm<~SoU%!4kI`R&!kmc7(&;dE|;#mV&$o(b%`XU=pA zP6Y(SCvQK}oQ~@qz`x0)!tzdxG&!NZ!V958GTnDBT?R8HZ@@U<1W)-sY``f|j6g^`qisK_iS6jGo1RZeEr#^}wJ9)`tp zj?(0((uN7BXSs=bn|tgvvw0xpsAs?s^Jw+fQ{^FDJ+tos=SF;}qsk^w#!Had4EEkF zluT`?sW$Mt{0DS!92WPjj3&)PCve&fq(Yo{{Q9?dafR)SI$U}Cm8Tds4^(hhw&D!; zJ&G2f6qi2v#8U#_xxKj}5F;!bUm)hqKlA!-bRZC$hU7==_${Aa`X-8}{RvG*m9zbY zaW}!8yBs5b9d?HiuC(OFAK(v`fd8~MYi|v|>oHnA3vj%zfItdIh103KTo_p2q==Gf zPCor}GGUIdItqd#BzgN1sTj!ZA%lJu6NRW3 zmIiMw)7Ti`FrkJx9HL%mT^)ky6dKdVogD+AIQOrw@=|t7-DBh6d?%{{97k2e7UFQpv4Ak>MRUS{H` z&rD%Px3%sI8q^-PLx>bxAjJ8H6Kr2)>k{zWA8D4B;Sd|*nEp@KeFvMfabEs(-OIzu z>t}y+#(5752|3y|TOpsBy*^?7ES(nN9@zEj3)6l0b*Am#Na6$>;82T}_4v>`Y%QT5 zc;l$WC1b&X`RyQf)vcW8k=FC9)JCuS%mpXg8P z|HO}`B!g8z2J9x;(yN3&RO-gAU{Oo><_8bC_2M&UQUSJO(Qfj{;cE}9)5OrIAeu#` z@Xt{Rm&yhXRe$daCqKD_nO~i+u{I*2o^1gDu72 zAUp<)Dz^TMpHbR_6X?;~aQxI6kv#qqlez%wViRCzw+fd}&+U73SM&}I0ob$u|!5Zb%%>FR))a$l|dpEat7;vV6*@FwCD1Sy%>bBE_)GIsIfB=23${MTW2nO*$uvDl%X$OW0sd$*4$%FL?(vQ8fJ|9Q zlrg3?<3=TQ23GqGmhH}__KZC%oB$n)Iz~UT zXWDrmTrGl^Jo-`d0aB;+C|a7nVOrEZseP)30mJ@2Kod{tPv?`7{C-%yn-v4WMvQ-SKTPka-&F871P|7#?3VvcND;bpOrn2g>s}Gdvu(+!k4uF?}4gG|8EWyHQXuX8<3fwMev4L zD!iLxO#jLJ;&XP)aO&Y}l#YdBuN}^|ez6zrpSetKacKtm6QK=Nc|qbw>Z1zljJrP( zm&oTjvb)?2-f+^k_`U$n-VZU3{$t91okY$Xw8p zbq67Y=hM&C`f90^b`Pfqa>4#Nob7Bw9Fk*z#YUHKh}t)280N?cVIa4tyK%wg5EW9h zaR>T=dtbJ)O8vliM-;n5F_5}*k>K##71sgYAGIE@2==>o5BnaK4Nd2?*Q*=3B~z=$6H|E z2ccS(r{Fn;B-oOOu z`k&BaGYDYcy-VN6%b~hdZmhb5w=A=kkq4k=vraRLCgG<&Xr=UgaJ!6aw}lwOAkonD*XM92tMsx4-I%18C;D!#mD`X6*22&8Mc?4&`gH zth;9q_xI+XWwUtobOmZd6-T~CmmvD5e)Dfkd+(@!m`N#cfMW3=$SK3HWFKiLgUW|& z`}5M9-9U=+x^YmoD*;iOQbh2hCvoY51|?P(f$qn{Vw?IDK$d1-+Q<$~TJprcfn0e#?wh1%j(`g-F%+&Fq>xkjFVOqE*)@wrfi zp9Qe)gyM(bhb=ZrJU@XfW9rqgbnPUhcq`fm&-o?}PzgTeLk>AZSQ!|@;Zuh3Wx0t~ zrxEZttCH&;;@}Z@hs31e&INj{#5>NG@2_^Ua(nR^B~c)gPlK@K6KA}VjJn~%JM$kGG=~*)3a6sBvFnYj||?>gl6!i zS=hWAf|V7uFvw{|ey<2QpL1st@3Nw`aNIjvp z_`}o2=mx$;P@d_!O)(PBB%tsAO|t0QN5PoJ3xLKF+S6aOs!3*?y&%4O(<>3U3V`$H z^@ZliW6d1*+ALsaqOx&%Is~M#WEQ#&t$-156~#n)?Em@3iK%Hs)Iwag{|3M~#QS^8 zjxemCD1QN;AZz#Q-kMy@+bDXzlsvQ-q}E&wooZqk*36Qgf}t0;&)?DqzdYWCW_icl1 zAZ9UD2~2z;AE8ITG(5o|%p7fdq-!FLgnQ;qi6jnmVg3iCpB$YCrI?yVgncgtr-7uO zNNou=16n<`$1G(FMM>;vKk>cx)BdVjC6IhB2vNZ9ndKjdVO3@MJqcXPln|%z^G|xnMrAI| zzlnTDGuwRqG(ZNk1*yiO-W3?_{p#D^ym*HARYcdj9^n!a_{#(gRSsCi)82g2$8I}V z`7!Xf7TFg2m<~;~HZ7->zfg0d%kos0a+b=E+U({YjU981Z*~Q5wLvHSREi@ER#i5c zqw;2GAte><5u$0SMG0O!9&Wd7&V@eZ3JX44rcXD|RhW7UXv{$4qYGXmtcJ=kO=~t*gwCa;9@{%u5VRg;I|YtyLXZ@%M8w^kV`}(#!0x72z9@r zoKH}-kF0FTd)Iv?e9aLNJldc9<4(|m@`*XQ_riuMZU@EQe@Z32x_Lx9 zD1ow%Im`gcun!pQzNbNG7zlaQlY)?%@H-(uhARaawPM)4+BEZGKh`)T-iWD<-s0}- zX~?EOr>KMcA^uX~*|PZ$mdJ>?lt50b5pYF}uBSm%uzhFBM!n)uS!uuj**Vkg0ccW|<&7*{?Kfu?v zvVa{!=5=Z_yabY)0D&-(pXecAWmD^&ha#W22P+GRwia)$kp8_#6_xp!Nos7i4pMX#g&BR< zIVutNJ`nE&a1LQj9wr7Qszu#Z9{W@IB!NSwHG}#>;tHkJCH>tKyPshPdTk@$FfE+~ z@U>moFj_Y!m+-2(vv49stPO-N!Ir-Z2QFXMssK7y<_uy@1ugUJ@KOmSOXicq_vZ8(-kqr=&C^iA)@N6 zVyMh3Q|9*vYELvGW{g;7PcABStnb&nXc}VZYUpUXhb~=^DqRx8Sz8FoHobtg<+9&u zc6cfPV=Y7=~TBgB;aemK?gA*vMRML37Oun?!=7A%dAj#4#8^h9DgrVL z?}!3FcicrFgtfG`WHVSLtAAp@=FVVquB|uCeS=w|%?3YjClq z=phm>3#I1)47SV{Cc1RCsNRTb1vvr`d1{No#D+kJ>QQ-IP_}~b zDC6#Nav|J@EW`4+bWkArFqDSR2aTY3-2t}oUEo!S1B2fM;y+wL~Q51PPHTX6& z;J{{WAF2}a#%aZx6%4$qJ{|X{#kmH`ADX&c0aM9l?59FkIe-zdO(*NTy|FZzPH3+~ zROpyBQEJXYl@q)|q1?WMXlXr5BRYQ>vm zuW=fRCd-sVqO~zj+0tp1UtKsVr?`lroao#BlhmVotG=`0*`CfQ#-C53;qp zD}OS*Sem-*7!rT2VRY#HK_mduNUV24uzQGh@umy~XYBptY_N2Tv2d5UK&-}%R zmRMZ$ukRQkXdo@CXjs&^sy!bD~|t8OVV_Yf6*ZL-|?w-!|C;kuw5s6zEI z{9+VFJY_5DGrE&5>5wqSWKrOi1HIKjq^tatJ)xI&^u#!v)8GNT$3D^7%5IRmxDBD{!lu3$Ds?pzTlu!u~Wn-V6A9 zLsMO;D~xZ>ywX)G)r$|0q?p#+HGUrv^riMQ`|Rk7G^_iPmhUp~*$6|ssr4upC3xq9 zt!JIqb#Aqj`(W%UFO{!J96TVFdRpu610dDb-f&^dIsgwiV5)tF7aow01yp0JINoD+ zGm3Rj**k_dl#gmsg34%)v@pl5R$<|}Y1Q3826GT$K3(IoFW&`#DoD?@VODa^D&rWP zeJV*h`ih0N!rFE#4aI0NFbbroH{x+U8a2#?S2-NCxsBR9_o{-fq41LSUh> z<8cyg*Ft9uDCo_d2Qt6D%m9q*`QHS&o&nIC%eU>jPye(FWY-!~ciiywM6 zb_T8^IWTci`txq>aInjcH|HwX-|XFx@CFUJwMiSggyCOtytF_n7$>7TGn+Hl9P?Q6iF5^*bn zw(fspPwkOZ(5{e)t$6Hn`hS_%koRhO1Q#)4(#VdBZEZ_4FR+$6K^18u*hhF{h(kRzE%s51KE?KKYOwrsBJiDh8U#jpJXDZ%f7klKADX}bx6Z+ItOsj^n_mBa8%&FJD~_{ewb$Jci^8 zqk64ESI-|F1`t-Ty)BJ%@Xhn%=+=r{?clZJN4`CZ|5b%zq+Z~^}N9|Kr6AhTak|55>U zSnkXe#4&?F2`l=&@rfQGHI@ElB4MqbdVkdH3N-;|U32-nLk)CVgXKO&S&gFnh8QFy zrw4w3tLADH$YyPyhWc0MZxuHwCFnxdV&$F3D4)T7mTP|jgfWC7~YJI#tXNQWw>`X(!}+Mg49mI{<;gU$$kLiM4eTErXef^ zb!RM;i%NiN7Pp3`u`^CknxygrvNbJ{+`fJyoOTcdYX?E&Ps$IGfOVnNjYpVUy*Wot zgnjjgo)ybPZnb!Qog!l1>nLyUQ&gEDAx4Sc(Hqh|ue(&NqyNI12n*i-#fPu60hvFg zwn48lzJ4-RZ0ZjPZ%Se*!zHbXO%U+Hp`~!*8mFgj66al=M7Gv81H{v_{LcG;WNRm| zGRyaLSHmClJvK-eH%(Msj?wIXj_4!QpsC8u3iV51=$FlEyJ!qCY~pt1Jw)TC9w?wg zi0qCZ;4vI*MDh`))hG|aPIp3e5=ojEBKKV3m$8gm6SK%Q=LF;77L{F-!{7xuC&~Kv z&Y?D&1e!|Ndc<_*dF?gl~=?7<(8cDL|hxed79Px`_F`Vu;35u-asLbT1B}CGh~5T6mKq z6Z4`-p+~xamn6Jo%5bEu+m7&rL^c}b8VvP4>iq6%5+ayk2~mwer;vn9^%Zw(^78Pf|h-WQ7_a4xjC=G7{F62z4f%%!V?Gooe z^<`JfdHIZgPU+mgozm9mUFiAa0Oj$+>yXPCi}po}%-vV1Y#mtz{%bK9wKI|XOJtsH zOw#&6G9YT_Szg9`mg+JKi`^wqUVLzLYyR-&7NDwwkUB(dAnIW%K%5d#XmyT0bnh%N znSB{EGX*Vzf}?ocMftO@aPOfxWAbQtnLHfQ>h1lc5!f8QxX`DJvwTjj5Y1SEzY$>Y z05UN4HqGihBCt7$x*E;O(EqUFWQ~3dy|rhvM3)7^Pd=&GF%Yo42dLy(Psyo{?z1C3D$+F0%H%It8 zPsmt;jiQebZ}k@)=UD?`%B~ZB)^52-f8#8axJ?iiRx3q9_=9!&qZ_%wEz$2)BYJ93ve=-G*Y#jX>S< zeesN9dO8}?%b+l(chJkFpRkkaxoGZESOg#OZ(l|dB0)t6Z9bu^dO@-7R%KHy#GOMh z(azrJ@F61kIa<=u%Kf$_doXZtZ$P*$M(M)O$AI}8dhpRGULaBO$XtSc;O{Om_#8+S zbPexKg}+d*7UVT%CMXt)cGgGH9v$QRC_AmgV|AxCp8q*Z=kIVD$v-e$^M=2LuH(M& zJ)Sv8rgYhabf}uf?g-R1&RCw zQ*Bx<<_#j=`pw;qjTqL35bjr2!)n=;Jn~r5)UvSJ4sSk{rB<*cU5~+q{tYmQ!VNu) z2Py%(O6J&8@Z41A(2b1)j(;@#5&d&tqSr3>De$&iiy0ZV=`>Nvf6=Mr#CCP)D81aB@qu=F_ zfA$$6J?>cSHQL1iIpRa(SuNSE@rzAws(ErG@Am37zgynFhk%hc&L2&`9>x z;$PmB7h9S}s%{7w!Y5C=`>b7LDy=RpI{)z)@8$2~Ikj)*zTU-TmyvaD1TD97iS&My z^?|@i{{krwMQvJa!n;`q6m1%}s&bSVa{=!7iN$1cY@}rpijz&$m{vBK*It39IyLWs z#ln$FIgRyFz%Z!lTqwzPo`R<>1_i!iaPlu_Dv5!^H^Fu6JH!cLQ!Y8sbPwWO*cTWU z12cUU-K9^q7(NDOIk62hrZ-`ga-Nr%EJ2u=>#z}8-*nQR1GYmq!2q!YJO_XH%@f->w*@10NE_rKQBIK}rkLf*JEPFY-Q-ioR6 z6`4o`;WA_U%gQM-W6DC~y$2#xDsPk3g`(yRk2uU!+5nk`L1fq(Bie7{jSNS~0Z&Df z)$LRshC0V+J>2|_CG6QliL-m3Sf+4{@^v7^@~;3nU)#?z8ZCxy;J#70=m=r=5VTk%?Uas-x;8v0)h@QFY3lII ztnx7v!yd15uvIqT${1LZIH*j10xl__%Uyuep}THDIn02N3k&q0baEA8pF@*~fQh0w zfUNK7Z2Dwx2pZiKt}ScUN0S)JG+ys5JEIG(KJhAWLojC{Ii|Xa_f_H<`0~zy-ds7d z`$-;jvEN5TK4*CF=q57&%p^YhC$SrPJ%Em4q)4Wl1NYdz`c^19?7Jji{iivG{h~^5 ztfTKDvpskx1AN0~+#x=l8d-E52Z;KL?KuvWd(imAB(c+Lw1#20_N#43cr!vjcMXz2gAD%>K4Y}* zbtt$9jnC-1-JbW2;5Zml^t#^f9MP82U=Is0`O572K%d<$;_@@|%N7OBdtZkyEz&RP zm@pU)p6o!zgLglBf;u%1@B{|nu3)T}Uz@XA$Fv4Zxyj@C8 z!E+Q8x|G4eo#~{|wdV*XZxB{UQ+?OP+*=#RMMvUlQRSr-yDm{Ck0V*5_x?mUF5FgO zH-!2QVP&c{a{K^dC)Nz_3 z4VLVZwun>(8W_5*=n-Y8+n_5RmHmH|y>~p-{r^Ai9LJvL*hP*#BT7l=*fSyH7}=4E z>;~B~ldYvB$|@r}6*;ytG76QMnamLJeY|vC@6Y@D`QC27+wJ$yb?draSG?Bq`FuR? z>p@S58P5nS6sY1HlK#1&^k&$K;)P(#V&bXpZmp+FJ&BwfcgWW1<}x;c^%W`P>@EWB zsiAPs?XLhx$Xa{VQj@SM+zeIC!5#Hfk(YGniI?yVT(ra5gqSL^mfX;*+#81+5?Q0R zP=$%#*=&y+EgDfQ2uFFM+i|4&x@{eYDwPZ_ z5Vq#|lki)iDm_KQ{6*clk;SOqD~BpUiW+byZGTm@vB>*q(rTcS`y}^qaq4jU-9-hh z6VWKIFXC63psG0Nxm2Fa3C&buY_aKZmDKFCK=Q-SI`ma0_=gl@hJ5bfYer=C@+Ic= z&p+CLY!e8amD<>)#8HIvnKg5kC9M;hn2!lIfuiG%wh^6#K}Yi`*mnGjPZ#iFcFRf| z@EMX09)cWD8yULn=ZCgx&u15P*JjTHw+cJ60^=MO^^Dq3B!fTa?ZcTr+Pg8I9Ebn? z?1puiy-{hDemF-E5+Gs+gIw}LE(Ezvy*@oH@G%&?>?OPe_K^m(GNZ{0YYS^dnDzTW z#4fMzSq7=@r=5jsZj(Lm zFe-L%sEa^<)-5arhyy{R3QaV$c<)knu(Al8-EzW_v#0u{b8XYpu`=^Tx!PNJRq@G; z=%UkctfZI0Rx$S-5;?&PmiEc|*O=fdE*<(~xi1;8QO6J=QHKG}@oW#kM0UtCJ1jiJ z498n90#|Jl@bo{A6nXooIw0Psq?xvdKk~t06rGa!*-50NT?h88i5JPFI{7FGdy0XZ z=P1WcAydpRfCVP{l07_&b|DH!Cm85>?FaP7l~@d`Nc3p)i#lakegBK1pI$pO>8xMD z2VT-#ayX4PLI|8+U2UeO!%4-(6k@@wc^hrJ@RGzaXpN8pN=X*uoIeI4=`<|DR~H1* z1)D$)-wT4%doJ498oWm@5y!5;uXj2a#$71S;~^4arBl(!FN4ggsn>>sJQhC(+P?%K zR}kA-sm4jwHL%?HE9q-!vs&vCK%7UZ&isH9OU;M2|8%nUgUo&$IZD9R|A!on;%k7G zOd;D=UHD+YW+htdDT`cB)Ds@G_1v_;7h*A9%&MZ$MCXHek`B)+pP4L>S{Ed^cG$py z{uGeJ^o>5iP^1OpO@|XW&(m2CmAMLhsoUoWut{_^#}RXkmi2K(%og_)X*%?sV|G;TSfuzkAvJ^z35Dyu_mLq(`lxroNQoK1+*H>% zH*5auYb!Qs8^xR!;Cw0i0HZng7C#=!r20-=doDUl0G%#MB?pEWID|lVI+$J%L=-qAk3@FFW z$sdG;Z;DKtHz|VLLseV6zcJf<5#q^@v!~$Juui%HNs}!JGasMP>4cNzPm{Y^VW-F^ z;eniXl?yxaL%TKb`(2lOP&ggWI0|bQzQHm)Xug4qATglfo!U3;E7 z8?Pb01P8SCeQ*=z$)1A|i#^~Wlf7*@GcAz%(BR$?osjdzw53s>!o1nDhj;@w9w!_S zMH*_v&z~hO>;E8Nxs}#iQ!@!+{dOpOG9fr-76{gqZ}x@Ydv34ENP%u5s(`Vl(2{~( zO5265hss@~jw_r5ahxv*`}jukP3;nwK+4d1n|gs}Q}tnSLNx$bxFyBCBQzaRu9sF2 z!_8FGBa{EZA?p}moeYC%-I96H>rRg>%1;%O#OzU!3`~~^!ka{iF#h}{`bXYrY$S?& z0dY7&KiCV3Bhf!2!543j+}3+ndafOb2FUL(vMoZ8IT@;^`gN#8)74y<+h8t7_Sb7u zJoV&M6DGD6XWoN3fjPVR_*Ip>+!c7}Y8^LFXp#KGgVtYQm}j1XojZyl zgr2iFP+JOqU6S5fs<}-bqr!A%isS5b!z|~5R7-Z!1t~l^oMQ)lKiNl4-ly^aT~Pdd z^RG}PE_OyXagzkw(@-bXA8gu*CvejhcJrg=f7sWD{x+cd%16!p8w?>imwLeeCkLLZ zy9KZDJW`=KmfmE1p{&IoIVEFm#5I*3GAgi85A%%=xxYFkd6Br+v;Cu}V4UUzHNES4ToZ*%_>Y)PtOw28G&=i6oH6hgRWP#B3eHC0VnJd6{8wym9M>6Bd4@)U-(9V=4 zkUU~c7H2JfCWSiRJd&{ClA7Dk3%Gs&8`JXjlID6Pa#`xoS^`FKcYEamL=KIW2H#=b za1l9qc$7xf5wK(08;_ZYSAq{o8D~dH%H;ocZtZ+WrG52Vtk#Me;X9KV&VBS0~%I;zW7bq5ECxev{P2m`Y?HEjv`A*Y9`EHL_9=RCh zz*PE9Rz>qIbWZxKbfK7?UXX`HTI+`zyVovh%20KFPj$F{l9txb{z0VBtI+T9u}ZT< zBsd{eFQB;DUXfWUWgrOKBDBMK`}bvo-6z38oZqky4r9SuFY@!14VFK8l&wCY{1{S{ z+S79lKX9i;uG3>CINC=xIz-wDFJLFkFow#;=%<^*FdWq9B)Wh**qH-nT!$zE0Z1c- zA2Z#jv|-UOuW%pl6Bvtr`kwlL7OvrPc35|i^&20n^BiS1mJZt-e6wm_r;^fWb}WTS zr@n=j%z3k`*YW&C8>^DF&RZdM1#0QKz0W^&#nrynP;DsZ!u+YX^HsEi@6^>-;OA&C zr?vph6fzD3nC0B>;)+ku9h{LmH~KCjA7te5X*3@%T33uT|3Net(AV0atNEHJjZyE) zs-OvRu%Ul@sj3=JVur*-*rr+{ zc#YPv(+n8&LnWeVT@C+P6}+5!tX8Ic0<8_5HpNElBfmjcTwfrZ>8du!WE*N);%R*7 zADHzbo=qpIML5sxQHN4Zs%tz40;{nG#`HUggpOB1QV+cKjGHH9S&7|iIu2J;Ifo)? z_Aa-7-_7IS(Nys&f4R?UEd)7|k@1p#TNjs$kYX|al44i;bl@2L{PG!5KA05T-U5(Y zDqiNr>tB{S*CMAnAMTUxtFdE4NidQ=ATY&L4$@fS0(?L%mJbbi&o_9$QuUfxY9^IY z!ku8BkaHBefOm$M)mbK3P#DeG8_C+g!$kOg^=d!pkcV2%GRZUUX?n-EAEyQ)hfV@S zyo{jXnj>TRUb^FM9(R5%di0-%Y>3dyAewy4TzxXR7s@B+mVa5c+F2)+1wjv9lnj|3 zJ&{mnO#WHZt}o#QzJf9|;GOIk5GU)kKBWBMSZ$RXH$c@i+ahq=gh^>N0R|wX$3@bM zA=1Qma!#EOm6D7o#BkWFtYW{JSCAPy(VNxL(WBQq=j%Apf|)yXuLu4JaG(Kb{QLe@ zw1T%TbP16*t5yDr2KUpbXWv51ZZvRu?ggd5>Y+&FjB+umz3N%w3==5cAWmSY>uR!1 zB7D_U&H*f(4=&?n7iRjX#L*n085_0ug~q|+_4F7Lp_U%QSzZXm4L$K(CWt-ZJR~jh z=PZ`X{gI-fb+B2#ZCxlp@7+Pc$Q?$F;Xy4FAlADnN>s*m&}!Yn@b@$wX>V^Zfg~K& z_P>`Bd>$Yx95YKNPfw8)NQ5M1>=F~L34Zuu7a((cAtIu8y8DR`InA)KajKABT9ih( z20yDHj(EB*f@0?M7Nk7)5e$$S4a@#chB_6b7IlX@V+^|hFhFJ^4?G|QhFXcqAU$iv zaLlIz-@j55G~sZ{{*I%R#|Wo7ewy0DcF$pU4C#cENFD;HitbP{rZbjyP9poTaE<*D zfgnbm&5D)M?Vy(teer4mCNhaVi@HcT=JWuP0+JdV44mo?jw2-CB|gwLn?Y*8-Ch;p zwby{&wPOq zb{9tKLV7q_7*}6=PZ^j(4ie48HL%YW)Q3^UUZfvBbLcdNJ_F{5t2(oFaC|<^yuAX( zvr`?%zDxkHb|fG3$}5_Vq0a44OzPE_i6G~Dg2VCHI!Ef9aBAmdZy zK`ZJToeaGhY?VQ3JfI>4_0c^djvAc;#T*SbL>8v8^#MCU`U!>2bDMxA4L&N!PLSy5 z0s=LOfG~vms}a398$8s^s}yKVIEMD9Co{7_dng56I9?^#fR&k@oRZ!Ulu}7@!B>L| z5OG}Yh#z`@IqowIdALh1dGwGAX@F1K<8r!fOLzz(t%I1 zs;r-AQ@#XgjQj1jR%QuKqcZJGZ#?vL%@vH^d7S1%y^Nw_AUZxE^GCFYJE35 z@Gx<-Eu8QiIH#1tGZ&VwEz=7=;4XKez-kD5u)%I9BR=^ zmoml6BB47loE3zH+J5`bI#E7SxxBnoAU8S2QTp|Fj&<$ml=;QBMro(dmp|><$@Jrj zFNQ^KBIciuBf>xb+Q|U1bZO`;`2@x=33N-;3hcNdPi?_H(O2PSwf2rq`l=1?8{I>` z)g1D+Mh>FV72WEqH@ngqMLBHk&OO5t{z@$PGnw7fGvUbU2)SSTS7MQy9GKPq=1DM_ zqzp5MBQyyJFlHD<^%W+An&ue``2qmw{~@r!<}yf-`rtAyT5& zyp~lt%%*Fl=-}iSZ-veEr`ENZQ?^z-UNSc{*O_>Jal2rAX63;Bd@;#2&AeHd*Kx^n zMi~z(A+YvvabXfNFoMXW&1F(u*EP}6!<_oQkF6nsi=Vl}V&cKTBK0~+XoEV&c;~u(FD;i)Cd3Xv-iYyu?D!KC zA@5~OLJD%v_ytgsi`d*{o>Z;Iu!Pus&~0AH^0s9V)_uT+UAzfI_2rF-nsQjlJof`~ zDe79O_L|GY#X4t3|00386rM*aMLmnplqScRUfB4<*Tp}5+MmY@7RB$Ey1#TWrAYDe z@#{d~R)WMWL`?h@k6a6uo#P%0Sh9|X9G}GRiKdu#TNKVU!(0DN_^-DRPn9Q=_CI*Z6XDg$UNYSK%-SpL zuoeyQ>qzv&|M2T#?#usW(ECqbRfRy^|8+BCD>(gX53}#EF55XvhQxET|)NKPwW4D838t-VjLgZ6#qg}4mJ;)oRNhk8T#+S+U{=! z1U(O#H}Cef)&ep1PKf<*L+*~K zr!5!Un#wxW?w3tzd$b&+>mqPZipMMBxIIUbDpwjNY|bCT>V9 z6J&urg?x?iDqb@|v;we>qOFaGa~ z4Cget`O&WwjV z2~%)syt)p(Ua#YArKdJWOc1>Q89B3vh2hfyWoW8&azmNz0Kmo`mY`#}s=o5!3 zjE+X0R&_ixjL{fLvKBvEQ+6GGYcB}kkUY#jknrPCdL>6IBdCs6w%on^?2KQ{BbAkD zbw|n+y+f1Q)#~O_3hRA#StJWA>N2omi#Kg^t?nQF_PzaB?<`Ae>s-GM(V*_$7?fzt zwQx}JmSoEVpc^4bxQ1j80MC++m$AExa8RxvGgZj>6byr4th}mI_65g8&hh5k=CA$N z{UrAjE$Z%}cVpNO=7aqB35-h0R`8n_?dKIm#1o`JBG~YLLu+ONX|R2eaosp8D&xU;f8ypP^9g_rucj{q%74%PJe95DSE2C4o^WW{rIC{e$CY z;GLXCV!@)7lcJf<3Q{&yffO!npqNh)w1zZRv zcZK1p+pu?3iT+=E$6vspqpWq$l5P9u?~b2&M&!zm!5l`#MM4QNxLge)V4b*tuj^H@ zdk?a?0;m@ApkzO7tvH{9#|hlO!#OSe8At9Z111xZG(3or{2a7N4Fp{xF@4yxnMXC( zI&gDx`3f-w(w^hfIIE3&qHaG~;fj+tIezxQWYU=`9wyCd`J3X;*u>HwynA1-8Xjzm zxbyjp(L86?pzQo`>wfLuvU9p$2lGLl>^;~=qODp%>L3}Sd>cHpC4K~b+A0^sjah#y z8~*E{cV$c!ieFslIaquY0_)V00tgO$;U2gp|kC2*P%%k3K|eF1-V4u2mL zT>{#oi1H^sv%NZ{X+G0kD!xCzo_%idQd0>bIAD%|<~HxQsc3@v8x z!_7cx&7_q+l!0fLNrEw3`Cu--?mP(i*w7(ab@^x#b!hy%edHL*(p#Cq#bEj3z%9vx zUCqrX;TPMC12(qdq95+whu?XhM`x_o4|6_DrSgA@>=bHyB}(v1estbm3GJ7}dGYSw z$ecI-pa^;*D$c?3WGWzg+^)iw- zf(VmN9k9&gk^+;wq~e}pg&o-c`rs3Jill6p3p6XMc{yVtjChfl6VT!O>lr za5WJ+|Fv&5V*l!IJ$o~EpIiJ5Rm!`gh6k4Br zi?JH1&mx&!Sk3>I&Q%u@jUBGX2T_kj231Qb9No0;ygRP3Kg36YW_b*t=~N7f|FQBftbJr4VjOu zQhzijT7gL@KpJ!A_JHVMUh70|~ zYF0~qGL2y%5hN_ynWw8V@ckN~94{lDntmuf=7^|4Y7PRDOuUUZxOpGq2ERK8RCwMF z{?Ksr8{NX>h>KoZ<7uBS>!+%#nm=@=?QOVg-p}XnQ{Pbqg^1Y1ajEzCJn|5=y1>Qa zWR8tLu!{K9(Z;v?A;-+an`tZjsvTVs#<$I&dGuthHszJ?@D9(Z{ntDE_w3=d@+SmK z+cJ%m&p#*|Cp|-UYsPsmzYX*G?GA3d=HwC*USXe z;b5l&kMVSShN)d<5m&%xHp3~G(QmZ^1WuA)UcMH+@NZ-Bx3ok843tk^8I;!*AGvt+ zwaW73eh-T1(bb+t5@AvIQet2;DT;5t)am1vk+tVQiF-!rxW7uI`s%t$^+e+;K!oN< zwZhB=BQ?+H)`59lQ_g)@K8f>ppUk4t>|Q$-LKVjn$AAu;fu`t74k}3c>Ok@Jb7wNN?@d~BhLo{O$QSDO;dlA&JL31JBeGx5Hqgu2zh zltvSaJ}t}x#V}>Y&KGoqx&muN!Yb!Bcw`L}DKWli+5M0gU|SATBpftTq-|}i*O2&j zunx%4WGh}k=i`l>!1KI{1i0WKk(|xW$-GzB3-J4R9YyDpN!0+2Hql?@f{w> z1VjKjFTsIl53lRq0IX~lq$ozVH`Y=+3Xn6R0v5js;$hvkhAv;u|JNls*z+l3=~&Ae zb_L>l5TBy=+42hz54y3x$NLFW9Qhde9D!ZjZm!~LYV45bXDKCg3Y}ayb++a|$vv(Q z_L6)4Kl`H*Q>pfJX>;()zqYKnbQj4VtpV0FqWCIA0GNXE07;`S94Wm5vsUQHpt&j7 z%T)(6{9alw%tOsb3R+*dA&Kl)nzhlu3eepR=_zs4G6evaPZ>$BB2oON$nyZDvI}PI z-^$eKkpdLCPA~ALi0#2O_7ex*_)t0s5(^Mr69ccZH8od)2=#=;6HNwRFoonoA(IDw zLJec5C&8pT^ED72>BYq%$NTIHo7a~8+BktHS5?jH=T>kv6yERD>-hf3`e9xbHAAv~ z7DxXDSCFipr$6sMefhnZsi!4?GEDGR&{US16ySgfoH#J|nn!YbMfn7R`9hrNS_tBN zYFg{<6F$>;v;CsQcDcqmF|giKYBs;$pSU zT39kV6xruhO)`rRx{sQM7;eW6xE`_ory;_t#tzoc8I^+OZIu3uTP86IKD7J4xOUcpHQNrkElJt!gxpYRQ|cy|30*# zIfrbaD<;@39l{b9{nNDR1UhYMW(NF$5#12*{2ua!7=#$BMlP2JkD(YA2a5w8tJ7xi zWD}H=MG3zK#er`#L;9o8h-Rpdo&i^i?}M=fh{K4Ff!W8qD^n#uXm=+brSbbxcHbVK zl`#Py1&%Hj4CW%gn>aT>DY=Og!Kfy$t*bN@#^|LQfy5SO+iVaQCBL zUHNGS;xYD%sDRIr_tAkb0KEQ%kEo4ctj^|_Vct)^#20+rS`rw6s{3VbLuM_ERUSkN z5>;R%=iZzj32LHRT?Qs?-!w;h9gxlnss~CbRg7Tfw&z*YaTE?CNcr6Z76W{27mHpW z6^ix*Vz~8ZrTdqA9!xa+(I^SF!n{nhQsuDSyzioQaFvc!ZY=1PTO>#lCFu|aL5*Td z3Eg}RU+QvULk_jV%+u+;?a{rz?x=bl)85HVe^QV&N%_>vS~#;42=85QVj#~-8`J1Y zNsG#e#3gr*fHq??N#XL>+JFuIrgt584>!uzxn{NyXj;de;DZ1&MJz4pAu{jS1Y(ny z6FEIrOeU;S{Zd#M&G zmoJ4OZc)L!e`$?HHP!i#STrC@TI3}-tVa}lynKerCLcE)R_w3O%Q8USG4%9dJv4AJ zC&MFGWs{MyHSy{ZMGJ`4#);|p zkFt*w?--5g+@-X5d{Z#v>V^hY_mRNqRn!ads8^^zSCOPz7?Sf;s%iu+cdm`KdR2fR z>TqMEV5fTOp+pG5h(lc!%uzh=CC&&{da2+|J9u)S`&0c8QWrvjlPwM=s-kY<6-OS1 zq4g-Lev<8HWj>JLD14Dt(cGiDgf{9xf*M{s6b#bj4zqx|pC)dDXf)JGo{Hb_5F$$A zX=3)+T9!L%&&YiZ7Rq<^!WI5Y?rclk7SHDOmBOOJf`gMpK`Cbfv`CwPzSDccQ~E-- z^zMJ2R=}5=dW>UQ!B@#1QjbLI3l#gQgs_FD=0T$E&M$C5lc{p;xk)FdL-Ks);AT#e^Cnv(!fD zZ8`;OYsoK!6WO;SgqjgXiCuW(grva-<*iG6wj-3|p}3sZ!JjZ7 zqm=^0s%gt>$P8-jtaG02&5Qp1OHzj7c{8oog+Dy%cxsiCv_12x%5?0KEj9k_%Tx@A z8k%=MbI1cEKlz!5_n{MLciT7WiPRei*&yw7c)ehS|G~8*{aw+)2A}U4jCPZ#0S@Nhrh${+Ym6Xiw0znDKl$gF&5rY%7XrM&o^>2@61)CHi-eAI<{AxKjFC9a&4XI%5ca zPOxLpek`_u3qAO_PJa_*FIblI&(cxH+`RbCXK;*KcceRR$Nqf~z*jzj`R9BVCSJ@s z7jv}Jqwi3+1Y;|c45XqG$tpwjg-rG1^xYn8^SszsMHqTcQ}_qqpsSUfbua`8J2 zVBCB_&sL@2{wN*vf$SG<+oRg)s@5`tNsxIV%_@0-V3RpgO#sPsqpmsKawl!vELAj$ z4o94?I|$<{AUu~)WU*4ggv{j@#@BA4OcAe z9xRy9!eqz~PAxJw+ow`Ub#i>2;>K}1VJ6s0x6{|lj35qb>QMKUYK?)Ez=fiZ1NXM9!n)L zY{48VRx($J-F0sC3)1)sq^t9^c()QY#p|wIM)D}UUZ{<+1}{Xd-6P-@%*UuN3m}y; zKdcRdEf*z3a;P$(V|^)J>DMQcx!Ro%3!h5V^wTraOx!#O5uJzMXxiVp#5+34`N_N;#LUvRnnvOXIsvX;UT58Na(*&c& z14ERadR@xef;RyppY4RDd*Ck-NPU~}{M|exyVC1_J+7;ZzVwL`zHC*`@cVW_46>o%mA8kvS=CC4ZDoh;&8X*nT`wpao{-QVx!`pX~9;`V_`LrdRAM zqkf4@Vw`-oKDCh_8>Z_pKU{qV!n$k-22nI2u|ME6%Me+?_$pkXuDW3ZB5eF}VHJ)@ zBU@4@+bRz7^SZlNzWAosAabwMttD~<559QH&?;4}uP}415Cjp#2jH?$r5!xTsFF`y z(gx64z{1f`vhtAP6}&-V=-O8(@o2`#-%`z>(^(Q^)Naxn0WL|)8l8CJ;Fz{L{>op5 zHSq)&rGp@%+ZpS59k3KZX=_SEj`5M=&?4^E#vdVFw~uF?RwS1fZM?v`>q*Eh0n14lsIcT%b5(bH{C**LX6du((nsd^h>DvW5Q5rAb!pk*_u$d}VJOs8UIU4svUD>}t0-bnonE0@=N(tCld8$(+TU zp_i5nP!@I;7?r6K=?2~Ued z#)4@moGK)ARYLnZRWcD{wgq{4ByOJjavj;D>kK&ZaLPAP{Ke1yNF3Tu8Et0rB6qG> zq@!H&9KUsp)+lkfNp^peN>}y?no}+fE882#KV~Y1X_sI9;yV!STJ!qTVfH#7&}Mw# z8YQa_ap7WO~UeRCRP~H?(5t5ltgRx#TNvwAj3H|s+QN0DM$5!np9r{`h=41*d zR%)7rA$;S~*J7XkH?k+9L%w~3baEPF#=KKlG7gFxp{#W;F*iINqq_lm?k+Ov)E6)N zn8@kJaW_XVT^!>h1cy+@WYpi(=+8P1g?iw}XNdbN2~}XGCXWu$L%s3hC-Bhfdt(Ke zpO)ig3`ZJbW79Mo>ZUdl)EKeJKSRi=bPt_yI#_RRkNKw8*QD@??-LjQtC!3nklCU` zsD7Pt?u3iTnrEJxWF!Q@@fq>3pTcu757b|e`&O z0CFk9TY`novoX(BeLeJPg{oc8zO%j@*G48M=wHro%4& zm;^2|nVbZ~OYc9b>xt0V(7+_y)eR;6AS|XQW{d|b=T#mDpD57;np}jl6t*oLF|KVAj-zJY`dRK{uh8?Ws{X2F{bPxU&DG+_On%S zKPID6EV$b5(Hhnmv8K-h375DImKkoNgnMl4XGEi3hr|ha%&6)J%XFQTR7U8WkZUS2 z6E$}d^j-{bHZ2XeQRI~`y&&kYo#f|Xr#6oL*BXEqk}!TbNcVv^-P$X9o7O!cDm^i; z@5ubY);-3m`crdHby1B4IrwNY1)%Mi#>FgdYddU9SaWABIW*C+N{<4X zDVEJ{h2$oDE=$H8I(73t>W#ukCfQ-#+HC9zlsW}t^`EWv&S%H9e?+ndT`jSCL4h?Z zD$}EbJyV}-BPKSCm)5vRK%();T(a-yQu5$fjyKLB)H?2A zMepQ0>Pbwyx77s3&QH`V)}>t}t=$63Re^EW-OTKjpBrC8&w!Xv4E#-um*NX+p%HF%BZF!sj2)gr9ZZ}P^(5@HY4eN(}VZKL!Eta0T5 zn_hgM53~8#-kPXsorxI@bZz`lcbrCDd6-1d9(h8h%$SKMN?RXHrhDisksvKuP|Q&! z=054bt2gy#)|mnHMxpV5mS^gUkYL1;eVcoO3!c-NBbwM5%kIm(eO*iAPx| z#15E&qpB_holV`5V}F!q3P*`+NMeF`Cu{lndW`&+ z$CsfK%!lg2ix_4~=|kBrR++I7*q-4Z5yuIJ& z3pAYMH@j}iEVA(8*LZJgDS7;fBsz4^Sk^fa{>4cSbsr!)mQAR{&^Wa1J0rrO&=hs0 zjXzHWlR1&0x5?L7{T0p~oAViJO0gdPa7^k)X)0YI7G@pY>pFSDpv!_NT8BGQy$$5* z*u(P{JQhJzTqxX!QuYk^KS-YFY8N)5V11J4=G}MSlE;;ElJ2t&(Xg|d@fMd6H1Tt_ zPUT?|!VgV}ryh@3gf&u0S}m0{+W`43_*1ix?q@4fxL^|J*89Hp4$;c=yuRfxuY%{zen<&2Pk7qqhl}yb7+cI}?E@4*7I)9#G zVxZ~P{uK(Aj;EL3&OwacwvFwbt>#9)vm=@rr5`;vu7t_G;chtl1SFU}+)@H~7P|@? zn2x3R<`2c%ZI^i<)zgl|N0k^E)*I1<%UTq(K1+C) zGxFy571{LnCH^N~Z8^Vk`95IE>T%-Z%J22Bzx;NeZTVWpMR7W6&m3%q5^!M`&!?s{ za>T9=rytF6JvQ*;sbj}qADo|__CU&7(~d!h%!A~E#)cLt7Pglq7v5~Szx`P=CEHb| zEYaqx!6vi%RCg$`toH2X1lAK^lPL@GFJzO)$vYf;y!C`w9bTbV;4c{ODtE^$!j$E( zQPr|8O)Uy4i*DDWE!>5S!mJe87K8<&&Iuleu> zSIx<`!>)nZ{{6LfyoX!FleO39)?($f=6)~6 z${F2;YczQZwF8l{6n%5it@CTQ@a7o0|VH>#WIXlJ=YZ8W)fK2qC=0 zq`Xzp3K7MfeK|In+1#BPQ8HmoRs&{B_2gCRDpR~q8(H0fhF_i4Td9m%p20E z_G%G+&1iuR*@S!bQBg8C?|GSV!>pE_>6h8lqKD5_4|MzMe#i}=f-zVRPTH$W$dvIv zap{)RozD|!IMPkD3!&KdykApPI!$f%dh-Mfq5J~v>OkC<*9|6q%@qe zdfn$HnD$nPJ#6>rxLD)(qTU1dCh5kt*mH09i3k;n3!vJoG)bb4m|O1N=TkYbj{1vY z7Qlmiomqge)MH?B+G6@}ayKBzIC|&BWTUu(rKwBiMK+C`gvaN;B3uZEs?S1AMa=XA zzvl}ZpoQA;X1yD~cpv6k-N=AZr@r~)7$1dbb^44r6A7xDlbPW< z7HMBEy>Z{}QG6x&lO%1?2K-$4y37*?YtEmmg$#c1@D=iu#|I6 zowOTrSbTJO(9`m^Ej&8RSXtZHmc!?wCLNjreAhmddR+g8=M_2(vrJRnN6$M@x~*)z zFZV)BBUfH_$i|+e$u#TkPXuQ{3xpzGafkS8cYxb3*YBUKVZ#b22OYMH?G$l6Sh||g zICAp&7whzgWPv)J`5ES8>3%&1yOMiPW9HzLF7-qt8NX&=fT_G5R)%(}XZQ#;{C#to z|G$6#oV)Av@9~%-y&DRn%iQRiw??0{rO4PO@xD z*B>J;PM1DSy>AxuJ1;M|+?{Kb_PoyfmUTcn?aze=DaHA5n9`Vw>TyXLXUsxU*ai4C zEsd>RPT`%gYSjiQnZAo7^~%TR02g=svMoAC_k~x3Mo2`{;*Ev9G5fQROLT$J$%F@H z76G=BTYd6k_NH7kdwa5|#gTJt+O9JE4%tGDzWo>ncA2CKh}$Q@XjWv)_ETQdI92Dc z$m>h)eSteL8jewlwB!oygo08&v}OwVHEj7ENb8 z8`&1%+w}wxuxJQKv|&hk8bi3mbzaP7~?^$Vqxc=%b51z zXgHLNhc|A2EZ@6Vyg|Xn8`2C%A?;~+pRF^3qkp(M(Cvf^Pq9&)?+wQf(4ntkWde6L zU8Jog%eqt-Aj{nsnKb(fviyh5tlTD%bUSHz?JDA>lEzw5$bk&1Y+yW51@rQH|A3(m z`Op`jul~i~2-2uAh-gp<`U&YwKPx^t&>i!cHoO89m47zkJ|2QutB4}cCFG8Ru6mtu zFuWO;kr9q#B}i3uzwcJz`jlok`3!P5kll9t3dGG^Z?n{q0kZviz3RKhK6Be2 z72t1m$EpqFo{f%rEDulf^A~u&KMfRS1lJpwPF4ZU-~PO;N7vw6Bs|tmIvyCHkT*9} zS#(-ZpX=M6EEL3)yuyN~5rH>)><41SKKkNs{ewY`;$GfPbjK4&fP z_u`M2wGByO#{u5+9CEA7IOC60GL;Z0R|9zz@6HA+HSYXaQJ5zXCoztZrPS*4+VVL$hqcc z@pZ2llWVUqK88`n5=hh<52t?T{Jj3e`Z;l%3<$c`H#1M~4@0Wjlph!`b`^Eo=PJMW z4OL8{HeiSPqPgE~g5_XS?eB4jh*>+zUOr(&>bjy4s-~qC`3hpVYc%Mi_v!BB8CPda zz#H}h-BigLFT=)77_2?F;;c=k6SI6tR3*2<)U~l0;0W%HN%~Krk1uc5!!A0zW#!Jw z7Nmc+%pkyC}7{Nvf-rp)JW!6trjyXqAM+9Hod02?Guc%?ppcXDuF*<#l=bjqB69O(JJ{znP@j241@YME&Lu$=}vPR!2Tt!&i0# zr#X2N8GNz5hD_TS0$1f98rC+8VvvGwxts#N+&xi)ed$-r*C+7`Z3jz8xP`Kh2HuE# zJE+2-;?9NqyzRqpw-!!ZfePyC&}y2^yAPnM`$0iRBiWX*2urIP2A=evf}J-o>%pNy zzn(_u)oj;O;w;_dA=C7a-+_$m$J|VZKgd7XFYBbeo)!uDqwg#AlCMl&=`y2UDiwug zD@bg)Ego~`&o21vroP{CWaO1-yZE@4b1bWhbl}u>c~A<)LRV^ju2XefscZ zL>_Br3X3CroGbI?Kg6>=8iU{Smp1g;&zulGXCFZPnYWR1;PIhHXox!QiMwts<_^+}Ah#`uUq+{m+}7^)=m1+eD0TdZ%bu2P`ihT<?7E=+oF+b@ia07(yOvfyr2r^boUKBqp6C|1--of?hQEeUqRr-;OV+leNRY)^nkFo zN4u|LNO8cDSG;1Rp@3U|zPl@^6^X%~GA;tsVkInujjfco1bz|#L?e|b!JyN^J5&d* zW>#AKnxQ@=1m-8UQyxMc=eO#Bj+;jYVV~?y{ee4C_WT@t>E4Ky;H}xfEzmRAs3+EW z!OV-b>pdE;&&UdXSiKZxFqDw_qz{FJoL+t;JidI_;A29DB^$n8Q^$OgT<(y^ts> zm{_nJ*eCE!bl|2kodsucME1AAmj`dS$ZTAGE;oGk7Fl5QVW~wu&p-c9O;O(eD?QPR zW{|rktizI|jpM!07-mN7l~8C^VOgIzLVdRA0T;w?`XG^-R&b2|aXKv_gu{aS{llV! zoS=iYWH&A4!5;&pv(2XY(+7l3A=5)%Y0!Tbw4Z>;;$N5RA$HSS`a$mbto&o&Ex+)o zsCJ%_)%qjg$tR}d){U`E9%%bPaj$Fk5ll_(o>J?gVU50%l`3xeIdReGMET$ttaG11 zgRC=>tIjrVKYwJ$9-$E~C|7YyYkBfRQ5+#6ZRN76QZnv^>lJs?hQZO-Q)KoT*n+ug znZBuO%rZ$g5%AGHlt$Qt<klzwH+p~7;c`3Hxg2vM0 zzI_UJ1)XTV@J3wn|N6)c`FcGy$ph=9J#kSV#bbZ|r-~0L9NUL|;?$jDiqC1hQy!IA znB8SH+onj?H~&%HFj?_+>YK%Pbg!hlqZtR?WnjY1+nUD@qxhEW^K#Obb#Tc~#N|Q; zDwv{C;`bhi@HZhLkSw<^n`IRuwJ`~3ARqOfVo*Ba7Xt+1Jd6TmOs=6YVG>9%08VCQq+9u2rc%l#x3D{nz( zlQc-n?Gv$M^L}nO1Hmbk7Bphb@X*Uf`{sPF;bipp4L?bOgMB!bXE2L@_X|8V3&p38 z71Y+X7`WOS9kIm$FCAnynw5TG7Gssp#O_;)rO?cW@ z3Q`V+I308ld>1~y3473MGNXcNw@TqYOO3~=^g7*6Hk+~r%9~D)w(50BUHOcsxLLZ@ zB!n(Jm(`YVKxz|Z^P96KHuR~wST(C-DW_H5>6B-2(_VOMrXp&7vm!}*TSq<4QsnFr z0f+Mu!DiP)uSA7sS!$qf#W$x`$)4}Rzx|=<`SF6-%P77y+>4}T7?=G!RrRsn^p2>{ z*0mi`G<#SOage1>`j`H}3nXcF)*o}opYuvMF{S(F_#9XTl#MI-p|8J4=;yyV-{nU$ z$<~z?0b0b`eAhvARv$~UzHIa($vF(w^9P3AN|r`^A%tg&+N7?SXs33a&L4uV<_?0# zWpIx*B>2Q{Uo%yv7qUz7a1e43b-C#!Jbk>ijjr4%=p@*8UXx|HQ5DrL$6S6j2z%7G z>)0oi$x=A%lYTL-`~u7UDeJ25q7AwXm9cCk>76NuOpo(9-LH5cYJ0Onv4wFm>U?>M z1&R3tjaHjd)+e*C>72T7la!R7f}^k(=?{s;emwj?#dh!{@%95wp?Fy+JSiPdsMAN| z?iE9%YOFgP*lVp4U^Jh5-#4)dS*J_K$yYB=mKcWWO{RTGwl{pAIi>4P=Vh@SNF9}ZgG=d6@ zW>&(GQ-Ai+ez+em9>GmPp9|Whv?`m7t9OMn;Yg_riucmYWz5ijoUCZ#Q#9Yt&Qh*+ zT)0e0?b@+2Q;i>8{S1?9h6c=&Zw#%<(MXB2N+Xb3p!)2UXvq2UWU1MVq{knn^UGCe zH2Z9XHn|~#IOrycZc}?xg>*_%P)bP0@?83*3*{+iN#|2-+1FqC9Hq_kfA!?(^yM)AG!SLFQ+s?B4*AlQYmInrb3XNj*+hvupaO z6+9)*At48o!KdLj9|bH&--lR?KQcL%IbAQ$pS!uNc^;P$#gRI2e)$i$zBUgsTPk%G z*}lEGa^$>52q~&fJ$10=u?rLD|0(R+(x9^+@iOHzg@+YoaKdF5s=<-UKuyM;AgPAo#G<2~&?UN1t z@g)QK-xkEWU7$+FV^)^c=Q_Pb1B&R4ZIdHv>Pod2aTkksimsHUn=D9&>c9yGe(N)w z!zh%(Z{<8;zCbDL<(s(OH#}ci*H4_6T=+}K%2nkl4lCd)BzMzsx`-8T?y^{sDl&+& zasnSQD^}z?R5XmbhVVVDczCB6cgjsLyOsbw{>?DBF5(rSjKao-L%ZOgY`W@NgAftE zh;H#Y4=D#av@j3>@6-ZWU77HQKcM+M+!i1CyOE237?ela#d9Q6S|jpzQgBGBI}Up7 z-WCt?h9Z)X>EIk->EU`}w=nSf_||!q1m-h-U9UG#V?TyOwtRd0pHOn*z`y{CwIpG= z5eMvfPkKd}+jwtsE|5@J2~S+|u)Za{C?0<7>3w#6wr{y#iG(<*bQ@gWbO7)(Z-1e- zBB}m>-f-=sNr;Cf9LiSy|bGn53j$e@6+0|;_WL-^x$D}R(4vo z&GLXz4%p!`(5iQ&=A&h1QRM#+Mh_W;s}izphM#Z^9`-#~9fD@i2)3K7>%jNJj;8LmI8qwMy==;TQ`yV-eu&9&9^HOG>h|J9e$&mHNR%< z1+C9Z;%gUs2HgKYRW2uaA0SV>tk|h_rK?HjF~C~%5=tpG(*A(&%$HkAZ0uafIj=npWzN4Tw!twTKk zrefzsR6TX%>Spo5Yl`n8fG~`U7g2{K#Q3s)m5W!wF)_jNuq2FBB@0?b%mBEqQEW}~ zg^Cs|*bQ;F$aFyxCN^3kWiQWAg zi{W!Ir*GH-mBUFp=SXKIPfDCh=?hi|UmCmC_mOvwEH~n+efyjJx){zAZJ0=IXv-Hj zZJJr%*S420--Dl53_PoGAZ&rlo=8MGJ$Wy+d#|GEEeUGaR1@fQ3d|ZAA+Wx3$qguK}TIF^@Vj8>*a8*!Gj7Xv6eztK}c-bQ1T^mE(hC z$bt7IM0WOe%*qiQWpYL#JHmNBN{pdWTpTdFWp0Cd^#_fCW3wTxmzBMz6`S{m`T(ld z29KW)MZ(F{qd43;1xf12(3GZFsM?=|B{{lOyWR07RKIZ$03}Q<_p|}Z*iEMT4>`2< zV#$uo#pE-3VLGk;$_%oY(WLPMku&OY7RlnNcp}}Kz{8Vle~vR_0UgC8_``JD9;X|O z(jc&tXvSo|>v(W*@apMgT!DH9E?jNYrvbZ;k3=hoXx#@nhX`t1gjgZ>sJdQnwm`)4 zimES_>Pl4RyhB`WmXly9*w*28M1aF5Q}KLdqx?PLLd06$eG7mRkzD1)c#{nn*1@AJ z!$i8MFo&^3dUt~n)Y&=yWTMH%3e)a-%04?DsGpUkZs z6;RWx7pida9cW(c?QOQ&N7yTWYE{5x|}pw#qw0XIwEPI5@u|?$NK~%PjRk z5|~jPj~)LF8DJnQ5iowos&1>wZ2i?U90OpOFtGQs%+B9tzLEhR5Ekz1%Q7?Z>xVV> z{w!xw;Vt1X-shGe(8rX;wt2axq8T^=XqU=dW6Vd#A3izFzPvuEUp)l;?Z6(+MRev4 zmrKfu=ACsMA}d>a^>V#F4x}Z2n|Zq;1?Gc?|Fm(xV!$I68@}= z)1MFo-hJitvSfA6TLRfmPMf1xfe)&EG1RPfD?dS!T?veih DRDcDV literal 0 HcmV?d00001 diff --git a/docs/images/ECDSA_ops.png b/docs/images/ECDSA_ops.png new file mode 100644 index 0000000000000000000000000000000000000000..62a28b72b05191b436494de72ca2b345e9446e3f GIT binary patch literal 62847 zcmbSzby$>L_wE2gcMshHg0ytENGTym2n^lQ%@6`29TL(YAt|UJAwx(=3W^{NN_RJB zqwo9szH`n$=Q><7Fg&@R9c$g|UiX^k+L|i(IMg^02n7G0s^UWk1Qi9mr?D`=miN>( zcOeiILpucp?RyFejM{E4)^?6o5C})2Q$oxA4sEi}T8^(vU*8rLjq-3MvQv7TZ=!3D zNlq)Tr(Mq)TbWQC&-&Tx5qnxx9oC`N4xF6*DY+7vO}243_v3}Y*i8LES1}oaWfLCE z6)d$FJ2?p3w1As?-PiB$4{cYdq+rUFs8OoXy#fUds>A^f=k8mKt}V~^O?0}}HQ$KO z%4{09Zsh7aUoTCXdYj%QA0f=-e?~>Y7(?>Hh+@&0jh=6XV?k;VJw9Hvk3LsOu3w24}DVyh3c`gXTA?YHdlCwL(coBn$!uAgx6q)%pgn1-A1 zvrGhf6N0G8v+_PBs{7F9por%hAIFO0Psnz7O&>R|3$MMqCT=JVM73du=aQnko`SyC zw=%eAt)T(A4L)N*P$KOhQ1A(i1%w*BArQ2r2nZ(lO9I}Cd8q&HMMcR&`=8I#HwVh; zDBQaT{_4QptgM{fZCyNY2oyxXrN-=Z4Ll4q)WzX0PCVw8E*4fiK2EMTr$8iq#KA`= zD-UxgU>gcd6^mi9OB_1#cZIV&8XnwX2mGX!^gwNERDm+$SCP% zX)XRxQTdnrfCvZy->Hmz(Kezqwo&TID$$RtU|J4(JyZO&n(9hC1lDz*jX3{uj zX0DVFh%DruqMWV|%0@Q!l!o4Mcbayll^R>DJWg4-0QoaNQY#JxwtTz?NtVS$MEL@2 zv5L{Umg>cpQT?g6GVwU;RxXZRE@uO0C8Ul&9yfM;JiZ!R-j_U{azC2z&)pU~-gtj( z>R(A4N1UGk!T$TQqzxez*oyXTW0ghy`%*)wK^UMUj3_s+9&J=zRDpx8{n~p7sOrs2 z4U+q3&;P^Dj{*mcz7xbmFqxocvfH|<&Mk*ySc7WLBZVsQU(hLy6QLqgCn z)kcdodc&Z`DhM^iy7RpqoXeBNcmc~EqKSs5g}-_d6>jTSaBTj3pNxu*1C?Ql@R#u3 z;$~AzQRQTVq+R#%w)VXLVaoOUOX>?Id}}4tdD8LL;MV5TrB`lq$dDZ^3pEl|MwHtq z*x__e4_*m7C8?#}?#+?%FVZc07)se!k{fh;@`F?ITX?tfE2l3x$6r+#?mfdHXAQo( zm@rkCZuIc5(63v-))MyXcsJZ<@-%4Dm8)j{c|&pBlgEGV3= z$-|40DV#c8I+L%Q8++?w@qL2n#oUSljwWox4}8AsJ;ILI-Cr75zelN=8F+q#y~O*d z!hmTx$G_^G)8v@@CnMp!i;Mjs838jSBqTK4F0q^5pPNaC#n~L`cQe+q+*q!xj1**x zSt=8?R`0_p?mX^U8hC}Krm$#QXRTp3`>7?4#BrilDJSU6E*p2Y$-BCkkR6|TY`wbG z^z3+>*I}$8u}r5#?fV-&tK+R{6sueA-JB&^%&+gKjop^D^92q4UGRb@smi43%V4%d zvf$XTb?fE2sKhp3Ne)=m}>)nzz!C3W^>dD`IX+MVa%Jo-mMD0Lt zmDqunROb5b{YpHZ4W6}0rxV5&cG37%8_bv4>48zK%<*>-M5f} zQ}Xh#XL}?at?&=Un_lI~h5ee3WOzC0(rQu@K=kmnS}LL`Hb;UrOUU418rLHpIbVIZ zrG8HKtesZF!uz}}y2a`l7KH4Z`=2SFq&&l=#JI!2h01pp@;ij=&q58UxVfL}PrH(P zEq;f!#S058E7@?xx$^2yBjl(n8H;|5Fm&Wo7f$1bnjn03d@_8|iI797rRlIZJ?TbzWWSi#qq^~+5(!e&UU4kaw=VD&Jw zpNnCH(%R@qd{0Zd8*{=)j%E2vRrdB2Y+Jm2?>gx|&)2WGK3G{|?!^JSpDD#Jo)oDP zhY-`^T|cgOzB3ziUMWu2UMnxOL@2p~_*VTyra}meL+ei}#@5Zr`gQ667)4{{BdOr4 zfDk4|Wp}@$4NkAaa1lZ0d&Ce z8&g}<$GR#kI^oUY-WNG(c0mzK!dUtE{TE}RX15mspq2e8+T}x{^W{hIg-o zyEjJ6?jqhZA;rqRolH9XV*fjsM^IZ>x)e+CUlY$5@{U!Ojq#2P7jN`m6A!Ir2OIpA z)#d(i33m4oYO;@K^i9eB^%`1W!%<9n=szcnBtcRU@0u%{IsWwV4p7M)p4J75wosU}pG(4Yf8Y!(??fLodNVd;zwN zL3^wH8Sd{H|Ls(UpcAG!gIk-TUK<9tOn9nOZe(f${ z7AT(lfdnau$OoztIp^!sq2MB;dS_Inl?@0S6wDZwTQR=ITxUm< zE`8bFGiAg&i;sx}AA$B8pE1rwe(+q^rxLJK?V%3Z9}od%hZ0!PxGB#cCIYT=vSI-z zHA7-(748{IDeoiWr_Um6rd#~$Z>woz01Li~Sih$mXh48%iHg$v=*EyT4J`V$ z+!!JCY;iqcKVd@_>Gf=83*U5%74r=1tm8h441%u8795fs<@VjA+v5-LHPFA3 z@U9BVz3cE2-i|7dJ}NErQ)&~hyg=OC-`_Ck6v;-S%q1|{zp~i z4;=j?-Y1^|G+To%vs$mt`uJHm8e4;}ubx*>^f99_ptfG@y^xM9RF2)7$c=u|6-QV8 z!(tnEB>1o5(pq5wVF#TzPkz2?q(M|K~^X*oBO1IC;z~#)B zb&D-$u{ceB_)ahRZhO8X(m&1|%~PfNq9>6>02q%lgSQ3g5#RKj{Er88J+d}y^3P2=v+y*tWG$Sy+7()sGkoJ3Vg;8$IR`c0f`AC@# zdoKKyhzrGq`A-NA1nLE~P1#*M-KZN1NP!3zlDN^)O^7WlMOg zy|wu45j`S&QiF|fLi%rm87$#F$Q=1=tLttq@LPLZP1`tRtm@tJR7el2+xNgDzqkLM zwEgLPF!i|1cYi6zFP}0lXH$Vtsz~Y(hy1oroE!=wkLie_KOU~R2}W~&rm`it95M%? z_U&m4!_><_9)YD984n3Tg=7djWnP`K%hJQ%BcBu$1zn!)U7c@Zl6jA6h^%5^kQGjS zbjPG!CIE(`2fMV{CK^TNqScrS!&La!Dk*i6Bb|AN0&m*fkMS!i zi;|1nB7kx(bOXpM0K5GdmV~icQz0o{>XWYv^5j0W)|<6@s&~vEV=9;nMNG74At6!$ z9INH}l_c;dMbT(`Z$k(1pra^6>L!g(U#A4Cp#30Jg8Yb#!$}DNrfmIg!y!W|q<$vj zdJI?L!j%@f9&9_L&(nJ0Mt9Y1d~t(x!bz`!^D!facNmrT<_s(S2eZV4{VzKS86Nxf zCb8YUe(&%D;{Y0sn)4NV}w~=*}5n+dG-$#9exL3n;m@dpr19p|@){cd74zf}{ zV`Pyq#cRo2>egUfj~Th7TJ^nL&7zIr;C~W%k#B~435k$PcQdH9e4eg{Cjjq?;dYA~ za&C6DAFC)UByqf0>`4FE6@hSqnfu^&OzDzcT+J8ZkvOI^{D{JkAXyzPiLWqtE3X_+ zf5+w1X)!^52S%?yl(aAglYn;E@oSUUqAC&~Ki2K$eNE)+LY=W1bA{|drO1H+@Dx_w zm}B)Yi&`mvBPn%k5W^^$5!t+KU)_4T*tKdbLv7B1C5pMPJv`MC>urWRC*%0cZG%C; ze*nfPY&W7PeRTqN`nKEArG_Sf85K!)I@f&y0v@~56_hzSt#?SsGWbu!<1KeQwBQzk z_%3Xw_>BP#GmNNPeo*?{Q4e+JQ-}-c!OLCex@`&=vjsW{8Ih{~k=onRzTICaa8;K! ziG~M-%0Exw(7SA%ct+aBipYMe^NQW$s?4_w-u+3k+a`^%?lEwtZl6J1(Fi&N@AY8% zJppA&l+}TnTsR&|7`=kU{-Q_!MKK)Di#BClKR@?oj`hEJ)Odo;7h89R#n%-eEkY!% zsvfpgNBAV>Z62H~0&&^qHD_W;?cc4A=|ezc1i4df|NTu)p!1Y$wuZuXde4`4#>H>)>o=V~Z<|PsW1bwZJf&UT9HHJm@S^FkzY1**rP@Kx4O7#d<%Vq! zd+;`G)S5*$!~zYpL@*2L-Xg%Yd>ZE9SHb(Mo>UH%Se1*-bU1KJvcQwzAw-shi z3YEj`Y=wtfg&Jg&z7a&pLQF6W<%|p$v*RN8V z2za>^{~)!wTc~{05iC55JU?_Q(YscuEwX%YHzFB#1Ts^R&e-=+e^eT=1KiLQaQOX^ zw3MB}_YMLSpMe}C zn;+f<%{o0@&UJWK3W8Kj+85TCV}oAnV;V%9eDot9-EDlAGHezS@9wkyAwpD9{+xUd ztLzk5iC*9ZPzMzq9V_yceo3iaV98Fj`&s^tqL919c_gj@7d~DjSjg%Vwlw2{`-!D^ ztn(N(s=$N1V*;pqu%2Z948TkM<20;vlxm~dfc;plG6}B@BD{fFx4t{n?g1O)Z>76; z(SzO-$6o;NH|E+pgRLIUao8~p!k9ZHAV96P8KU22ZQP=e;{l-R&P}Xj_KlgEpBVZY z0KHnf(OY*&s{|ixC9&NXk_cz5(kp){Lz;2`J?kIEK`DZR0SgC29MQ|#_KHi!KX1= z&fN_g-?me4>x~G_U)6cao4DRNB0c?HY4k%!xN4$;-3#y>MNE-(jmkz<51bE-jazrs zizgeFxT+fD6__SHj`rV_SM3$ai5pX%Fi84nC-bG$uzen~BvDP%Ztu11sa?D;yYQ;T z-(4hxFUzFKOX=bm;5_?9cQ6U;JEFV3Uu6eho%;0~Vfz5MPDdIviq?KG5;#&}(xl(& z4G4(ju9JZ=r^&g3uU7ySdCq<-)4AKCCm-{yH7NMttBTa}q`=wXddazREcI(U>bv$u zvtP9{%@`YbAG6I>)D5~V4dqs!QY|VC;Kp8sW0QI?!1A6te=T@Mx<;J{`+`G8Blsq7 zd4YlKmGMV6F`wrJa>uQYZk*c1gNS2>6co~o{s}1_1l6`&x-Ahg>p$2m49%?58RW(-$!EB#Zcer0A(Tz)WC@omT91iY3UF6~_I(z&{3N73yZi4CyyynPOYYXCd#G zQ>bda^2-pgiXK|+h`>*dqmww>P(V8ZY~qVm{PpH$Dcg5vB zwdIS6_q0{=oA&ur3t>&EL*3Zb*g$Jbc|twlS!G(TCw$#F@QNR8F2107iqzPil<-xY zoWUVXVZtI~;lhH1Zrk?MH7N_eX1rnJ7+nF?p-Aq3zFGhMTGU5&l}b9GVc@Y$evjd^ z{$<1|DjU`jAy%Lo(;w^6lPL=zt8EIs-%5T(S-7Z${~S}24?&wK;e+~NMgm$HH_ZoU@S7tFUsQxU7Nc~I)31C| zyzX10uKu`0eb++B3)dpz@^kISxlmjvMv}HZU(!gGiA3&g_^-|9;xrieB|#48s}kCXFk!WMysVAPhAK;Y!F{%qei6S zkY8>7(uO7g&RS}y0b;LYwqjyFsYKP@HEK$31I8}xhd04?+n!8}^IRR6=5pnc#I&Lh zYc242(0`y=YeW7a&E|=FdJ+*k!?eM-53HHeTZLbqTj*0jeO72hoU@L67y@?WHb26j z(?LI=t$eg$PrnxK@@dT40xVi8pwLz&C8-0r>pGH}K&1CXikA7#npw;SREP5U+MUQo zW#inp2ajm*xv~4xcyqgFQ2Xb;*4Tb6kcRPn4t+o&bXDVy98vp+?fL~Rg(~*ob&O)Y zey$b#mPwfZnt;D=;TPb5M`omq@!>1<_yS`cll%NihzKftVd(yP`cTBzY(wHa_fz4@ zTYT3Et#>-OOOkt&+1E2q*>il_ZxL_QV>~D4(kFxS^8)%-k3|6nwYZe>o4fM$7wEA- zTYd21*mvv$(w{-IepTLtWrXT}P{qRs{t%e-)@zFRtyhm@IVMiR z=>LpZ0eb>kA9jI^6bRe_mv9^P!|r}D0%H>xo8uWafF=`e=%$t_xd+J88dAhzGdW%` zTqa`cX+O^3vyg1>$NjmBO?u*vj}1mTtWlJ`3@+z*YmnE#vv_=g;0y1C_@pfdYu0SH zt%axKqzCO-hbH22pnk|PG$}Mx=D@NJ$C%Z0$us77P;+$b9Y9v0d3DbBCB)6#5?d)o z9<`Sdu}s;jQm6ii?ipJl0e3P~{)S=U5I3X^%Qw+qsD?1oQFoKDsrhJPdj;u7AiPXx z-D@X$-h5T=V5r!wUoHqaa<**QKLw$hWFLW(-?df@x0$JoL3Tw*!Lis(IeLvuxx>3v zKGcy~tNYm`e9|P)Q5x948II0KfJRPSH9i{iOPSFUKdU%yIiBIFzWia$WZ8~I*j~U; zPBRmDY?1*O;GEb*f<-=6)8NZPEReqXORTyAYl)Snn^{65U>OCg4r8hwp%#x&C;KdS zf{qA8jktU(0AZonp(AqaWQyMK{bvGEfC*%Ya%o6ayFkFK(muFg_ zD`ks&e#6$&xBGN`rWuzhSqF=Cx`fvvdiRi|`cQ%hmiSlW#(LH7qbh z!luDxrp7$%CR4C>@&<4-YhT31Ngi%Xnvq5O5bdO*Op=l6|2*fb2QX_v5wLt&NC6`f zQF>HcqV12%IgWJ*{}r>ZB*gDYm~up%r(g+~)ZRYUH(afhDO(*E;T1d4Aa%KF1-sgI z4B#Hz4|gGvT34vN=?0IZ1?otCy%?v`zo1i@xV!-9De4Z2CT8bLc6XMLbRxtfWv-}f z2)kC>Rp{NGs83N*(fTOJr2C@f?X~xPqQjH5Pr`TN`e7B4q7k*IE-5>UuE62;fz*$q z_d5Ga&w`M89EgRSknOPSI--`i)c)r?JLzSgV7b7VfPIZ^6O`FNf<0W22kFPs#*U&= zvsOgiWkNu7ZOy_inR=4y8LLoH`RT+xRJ}WhNvT~qpgYJDU`g)hN(X);_tf7?ck&J|yZz$SNiWUesEYz&tvM4vt~(!si$0qZBit z4a6zmm>|bJHxyr4-nX*54mbcXKD-cX*dLVmo#{|T+=-5*GPlUk7PGT(MB%g4vGdkD z%5-~iN)sQ|q|swn5*J3iGTl@^I57Ehc_{Y@AhpYQ*Fnna=homJ>1w%dSwUNtY2cxr zmLry8kzyWuPc%8#0Vy%#yzhvSSs22m5+qe-ngTy;av%ZiwfKA=0i%qfxOWd9)yKsH z;94|)D?Rup|61ncsY_kdq`vEh``uH#rs;fNa8$0&)_DW-J68I?08Sp7AM=$(p@y`+ z55)CCbJ_*+OikI5m9qs(FC=-*VZSDNQjQvqm!DCZasWWKHcY{*8&`hMC7_#HSHXu^!0u)eK&Y6_K2rQVm31-PzjxgsUCBOH)(mJk$Nq?iHXu zrYjjepOGN)MQO@-Rg)5$_;Oz)qCj`s`1p)Z8cm3Fu@W&~Q1WV8A=jyDXsM7*vLkYz)jSKTtF*|%MpaQ^x39?geWE}snP{WtiX#+^J9 z00zwY$_Dq@;X^ljuVq^RiwI*RJSZXx88Q-fnc*IIB|>>{(t_?g^|YI=7T!&O?-^}} z&c!#@e!+v+Tw`1guzF6yr*v;+F`p2B+@*>KgJ4+KS|pYxA3X_tPsVMa{lR&9?Eyw! z>Lk5gKd!o4MEWb0p~>r^V4NQ6rToSprv6UG_JOOf)BN-?=RSr4im;1x8HGjNwx>Im zhEgBRxdfHZ2?AG+G9iBpZicWiB;9$Ujx~2R?;VqY_W-Va`<{)+jyiL-k@H6-mDmlAcqwNYg%KD-6CaNB5(>@y*QnROd7)JY5CC5qN|bI3WJC zNJPf6TXAO;Ui@e&owC)rP_v7#pFg(xC7hZ%cc0$(7qNy7cU$05_u>n~s$#2<)JuiS ze#r*#K-Vh_N5|WGATdFFg*|l;ubO;6I3zp}o_;?L-;S+(oJT8DFWlE-@ zLAirDl)rlE@RLyb1j+vi60qhNKrCv_76SL%m)oCw?~o?l*YJrwH((?gW2n?nFVA;g zOvCDivp*0ADCc)$A73_lCCwJ8&#P31L4Y0JoU3;$t~(U?t$9zWxU`-3sI&WeO*HQW z$(yE`cxAv*5vw0>$mU|)<>|2S{23W#Y}K=-ZuhU&i=WQ7MjZ~WVi%<19E7=?o0A*8 zBv>w?7dstC@R@(p%~YAKjPj zb=v?dx;{fMerc=<&lDi-aY^p{Vuo7L}-L9`cHjctPsSy01fm zBBHJo6VH?XVZ^F_ee||bXXX2tr!6(%*o3~dsR*v>=7*J)xMUoR%8O%nry9O>GXxu_ zB0%cJuZou75y+dQZ+1XC?(~0eFU8@t{1wtg91(25;*8F#hu3SaN~GU3LqEO4^33cF zgcm)EDt1__Cd_P{AtHRSJO0sc$fQrNxi9sXwgl$pZ=dhW<6kcNRepWk{6)+16D<1k zPyb+ksi(On+Q_^Vb1DYzV~y&;WuJ;q2IzREm@T=SC;aIku$lWE&&e%Wt&O1_d_s(@ zvn9v+_E{4><+h&Mj?oSU2f8+@nJ5-|0Z3ZUMCw@6wDMyWFM!nba;9Gzx8cK`nGnWs zQ8EbDmJ*ofdZ+DmWMz!J9IixDIvgnJIf|x7zIcaQZN3vN!L|O!bJ-~z&$v?Lgi}I5 zmZW?Dl4AKI+M%06pvVHYR^M@Ylr(^A9GTuvsN;=(A3l1@n?qs$!aQC@k?Q7o* z<;Sg)Ok$&?t{eP<+b(sZT7n`pe4SSGX48+Fyqq-ZythrW+mSU4owiMWQ_VYv{>|6Z zfPI%+w;d~#D2~j~A4QqKA2J#{p7F1aeExLW!8`Jhs@DTSCm?*p#k6nQ;F=GYSMXo6 z48Zjnd8OP|H``yiwjXBGbCvPYZs_S~g3sXn_p!R^1bl^o(gvF@RL8s{tB8s{0eUtm zoy7bZsZv$1?Oe-N|7PjTp_vV64x^y5)_n=PTnh58W?ulDHG*(7wat=l_-hc4c@C zQAWYbkn&4Orx5t^yx6G4?|r&h(Da8w$cY8Dn(yz_M;^g7!CooYebk)0?1)4;tN_LF z2MjSiiY#NKYyql1_w~2wi+Z;%!^(y&KlwLTcU(((0EkvzjT@uy6YuAnyZFJWiomsv zE@WAF+wDm(2D?^RgV^!->cMt@}Of+sI^82bAIf5JgP z{AY|DPIZ1mlWrcZv>QoAxJf)AW(IzP=?xYtvyu^Uc9YhX5>KTzuL{xnp3igePi#>h^{M z;wR-yo6}PyZZ0}Ih4sE7g1pr*va(~t0NZTr>usECreGK8wm7OV851Q$fH|jg%p=JX zA1%!B>@K6cF_dzM*`*7;WjCx=bP0V9wn$-fqXt+l6T4-Ry(m?L%Vjz$G3stk?6|bx z^z!Lt_-nV-k=JyB*A*{2+i*H?P>h4cD+sW>#UZqO&%)Qca`}@y)CqYYgj=sNM84Y$ z(DyrwL&_h-xUKfmOoDt06Yr@1JHyj}%-5AU?tB_(Z7GSBg;XuO_qH!Tb99TpfA&t9 z=}0<7V6u#l4)V!hH7x&P?R)up3x8aG3co%_hGr<-F^P7HYxM1~H`*Y7;ftJevqzXd z%T|wIZK3oegH4#L?Y`Hn>(gOphWv%Oaa4is{d<<#LGR9WHc1}~vpt6nM=h#E`1%Ts zpAb_Wml3=)Hf&3h;arb~SL%l!kjtgT%8VX;1X!dxB+W&^Q8)k`d8P>jhr!S57SW>0I z#phFvB>wr+?HZ>Tyu%#BCgQB^O6d4O*Oy5uDZh7cTEbO9yIeGGLP3Hz?+kvuxb(3G z#(X{fD{Hq8{x_>4ZQI?7dC`|`SG(N|esS-W+JXds7oFFhMY4r{FiuIePr^|{f>h-a zk_Rp8X=@Det1w@0uCAW((K3X9nX=OXmB476@N+@;C3UG;*j@h|@@B36Xx2>wM)L-+ zT=17KlViLI*Q`IEXsfPv&6;ASLhdu)%bk((Z8jL#36#|se${7R=~)V2c|GAdHIre1 z?^|>&GE)SC7`dH!IxP^+gtc*T!{O#cZ1G*twxQF224+JaEiZhEs zNf5-4DasS7HVKyWxfVpCv_vi0MoZWO#i)Tkqr@6t<-M&oF@P7G1CPfYG*Y#wF)~GB zj5?{OPk(d=bEY5fYIip;Z?_Ef+Jh#)uc)C!KG6?FDx~j+xuB_SV43mI9kWPm!>=M< zm7EV62~C@F+(Xt(GBrCdEg6%%J6K;2WyPp$!@C={O~)HP5hmh{AuTrT`LW zoR<*3-=A0IDh_?&IoYGw5w=`JiSo(c!ds>c+8Jl1E7k&{upCjy1FMA2TXjun|HWl@@+60Cna*TnAS9j+$3 zVW6B!8eycP$7j4r=fR;@9d>vtM4c7#`Syb#DT{%$?VNGq*SU!Cx0ag!3Sb@rj-cq< zriIl%%1TBru%R=K&HYdQ1>^%#m_a_^wKFBx{Xar+rd-^+>%mNaBVqxskZY1Wk_6@W(5Kg&K!t$gs|4Vj_I8l#iUs;)RKWKZfA6Lj zxqq8NB@4oQj48|Q`-)koAR%tl(rDiLyz4cSPb}eC3U;s^Di+X7Y_Bf}|GBU1A9iez zyK<0^c%f7Ag--B3$X94ScLe6WM(8(P~&ygbvWj!xU{49ja3@8c&`iZ3Gg?g`(_O1tcgf7 zCQhKt?g5#JUUe`?m0HUtf!I+MsQ-i*NbL>OFB~<(&OqR!tU}zZMGdf?BtXS8O$)WL z5V6u%*pZ+$JmDFfAn@-ZQcZP@Tz#w+PNH1lNvi&t{{b``m#0Bip(JyTD-QczL0aHv z@<*adFDMS>cKQW}Y#TxP_gfuqQtfJl+}$JWZ%!k_Lcrjzw9X&}_-1qpBVTc*ugrZ7&~ltvJ*$X+PGUM=h1ghO(& z=^%~eP-^fi(gXIf0U)Wnyy1KA1fDJ^4h(T%c|9_gxeTMIe9~%KP0r*HD}b~MZ5Xjx z0&`!$ZE!3S!kbrk$zwvj>mJcelrh|OuB`6c1F}g0 zd-7S%qed@*YVv%en=(9Xy4LCii02-3d@2Z;ehZIG;dmgw*!b!z4nC=aGLz)))>}zp ztDg>`NyJ(obV@YwOGUiQG9l5bfO!t1U)KC*E(2beBQz=?GJ@jeZZFxvWB%Y=K^x7> zI#0QN&!}SoX@Bk}t?OpTcwZn(l`e^+5z$P;2~`04-2iG04oHICXz^<9(DS7}5Xg8+ z(+flR2Fp#FKHMmG-sqLzLdT`}_6w+Vi96rLry-@yfy!8}PQ|iIGh4hDs1;!cqTWe= z)Pol?Au+nB!^uEtSk09a!lV!Z{njBo4n!v3;p|zip=Rka)cjuPewlAccf>B+ad}e` zSya}D#PK%tD<89!WP4>6zulbyVjKE7Z%B*3&{oe4rKYpkej^)>qZMNY%i}O5L~@ss z_jKU}FKVsw;x$mkV6WlllMo@IByQM3WTdRJCgur|Ya1n8m%Yw; zwV94e)MF(f;9|Es=U|4W2e;!u-_g{|qiw63o!U+)#fXFk;PxF-2Vs{792hvquM*He_dar49EyU2J+vqv>g7nL*k;So#E z=tYhyjp{Yc`mq$+6>rEbT~7H$Lf%MNh*?K2)*g2lNCxe9 zk7tjI_}4EFCF?AZbwjXGJ38%ncz4`tP|EY);Re)E;`4;}F=E~3#ay;$TH>f)55s5f zlS^SQyxRqYs>EM0sA?^D$bD#?Vh0*FE0Qe~JA9y^fH?up=5@ApvOJ{+h;Mp<*0hu$ zFz9?!B>TKxVe2X_WEBDve7qZrraV{x#4Ae~7`)eLV^^|w4~Z$y&L<@DDs*X`|oRAo>3EXM8u>49&YO+CNony%fq(!?o`QH_ye4VqIRS367r znpf@NaiGZ;Y2qdcY6h#*ZOqW7m-0yf(~aE=hZhSZ>`Wq21+$QVtAnxU-klpU!Sq1f+9(xIro}ZH(PJR3Sx{y-7K4eBReUqiR2N0@$yY zK+yFCn3E+jdV6?#ICiLcaF+WQ&XUr~wkTp2$r59auS$D>R>6uPo@Rxia~gf9C{eo! z5jfC*!1Aq)j0hO;1(i9VKc(=H|KKu9ug?T>GHG7gfG_@ik5Fl$4U-||^9#y8U_I9- za&c%@!Z&7HWys%9*Tzv%$ztJ80?FiER8v)P9&sNa%(Uf|0{Y2^MXHI2%*}~973qr| z1t&a0dP$h854{oV)_Wm{fQ2 zN8*icOe=^E8(>~54_3aiWPFEDuqGTqw_2}*J^}?N8>kq0V6uL*z**3Ij*;UZwe#g4 z{7$Y&9u{klvRfX^ehm~AZa}HeR(`wtQI#RV%cm9*xwHy*USIVmw7`X|F84o~7cZCp z*zIA7_t_?JX!2Yi^K%BR%c7I;f@bXlY3ABf!Ir%4{sfaRd=kr9?(eFA%8;;uXNxgJ zk@#i=4#a5h+UpiX<27Xgmm+5EGs7Rs0KTEgM>Q+A%(~>$&xe*Po@eA6QSq-N1cP!I>`>g&#+&ZPrCRnnSR?6>N zFG#+c>V%-8(;6?&wD>O?S{m;S_9R03^A*DG5>D>gX=6*$NO|E6*}QfF!VRH?p9ia> zDtwZ~lH+I3Kgt*^HObNY%%N;bo%}#ohz82(@Hrp- zii@FnZ;~EGRIl5_T!@(3g8g$)5s`8LB)h4gFh(6eao0H=FgfXQp`U2@rpG~&`xK-+ z4_cmrN}GFt8M9jKioFq+s)1$p3(Rf(qIA@iAJw@)+dCCD{?0P=0dyP!`z+^_v>``Z zZdh0RlNq2t%@St*&&M}H@XWgo2O{{d*wq!xz765b+;?f-jexOrZAG8k00HD{Q1G$` zRCc{!QT_(-F$KsGI7P;7vVn5@X|)13&P}b#+@R!szroIZTY8_;pzF)y^wgUgn{pBa z!vrz}?N9}HO`gEg2T|GUb%b@VsP!C>w&vqqvoZFnIg-AewyQ@(GWQr)p?u#XI3?<% z^mZQPqG1x)78+GPzI!8&M(%j^BruJ-CnsBzp3E8aOvYxE|bjJ`t@p45R$F=*q$T}K5*AIp&qDKO)!{zonzv1{;WI}3f zzcOFOt!fBrRZlw4$F7C~hTTqT`ivK@f?BckQZ3h@_86?aH6l8{-TY3WQ1RwSPAKdM zoz!3)evc_)HUUE_7zTOV<_#2+27?-?l?*>--#a{d&waG@y`e0p0v8*(f*)$tuwve% zRMotvCI*n4e)rhdu}uIierRkz=yolpd23(dJlziG5FAg%GE4E4fvvxhli zczy?OM$71(ZGIu<2S;@k**Cgn7T&(nPJsYs(gUjMg{F~NIAoNZNS)t|j7TT*ISC97 zf2P3KcAF>0!iRU2;3dz*c`20joIp`qItT_9fR2mr36mTj@3RQ%+!@q$U%cm&`2pK- zFPd11m(Wi;?YNEQ>KOv|3N3FE+D(l}uvGPIT#}zGCH? zr8XEM4Ef$VO;A5$8jOPr728VnJ*r7Zg7F}?=5eh7ET+t809u-OxtlQF~)-r__NN-pqWZ+NhUI-KntAPRI2$S{bK0cx19 zL_Ky)LMfzSv#LhAObv;*-Upz-yR(zql?8f4D-riV2NoLjlx5GCR4%E{1s#_9 z;yu6wRSFaB9<8l$o`{N+LTTBNiaBwnQrs5132owII6<^nXK?fmYnXjlqB^Mf+uX{_ z9e%UBb5{NJnH(YYPf!bkAr&tHaMMT_1aJ4I+Tt#TA|Z_?Vz~6|xU-|>ahAi&13N8- zY_jdHNeM!s6If%nE!tq)?IIA;lns#xw(<^19cO8B!Dt|X+igu{PhlBY=XzZP0 za*{hc8KK8J8=u$#Y@DE9nDef z1B&zSIViC*De1Zn7k7AnLJdeb_n!Ou_a85Ir=-qdng@3pzQ%sfKqxo{jl9kB?Nm}3 zh)~6$F=&i(yyqadO0sC#SF-F}LUS35`P9>pE^L6Hn1g9%)QgWm(Pj3!C3Fx+naAmI zjMoBTA@wWB+L)AkqP0XS*I?Kx&+{@U3 zWwtsmG8@2BgRiR8?c&^MLW}J>I&Efh1E?A0pf!%f_cxjglWvu+Eb?Gnsm}?1uCf;2 z!V?rlyNyB>u?Q{bBi$b*o2c%5!}>#McCRfxzm{@7np7Xk{TCTg;% z=5_xTXt|OC80*|f!)Z(zPJegzofQzvaR!iAh~IELmJ}#Ip$)%+Iy`xe58FL?vksBf zGR@ZJ)6TcC*6>x7(`~}H9sf#Q5a&R;;@Tz^x~@)2p_2_E=g^jQ2w%~4JqeSgeyb0i zo5p!slN)^HOKBYVOHa0l|5|h=ak*XaZ@?f*m+;F5;w(PTOCxIL6Rae zeA7c+Rihiv$;Jo`&OSE<^to{#KgaF9-b{y~#u;+0!@P6j?D7r+M#tBml4jqRiKWr* z?*A%rivj_s_OiLUIE~v~-%OXKKZ|rKl5(9xLBN{FIvh}EBp<1eH=svSrz=~KnX5%Xuc>TmP;heQ`+9LxCw&pb2)ZHF1P zI5aC5WiPg$ld``-$sZ^JN)>UNxNV?c)tUVn)kPkc$I~-}ae!4a1$YQ?Mu%|7B`Cf| zJL1+U=FuXxkU!r$cs4=?Lx($_Jw%vp-H?qn>>%hAc_$JR2-q+Dro9_M zaPwC)c_u(iMh>lndMm%>{vk2DI1y2alENZ%^R@FtwGEZF3h$X8w~mC@sEUGbcy6iaj?c1nguKMAAXvy7)5aR_4pV>*#p;l3Dl<@pqyP8YF=Z5>$`|~i3 z8dM3?01;{Q4W9SBvWBn+H7zS^vytXJGN)gBqcLo!t95_zRM)v z(jXDsd|C0P#+U?)5wIo@Z11AmA)rn6e7E3~Of1SV3AZ#di`HJ(%-AWLfrS;ilD*Ru zvXY%%T#$gE0a`fM4ihuFxEDxJP{?z~=tByXp!LdHG^mlle4i#-CGR7EP0NnLjjlXg z#diNC*2Yr~);SD7>rc5-8bp?2Ggk1KR-7Mir`>#s11R_Vh9$6u=gW-UnE`Qp+k)@C zXiQtj9+CiE;pSkar}yAOIe4?xa%}4P!Lon}C&Fl+H-z_5{S5zDAIY4c{k@%0{F_Ig z=cyqeCS93nX=(;BHR^FE%=C_Fvrnh*&Yv%)=+x_|5&4i`kr&5C~{bC%M`0-7?NNcdry0=LCXCO*DRXNaRM9I zcC9T9zJhPL*ofG_DK42($peb^n@Y_aB89OSv%s=lf&G6G_a^R8zVG|^%osA3vP47n zJ^NN6%Vgh5WKGJFElZ`yZbq_Y&7SNMlKmxAB5M()2xTpMSqdS`?|M|9_xC^ey^fC8 z(J|A^JkR~y_kCU0d7bBZ-^Nayf(ZHyC$n3KLtGMyO&=GdV{*Gg1n&|e>4?7<5ba{~ zeWZYXoh&A@o)1nD5E{b;t)|$Il2R`C>Xg>c2}9kbG_9Ri(7|{%9_0^mv(`hl!G5oh zj*?B~I90kTW|@o7+~|GAKGTp<((vO~z;8aDc`Znese6$4IY)gv7^;lwKO9bO&q)2HT0+9fpg@-d>g`SB>xi01GOHYt}x2J=) zX?fYW(pYdRy?36+=co=M_?~@2WLk%UViICy{|i9#UqcQ1ftu?T?B(IrPVZD3wtnax zIa?6Lrsy4~t<6;cI3nHI`(2_&7{H->Q$9m2>zQ#QMcnE}k#YWpq|(23k%vYgRSy?w zfG$-zp#H;SOS6Y4M1uBxwne~k>TgKh50@XJ7U4XhY7Isl(r!bt(J1d;9l_QIG!D9$ z+0)1}NI`lvr{zdhPiF(mzFZ41#!Q?{@0S#qkgsE&B9G`Yc1Eu`-BIy54eo%p3 zpYRPQ>(Z}pb^syaxz|lH&kj~SWIN#{x<)S5{#P*n=!EzjDEj-}%%p}*ugQq=jmIE>RCD#+J?aM~VxG!)L`dV*kEB(2lS zfg0K#q&;&sS6d^Q6qF=kTCX#8+xd$TOe% z8?TNty#PqFC7rN5(R!5f9oYfJY;-tXVz?@%iSn(*8A;*Khv-Q_Ro7{cVee~~=h=Oe zal#CIQy)RUKy=I6a%V&ngf z)O$XP!b|atPgW9;VWz`_r3%t4q}b0U1P>qqQd4e93*G4+jiZ-LAYZoC7z2Ndc-VwHfXpX~SeJwf zv=wslZ^J&Lz3a#JjtSAv^*-~tUN>L*^eeQGlA-gb2b(eF^WAqEYw<(SRCm4Z_S#N)vdsNmfi+y$h+IJEd`004q ziRPtM%Z5Me%fNE${T8a}Cl7MkKF;4nG#Mg{f9KHr^G``&6b|$KV7*0&@ZR{eFE@Y-i=GE{4cZKjg7-xqne_mRBsVfPAt%QGXiNb>LsvIh zv?x;(^3I-Fl4NZiKMo|>pCj;nWSS?Ic0sh}_9{!|1+wvdxt(XGP;hpSXQ8UEhDbCnJwxEYpwP^wb5v??>fA)-K{z<@fCO{1&qj9f*4%l@&b0A2)qvu|mOMe5i%f|@CR&$WD5`XUbd4xAkZfbM?~VQO!T2xN;A)RE z%E4^A1O;O6W6R@^kVPR`OC_{@j+@E5&1>>EK6t|1B(IwyE>@04(j-yK8vX6vr`7c( z%*fPGSLcFhvzO;nPJ>=Z_~ZHOwCpq*>XOGIfcFw=*X4oujv!kQbifN-b`FW1G+u4&bA;5@rZ#X-Z#Q z-`;EN3`~GPXY3Ur>!BO-~Aiu#y1{+sL zV|usVKiLc2*bP(`8S=Qe&}NKa?UK3$Lfq0)yJFKAT>`_xXV_m0x&z^1C57}_@FBOF z&x~HzYLa$V*M88F3|q&*kFH}+V2XOP?Iwy^NPk+<-_sYTogRb5b@s#&|CcUvkg7_v z>vWZ7>4McuXtz^Orc!T-g^V+_zLAQm5^1b`uDv#s;GMWP@d#ARqC$ub4b0F^u)`8Z zx<4fsUu0ggMYuCGTn;JZ$qkLmJ^4Bb`Bz38D!rF&>%LWXR-TA%M_?Wzc1Le4gz+?; zeol9qjF#8ph!`*=QXs`n=(z@)#MS#KwTwWhWPHFUBp`V_JqG1dy{uWLh zIODPlR-pgk1$D(;Xpd;al(XO6*^YN?kwIkFa!QmUrX_Ekg20Q+Ov4)D8|zV#)2&jL z$cT2}F~Eqp3j*NTjydQaKNb%IIe3cHN6t=apeC93Ze6(m_E~R7RGwKk{Zf%N`&;{d z!yy)AtkHCOl;aNUIsHe*86kA9m%Kdf1HHAv-<{CzGeT3Qvoh{Q>#tZOGZycMbi<~J z63s6Su{=EL(Ahto);Pit9ejG|v+f1Tzx%toCJf=FXZ}VnAl9)?eRLH3YuHsA+6c`b z`_YDg(xTW;!l0RtJP~}f7|1`yc8M^4TJdBCe<7jcBya^mM4S&qs>(~^_P{Q#gg8It zjjxQJzfV9miF8iLv;GVu`WL+r7~FILW-&~|tN0rXCqA3K;`J~zhYs8|b zzI+Qd>q&$G>s^rxa}EB~CN$C>|D643pZl|AO~|;S>s=71v=bufA?GT{smT-+x0A#y zO%YoM(C*L+p{|nY|GCJkDkLDPcSfRPxjSLVVuAfclM7<$P(-ue7U1SaQ1kkL*kIW7O~}WvKujfJ2b$b^ zP-@B!T?8YcNl@XO+1<6^n|9w@Po#s~<J>}pQ~~n3}z;yWV*HEhuUlI)!C+7 zZGQFoHXwx*r(XJfh(_HsVJ z$()0#d`N#X%5i%08rL)=@tXTsCIQ(qZZZF$e$qAFMkH*$>p|A7lJ5$g97fop@)FgE zf@#{`g>zlNhSIR)>NTqXSIbizD|l^Ih$Ro(2%JOk^MM4)%uxjtM#&8Uzja|_d%xW9 zS%m@RNC)%VtocQnjN@zQnHQqe zf95Z-?XBW77(iT-V0t3Om#0;qI?kp(KsV=nc7T;gfZQK=4viviCd{?)yh}z3%jI{47@Sfse7Fvyk z%9-5OB@~ay_y3_{a1~+4TuY&+t@F5fnK|>=G4$bMu5YitXr~UD{er=WwYqjxqJyxBc3If};caai6n)Ck&S}*0k(` z80cjaA>ZYDyg&Zn{kyu2(0fE8kq7u_Arf`&tBV7`WQ@kKziO2c`@5e&z8HnQx$yZ2 z2q2i&^RM|`txr6Q8LOvvk*yfv9J!CAuX-puAI7vp|8^j?Y?xb>0MgVUk~~=Nai83u zo&uzf>69r>UeG>~2!N(h?h}jJ&?fr|FhU&o zWAat$W>%h6#WnitLaUj4C;iCZCma`vxRvE;ewXC956mj-dt8He8Q!B&n&e!Au~eOc zNZ3WRo%P=efA6qY; zM?==wuD@@Res8-$(l>K@?Rtjtpxr=ZpKM$&vu+ADdU!g+-0JYpztez}$c^|#gnT?& zq>K72oP6Z0rnM;gAr-JeQlLZ5&xWD?W3s_Ty2L1CQJG)=LhHs$bseKbBCl4AHuRSB zp&+ey@ECu2yFTy-*y-ti@T}TfC~zlNnMkl`FL$AD#DH!xE3TgZJdUXG74#*7AjXV? z0NMeo^efcu1|6-Hbbqh=st5@p@NBp@csJ$)xf1hW3|2z4izj0Nc1)FmmX!~Zspu_8 zz3VkSfC7TV>ef|En*85E$)_U0EGfFKD1?RQ)jNx>PFubkas(Y-umUCoE|TO?6peIo ze_#)IjAMEz_t1-wux_g*o|j{~2VQGea`6FrsaZr|!x(}IrNR6zept?7j}OEbw}UqY&bg3ALXdKsv(#eI@Mwh6uM8 zuOao!t-trh2!kwjB(~| zr0kWE^FU%1MqJ(qWUqkaI6yAFS6Lx<1OU)8*bRE?JzeBy^Aq;g*17*K+goa@^P*F) z6Q^^b=g|oX-&cSEaxrmYwgUfR+B#$H?}exK5XpgaMDN-43k*eHzsu87V`htIIFn64 zvwILfje^}pXjkgKzZ)KO==2cWQNbvdo&@A6Q%R+A;M|Cc(0eG10Z0)K+aCG-sJIt+ z_oZY2Wt`2e7Xt>}9=a%7f8k{IfxT&PoKmja9}~C!P1{V_+&%%y$}E@Ysf}xX$}wLk zM-InF?s`gbUJS07AUj-kzHVpQK>S?y^6PykXV(@-7yXuP{C}1iC`{ivLIMHk%aiku1lHdJ7s+=eqV z-bgh$p}c|T9Vz_5a?CZ=KpFZhF~Xd7ir*4qE&30dD7WngdJQaKrDFdJfgAQ&{(;-8 z9}9m-y*m@|LrT-aa8d>gB;i%)>%*(1_5nXO09wd>-Ht6^e&q<}i-wbStUOu%QC1f4 zW3!G~{$15K;BP+H;WdMu!XG}6rodNKT+4m!_&V{3@&-7+94q!OIGr(<&;zrYDK2*R z1eM^H!5f(?(TmwXRJCj5X#T|S^4y0d(a+MGJMI}}a(Ok5~@-UqAwhaQoqIJ!6 z?wx7GXKLpu_T2$qD-U|tw6BmOa$Y+>1K^H$w(_lg2V%Ti9)PtDdc)enF&{|?v(9>a z?a1j=3-AhEMq-t65!e*6m?$Ggg$BxRujbX29(J#7669QYnfL0U$K-3}4QpAUd;ZY6 zn@Bj|>-FyISx~rT`M)KL6yV&Q52k+a^kaG2^&s5l@{2`yD89xU>ndRdyo@HwJ1@$> zaYClpe=BIwF~ido-gyx;_Dt;F@5x=|t}K-xlts|yAA?MmIfOGxR`Sf8 z-8j?V?U&$7C+E9Tm@1U!0i#BwrYgb$ZUxSSdqEvhlUToGqt0c7j6f!P#2AB#ySnf1 zc6^BfxBzL_vV4;MMGvH^MKK`$lgJ-Z7t&agS&%8ayO%K&u^2$7Cj;NrLFvqlkfgJ>h(v>RegczT0N^Wie&XeneKg4=XrNR#`K2#595> z_}9Ex<+~7?bRnbhzx(sl+^t&|jUpP6VgPZ6cpzL=>a1=JUj!h0ooqeN1>Jgv#t(te zhzq{JkwnZkua#kVHxoeXgtPOE!bm&Iv%tl^F9-gV8Pe-*9j}pd_G? z^a$x{{CT+fYcW78k(r4;{r!>c_dh{q;v_MYbS=9cB=sx`HwqCh1ma?fB-vq{f#wL? zP%d#Q-5vnrd{~@Dd78ANWJi8{2j4djs6-32-7HQa&rjP8>6!TN*0>JxAV|dU)0>aT z;sI^#gs%}oAVg~=DSlb>I*N#S-w@!p$BTv1HOFAg@Xv%Q#9dY3x_qO_poOv#?7}ZW z8l}Ln1pS$!giuJyC{##(0hITF32jtjE>-s>=EM!!wSAoaTq}-~x5D?91D69@sQ zgo~T`^Q_O8WJ~vVh6xCi3KB~}fTojRCKTH?#*>U2s!C4a5GzV3ciV1ae=f)E&=iK+In}(J%Uv1Y@0UBIi%Rx@io*gbtFmnSg5GYZ z4SFBepP@jC)HBIQl(-)CcY%YG&)axZiJ|(HqXXw!XC#`e93k$R0~I@mR))0-V9B$a zYu`l1>?T>+pu1$0GvFcFbb|E(bj@m)t+$^ibPfaQ?tOP!xXE@iJ^JoBM=C`MTu zqwL?@mw!)o2^vg@OMK5S<3XYoL9%Ief)(;T_HUBE{&3vYwYhSjC};Ku4=d-#*v85L zsAYaYNwNo@npf^?ZUm!AXR=VP{c(^G7rsH&(BGyB=1>9yb6{}vRYO#N*Mm6Uf)%*< z#*fMOi|hMZQP0kvs;olq5tQ%7a46looYJ<5Y#78xlzhgx4g{jU@NB>YHDSU+^Zq7a zlbODAN36sLicLi7R{Jd{VRpUcacf?*+R0H1TXB(deoHsio$*O9 zcBXKaWWh7Ay22D&g0bT4=ejDzmA7iawdj>$j=w4zE*=cd1jAEo!OEw($fmZF`u+lB zv};?Bmq&s6iRo|70wCA7Z#=&#oa`G09)eJLp@ASmn(dj)Ue;Sm5(8s0MFmx9`f$NRrCFhyQfcl zmsMgu&FW%DETRxWi0{zB{1|v@&|rRup@-9zA2IbY!DOS({MkZnwYa`&H$sf$UC#?K zK<%K!>%KBr4Ta7gP`5>3ss{jydH~3!2Nf1pGa!|N$aALH_()TwYS%Q>N$1$Vh9pcu zH#r5U0mYN@0AE69ELkY3x$PLL%V5E zB2sH-k@-#JU%cd6L!kO{Y~}TxgGX{H{F|&1R2W01qR*JBsc6pdN}TpJQ3aD{TxiH; zYTcM~TH*I-*eO&L1`N_+Z#wWg`h*VG2)2vb#JZjA<`}*;FODG0Kq=227kTJws6rn7 zeuv?Oi^ud;i`Ihva| z@$-o|Ax+|1564PjbD1BroszFDQMA zM6S;l9n^~gK|+B}ycTpPu?;Z#DT8 zv_-_S*F!z)F4|6E+^+<}OWf56>zDCWja=BzHR|PHD;TqUYT`b)an|b(;sxh_f0ARq zUan3PEGy6uZbuXD=~H2$@ikSm=X%$=^gB1k>n&0g-KSyiTYb9ih{+>By7aq=07gBzjQ5@_pL_H%?Dv$!dG;~o=TS!?ifeOY!at{d z8{t(=QPXdJ@Z7Jf&+fq)$QizZubJr=r6?-G8MF72F4i*dxv-uc(Kd|fcb(aB(|xWBVfhwWQYl6=*YXD98d_w zP;v1oC_aA4fu2-76SMpz7yWL61$WfE_NKR00Mt5t8|Q$N?^TS3^t|I1Wf^m3TcLL75{zlP5U&@BtbxYrDV;Wy_j+{oE z_I*IJbo4;&Qs{Wr6A>R#I|^!jChJzBXHX0#hl?O-Vr>_k`i^q=2|#SyEh`vIXEdkE zg(Nc9W+C>5L*;G{g=DW`c2BZM?jNjH7ZNky%!pAbh*Y7GoD(N?%fJdW8SJn;Rz)SDZ>sn!$0IWeIv`(b>ARJC28t%qHIC3X^rP@7 zB>fj9W~A)2Icen+d0MuK1BU1*jQN9CnRmtm(bH>#~G6b(p)MK2D7UjWjitoKgeqg&h4({~42##Ka**Thgy$IqBoxU5|qibEfV!0qu8G`+v zQ*8pD+oSt-ta;#o7uu;s>S2s;HmP+>`DL)(5w2u9+oCZ5n3XL03Juj#W|~f;l!A7h z$qioO-5Uq#UH5lI?sMA$@%BBb=RsFMPW#OH>K?Lel#245kYoS@%jEf@NfqB2q&590 z_&LG!?4hO@8}F@}PwwxDR`|>(N`99@xh`~cexg7(kY@`umLE{2woV|vqwLzS3qkq( z2kee626cI8pep8rPd6Ps=HanJtoxLgne~$Z&ph2_fy^KapAV-FKU97CDiK|5oQW&)_fUX6Vv_US29^&GyQv`C%Wbo;~ai!@fORB%_^aMI~B7u8fzE{ z<-9$MJkx86gCzAM=(T#8Z5cRXrqIagTS;j0Z27aYQSWEL}P938l||hCvG{S8FflyX&NO2J_M`c393n zE07(zN%b@MOu25NP|j=A)PAJA9c1(dZglngY6&S1ND3&A8utF8H>jsOQ;})^ym9IjKF>uTl3C&ai0Te%h`e zkbp`awF=yrd{v_Q!QO5Y6-iwF#OcYQDCL5|zX`)w+v;HjejE^JTpXvDG%aV1gJgv^ z=34VC*HS2LuTAcaSt%K@u~%KPTlGC9DxOi(qO@ZqE{-<-hl0@-%6ZORu@)+)Zq20n zD71Ht%m1A2`FMje^Op7DsnZISFKt-$hmXyBD{Xuzu{irH2@vb|T#qZ}JP{C0=gSmr z-hk7#;g#QxqH*kbbn==fJ@AB%H#a4&&rQ{!RMXUeai)jiHrvw^eIw(zEXQFdWvEr5q;}W$XE3;_BAqdqg#z^l&iQNWAn4r zd2AwAmm{+Io_zMWqcGM;C-1uk?cTE|ur%pS5&Qgo)sQm;#w9DFE62vET`pFvkb9nF zDI8rI-)$eUAigp>?^a9fjO8;AzP?Q0s_kse81v<>J%Odo)Qh|G^yxl0^6lo6vHjdm z*}Fe8^A(hol%Ah>TJ@B7)F(4-p%nhx^eveG@a~J^!tre<2jO$8dG0o@A>vj5Sf$tf zo=c~r!>DH4(+SyQMt}@+fIPyfhCYPfdE`w#{r9z-hxG?qs$$dwT?M|LHBjnn-KtP@ z=lw!C=)n0|UN ze*FT+A+6M_h0-jG&F#AzB>P(?9Pjh+`bBd#UWJ1=G&dJEgz$@wz^>15#6v#{3R9*- zNz!Phqld4SgUp;l`8#1|jyI>B=RH%-QCzsx&n}tvvkk3!MEsD{DF;QCSoth_Oy#(2 zk=}2^X#=a&B64PPu488_CKV3~2CA$t9>Sf_j)=(5PK&_u#?MAh;o)L-38LtD<}z?y@{6S9+touyIB{sSY`xw9Z+0eko>@L} zJVx_HkFMuj{_eQtwC$Ft3m0;9UW6YYbbAN{xr*WUy?f0=_BMam^vT{Yh(!>VJu3Ob zq?a<<#$rCC1MTpphGR!W^vT1;bOQ3GU-5M|D6Qb=THmtT!Zx%;jnkbFwgCn%`bK6O zs7VeWCF_$g7j}B;G=hy}#}4`X#-maxH>0QaJ;U35cw95|+D?M5!iewB{RD&&Q;8h5 zca~XgH~pRTZ5`}d4Rj4&UQ(U;tuxUS@&c~jm!;n4+rF-hx3L?M6xVzVReps}1F1*( zBFiG21E*~0!LFlVepD@=hTmr22pW&qc7arCl50B)|duHm2leojeLpR2A7XQU4hLzRNZcw+o=kB&V z+eg(C0IZ;h$6eGthU-v{3!cy7XZ$7TfKL_su?mb}&R>t7bikXFhw-6@9a4lvDy1dP zy)&f7o$NC&af9g(2{9U| z|JW>c&u~W$9Y(WI)cpF{H**h<{-#FxJ?fnh2j75Ob@1zg2yT;)tRX0=Q#+d@q-xdF^>Az!jIN3Q@^5@_#i)( zns<!t<^k6ku7Nx(8uLQ0S$j3^nsxJqboKPsTU zzWb|kjU7CRou54L1%ScDY>p^ni%}Tkb_stIh9bpg2CP{u4vg-s9XFsb`JiWNiVv8W zBsKA64LYQYeXE}@WlA`jDZm$(2KdnXtGyd*l4n{72XE>HhpO9Rl)sfXZ*dgX#_3wu zMxJ!pq8qPt$`znFHhWP=-&S7s^G|z_nXN|IS8UgABTk^!%-b!Lch3wZPxC}6tqmxP zE1us|-CBKORK$Yf%u6F91Mzux`$sP&oMQOts}o!G5y&*-OdpTV zpR8h`u(iJ2b>4RTC8M46cHIIT!w?}AbR^`Myx`Q)M2@QKK-}O@zQZTAYJ5%^5H3F> z*`XopTeQ!_(!Vmcu^kaGlrft`j>R(2&rMqT)Ep;o0Qi}|>Rp*Y7v>*|Qhh0AIV#7{ zf&!%C+K}DwIx4fo)mF4!P=c@&$yTL6J z34#C=KF^XVQnek=dhdQI4Yi=deConWNxtO5E*S?SccbuR?`he?jEyaB3~3>woxr?G zUAo_t;F>4Ze1t{+6hopiqgU?DNd8E@PUp_^NzcWkv+g~Pe4^3cJ79VV)5SkHpO)i6 zoyTYaZrl#TSYs^2;IhW`d8Cx`t47xd94#FI2pE%fN>5x zp+*;Ta!~!-Apf!uA?k`qiM7Ph7olR8L$zD>3;yN{kvil>`4(KO=PlK93$fukHVNKx zn=^UO1N_GQh$8B%@#TlP!pK4{2VZMho65L(p(oKfA^MR>_*&|BE!SJuuCo`DIpy4D zrb1TS036A8tFAf};K5vnz^DPuD%Xfkq_CnjzqzE&qbC%yNqJ2X-P)My7(J4C{xDYT zQCJlHDxc$4a`>3ev9l8yshuv8p;B&&b4)Oo!S2?Q=1>s_yNmx|OaXrs!i!V<1?1e3|y?;kJ&TxA|N*sGH@WZnn$wf zZYjqt?C)KAx6KWSCRa2LJmMGk^s*oWUA zcm@AiBN$CAZP>iL+w!BB_Rp!ULJ42Jvk? z-z7aQt!##W7iT?pJEWtp{M60$tUf_q)sp+|0ZPf7drkL$8_B$@%ylGhr4rJ+_M=5? z34(ZcX7NxDMTqSxmJ!9>Hu<3Ia-@lTViM-6KK=_}%B^-xqBR4yq=SPc-TGRKww7~W zFW#-Va-a8$-LZLIdyCg=VwM-GIR*Q2uM5w!V6MGvBO?noRPP#PjC|x>C0|&3zmI>s z7b?&>Mup=0_|3zy^x>l>$_uDR0UyY!!}!RUC>WKCZ*MJDPlVDcj=hNIe|A1~3wQCt z!CEoPCsu2EX{eQ>SDi|PCe++gNG@!u*4cE8N^~aBYZ5qfS9Q|ri#N--QF}4f>`Ac+ z4JNanA5K0|xzrJN6&xIXQ?U;R8k3h-+V%D@Yz}Jk(?L+I%=cdWhZtR){l zT*xile$cJ!a+=utz)@}@mb@VT^D^BU)tU3H%`}qB+$>}AFE33LTc}sxP7N%2ko@C) z#bY6>jtk9~N1oAqUPB#58x^ZbK9}T>%&84!j%PVc;gK8XP3wFxinr@p5Z$kkMoc>H zgJp*FhL%6vO`y=!(6~aEHwnpDS2#!srxjlDqr&@frK)Q>rpxi(QnvUG-O^;dME{#B zKDcIVwyw2mcroh26r;Z6Ne$0b|L~H8XOv%xGj(nyWz_kbe3&LSXz&c0Jm0?a@$x5c zZ24L9fYrWR7EgX(iY&(9I>D>v`*B|RJ^-`7wA~6H*>FKPIBHvX-lrlw(JtGYOpCkRGLO#R;itO;bRC@}lpmA4(heF#Ppf&y2X? zx{;PNZ^;MGBlF5kJt=PA4=lQySG$N*RI?{28e2K=Sg2f)SX13yD5fqUu6^;WW%o*{ z6c5%2kb0#xWR@U#b{Lo!=xs18ld?$MR z-en^yl`Kz_sOjIfdOXJ$KH-d~c+6P^3Hk)VEyZ(JqQ1Yx61O0-q&MKx%HN!B}Qj%4%%; zYZ_0OrScKaj+h$O7zu3THWJR89o!AxY$+o;kEz_}pJUV_nv-F38ICfOqy-2zx6Y=8 zdxa2od5L(2Uq>jHoeustMAhAzI5TP3$(_t!gVHp|ThezQx~Z^It`VZkrFY&yZcNc; zm=m`xsTowr9e%`GL_1c8ctNENAMU9`$GEy(dxP7y zb=1OT`r<5C&G*l2gn9gEesK*6zc!C7eWC5z<9R+yaL&$v=tk(jMe;4A4re3@=OtM! z|FCnvZ6}3(yp;H%Jz0~h!P=*e>A9>FRg|ySR;ArtEY1O_5#m3zyuYyN?fXTb~bSM<)-vN(r3ObFUmLFqA+ZFun;Yf*y6qid zH*kCLXcub1WI#dP)+1bEJy!?w8zVqfIOFfC^=VCwXnm57g;Hbt4xz}#de=+iCL`v1 z1S<42xJ=!5G_^aLB^Xs&-qr3M)~7%}#v@kVh~WsQcyc6)vSPr2sr|BW=?B~4Z^^G@ z>pi5nzwD$4g73|pgPBz3PL8-{!shKJX2!tG8Id+y|0QXzV<`RoMx>ISpl30C`9)Np zHN@&mTCck{k$y^^(3r0B{O@8kiiWTEzG*&EqYoSB9a0mC$uapYDv)iGb$@f%h_VG& zXZp}$RtDG4BjAt0c&2bbnkoz5t9{9i5cH+R9;X@c7EM!i-T*BC>pRZ@2I9wr|48aSW zp|-KC+b@A_=~TyyzIHp)U(gLm!dnH(aGX{PZf+ z$W8%RP1kL1CoEDp{y`oL5cosXBlS0ZN*&MY*MfS@zwZ#~@ZMP6J~SU&1WP_e!=pJ8 z-HZxWTU3=o+3+{Fr2qO-vAXW}ls68Lj0Cd%P8BB8IjLZmas;1pj{EWBTwKGM^xo6{l4j%ku7s7g*PDm3~q|E*7j;&8PPN+@)fodatEu6A>i~l`6s~ZtTze;(Z zj{%briZav&&-x!PZBmA?2QTEPA}9%hBB*AIAs5^&_l2g1Lt}|P9(ir=X5L<)Oq53J z@62e5y}0fdeJ+pw-y7VN{y@eaN1E%R`5W9R(&&xX3e11s<-(q$CIxMM;k2oGb4>3# z>5`v>0N0(+wcC5YMxeYw2MS=a-61_y1wfK8~GgMK#;JCHrCFwD=|`Sc&61aO~69L zFTfFs2h0zaMNdy8*QkIbpoiI9h&V-|LMz<-kNM)U)40aBJ%Li-Yhs|PVl~@>7xNqf1Jj@OT4}K&3{j7%> zVl@LiVm%AyADUYPY|9*@v~6j^7I)X4yf~!{A9U@z_q*ann=D`3SpF}zo1d>S>YY4) z&8nnRUb&6ZdmFsY6~^l{Ho>;#`Td4d(y#bll?83PsBpMdzq{+VP$y{m^RdRJUJcai z)na!hQq9K_F165Wt+?#K514!?^SnBK`;hN8VAXY|N%gN)*2l=MECNTebY8)P8M7VT z2pi7i3lqx{=S{r*>cp%ar7yu2D@Z2^W z2)!RnKUK|(o-Jh@aOHL-9Eto!YINL}v;>@`Mc35h2YLHO`co)^sIpHyf-Kq^GHfIb_0svL6Qh>9l=gCCg0iKm(?=>zd|JT_>{?%YU62FM#f zRYgfVzW~kt(eyw#m1K*J<|GTZGl56)OO#AOAL6#@c&R*~(VYNLAHj}3&c@nq+1Q0W zrV$R|W7TA_Poz2smbrP>zKfC4`vo#?^jg<@Tr^A=7dd@5yupyEd?=J#h{qVMAL&w3 z5$Z@GlTMa`<)SAjHRf*tC~zB&@@|;<5t{Tyx>IyS%XDMVWD`jrFH;J5@g3x|VO6-{ z)E8jLXr1rmr8N#RcQ2hrdM&p2em|Iy{wnD$I3``wRg%q^Yt@_N3wg-k)W;G5ifh+B zdYh0!83jwUDe9B3vVBqb@!=b14?SS)WejGq?!Dw0`-?ztBXSkoH)>l0^l5dy6a_S0 z$+++b3QZ3OR-!Lnb1f9C47oujrDVsV=w0r2`fD2R+SF3x|1nsSP8k*?} z726R4@0mj1$8 z!p*$ST;iR>3Tx1=e^2`zG01bIdTorZ<$X!n=vpp3#c1_CR~OZ+LYeopA%8&ma+s(R ztn`NZi;y@yI{=)ap3Kv~SX3@kN8u}C&7B`4otO4lsGIg>|BT9UG9a$ps<0(cHbVqa z&Ay3*1M@F0?W^kPk=W>s?f4lzz`3pb$XnpX*80YWT)B4_A>&8uV6vd~;uF zLzPB8u9$X_YzazD>^0>(Aw>oEiszWUkKOm3D_Zy!1lpcDM^%#t`eJW(~5o%^jF7GMI7C&kq1tY`r?QwPJ*p${3~iQQ5WYUc0K7Xyn< za`o)bBZzL%KX6nr6zvYt$keeZS52FkvlvW@*cx#o}_Hlx09#_pC1zwHe-|A zU~zhH7^BbAgBZ#6PNqCj>i&uN;reH_IN`U8P~E&YyIPxblySVb4co6H`@Q;oDEXoD zl5}ndhHqA{NxVc|^j(?oCg;-VV6X>r*L8xC^~rDy^XkBUq=SH7R@4lqOE|)SG5vI{ z@=eWI7fzGg519g5q)=n2F>ywxFUFKpm$MF z@Dy{0-YsN0!=5dk3eMU7-(b=vd~9k~MOL31_DmlAf7RhF*n>GnMs1hxp!ma8EpiC8 zQtZI#CYeNO$cWU{H=M0@F+5C``+>zFHK*Z@W%XK47{{Egt7+_GzJ=%omuu;_Id462 z_4yoYIrmciCDyfeJamDDuB_+cog8-Cz-M$nLgL4a^u(CJrYv*`lrh*;Y60InHHW|< ztcFl)OS!G|qDbg+&yxg|HV5>?;9JLUv;}Dn_sYSfG33;-!Tez7J9d$lrByS5-9$PH zmNs-B8XaXVBs!N9WW80MVf`TZB=<*ko~tqZWCoUr8)g=~Qw%&TG&A_jC7r{a_@qEnEOz}zg*ao1Nk2ZBl5#5Z8pB_%n1ih=( zzm%Cep!~Q!rf!2D2~+QczH6Cr*rkZXDY{j`gvdYONBI1hDD=JSr_RiX=$3xA!5Y!c4#P~9Y^GD#WPv~+) zggn2&c(=(FQjCU3KEpdopIf9WH=euM>gwOTkGG3kJ9c3->Mly54sf$|JYH+dJbWNz zl=snhheYsitLcc*;M1>&#vgLix%5GtPlxbSQ71a@0ya0~KOxfF-DLb4#f*!zRDs~W zCZpOu$}cK>ygorQTXNyY=$~s{9ufaoH-* z10ob8*@5<$)aq19%Xr+_ZOSBj&o7F(zRDrjqj|ieGU;|@yjoV`agF74QbDeVc?B!W z=&|H^rKRCSX(@5V+?mv$+mIp{dt1n@C ze%Aa?nN%M}WOIEQmhe===BkFKtoqDjq8=1byzjdd<>n(B7`%Zx8mZ9<~L0gOQ-V`RH-Yy)b2dqjMw0_euci zu{(9j3X^tep6)Ei_B=SieSUKy^u~YbNgRn*UB#JxZG6%nCh;$>;X@WSZ>J3BmGv}P zw`zx83cHh_B24?YLXu@B1)A)Wt(o5*9Xh#>{cGsWnYR({H7yK!>mdg9X2F$;>tnY_ z5iUt4rq`@gY+Z6|xkCk0=_YoZ4!q46$x|hET^vcMi1V!x(vC8#ln5?rj6xE@acC*t zOp(lO9}m>FFh1V0`KILywcwIXJ;T4{hfz*u-Q?m02Yep=5|~eU^u8@ zB66?e&Yb-}wAF$bX&Tp_>0-k_3;`nD3aj8>L>jBbz?60V!EOj!twR|JO5s!B(14tC*qvSaxTmM`vQdn=pQ}b07K41 zNvQZrp?%bEi2keTqv_V6ta%Bq6Q0w;HD;^{Yc2;G%qd z_1r%MqYN3^|DXN|+@v}5tzJUO%%KTYNk3bLuh`#fhv}tmeddjfmo1dLzYHwcGXUE8 zi@V%Agsw!#LiKO+Hhbx?aUhMS`hoB^pwBXkeN12yU zlgckWH$L#c;SNO}@BJISIyRu`z(HDT(tM?a;{W-q27DGjP&&Kt?+QW)SLnR~L3jyU zKOHo)2a5|p$8$0l^AFbeeyb6rRID-3Z(agffElf>K7ol(`2XM*7AP8LqNYm>snCBu zQmC4(;6X59VxlBXsg8klIt|d%9j|-pKA$zmf{u{c)XK9jhW!P_$PfZkeV_gq|6H(v zi9%eaTh4BMdogG<9`E#)EVdDN8;AB1F(A&+_&a_e<9@3KTO)|#t&S~GLT#a718O$T z^_aqa>=7vDkI>Fr=%{dc`~4Qt6*Dw#ahdPmP0p#pWU;zhRQYF7!L&RHFZ@>2i&p

i{x`N$TG<~o z=u}*ldHxNZYW7ijJh)^GXZ+`M@*=FesjGc1|Mw;r&r+Dm7B@gnyWwF>pNu>S8mHL* z{TLm_?Ka^E;}XzlWe{a2N%Hak^R>_aVqZ~`(258HPI~=w&ByQl_t~689G&vNn-3wl z@Di08;XrIWl;jb`B)HLFb@G325A7oXETjnFf_&*nPb@rL4tjR#zt3`p4v9q_xB4aj z-RDsuTvNoE&V;M|ID6#tnH zcL61y6G^J)7=`!nxfK+8;st^Oj04!Q(9}2l^efEnWj`cq8GcImZmp8{n|;3@l2Z|r z@Gw*WOjxFkX1=9tfMoGWIG*Nbf4SZFj79R1$q!H*zj#Qm9Bwef z{oG~hh49EcOgJ?W3%*(Ko*UUc77hp+6VC}#G_wJW57 zd*9NUp$}THxj-X!Ba)S4suU80gk9eMdNv7M&8O6*ts^K5X~f5TryI8b6+6L~U-o$W z5O|(-aqvi9U;YDu01Qi@Nhllbxwy~20JY{(Fp7BY2B{qDV*dNzDFJf+Blgc-o70XD z{#fulpNf+j_58NGXe`x8!4H%{N%)70s73N13l7X8s^8c)w(Rvz{e*u@;3?9kGl|z9 zr!G6c?XPjqdH044IX|kvo>1eQG2SCsJ(Cg86L)d%>;mkcp!(D9t<(m~ivi2Vfn&Yg zuT$*gqgn&d$ZQki(kmiqMIU1~!bzt;d_&V}#}878oUb4qp9d?AWHFtiO~NDA-;Ij9qmyx_YIP7<&I@`fqYzM}(t?4#;Gyb~Nm+*97yBv~l zJZr7$DXR-SoVT=~%9B!WaI(!SZeOG7;N~uL%*`dRb*_Esn|AI^5{K}^kzq5hK7+%N ztb{8mF|unPKAz2td5paie*kOd${Wx`*p+=z(|Ts={O&Jw>hfCGozFJ-U z1vy$1wodZb!n)L`Zs@cajf9`R>nI6JuG#Wv zjiJ&y-M@8egZLdPcNL5h=N50)$;1${)ChAS4J7&2^a&)-uj8?re)z510R7+jNSwO| z4W@rQ8q7QT}!6A!4u0EX@AFzlIQuXsNC`wZvm2v}KI zV}#wW+a^~aBs+3JAM{5g?0-F5^=Mkee*AT!27l}>RV~kY$N=gwepa$L>2Do|(>|NG zVz&LDEgscnDh#nthcEeE5fgl{?nQ(a6QeQuPqx|Dm2$skXFi-;pG>lSXd)H@BukFB zAh}B@vmspZ0oM32(U(;>4_0>V&IQU2yOa`M#7y0aU=`?A%8KMpIXiesPNfHbX?5Q6 zSUU9tHz7$CnayqdRi3*bZz2ekOhSF9#BfM*o07aCQBo&XeNg3iSq5ND;#QZ2-A`@@ z_jT%bgj-Mw2fJ4I{m|W^2ryk%_#Z@X(9i@*37s%Ik50IrHRU&l)Z7nyxDvFd2G$5~ zftpK)O|uQImE@^J!|Xf6?dQ6D?F8Qj3V8{!aIg!-leGFoXtoAx_7Iiujn+%h%D1Yx z<&G-dL($`d7%6z5tA4yTz1_%Yxt*UD=Zy19KSe?nl+E~YJA7MmS)s`B1`*EPdVgi) zPS3CKowRA*9|}x#-!o6_z+p~1J(KW}`#Jw9QAb@~4kcI2NAAW}ZdrMUz=y3PRI~}` z9jEVjTj~)8{x_@76yAeiy`5F~J1;A4&KNBWQ+=9Gk5Z6LJph@>lKJ|gC{l2f9%7Fq*23n_C zzl&^iadBQH-Z*J&KA?*>HDSRG(TG_;%aCTp5d$;=4RdE=7tqSEB=#dlW{Bz6&9CQ?JajstZR{!@a5F5$i=KLUS8{pTGZ_v?JwA zO#g?D?oQ^-CfG%E3muR1uNJ5MIo*M^rI4pm52L=abq6rxJ*oTN)OwUz$GjPW2b)bH zPvv=OxEL{WRD0nncCe4U!P>{MFCrFWCVu#IJX%ml-78o$G~iX(U))p%Z9K{F{>pY) zU%^;xUezlOcTb(=9})8<%Zz3Rp%63G`#RpiY@%}faLFgOO7&Z%UMG4&OCGxAa8v1_ zH>~C?EJz_^PH|-cHDd45XYuVT%PMBdO(aT-r(IZRjiG~b%S)EyTo0; za*-Y$QDBx?Ks;1HYx^}2HdUScL~GYHoG9CoyTD>mxFvsTfk2_utx5?QA*O7rs=yZq z#jkpQjx*_dkN{r2F)Bng1q7{ zUB!~3=;hgkmIJ5@6X4|S87a1qdLc|Lgp-bWBg1Prn z10C>|F^$AbcN zQGtq0^mGuwjpvYm`uxFxGAnwil>_ziLUgKWg|vAclV76nkF``sdZnDM{pW-X1wo72 z{NIxTfzzY1oUrd0>ep5f?VavOz{9L6mUQ^XFOnU>Vl`uwi)7d+NkQU1J)lJsw>%0hO#m`DxnI zG@B1gJ0!k^Dy1t^v+?q{>snR+Np?7`&R$PjvP^!3O<2e*os4rv*5$^sT0tPF;qJ44 z(Wa5x>kfWPI|J@z4>6xtd)3_-JOXNhvtj{!xx+Dkgtl^j{fR7m|9(f`i>blHZ1SFz z+U+;b3$twv%TN0>s`S(SDtlTO{fc=r`UC$gZ7HS3AU(A|Pd%PL&Uv$PX2|8#b`R4+ z5b2@=>4O>CsV)nXY%7VCWj8KQq}VRFo~SX)?L~PIW_$DU*HJGGT?9rYs7+y35%duZj+R2p)0aKuxn%U$QI+t~mz5|-S$$(PMv;v5}N zw;SlD=Be_x-!f$gI!b!6KYcoXA5B#M=e0XNQT;uTD*R8IY6R`v44++J!N0bITOHbx z1bH?uoqsK$B|H56!gzz4f2~mpGxRo>k8t1R{`EFUKkkF_8$SQkrRc*|f3Lvu|GFCB zS15o$)oz3u`1DT1|L(~9RdAuQeoh*D&)#0;X0tRw8mA3rysiO^hWVvd)e`l`FCua0 zy98=n3_R#BXhL}rY7LIZ?V^0ShMPSFTvwSR3pB7|;My00I&(9R-TVwXq!GudaQ7z= zRSiX~Huz-Ldap2!{P@tgxUoGEaUWVoizq}neRq2Vxx7nG7R#VQzYWUEt(r?qpk~|b z?cE4}GGK#0mGH%oq>KUoHHf3%u`h}6VG)cBqKCkr34*2q~Dh%@6V>LM#dW7_&3SS?2bENv+4+Es&zE#XYB?KD2 zvO1S+u7uFAh7`%I0(SO42{L=fMWLo3*2f1qh~^-0o_qUS)oJj+hw#b`=6g<7pS+no z8=*7wK=6#}(Q>F~M>m_@@vS}V2>oD$T&UuMp=$~2gSK&m+^ry2Sat=0B6mbt7nDqw z+t$-OI?ufn?&b;M5EJ`oR^5U^0tMun$@~LPJ>t*#5TD&I2BsX_iEC7wWy_l*%3h%g zZ#fZ2BpeFCel1*r6X;kOG^q6PX_3r zPVTe%T~OyFfYs^qe(7Ww6E`gP%d$_grSUGsZS;{pys~aAFyCKk`Uq^ZfnGm9vG}9i zs@=s^VX;ddu;Q|8cK|l5W6m=T@&?A=Q}%#+f$GPN%H4b+@8^TLb7K&{5_!X3YdA*o zS0%s$&n=mTQ-Efq5n1D_y|psY6e)amwod!?NKaa`Uw${{k_II}IiU9#GFNzt=}c{Q%V^Ec`;Brwlx&{=+YjFd zdCXghpdXAt;?asQua|s4BRQ`DI%cQ1S?{kXnx?VbkbY$@SNQGWc(?aotAxn*U%c@0#L!g0(aR2?F*XC zt*emE{XInP%805qF2C9T;NWz30o(3C!_iX&dYN5*G@j~5$JM@hZ@)O-H?VX=r+bVG z$vAl&N^g3$O*-1aJ44VG-!Wl7`3bLeu>9{N+XD$5sbhk@XW*Aft*iL4)rJ-wrqv4s zGDcJz6_{dqyf-kb#Z&*p)VhoOF==Xj$_Hs{F|y>rp{}VMo_-UUGC<3nyF=40f~VbC zRt`YR+nz;eD>qA&Pe`?PanM=?oqh~Da4A3VGkvRGU{g&3{+agMD7XEci9g!<&$UIr zsC+&N>q^*2(CrSy3(b~;gsdcyy$_+k?>Eq;DlTx^+RMv0y?$`>>&x7N>YTaCp1FN! zx-a=&@r$DMNhMX7JL!&u8uJP$SJU<+e~^=77@lH&Ou}{Tkrl~w;Nx+ZtfdQhLa2j^ zQoFhEGS=UW$*FUim3G^r0JIVT|%NtiLn70eU31`4lml(%)REg zEYjAW1RBU(re!%O!e--p%ZEDizL(zwuz(cQHpRqoO@s+sMOgL9nkFKqEZ1UNnoujj z7b_s5LT}_hDq>a-d^m>n7hxq4L~m4WaZqXT7ppYxEI=>}>DJoz#b3+cT($_st%>%( zv|AgxxSJ2d?hk$^$J_b*5a$qLgz|5gisFlJ+!Yafs@+K5nkCni6f6+F3b(^+uiifn zk>ie8j@pcIKN#=K6hw%-zis30Bjctdnb~wFEl7;8Rw&Bkx6UmB8PPqxice!3a>MnA zP!4L2!%p;|vv^WKnR^{8?pabECqd()tHE5|hkDP|`7o2SoO$)hSeG+cZC;FT5Unmz zqIOJOi6`jRs&d&VI-o(A*ZVEWdiWVk%X7T)cRq{ED!;i)!fn118O9)9%t~)!_ecn^ zrd_|7-jv8jb{!#cY(;+E*3cwi)Xq}exK*nvAMi|t!Z9hHbh71?Y7*XcLei6H&L2+) zh$+moz-2g+Tt^p& z%49{sh5bDgS4%J#oLgNoyI+Utnz>6Fhetxb1LwA6(!)WX{rfV;j%l}>Nd*+GmEs+Y~rV6W#Kx3S?8hJMF>ebRLjuaP)duUq7CKt{U}wXJTZ zb7j=c@&N|_Wy5fNO<;dgNH%i0((MIJXV831BEQMsm~C2cwufS_4QPef{E}AL)E*L| zc~c|k6813-r(EUFPUfJhdEJj&^?JeWfgIJUJIObybfhC3^aL?(>8EA^zu0P&j)~Qz zlpV635^QFC7kBFG>$x=8OBa$PH1+z*D2N1d{0GDRe$qO@X-RI+hoO4zJlyH;#>>`s zioI6>aNzHx+_`YWS&nAb3Ma#S??(bQqj31oyUfb>C69bb~JeAU?;OUfpJ-d;P)@81z7LluWyn3E-`YBzS>jAXkPhrf6S5pdn(3}9(l4| zuB08|3R}YP8Ae+^iW=h}#X)kb{dk_vFWdHuq}dNHp{99b2r}=oS!f;Zyow=BHDKK$ z0MyJ4w<@{XN?UiCi(rsmA)7QwrBr2S5==s)US%3IDNjvD?YZLks8@wrRbY;EkF1Go zWSJve=vTIHF0yR~?bO9HKGAG2$cCrk#pb3drCT6R6N&BLul*ibq{dZMt6I71P;(?V zz5q&;Up6E6FJNO{XZT7KYMpt>pK#y1?_tu5do8%4tM;nMfp(W(x)#rhkv~9@t`yI< zSt_HqsWoDc7|-RD)zTKA8aN)*v-Lq=j1;E$5RaI7f`wgHusy~6(fjnfbPGyHz(G_k z1;z-s-zFmPqIrZey6&#pK;_N$NhN<|+rC-PpBmJRKpHj9kZ^M5MX5d|u{)n$>R?6V ztan~7FkmfiK;)ytDV(u|C)J#qPN=b9O&ewrzzRz6TiLQFJkHNOY-ev=M$g6(`gzP! z(!7veT@VV08DrdC|6z-jyf_%H5VG=%mef|cRx=(w`DREvMuBfzZYnn)<0yf?R7c<& z)P(-2I=D!r#1lj8gr`EJq<74Y`$q_Y@L~t0N2IE z;XS1u??P7D@_~dSUx}BnO?;}KT*MNb+fsy?r%pw<_!<3?jB6!rC+f5qPN=`fxra!_ zj7Zqdx60M_-u%$onQ@E5O2C)Yeb4L>{~LuhaGjOg4CL;OIKL;DLPdPvhJoo^DqlER zLrl6lt{IqJ6FWnHFN3$N=!Wrz@rmA9E0i&nM_L%qaqc+soIVNhv6Br{(6>Bu%!OXb}k; zY2zD6TzG=}-r~pM!KJUo@|4mv)F1VqznnJ|y=3r)h+js%MpZ3dZuZ_n=3jFRCG;aG zYbV!VQyG_3?j!UO5q*$}eRA8hoRxk=Rq75Emc-_4gdD0M6EnsuoZZ{FwG-&$_N)Hb z?c@+>`9l?EyRS%^QhX$de?V8Q0Y^-bD(=IhX#SE2CcmkaP9B|~S!~BjF=vzI<#jt? zxdb(9Z`0xX#{zNHJ0M}SkDQ$0jT9o?7>(8kzZzcSp8z##Mfh_yIov$^EngKA{x!CD z%U5CxN8!+rWpvgf*s1{X*x}lo|Ekm8undAq#SbO{s62LBk%;f%DPU zKJk>^`Ry5M1)iZir)Op~x?a`i;cxWP$LT$o;X@Z?zXVQYFUPNZIF2Mf!xS0;`~tIb zG(z1+c4S@o$Ba74LNm-5_s;a>Ka!CKAsPSQ{|NM%(044GpaJ2FX&*N^|L+wr_ykc3 z@1ohH+N0NEs2tXqmC}bv5YMnR)QM!klonLKc|w|WMZX-2(-<*bs67R%mj5$fO%g6%!iAe(IHG%sDvUBjw(<>vRnRFI9 zUO)hEZO>gKUUgp%xtMN^+5%6qu?Lfn)eH_bxs$n)o!%sWT{BJ;MmQ@cKkq+)H(k=E z{NsAHhkJR!X}nTXPObsqL!*~(C&1XO1-!p#p#<;_+<%e0Kwx<;#rU1A<+jA`%QT^B zxylp+cd#N8iw^T0)#9&s?z?zwS3j#4-38I_w;V7{ze+S?KDL7yKH7+mU;Z@GB|xKpb)mmh-?*DqPvh;H*H3u0Th7*EPDHJIZK1h%oR4o={@ zf_Z%t5>ZRx-qHV3r_SmxI{0&zCLGbqBjesDk<)!aNB(eQmbZ2)<1vBZRM{$!fuYd? z)gO$up1W2gkIMv}`uY%7jeITean{g}QNl!!M9YN2O7I#G*}iB7Md)c{Zm{L#GO(3& zeeoWMuGSiuSt=;IulPdi-2$ICW6UFx!2RKI<_A&ao@{_IR8oWM1Ev|Y7s2?fv(HT{ zC-qg?d;QTan@br7NGU(XVRi;A)RIjJBZ%=5S%y=d*bQhk<-u%!ViM8VL(Z+2?4nu1 z1@utx7h_QEe!H_E@=8anPv6NzPG*pll0{l{Flv;?YK<@6t2m-pvH7e5WN%*5ds^o5 z>#3196BjmIgGz2gR}#?e2bx!#0f=TU$-z%b1N{UK!blfaBPa0pNH_^~9n5kB;K^A? zT!6f*PqBac{529az!o}e6Mf#fz~kLI3~8!5ai|lxmtgtOj*TW^4KYyD9zt9cQ#4cc zOYnNgeanb!Yk_CCC|yLtjeC*@8|+!GAVY2t^x*cr2ga*_^4wATjd&YEFDuFxB5G1| z&K0=0LSZ4dpW43fdWz^b!pqMP;%Bk8V+FJjmg!aECnRd(Xs1J-h+CRlk7AT93zhj$ z+IbLwgBHMP<6U`29hy6mPPl`q5eRmlDv-`yzaGcV&T;3vZ^YsLV(nq{Wlp!MLvo#RgNLeQ&4K42@q7YM}Z+2URv#XHh znaQPdj&X<@zmEhNusaF47<~G8QKZ@JTEJI!kg)MeDAz{Kt1}l@G`a%ZK#4?X&eu{& z{lpCT-HBl=cy@28;b^lE(X^xW3BNR_k@m-6&6n$;nk2+hMMPE!I>ES-t>3HCA83B; z6&<`~wkPf(#Xgq>T)?M*#`>mOkN~LyKK^v0J97unY_o|OV}oGe8TDqXXd4s+;el^9 z@{xM6>FzJ;t8?b?0t^?3v0Afj?h5G6sOOf|z`q?U11fcYuY0hE(Hn2|PX|uW8y5W<(}hw7~hx z06>RW;t4BaR5An3EmICJEMAB~179~>L5K*s%T&SGDb*3f?>aOst&>p z{gu=Q9;*7E5B`FSC$c*1A$}VQ-zM~j-BoX+&WNiQ)`{2xlg&>n zfya|@o~{`L)L+?3I#T=n2R8KPHQ_BqW|2^J&We6qKv&xYfTTo-(>S z`we$;?!)jlB!=^5{})pB-f)E5R?w<9Ava&uB<>Um|0Kp<`} zmDYb|WH*~i>ZY=&yDd35$FycqlMa4YCS$rz zWtua5g(T@!LC-IH{p(`sKAcUlk$J9YmB};G zu(@lPPAXcO2F*ykU!=B_V4_7#d^K_R4LwK6k}6DDse9II=n$9550WSCqzaW9y^kD| zM}FuYI&5?>--*$=S|$5P;Hitt0;g##bvI)utzmCSSnTRIroLMul`V6$iSGe0@8|p= zcE_?$0+v;X0v{Xh4`#9|`mryBLFEfo9W6}5iS;MobCvigC_7FMh91l<=s+k#>gV!D zhwSULw`_$)Z*mUb>aTcaao5R5iZk+x9g(!t!Kc0J-;tGS%78cGNm?ZaepP+S41E?| zgPIodh}tj%F~w`ou6ukuNeSxx7rxN7%c1#m2=eMbW~haLe(mD@o!f3V;h(91$jlq) zaDyXidke>ps4W=T7eOtto-U{zzY{)v^YRHVj&f=eqO{u?pWE0(o{Yj1PXf;98F&jn zzZ-U(Q{Zzy;#|KA->9`xvpt0f0KBP=bA#PUa*(v2@S&Z@%Q%o@%x9aU`W4c4Tmlmf z3O|-@%|7^Jjg*1-w?ob$E+#Ro#-PUcqIJ{ch<$4i><&q10i(}$Bexb&W3S`X>gE~G-v6E ziv_n~G-Ho!`eHYNHBSoMhLEez-ru%ARgQ9Y7`((b2H*cJlZ1yY{6K*bebW%3Aof?7 zr%;2iAIQWXfg^hlCp2wimJ!!ON5b1s_!#wEr@vD_FeefgoF#px>r}>l!wC(l#uW$! zS<^)7we2qV22=MoIyrZW>J@h7u$`>S_}HWnP}X#3mb`?*3-6plDt|a7nwr z7tV?0+<>Ila8y@l?P!V;5TMxAc&_uGY^f=UBa{@LelI~327ohh+c|b~g^XTpPY{CK zd;H>lTB8$)roGy;WiBr5&d*EPXJEn7CYPA~mxD_`M?EpxFq09zhfn6@{%r3l=|uaD zb5~1QZdUjkcIz75RmGx6#1x)c;dBYo-^R6O(Vs#gA}bg9ww!vIlXu!Xs)eWqS%1E! zTit;f=&peI!kDL~=)!4qB&6&>dd0R_R9sY{v zvZ7mDTYw3fVM(5%pA&JlPwS7F<^8A`%UYeS)5U`MnB7>`S*UdvM;+G2drLkBNj1e< zp%4}xgqFRM=sk0q-l~FaH?4>bBe?2u0Tw&}qM=?|34y@d()35BI;FB%^D%2_Srs%`(u z_Ky+Z$O1oN(w#tMgmn?G`i_}C3C77%7(ZqV&%$^mv`@DCgZHp2!-r2-z9U^&PaVbC z=((_9t>>zA-2C*o!iS0H{eG9Wo+}qQQn5=%o-T$Kp^nb z9$Zmm3VMI_|A>L(CkH+a4&IAcYxI`#+U8d+rLjTK2nlF|p`0ZK7dF;O5@RL!J?klPdcDtSe3*%F2P>NW zBydqyS9A(bc>>YKf+VO7H)<(Ck8jS7jG+pL(?u>1m=0^0%9Nj7AmK#FEbVv(Ee?cq z5fDFRR}=dN#FZwXU!vkGsIp;-)lhaaLbpTTRs?g}=uhZqE3otPx)7p4;9DXDu|0+} zIeUX)BuES4D#=Uv?i=Q;7)34cG%cV`rG}99{VOf1)BnEC-Yw*t292zvB!DPzK_B#P zDLCT(E!`n%JnduS?qj$B3|jbZ;O98!{@1pEKnUm10NNxA4LN3p0{HbNXWRxqhfVL_ zG79=!6}NN^g%bADR8iDNR=k6(L+sUmE1nMa#Q*iv|G)hNKxrVYWDHn;J`TtS_SKvc z-T&6n=Oa*N5s=qmh;}&!WVZQomo_c_`!2u|fVf-!@6GlalVtZ;vl;3D2793MassGw zc>m{9fqO%oBnFUiYbfK-Gskh^g%)M&{`*k&5%UI8L0E$r?*!uB-{<_&MQ!2n@ysNM zv1f1al?%!PXwwW{E6bYT&wrmYg(L`gc@Ac{fDs^$4A7K&w42)IACYnd`f3rRuOmZ* z3tK7Ae#isb(=0uoH{Bk)du17Fwxj9p#O;lp2+oHjh(h_VdVUdr2PC&*4pGnJejpPm z%>1#4ex3}y1w;q3G(!-)sz@H3*xNpKkGVqs)R_6gdkRIi1mXt{_y_>eNuWJa|006S z03}We2JUM4JfEw)3;*Q_H=al{f*AzV{81Q<5DtJ>32k@)8!=saBzwQvA5p@DYNPnJ zVdZD&RbG{$RI&o}i3))rjA6!kGiRLa*L1_a7|e_|8aVB~+dzhdArKH54tV``26`kr zp>VYEcr`9C1hLB0f3x57)P&`iXMamzo#!UiMc55#n_W|5e)W(QI z-3%f`^$fNJKu?e2ECM5}(aYRQtISw&B-iepPR{jxfKh#E5v9Ky%&A-$w%=m};Dlf~ z5sG6G#3;(rL~(Kw5=b6&0(-WYQHp5F^QxwzCXsW*Px#c=2VfWo17pm{`|AM;CU*hH zY=L8g7OD9ef)3Zyj=NL0wjRRwUIcN5JI+2(te*f7_J%6UQ4;hqI>ul*Y=L8g2GQU| zt&hs#ME;yX&?3U6k8>&e7grhy###XcV0i|NK~cZy%}_)=?YadMVMw!Cu>_KhXB=h$ z#oO9~R@R_UnYD7zhMZO6&t2sQM3gzVZG-MWQRc@&E=*9TXgJ`_fq&4zlj4|h8#0;0 z5EcMG7z88V;X5%k|Fo8Q;{u$ZADi{BU8ci4S|vvCM*{i#5v&_v zo0+LDL`1EV@bVN5dwqrYTc}=m=S#T*@PW2#e#@Hh4zk+u#g;L;_wCQ zin&;GbTFw8d^TI|rHJRX1wM?^h|dD`hoZ^&0DMwZ2SIRm2EY zX9T3KPI3AFZqcG7(&R#?5aPKQ?<|WmvFklp4S&?(m>iiz$}r{c0i8!UVjY0E4N;5s z<7mRq$A{y`NG`?2>(F^0eUG$7hI_Z5axVqt~LCaSHRUU178_X|EX@zMvf2*Z$^f#g?+e8V!| z|KVb&SpqqcFnKVIOU}Ogx0#8aLnxi0#zIFtR()}Ea46)@{+o`idp}qOise;p+Mh&Ter#dS z=TT^@<`&=*n7wh4n{=fFr26As3q5lkTMiqxLsRuuVlZXr?SPjQD4a|QgXrbN z!MLF=Y`CzPg?*rsU}@w<;omLWpKf2M3w58Ltrr%E6efr~eDv*t?O7VLCZ2X}u6C~7 zP5)h&^TDsjIc=VKtH>y&vr-F%RrdtW*X^?YKrcIDZI=#3zxYHw)WoY>h*v(bdcmxU z>kX3*a-p|w>|5A}*+rgLIgtCXPZ6~&b+lf4tUbQ`Yt`JAoI}2%QFJU|>!ouvQ{XWZ z?WEVvl$>kzT*&q%xAvjLfm`5ZAl)gu00Af09u}Uzo*VY15GIS(8=v{zb`>)AuH=~t z@8KpQK0bhqcs0gz_ptWh3_aG3z>>s~><8^dNgBR@bKsDfzVLP=+KP?l8rMTThSmx= zHvK9+!%JItxEOEb)vk5oDN~YgN}i265Y7&=8K0G)As$*f$3A2UxiSYHD#sD){V>kl z(*TJS+Pm08G)-M)u@M@9Hu39ticbmZEn+-P;;T^_DzBPJXcN<6aBJ0o1w)?nnvKSi z>dqXPkecM{nmD)2Aas!lol*8BNzdrr=9~Qbs%La^cG2NN@c#BYS!X$qIrZ@ldNS>B zPh~Q8pBkEee0@)2hmHT}5vF8MT^i*sCr;#kU@;ggxD=X&p-Lw>A=$X?Cy^nr13sQb zng_Q;zxDEJD2s#Ds9C%TKTa@! z^NKhe3YNZ*j@k^8uel7t4eOnzp-aQ_kW5H~3kJ*6aF^{1LVa>fyPKaraAo)Xg&Qm}&;ZMo8S`BjbQXLh2@r_6%?ZFhYB{bwS zj}X`flX99u)4U(p1*YN!5AsTK zb}&RgJxnir00}rJ(_%^krRBfGS!!2pP-d#epdT>`-6G*s%i_||($@Yht+Ln6D&2+J zEUT%awFc~XcluAa^Q2qgzFDWsW|^xw4_cZoi1O1jQl%c&I{Y0=^{8k9!}%ZEH2YP@ z)-B)7rVhjHsKW@%c*aWkFGTPN>4wyuZ2cJ*LLbRb{=(bx&|!7SM^j_tb;>B^n#A*5AhSwkDP;*f~Y4Zga76HZ^8?lc#Bg?RRSu zCR5?4Oz7f72p=X)&6jHBPg7Ef9@^pIPa8NNeQr*lz3imF@2pPZ$$=lSX7b%COM8Ni zB4v7?P*yUojGE}h@qJ6`_5mRdUtM;077b2IdQ)Giypm4d`n4Xxy*;uA_BV~R8w1lw z=J2lf_DTsBx6&+~{=mw?x7BayFUt#0&suTEihSBeh8C*r9;W_Ij4V22LVOFJ`W|E|0chxB0qi;fl#xu5EAtAJ+tYeoEK_{ZEVf;!p zmVYfNpN{WV(g}JjfoirxvCqu+kMdJv{o1JN&7DYshr_k0EDyv37FvlWTCzIqjzL+{ zrgcA~WqhobyEcZEY6}muXziHr+SjcD<4ubF+30B3;&}D6>q#W&+>Lcrg2-Px?DnU; z#(BERYiai!3Zp9se_Yt3pHF586=4-RgGsnBa#xg}YYC5rru_tQ?9P}h-^d|xdv>MX zQM>>ms0Q{tV$ZM8>RLi9C1yFK=JYb5e!`cuv}5^hWv@@Z}}LSEO5n$UX4^v5=rfE8R4yXAA(z-?5X1G1E=0+Y+sj6^dDIH+_YF2u`|AQ zEH@cK8+9c&j|wwW9L<*DbTR)@-rdq?t3TY?=6EwSd0vX14~zbErvzKc*4}f?v*Y8l zmQ5Pn%m_@wD&iiPPf2uTo%gFH=_L7xfA=tBU!9odm}*(9W9K<%Ti6AI^9_bDvk7I@PImvY4s zeW5>ED2uz>L;S?0`M8{2b=-F;?u5>5L$EAAg2`RM%ek8MH7y-hdh`dQs#-|UAqww% z5dqHaVg6s7KDemgd z&?|%;x`FguQDO+ketl5TgbhEY8) zZS>hFpP3G#eGo;=7eo`u#$$LoRizLFbI~tU+0K zoky_zG!Z=L>Zssy3M2NO3J7tERiQbBMd8aKrs~w%D3q@1WY)CUjp34ePtD)nftR6> z#V;Yn;vD{Zm7(gF8u6Wu6#Hz}$1%$PUVSE+5Ip`T+D9Z|c$!aR^r zl%?c2`;7VrCucrX7Vecj6~fAl?}cpY!+lHPd3t;RRu$8^RtFjgza!$S>f0fmOMMAj zp0R*=9U@Z~j#{bFW!#FElMY5QS-W zUGhE)Z8}DM8uHt6ei(Fh(nE*l@z=m>z!jF`rzfa$-S5}arVEOD;dFsqdwVd9cgH$& zEqkEasP-+w=!*itcTYytjkQli87FzDEuA;#J4@5jz!GV&2CX1fk1mM_uKrZrJ&~_4 z&G4o7JV5-Hw%27q2(PmPAYC1{?%pYrsQJ^e179nM@Pk!2hMAJtN-0o(;)Se9NH+Bk zmKhxCok#huy|$6K8LjJ{(T=D6B;#2>O1(`fJrVJ+aO03MpwWL!%&iUTkYq;4C6O?n z{L+oErtcCp;~%|7%BZ%29~ZWS>1ALVG=2phmyeZ)AO|-Qx4Pl&w=X;{SueQe)oSu? zbjTkGyMUc`5|qQMN9k7;uD?9fPIHFr$C~{h4{H;6fM?8`g8l#cVjF<~=+FFEEZ89m zd3#|HIN0MB^yD{SSm$fa^$CU8saT}Taz2Cdmc9Z)3@d4^Y<`OXk`;<10^MHYj1{pt z0%3!Uaq0>J5BsT!Zybd)dqh;~RH)Cz=TlBqwsZyt5z?>N)>oz8+`SIEjMNi%!Pvc4 zdlI@v3sb=wWwM*C$989;8wL*QYz|%IlXnofLqZloKL~Qd#rlmoB0V@01EJ*U`cNGLW7ppVVR_@w$$`SC()vuB|GP`+zk(Eu8;EA=2J@Qrkf zmAcYBolz99WxDlAD|2e-NLFZCciHyKo#mc@84IO!KD0~mM1z6tNNx@XW1gmc$g^(1 zn2tQMP2N4E<^Fw{=kr0@`yX*sk`pN6FIO*c)8GA~eh5GYcZIW-6@|84^7k(EU?=x` zU?S?yBTslHv4Socw{x|E&Yb;gt&p`ww;CIKVm`GG^ZRM8G3*c%p?){>w|$#;rAyR? zM29g4viEMK(`k#W-KdUFjc6f7Dn`){`=!7xy}@>{l#Xi@i^+r=FPLG`A_5!hLhir6 z{y7#iE9Zglt59B|-HC4|YJTb`qcGCE({|Ad%V=9I3WDzr=Dde0-P5 z9;J%RKC@jzG^5<-HM=ffdc2Z$N`r=0D1K&sY?Dp59sQ)5B;&!ucAc&8MKN}Ku>NzJ z3;vjI-+LeA7*PpYEVS8|-O*S@vWhkDFEy7Ny|%#&tx9jwT3E{9hXs@WP(Lh(g>f!QuQ7myh* zOjkgo5Zk=G3oa*bS)mkvfV>D?k-~6>1%$2&%P9r*Lx$QHclFbpj%dt;{dm4ywkI7u ztFKj=od0}W@Fuyx0NU2dCZLjV5S)$XKF?iY87av#d6oTG*Vg53rqou`=C2)OcOm3J z*lhR8a}|RkKS^1N@*nzhl+!sLZQxGZE`*N~9^yM(tMm<&tOpOpeO5C{Y&HMnFT7Ro zI>gJxeSU#c!5#?XJ=c)CCoS~Kqn?i_eJVrXgm%j0UI11#nDRz4E^jq6|ER`sHt;P# zVjOXqD9)3l{Mw8Y1(AcN>`2WpB-2J%oW^x|kPKA$Pt()RtE31z|dyK0=TZ z8N9rz=!0SNU~W}mF;bJeTz3Fh-(54T!i`z*w|Qj>Saf1P+&FiIUt2|kyo6PjOz65T zU04XNulxtzPEJ&!lL!qhPy4M$i1P9_7$yiaMw0ziqTFT2Da^8j^ptFQUzKV35@N7q zylZ4uuc@rdD#7@!@8A8VrDwgZw41h@Ai0TAQ@a}bPARVfhEGS?PQ&?KTZ2w`d;>M* zrDyXvuDFq${4TWo%Fh%VOYx@7vQzi>385)ri9xkjp~a$)-}9fe!7p+2v}KJ{@HDXv zZI3>UbMJ`aCE(xWES8RoQR0s_3npxDaTNV1pTdPk^S43BXG^A6w<{xPWJgVoL`0zJGY}4@PdPHYzW~cF`wX;M~u&b`Ii zY0aglJPOjYi}aqWdUOiGuOu}krYuxlTO(8NWY=i8oQxbtZxLuzBD6P5TY%)TOp!Yd zT1L5b4d(NejZp$&hc`+rAhcxED)JF~3gZ77VNGFR=)fK~Y2PRbyEhdOrB@b^-#IHF?VMKH$kEbF8m9ZK0rAkKfN4?B$je<4;0At}YI!pn6b-zSt|)D^C*R z({*l$ea<=Ugi4S}@bWh33Q-J^F!Tj$V>+>uVnbKwMVe|R&-7iotoOlwhE*=+-1n0r zOFuO;%L#1TW%OJ)EgYoHStn;>Sxzb7-()`tZZ)rSl$u^_+}$&mA9s+>-%k<`(8^90 zI_*NQ^p#LKOr5OTSyaLG32(Z~3%${{Wvp%QK_DmtkYuoQM!c+MEMZ3K=iIM$w7RiI ziDznG7`Od8h4W?S^Iy;0`j~XVGc97K6itBoLa%n^ho)SA=HXefh#M~N#k=%d?`ZFZ z47I&s>q6Z={X6L1$y3>F^W}>y_$Dry;RJjG2c;1{?2qm4FM*h}UL^60T8MNWE*V|A zbZ2#_e$3`iFe5?Kt+yLu$N00+0r8f29E2C<%FlI=5%rK**ldtK&@7RRLeAX(2(PTa zRpM4TXz*3u*N&+AKR^k%eJK|iWADPYmQ<-e4*9}F!O|X&Rp2PL{yHr+Nv}ykd@w^C ztV?PadDLffzjX6%4Xc4o*@G`!L{D0;v8myS3shA*8D@c8oTyBuzJwfLZv_0uEB$!(R0b7@;#jCO# zehw8q^;eRgXMc{Oy@tmHz>%d5$42(K^{M;eqkdl8|pxC+X*#Y*2gjrJ5+R;Vb!HFZa>)Z3^@a0Z0jc6Sy zC6Wg{R6!|!O2v3{?q=gu7mFVE5I^Z0;LClW(I8`U2t&suV<~Z_nIA5O?)O(4<*yAI z4Ryt}c-Xgo3k|v)|HLYfWzzGZEE$--xakE2CWszn?Fxw8M@RrFC)k7T;*}c5-tq(%`so7HHA5rM(ZTO(x{>>FX8xh}D zr5OMkrZK8o?l<6Bjx&}7ShoDk65|uhj2kR~4T&i*dOPBwZ+nRnwBgO4qaa~L{;q#C z?mBv(-m)Cz)&J?)oe*_65!GpCB&H{7XY;bmWA24PAR&|A+*r(AdR5uxQS){-dOyd~ z+2}v4YYHozX*lB`~f^jcSBxmZ>xWCbPr+_C*FlEe}(~io1Fhu+?D@B)rS3wK^4TSBKg z!$H&jpX*fuMs=eWEBTCa-n#OXuYb)6;l_0}h^j||C%LizLKMPHYcoM13jc1Yy3&8w`u_(Qq2 zB3wEcj#_fwsrYyN1mG{^KXM(iV|shp!clM<#7%Kw;t4E$-Dl$O^M@OfVl6ISEVxV+ zW}5xnR8f@{BK{2 zDX+Z8&wLm4x0JYGWE8`D_;APXb()H^t-0Ce*K7O{R1khk)9c&|wm?KUQIKiqrFm;1 zI{8SSUM{ z+Ji-1y-JHE!S(>V*Z0p=gBi=r*c?(scsQ)Rt1AgKr7{Jg=ed^E;?KTKO}UFD+12_t ztB`wGj!oRnkl9?PNqZA-YwG}2Y@iT~7lcx{lOI0BpI?|1%u<53A69Ku7zj?Vlcjtu zTCMUJ?3$AT)U)MIAj>ddgsP9b>a7M91^v8U1^@DqIet84blJyj;|9A?~1(@M?Foj)kIOF@qN+o%DEN*_Ze$x@xOmmiT1d`n~ z8BQxH9YA4uV)#S6Js)kx$bdu0GUdr>PHEBQuSbRkR)DW;ue|m-x2`TjyHIg0wd@j@ zs76VQcs65JX1Or6D`w%Qkl`1vFEtgtsw1Lk!VD`JBoy+t;cY+I8$+lnaRL$92a~j7 z)u;FaLE{WY+D`v-EXN;;Y0qPU&sZ3MPB3MdHFY=>w09_|c%cgs{t~Yg0%Y7}Y*s;N z-4V$pJ_+(X57~rHwxy|ftZ8_rM+5@{{`-4Za|t7Ki%A&u(|P*rP;w0HXTZ=EAb%Xs zg}?cj7{7dBSfnn2gCB(f4AjJ*{utXxZMl!u=t`b5ROOWODAa%1DGJVavsz+d0Xy{4 zRKga>3C;e5`RTPe$fs)FDjA&B?Z#PH;NkTxu3Un+ri1!KI5@=gh)Photu`BickG#^ zyhEWn7T`HyUfQ?ieEh+l{lk=|X)V9L3dY}tuWBF7#23Frqhn7(6| zZYHCFU_DsxND`h)4KzAZp=doVlZu-5!K^fEu`@v@MXjoR@{s2g_I`;RfyY-==I0-E z!Dw{BbC>56s8gUeC>ij33?p{vol_NqHaxXGcaVrr^;g=tzgR~`-%gbPrA%*;jH^xm z@-Q050SRpScuC?^b)F^AO9OAXSyNM!!FHDo4L8T>2lTJkULB6NBjhBH_{${DRD_l3bY;r z(Sr8*u~-q!_W-V)02`{GBUC)?aUw%@wRNnH2H=_`O|jumWTuu(gA%mF6LvK-vK_ew ziIbqFCs!eUmLul7IF!2$4^vT5d3F7Z#&Ee?+(%=qIJrjSDV2?0A0BcP<4y#p9F zJs$BV_ zaPew~OBUIwuYkFaa%Rom$q?{vTiTUYPt%12x}n$s&p_|uor~+tn6)~<_RtA`Q%8&r zX=4ch|EFbNN$}%ybftpEUrB#90eiYtMEdRa??UU#{P}dMlU-CRB#z14&aT*$k?R!y z`Y32{9|-pJy3k!X{3f;OUWE^9q`pXWUYb$*$yr04>8?x_^Grc6Xx{EKGwuaba^zoz+*ZZyFlWb=$@Utp3cuOD$$l-V-6g1hj~H0) zZbE_FVH_R;y}?GQDxt>npDK>KejO<^o?+{A8~^XlC;Qcb@1WcFOcNyqAYKBU25-%YcH8rt1n5J~gm^(1YSh6!jW;qcEN&bkeiO zr!*KO8rL%v)SRT46Oxi2J!;+tr;*zM`bN%yfq`WUUutO~CNm!#D%^1tS#^9S5*;;K zALNk^=r{h~#dnU7&T5l?LT1OFD3o$Ee-FfR;}xM3tR-QEE#2=6`U{kx0g26iRRYoW_XwX#AtZl08~w7dD}geq0T6RLgQsSPm5M7s46OtrwiVHeq$-T^+xrI$Ch8$(de z2?pVLtn&@}hm?NOO770~Klcbr>|RaT-SK2VVON3myq=$*$J{-#F!uKC1EX(N1B75N6|@b<>S7a{o;>LNensIu2Qp^L)W^m6$(NxN4LC92^!gZ%S*-S=>7T&H2Q z3!IE0K@$vKg=}uzo^oV%UH;na1B%D+HIpq56eTUDpnEnj0zSh(giLGV;rA}P&Jp(q zq_WhPb7@CaRW0Kl{1F9wBY6e$?eO)@B<|PU)p47m#x_*(_*tixuR$z3LS z!G*=awn(4tblcoDUM6(Qx_o0iMj-a;&;fdfTK9P5Eu7li5o1XBBGtM)_OW;8rwWgc z%jQiEpXD8Q2r+3F~G| zW~|%guAMBC6w_xbF17UW$VS;8lNm--fdI4TY{WErU<0T#99IXh#JO+w-Rj)o&?_iTDa5dygGA+Hv-J$!}QUZ5QW^!OHu&MM+NL6y1BYM@* zDo#EtPL_8#f$(E!JQY&3{?g&C{b=y2QRC(^cg|3)1oaXb|eQ{#Fzkl0)px>B)k1`zU4 zK`7I*rvd|2lE2A3@48N=h4J+zN#d=rSGj_1=62I1gDE_Y&g)-le(qX4ePjk)ON4=m zO)z)-syUn0cvGW&!oCakLj-ieky+?dyxeU%ur|dvzSCWXo`Rowp)jMEX)Y-5yc3X# z6@)?;4yTLyz#=~rWs~V8%xyvM7hDvfTOv}Mn^$~-hAZ*h#Xt@+?*jpSq#)P_ zIuq*U$$K79!jUVR%kjwNy}buv)`P4w@b#z58N(O$@2eEigD8zJ-9r#W_)~47E=D;^Dac?KG+|(e zz-?#e=j%^(Wgb^oSHF1f5FH2Y9`JNblI3c*22Hl{-kJTcOK_foU31d?Z%}R{a{mJL zA#iqKH6(Di57N_*4-XGBn}B$UO8wtEx<`DD#rUC0pZ~o^G%QP(42V!ifz39vu@OQm z&MWMXc{_vnoXf<);Ux{>CxrOr0Ik*A9huV_2B1LV+?@Mxne)Ampel%5llJ$fF))%? zsav;bH84#sAm+MQdlhb_Z?%UDF|b}FMjR>FomwxkH;2$D$p7yX0qf=LJ@!YS|JWs9 z@Z4}${!Udqrj+?gph{R%Bv<(V!F``nSV?6|H>3U{KPb01LRifkLl-XrQDuyI?wB%+ z+k5GMA2YyJ(nt;dA@je>8wr(VQkMAm@uStTr9UrM-w zPBbYC06K8iayRUSZc3_B2yOODZB?FIOSC}4`r2%NYoEEj{elg4tRX}W4Y+*OUT6T9 zs@CZJ#2VIFh1Gicl&*bJ9wQuJ6TC884PKW8)98Xjy@Llb1!U9AZt*BTf7)+DMJ37{pNr-AETA9(}U2#=_!D9K*} zgjsU6#Sb(ft4sXyDpx>Xy0j4{CMhY|2T0E?1yUK=?Z*cJJ_47bo~jS-S=QCli-&C~?tOGU4i zCtATtc0cRRd;QuX`UVx(sgazX?(xVd1*J_`pL2N>#FtBG;E^`wbruf5m3QS48eCjl zoT3?M)-S`mGBs_UKLNvCthOX6h!yv{#wS7<4p@D&XMC|eDuvCG-5ZVesiF|)dcaGe zg@jwc#m_DJ&wY4dW@R-4MjI@)Q7xqKOM+7tjud7G{a9ejdWOYB-~+<_uZZVT+@8^`QhN;>JiTCt;ILBZa;Ac6=|L{UM- zhN4)pq1aIDs33Y*&N;vD-tRu&Ki?yU?7i1sW!9{jcitJYSPas@ek1$!=+R>!g`CLl z(W6&Uj~+dRet&^G8ic@;0E8=7OH>vK5LtryKS}9LD3_Wq z(jgeLGLjQXD1Dqhy>3d*r))Oafc;g+*V;6un8m;one;4Ewf)D5O>hC{)w z5us8oicU{Zf({KTmV|&|qEIMg218E}v%x(Fo`j;C<#YixQSDYTz#S(`P6TR!qgXOH zXd#s9QFE2Df8NIk*bp*|>crwSEUzj#R1CKRjzoIEcbFMz#!H|UJCY$z7PAZtAw;E6 zAQHq>4=jVEWJ=Wt(5DPSmL@>4pgorkE@2|2!$4+OCCPGDGDGD~pdryjTn0p80S|RJ zmD5hcm|S3sPy(A<3f`h~$$BE2C&6MFT6rP~3U{$(F1U~g5ff0!HonN9mx`bTY^2FyeIfLgR2FML3LS=|iOuJ2>&?LG$Y3$=UY@xi&$dS@kmIX&Vjdhfaq{C?|7(0Spta+j06$Ll_ahvW-!=L2t?%qhJvV&GL0Ua!K1+tli(T|#zZqEYGFzN8mo3D6Rb{T0t+w7!0RYN z2uAO*$az?**$yGIMDQdB7m77V1>lr}b<@!VJJu$|df*u-5ezHfv-qkc0twAaw23$Z zvO|(U7OT8RC7DWKVdNgT7^)OP4Kf>9D#54}EV59Vk&NWXU>+@5gfb<26bOSAixTr# zbQxEt=Sy@N4ccR|vLJ3OnM6{Nok>I`i;aROYQ1ZfNpupWlVmX}B(`L2BGTI=^lgt<OnTDe%2 z>=Iz9?gWn0PBl=mM1e$NRVAVM_zY~4o1q{$=o&c;7#$WPLzHYyOeAPrIy^T?l&lv+ zIRpw-0@sidwOF;DBP1!Hb}UUHRsw6mWC}zqs7N9aWAqyD2Ji(Mn@-}O<1rMsR7n<~ z;gm!iL@39=GF%P|GDB`vBr?cw2`NJkya*I02mcugj?|1`F(ip71s(xM^H^p90!?xl zxf&$ULLwHgBD)Eop$b8e0V{`-3CMgrCt2(@o?^Ml!%W6WbqX`e$%n%9z^f2dYKl|G z664t%nHoXlMTu&POyy8mRbmpI;=yyMEE(HrfYR+at4Jo( z$p{!djv(=Bs+5RVqEtvSNln$r5LBhg$U;kK5;=)QK^aJD0|F>43Z`+(U`8$qv2~Wg1g=i{*iv}hR$3t^~K*2-sBcc%~LUdv>O$s+^65TwxIN51RNWd|%aFtet z07eEUOhB{QSS?3{GHN(%ESH5NQnfOTR?WsB@h%jCrd2`|95w=v2K^=BU{=0~Zi7jr zXf+8$)!HaI~omYGcooDA4gq9TK$H4$ZOg!dpzBxp2MfN*9&oG7O{iH9&-lQS~d zFu0zm7AM(kCK;LIatp;y6V`|l3Ni>fo|K^Vu1u6Df*5Tkh}&zU_u;bW()Gpb<#WD61MrQ0VYBEFU9> z%Q8?z3mmG?z^F+gnw0Mm!%#d66$Q0tI583-3Zt{}m1?Bd4$5E-fzrXSTIDo7UPsdb zXCha_(T)ruQK!J$K?|B0Viy7NXDDeRaH-D_>JqB4VPLtTAInh62wJeSTbnJOTq|w zDj@_0j62b#OHja*JZ27=#pH|m2qn#xfyuzLli4Inh7_t|u%r$v%fWXtZE_{dnB+1@ zfpQ83UPDTBkyu2j1S$ePib%3sLEliQN`zuq@GvqlLr9e=ZD^YuiY90=0+^NL0DaPx zB$}S=GE)JR_lQh&{!JTB@hWYLMK#@C+G|+&^1Ni zW+rPWpm%Jt6MQ->T$Iwu6j-cA8%;qFK($F|28<-J^PK8rfsjIGh%w$NCE}?LJ=ACt zQPnWELW$v0#37pbdNDEy zO=DTmPGCs2Znue^sE}BhG_*0vjzaM=%-n=zDd<7t-DE5YC@|bfVqg*_WFdy5hk5T3 zSZKV4L4rC(C<=i>lJb(o42mI%MWb8UXru;75ehW|fr76A-ipXeR=X%HWwOH|MuR;e zVv;3DGh0pM*lj`wm@Nio(r6tlG7m|nNu&w^Mj+7hp=y>0$CT0#R2YxS18>PONQsHY z5}<`t2m`5;k`*uy2SGQB%yM~#Ua1twnQk}K>@<6577c*SdYe;1bm>_xrHm@n@RTB_ zL9cOAv`Q_6%r_^1r_Mxa5*;IiI!tb{3Q4y*q$;z4F7;p)-dT#197GLVFO?urP>2<6 zcfbq)WvFQyIbZ0K>M{^gvJMJnuaxuPd@flAu`rNM1VNjqH0v|mIuAG8A-@5d;N994|pbRYTnZCQ`;V zBaudxJz2=5dhk}G+LTOE2niV!yNjh~am^koUT2qy1QfnW$EV|zMgg8k6H$b04AVlQ z;q{t9u*P+U6?@-(5Z4J9KlJ}5vW`wUxidB@%b)u zvP9x!Lo-M?u~tkZaj0C9$Bo7DwH7m)rE%l9YP?-%#wU8$hWGM(51uzv)1(t=!(cG{kikNAG7#&EZN+1$55I{&cj0u6~ z(L`RDN9Gd*7&sdtry1E0J|AptvL?}}FmtIKGhR!>XC!<5rdw#^5qWGf9?5aL*m}J; z+#$mGmISU@g2xldA}k6IM`e)cNjeNuY@`#}47~1tW!E2n5ShNG1fFdY_77o=rOfsCMA(Ej+@Rkckmopg( zoYTQfQW)t*mv@JCEP#GcYgE+a#y5>cI2p$&!Anq?d; z2K1G{XW@`y3xUfuQj(LgP`pkkkR${Dh83_R6gXRx^f>55E*yb|dwr@C;r5~w zmWTy_0^VQ}y2ObVh6;fr%LQ&R0WOqlT}+ijY4cbKbR0!RM(9mgAye)YOBFX5H$i!q3fE73@Oy0L0Tf{1D(%AqEw#Y7M@9Ug2VOl4Kev_eR- zCd1%V35_0!L~S<-FkDU|4V%p8()AKN6AuHnkZAD8pa>K=b2t^sBS)ifEUS{hgTUa4 z@_n_4bE1KhGQLSJvi9{47ksx()z)XZ#?|g75r2>aRIt(}# z!-l3(btIQW34uGHN(UU9Y_P*vD4|54<-oXh9vBgH;n884Vz*Ikurk==@?i@ zA{ok0a3e)dj{z>Ukdl*e31qGVk5cmVXuMNxFj8y=9fL`rqjd-^UPckaxd~9YiG;I& z0fY&3m|dIfKx(LVqJrX3kzpL3iBCdl&beokVh9%-3l96)5`eCYBqVsvF5p6zHi4z}Fyoe3G6*hG5k$5IZGV z>{uf|!K5b0$xscNF5zm30v{!wC$$OO-4$a3C0=4#d7>hz5iw zz|!GlG7HB#G#lXH^F9AyKq_pQm#r$GD=Lc1P)#y5IYejLS>58UbVikkL!Od4IgB z_dl%LKNK9e{*U5>5z5)o(|hy?>p@Axa$PguZSPx7Z|b@zSj63;8X2`83*U&_5_veW z7X_A`G;;ZkU5(p_*Y=FvGBkT6;$Yu_6LWF`P)0DUawF+P(3MW_<6eF z`Pu5($DUQ)sk+-)=oC_8#V0b>t@rC09_G7vfxo{GZie6gT#PsS=J{vFeF|WI`M)25 zb~XO~nN5lRuNVHk$P|W!`Gl;Lup^iJUmbd%`|_>-dxZb}wls5rpDuPOC41=qIfMV6 z2MDkA{QsEW^4>lXMa9FT5$ykG%Ky8=#B@ZT#WMy*e*FGqe$~22QsvX!tN8`TS4?@j zpjUIl^gr)L&;RvlVqA0jqJi&T{leZ6YvWEfOzYbBzPIAfx4W}Cy6kt;?v`qrG{veX z^Z(5K{?K@)BF*`zR1E+9^VOK|cT$WMYo>Kxn)|6PxX|#Z(ok{@X$>)+D&C4V?`dn? zPbI=Ht{L;;<@N0;trebcZ!3D7#)BW9Wejv)0qAOmK&pEid ztG((&>Q8e$o*3+Pj7A3;V~L29AUa-&I7eMxUGeuCcIOVBa8P%lC-h;y zV3KzIzT*LF3zGyfDZN^5&3<#lhL~2ui@VmRXTZHVtXorXyZrpN*%~SBWe*KjAhk17 zetkTjfBVKBLjTB$wIyIaH|H&jvVz?>Isg0nhj#~#LYs>D*vq5me8hJBTsi6V>+$16 zr@K2+PCoXu-Ct8&XgJf|v2tp!Wc}?!>$-n631%U#9Nakl_mA_Tp4YqEfhyXDMAI(y z8`0@{v5pi^91wov{lk;YuY+?|xgzF$Jlkj7^4Dvo|IW}a$&e!I7Phs%%|GUtI=jOr znCaf%oqcr+;)>swV`qMAf}VoDAIU~*C!O32K4M@nJIDhrz zx8t23s=vo~&@QeHeRL>!Z!;bB=tZUT!|8h;4l=gCT|KQcyVo+~q^M-5fYimAJmv_9r zxp#r_wSmbVpF9e=xZ^u+nT`||&U$lhpvHhe4p&Z?Z4 zmfbaq-$nSxFi;}bHsEFhovi|=`%&z&`muuq&lMZf?kpei0yZpj`}FyzE8fog@oX7! zWcYc1NlWvo^tn-vptF~gHXruwZ@iKhS8}d=vJbWAj)du5?@9~}Q@_)w>CT6GREgj8 zpD)(;)xtjKI6<1WFSaGQ>s#BaqRXD}zk&lPd!nV3^J{6o9gWmU_PL#3iyb#c8#C)Pv%f!b zZhiQ%^zO_yU}f^49e0KZn>Q5Clw~iio!@a^b*F)`eKO#Ct55%ES^22%xNYg}53y(G z4*xuQsIhxcuU@$Uv%KQX-flyj{!$(&j!(-i>tVllAD^Ai{wU2F1(7Zm4K-G@27L!MY~+M5K{?zYVT(HJeY5)D_Sk&L z{Wa$MQ8R5nTQ{CWHiPs1QapfC7+=qc-=&Nw@_!4I=1yP=mOV9d|DYCo_}gD}>A~vn zj~}kfMUUT6^SMfY^3fgX?C_RTc-;8QX`VOx<0dps{oX929RA^E)pTyr7Mg(tI@s;{ z`1EWLb8D&~zWYu|Yvuymw=?Dqg?SgZ#owJt7VOV&oqRH;dR9bH?zCRF|Kwo9W(mgm z#rl4)={5L7BJsniFRSb9xWMA!2O^7V^Kq%gCQoJocF>~DbHgwA%VSTKs2T?KK1TDs zBYP$jE`k)TwR933PnV7Mj9*8N9+o-qLQTu>RfwR7{-Px(X3qQBniqgcdpD_m$DLFw zJ@T|%o#)rne{JD!)Zl&jjah8s44}Yqtv?T!wXOlK^7p+r^l<-)K1*UxG&dyqM5Hb? z_xk<$%A~mXp%hYj@wC7`{j$C-yb?>UO%5!{o!<%cz(rW)#3elM;b#- zb?hr=dwe4=p0V4PU)edU%=G5S*TeVxGSa#SOOEE(?a)u0p5&XDzBe(&-ZxX@f62Z( zN}Djk)s4W^E*)*X3gIte&Z|8$_vNEq{fo~8E$b4r-9F6O&zrX{(h9kIG3?bg>*|Ud zIqFRwcGj7eaUsDE-}=QuYN}^{FJuS5C|2J(z&q~$JbK{$3zH|S8~6Fv7aBOLrdT${ zrv(NH;;SxR8y;&WUC?93M-;K|bAgTfvuuTp*O~kleBGbFe;TRj^e+Hgt_?<2NBQDN zJwErvjDpISM=ATQ7?*oiS5tgG0tKPFR+oiQ-H$mrnJ3HEm3DY|c-sDyyD6x6`JD2^v3s z&!NU|4hY%t{J~a$69Cara7vgOey#RJpt0*Jtf9O$FXj5>ewE}tal6+hcNSe7J^LO4 zKMfBZu}ST9qpv%^fA|m-pPI4Be+>aF81IG z8B@P;zkfVG_~D03z5^yKTD30S?`-cN?byWrxRjHIG%CB+j$WJiCq6H!CC!{0wP;fP zmZGPh3xW#{-KICk;O@+7p_%tC@y#)BsM3U=7`ycxZ$#!;%Q!vKr+D9cf8t`tx*7dY zY0{LGQcde*+v~NaSG|M%CKOlro!Q$h7rpEk9+-S{+~Yod2KBsDNDG;&T+44>9R8(w zaMj(Jvw7-?4S|!WRbkgg4WDtTzH-1iueHs7ku))+C-B^*#}Q%EsyBiaKZm6>HO}$w zZ68E+r0>L0JugA-PztJ>Be#y4GE(ItbL-Cb?BnD0hTE$4l=bg9V~hzz37d9SBjywrA_TjV8%@3*ziq7>boY&pietz)u ztK1WL9MdbDXNh&MI zV&=(TDo1 zivU}&&y)`h_wVQPI!>^?xZ~LlYR2^k+GE?thkS3DHScHCr};ZKPu*P8a&7VK1PVYA zDq#wRak2Z^C|{W*iW0W@alQBh?DBf@VCMKsmdQT-Av2Ym6|u6aL~roK(g72(GTXFd z!>rIu#m(+F2Y03(n^~>vSz9{%WTdZ8|N1B;a#$vP=_KH|dP!4r!tVx#v)=lh(A{s8 z-m~Od?)y;%)=>AH-S3v8Y^0OQ^dwBgw?aHhH?Z>J+w$wd3u$~)qkK2$W&}v>Dew-TMhZk6navFk{0(F=Cc=P@b zDQrk)t30^>o83o*i|83v6nq}YhwCylrrjm3viyokmg+U)R(n) z$JqljPr*p6VssoP>45g)I-}vJcvPJ6^13 zI<^{5R|E)C7gmg!5}Miisyrw%c-9@>)|NVZZGT$c+0Iu!7Qc zJiN%ZL{3e0p7)`#4+2M!ySRVxw3(328JYui$6BAq`M=68@+*j*H_AKgtpMRX#_2wT z<^|+T-hKCeZ4HRNZWa{P2MGgbtXrOCzpsjl-n^$_%DR5efP3ic#L|k%zUOZ6!bimc zGkCKlt*lf*P4Brt$eBNQJfygM$X(ljf@w1qQxI1QWk0Vsg=Jd)K) zuP-bcl6iFbVezN!1Mq^F(Y4Ea`e$Yj|7bZH4@7b#q`mva>dIaIx6b3To<1JylTrx7 zclQhNidgOwauBRlcdvxpO30jXx^kp0L~ylurZoK9IUWiCo$?TbW<&S**X!1Sc{Mjz zSVQl=`;p&XzN5XetJ%FJ*n)2 z6F3LB;YA>f+WM$x*FD)9o?8VRO#9*?j3TYI@N}o-+=sUL&AuDIgJm@C0e|w61ur$fUM}&|{kl-_LOcLd{qyD4M?lFW z`YAu2E=p_IG4;_d?4rQ$XL8Q%?A`Dr=A!k>s|Kg;NX7isylw%Q zEi3P5&1S3_J@p7Y>%27fz>_&&vY$`01)`>Ytm&1dLbHf3uWpvP$N9Ib=Yt@!c_6Ae z{f|n2x@AR7?3GVfH^bhKzPB5{Ec)`(U7HaPHlF_=`VjD-Zt~Txh~7)C2UX8n?>BT$ zKH`3RQeld3Sq|xpZ|C*yqSS+U9fO{HJ-Ee#9mLJu&(rDJCg6m1! z^Ox-Aml#*p+==~tWGc=Vof)m(z*zAIzhKCBuM_xfTJbnvaLkXC$ZK6Rqd4dR`vEYA z!8=DZHqAM)U-@K0Uf~_xu_Jf9K~i4hoU13}a(CtblyZ)I$g0VEd+AWx?ueSH&ZFW| zX#eM!SKCU4uRHo;O>k}R?-24kk!t&}iR}|(=lEWp3vkY@h7oTxKRUTr1NZ0uO#8{Z z3duU6d!yl!mvNXCZ5s6WT>b~2(+@cLySv+xHYIVve-xjpMO2Aca83}o#DTEzX?%Y^-VNH!D?Wkz`;lmGNumWwr zhtj4PpOjcR&rly;v;Tu`)%-u*&Z;M?(ZdRREx1$&z|HE}pUFcB(2338kWc1VsoRQg z5<^6@$~_CZzL&#yTzN7W^TNMGXgN4~#Awp$QEF#%&Z!lN!KrbHLCQd*Df91o#PV;g zPp)l2lvI89GCB1}!`7T^wydn%W1)0MZA_JBA;Ia5{|;CV(UiGmq~B{wH!SI>AGZ^J z1$s9&w)8gp&eEHENmaz`krkEpw#sn)QsKi7<j#e&kp3;6Y5!OtOq_fN8Yk+TcF$^(76yS}5&1&_;o z(fy@idR8?4aoC=<)WV_n3{~$}Ex7JwV8R4`rra6;93TDe`tV`q{BC(1u`y=e939tY_qYjq-_N29Z-mgeqp9`& zi~j0} z7W4t3+r?0GZ9qo}pb$o``(}B)d)b!KArXCV);{P5sXcz>;zs~ukTHe?OkiT3s;DKx zpRxSyln7kx9WFi+oUQ@Pv^7#@#QsXwwr{GUqB8ok$^%BxVI^T78QHK%VE)O7e7!Y2?WqN zCko%Dm*4(Y5ojyDeKG8AM^??t6`OXfiSwCOxVkE1s`;BJ>!%C*j(lou?DStB7l{)7 zVLjW9o{XM%ZK~(s&Uv15--4*)f~&fQkNh(eEMQ;2o~-^Ba7LTEzdtd`zW`HgrEh^3 zAO9JWKk@sw@bW0@-6#Dgoq8%R)2XMQ&mN9SPu;$3V93ksQ@^K{lzV*oPdNSk@$C11 zUTt^oc(ie4ljGdtzDml{QD0Ck&)>doIDBx&$cLYfZdfWfhl}yriAB?>KpQn_*~_8@ z3kxsE#vV8B_tSL<-_#F}oT20(>q4hEXj_+TYJZkf1D?sU5BUg7UaXLsGcMgXCdG&Pu6@Vs_BVs3|1y>QUQu(wIPo7@Y&V)a8k>H+ zQ5DsJsRRg*<^uy)SPx9-{%L zToN4qDKIakrAI()p*;FROC<=>#ew6OzXM!m>|en-^eN#FzO~&&EquS8`Dxnw>Mz%) z#I=3u7gO-G?13icJ3!Ck&l8q^zlM79&eQQu{o$#GHX^5yu&Xgx?2TG&r6rmxfIUn5 zbimGQ(@bd7?+2f2=#^zzH5bgcZ@;_|@boz$TGEni9zhJ-QhWLHlt5UTyET_M!`vr& z{il7D^|7ht>LaAn@-;srR@gu&1XK;LZ1hb+$9 zG-C09IuOaC7E*(x&|yQqC#9`rkdb}2hn1}rHLs`SnE`=$udDWgC5?5rFzuR$_Qd7v z{Q4$usOIv92_31gu5ZsS_%ezwXYRxI5%pfWaK?V17~sT>UojLL-Uv*GSP;oN;eX_i zEp1@WbC*^EAUDdw6Rz;{ojy~@xChTS+^6qYcePg48TEv`%v!VA_RGXH?ZO*j@ z1oDp_zdTVH_i}DQNlqi_e+2cl^y~b%4TbUR$eKfTM0`mZ^r7fY<;aW4!I|0557iZ1 zOPvaHwl_~a`ZB)B8;M?SC_k`tXJoBo^(ahZH#n#r^9!f9 z3V&fcyRJI7Eyc^m@Mq2a=9^mnI;Zs{V5AH`K0b4l(uxzu9FvCUTh0@AHNMj9|33ZQ z&wZa)ESs^vp(*LZ{7=ffq(LD)uQe3~#LaU@6xCf6BG4+xw$#BTV9zQ6l^>N^|Mcvl z_u{QjtM~uj*gq&XLGU07D+Z3BmdZG3!tsmrGQ+|`*!Z}XI?LgP&d}j?f!PR(DD8O;UZ(q zu|4>LemLBJ0^O(HCyki#-^IcS2PWdYQyd!>8B6p<;)-G_jsUW-+#8H%4~Lv<$Fx4? zw9~g^tOr2K>Pkc=eata(IzK8r?B7L>IX9FY5D@;~#Z5Q|5grDn>9af_INT=$@-$bR z7VXov{%>>IAkoU=)2l~MeSpy19v^t=>!%gIN?xFkPwq59O!c2|TKKSlfd4K6=rG<8 zAFvtW3x=BmB8mcf%{<(sh$wp62(m}t0X>^Si`~3z7GR_=J#D-g13kn`&io%8M9=-| zS^VDx3EjlyW%^|l2Kl8AJQeSg(rcGMnhQ+##WC}nLtRfZ|7s|bocEr}$VEwGj$PWt zC-jc^Z}Xmhz1bG<-^G|`Tn?|dH+T(OfcRMHryICnW){zDhD!~P9z2Wq_4C!vgNvW; zod4Ur)lhkOD@?UI$}9!}(f|K$)x4R&Ksk1{c_WOp=^(;*w4i6N)aMtngBP`UQ)%nR zG0Vm>l7TWO?>So85Bu*%o>^P5*SQy1#(&PtgZl>_^ux}umim9yyi5zYx8-bF=>cr| z*@eCT$&-PwD{0^GH_wMnXg*TVHXUi(A*D)$cME)9q9KU--zr?UY>o*W(IJ}y?Wbn`k^ZWVXbMI7r z@;=~Ij%@gOfd6XE3=m)Z8GZ2&J>cGtHM>1)qkLw+D_%DHTazGk%#oSZ9$xry$(&O! zuWfw=46f|cX+W&R`kvdAU#Cg2w<0rc)La9h$<2u=|5FR_&@K7I1G*%@G_mAQSau&MmCei`%c5etkIe zr?RAB+Qa4Vl46d&2#OPLaF%2}io!Gjy7~>E6Sq1so@75cF08Qsdwz;uA-b@0b~}dJ zc&Ai*mzGBV*@f$xlyM;EN^|O5)X>{@NOO3z4fhq@y^oE;^ z)Jbaq@`=3UW$>Fy0V{a#%o~n3NCr)GFVX=tTs>0U6dd4VPRqDIbZ^M0QM;-5r31`q zmA3j3h)y7k5y)y*uYWQPh=liC#c01;p5V)C&HYmmJ}F52;ni|nkN&adqP6F|lz(g? zwtwuM@WM}-Lp$2;Hl*2`crORd0a&L{u+4vNt)?w@Amtuopvv)bz+g{0LZX{9Yb71;LG99#q~>n zU!U^fge73dqT7H}t!oG3a89cJnxlPus$yMRilL&e8pQU7laCxFDr4oFXq4>20OydR{Q>oYdQxJzG61H;1rR=soMHm4L50k-{G z@m9sRazCAD;K9k9P@idk1)*j!Q|I!f(`K&aFo|cn+NYH4kwQEm8fgaA63-lu-71vr zHS3?81fuQOnbQyFe7W`rMD5$divFIiygIz=4yxjL;h({gtn~UP0CdgmfCF~|hn*W$+c$6Ey1L5` zizImZVki+Pwtj65^zXI8zXzh1zX2T4oyh34Ya=I46`lv1SXy}J<52E504u?&j?Y&7 z+yquND1UP9sve@{;YI$ZZKCBT?@3oKuDgAPse1>|WIM?B$DIUPx5a*Y+^+i|HoV#$ zoIieHZzMV0j4$Nn=LPUSeD;z%6~Mn$1Vj=yJuD8sG-2#FP!D1D!pSSK{FK-;SST$e znzku@`g!rnm7hUfgW--GO2U;zwPw#q^>SZrgKc5OqXvY!U#t@i9M!$CP}w_o^brZj zHZ(Sk+_CV;G^%gQk$jQkSnQY~nQ>Pnyo2oUwQoiXn*+U>=?zZ-v1k4;7X)hstGK=$ z&z6lT+w}1C+^)*ZUVWn9o+E7988C~g(?c`ADSF2hj+yf@Q`@*Nt0LqWh$stzy>gGc zaC24GV6S3u0)(tTz_wn)_iR}BbT^|i;8T1p!0K1;C+QE{zRmYuBsDGg@pTF?Mq?JA zHy-xW{n&J*c6db1#r|h2vvP0sFTCIlh`NApEID4&IsBf@H?Le!KzfY0e|Hhjn;tH^ zCn`8x@9SvXM=?CqpR|Ll(9tQ+SHS|`YadmXhM)}F9=e`qhc=``@<0{L)h1Bn^0#Aq z?bIhEVc|y(k4(cZ=fMwjYB$jdRKx5U#MuT)l{h^QzfULV$I^6&7oMIh_ zwL7#0+skXc!CgB@cfijP|9YA#zrGE_M}FF|HYwHB{W5nkxkPy_Y3N4kfV3mXAC|1F zD9Vtnx&oZsCpsv%v*Yn>qw{$-O8hz6cjL2fcTyUf=LHn(t}V(DzIo()QYxp`mRZvL zLadX#^(?ai=ck{kt7y$b3QQpvI&F2s1jDnI)U*TB+3qDbrs4NYcn<1N5>6^6O*-{) zVbp=7!pPul-*l(^!Ic>DWMEo{PWjp+B@k);wt8IAs09Te zMs}#;>UWX{EtzdT{xM!}ynkG=?ZCzdTgCyU0fBo$!%1paDX7Z|$x=)k14?rygv6x9 zy@znye&c6U9lw89C$e|*4%NOQn_hq^yMBlZN~9Pr{cYEky7TOjdQV)FDa zx){(>4oq3p=vS9FO`F@f7?*xHSUX-{=TmjAU(AaEkKWxciCa`O?n~3K1W-bf-#@i+Z|g%K-xadxv(xa z_bK}dX^yup4%G84tJu-P{sk<+rnd2a0B3eIR9-GPHgk2%EaIBc`cLc29|y`P&GIwz zy050?(L=`uw2d<4%YU>zIdi5B1pbc>UwYzaKDbs%9(0FUut#3e3g4hA8a||?zA1if z-Fn94`q(jl_j~+__*GTQp5FB*Z!Ypw54iG5e*;e{S;$^VB@)779BWl&~r;mav2W1d&D#e#{wKAoFA!6zkbX?bH{NZ(J3Fh5#H?2S(7!tZ$ZYmxwEX- z5brOx64NoUVeL+U;qOdO-F&urT;Vg-sD&os!qTn11`WcF&0REOzi!2d#~mwbf|iHBkN}D}FD^JnZeJMH z^IYHiUqy-4g!Rq|z4k1-Qar9`-uah(WBqn?Bc)>B<=6^lJ5&q?Iq-jfP1uiufOAVJ@&R zd4Kbxtk%H(O8deqae~4bE-!Si-8;Lz;^3k=ErBayEcbl#296oAC@Ys91-O$Zvtlnc zuUiqDT7ILcFzsQ^(S0lKFE({{v8oYeleZZF=Aj$Nrtsa# zM`lm1pNDd`r&4FwTC&D%nKFs8@sufTK-OB*m2d4eYfk{Yb$>Lrcwu~Pg*ZJ+RQDMp zIk$LX)`lOLJB-5g`a3==Q$C*VYH#zV8}62B1==z3)#dEL!xL&+wCk5_x;EMV_Fn0< zv8u~sM{@@KMb<1yKkS8fb@Tp$eOXbX#Bt6{Lix&@*6|KDzqD0!ki3;!cPr8i-GQ0e zPnQ4VJa*V{@^G~`E1i3}d%F-7o`zpGsI+TZ#Y`ordP8lTnQF@V(DLf>1Ha8<&rjkD zb7I!L>nkOndc$E(ZAIUVT0OM?YcDW<;kZ{Md3kzOz5#?fvGswAhoPQ>dfJhg=&T|2 zy9%q?CsPL`RtQ<J2yE6y6M{Ds~49Mq@3+b@4TztT_VH$+IN z?U$MKaA?e5Nd7HO_Q0^PG~R%Mp%J6~me*X6ZCiMBXy!omRr1a22>4oJAu_z)|HUxe z1s)2(-IH5P&s$aAkPuLYlto3`P3QV%<;@_5FOd(u@W5|G)P)VIa&3@h+0&d=!@e$$ zT%928Qy;}xwQBaX-5Z!!;d6eN?p9b|C5C$Iu0S+YCTEpL;+CsXFK$iWxVlD~ynkTr zeslNW!nvS=@MIISBXu6pC;Gu)cl6J|`t5ll<>tihznKN`n^)8yShe!YfvpCO{g$)& zaCm_{E&Bd#=Jam4{9(#|LOOm+`NU|4AGh&9`Gykzi-wj%XV*oBr47r>p3`{v+$nF- zQU9`$b1A+^`H$ktmLytEfM0m=&clnVB*l}Z>!RktMdc@Ro#B=K#DcO4zdNGG-?H*t z!Kh|X?b;Yybav;#7*uHHw+3t7;BP-x)%<={d#VMRz262P9`&Ivb;+U+mZ^h+l&MRq zXDs(d?^IiEl05U8g8%xyWyUTxvhMe2;c4)P5w4rWy`z+7#aC?QM4yxqu&KYRZ&wDM zfeZy&w~25^%1kdV3XDwAoD1*ie3|q0@Qs3fovz#mua8W9<9}!|9nwcHhmX6R`ND)< zSD1uqS-8Lk0{D2q2tGV)kD3Pqg)H-AL86uBWv8Dl4JnwGlMt}6?ZdI`f&lfzN{}6Y z1j=wj`RTFO#^z2;{Q7C4@6_s$D4*8T6PBLJ$qx0IeJOld>|aN1h|Bj60Qv`%Gy0@l z`gODFX8109)Z~KmM}Yid$MP5j0XHtj+&M2u&Ytv&4uSk$E0A{FQp9d7> z(Id6xeV&Yaj(+j&@$3)w(8)G($_X1H`1Us)J;J+}N9%tctSlkTXiMr4=3ZV8QVh^Q z4JkPwOfqz<3L3E!Fh45;L~~EA=y#^y;DDYl-?na?HCmc=b7-AiJXRKwm3rLuImuzM zotV6?kO-T3WWNbm&xoQW4H3Eiy?w-jJu@)PlM~a=*KzjeCitYr)y_RJ=MebA0N#>I ze@&m+Y@~i3Uik4TBdrkI(zzE9CROc^s>XRP4NH_`Uox7P^bZ!F8ZGEHrLoEsrZMfq z1gR25&dtp+dF6b+@FCwSUhQa`Ir}~0N*Mi7YTSztjd7AgO(!BE{O>Mt zli@WDYvCjD8n^0-Tour9;n@ zOQ;ugV3kUXjC%Q1=8$C7kc<}anYoC&%BkmHUq-^-i20-}u(FVCqCtiBh}scyNpFj?NzBT070Rq7vp2F$McVX4|v z{uKmj0MsaFT@u#}4KPm$4T3F>%S6w=B(f%JFVDyllDjwCBuJ)6Fm@=$AP67v#f$J3 zf9lJDHD;b$x?xa>K@gFbkLI?aLs%Jkr|TE(+~vmBdK&qK(8Vy`f;%B|#b;X_s}O(= zIcTSE)Ec?l3>Cj@E~PQm8#B>hodoK?twX-`bZn3-&^d*}YvWLU7{cod>IrS0gyDPnTS$&DQx_L+>fJt55d-;;_+7f7+}576;!VZ zJMZR4I&yf3+sMw#(|zq&g5a%58WSB`Ow@ypCx;R?c{?5{@$b~fM3V`rSQrh9TMwQq zp^rQ%*C^plPIz-d5K_L-;ljeo2VQ-ulN9AGSrg~M9z$cG4*C6s}k>xp; z^)S8M2@itZRfczK%FqX%SA-_h+oGG&%iAfDrZ?&xP3RXYKDUKN20aZ}g{qrvfw+!;b@Wu%8Z5)}r^G z_xB|wW#@<$RNZPn!}TZT5PA#5JyNP$#$f3ja+;dt^f#zGCauySQaBY2R34tVhcj~x zI-%Y6%B}W>1!`7Or<3@h7i?6%r%dQHANYOdbK`<^T_L~m6r$Jhwp?R z-#fubtw*YJFRt?}hxARJ=)nt}b)qU@zevbV)c8zF_aB|X7yZDnv1odkn=KeqV38_m zER8kjj>vKr5ms_=mxBZGj8@h%E{?e1=J55bMjTCiYkgL7>_3`8?&>f74XMWyr(z2r z4@~7~egLEzCXk?5L`<&-qOA3ma@0q3c}|alTr!ce1Z92dXl{^p^0ZWz#P*`n*1jKn&!CRCV8_ajZ@XL43!Te@9D-ETmXsKa`~VM`P(6j5Yv5Ep&BSzy+0OLSE4V`pmmz-nRCp+x8hEIC)lpVdZ1(6gF|@~0CSK! z*FlX#KcdLQmFo8VpnDZ#z5ByyXAVi~bn#b#@y>e>&V@g%fR|4V9pBXb$}y0X`zhO? zd-5AvtF2gI6+)Rkg15b}L)SvM$CnQQgv5LOxU1Kvf-{e#K9$*w^bgCV!CzW|?B^{< zA;uHO9{Uda8c5TQa3VTK+X%IONEB}UMaYzCmpBW&$ol}Jm-Fg!2k*4s+yjyTbGvna zUgDH1WJZjs=-)t%7!Tw4N#Gh1L-BO`5GeVfMK3NM{Mr(xxzev6B0f;xW4A&-_xls& z$qRT1v;H}EN}77#C110qi*rwI2#H*JHSx5A^zF^z$Fy#OK6p3FQ&N1T!*rJa+K2yr zb2*c!Vdy_0;>Iv7p~@H00w|h>cZoPdj1Kw>(laW%)7wz|)(7Gz+2X0$x2~(>D?mkh zt5YZ^M?$T9j&N;S`brzW>z#SI*Zy<8^jCeLyP!D$v~1o>T8WY?6)y}D<&vpUY?n6h zUCCk}aha#RHy@WhJ%puOaCyf?e~oBS!1eU6Lme?$smfsXG^hi%xpl3w4^x~z2u??` zI`_yTQZ^Aes_ZR7ZP2$0<(|_vz2pM=jJKX3e#*T`k^Slt>op9%54*AH=Soew6OxV_{ue3xsdg~bl<-bLKD3A;W)XDFy9lj;HX+uZMh zA0Hxg?~~iizb)}(Gf-+-8ZStEb>8)Pvw@psiyjaL|8waQ1;aXNA5-H;jT~MDrC_1i zCPEmHyZ|bOltPT$Z|F*u5&2}Ja0NBMsB`_A>RC`p{gv%I3b$NuQwczwQo8-bRul6y zNjt|jJz!4lTdyEJEssqwdPKnP0&1=Kst95xHJt5GANAmis0$sq7FKo){QaeO&{OMr zzQ6&*;T^P|Pg?u2wCpqlOs+JhH4W#e0rvg;DW85_%Ss@xnS0c7zZ(dC$6c7kcN18sN@99ZA$9#GWEtO~KYCXsN%;cN8nduPgt73w ze%xC~ADPd}VXW{HI=bd@JcI7=L9T-QXaf|wUs2ywMfyqj&C5oi9L-^2oJ}N%)4J$4=KNWMw%`*h z_oTG?(h?A5qfhu5fUNcL6(Y80tVf?MiyH+Q0NQr4|Ms8OYV&M=;8vXIx5l*PZ$TUS z{BFWJYf(nFGi3Er7Pi6+h?d!(c@tKLbN-UeG&)G!Q;NX90jnlDbpzcjgN!XT^j!D?^Bd%(^5=9_7EseUWT4@I8lqwP-AD74S;MMvWhD$lO<94q zq5#8^PY~%B>IKvikPYo@tRUw`M2fU3&#e6GKM9)XL3?&k;aw44Ui%^^;OoC3 zG&!9qWN)j2{_PDK{Et?vNEv#EX3kuPa-#U18c%V-+UR+&Ao&o4q6^5;ZF;e;th%hc zH=DAjQ@kzI4*?*>DuhD9LF)p2yHOn3!WJ!=g z3>!DDxo`$B>y+L%>Ql!8se4YD3{H5iUX=7@WA!iY(V$#wbN(P3{b+e0OZ@Y5R^gmX&OczF;3Aasd7PhWx-+xuqp`su z-#yOhvThbx@d29SJt%&rkWjAf%RA;M?(XTTcyBvIJm2$C}2W1wp1DyD~LvPk1FnTW6>^-?E88e9b+MzqJ3fojzO)g$l z%I{!0TtFX=CO)YnZ_sJnk*@*!5VR+#6DK8;KpXA+*-5+;)rK^N+OCTj&n;>wa@`uy)GuE@qJlzO6Is7Pw$XzHVmWz>TfZqeudfr)ccS9;r>{A1)FDi~T)To8 z3cr`?!!j*qfzrkqp*$omP&tM!HP$`Q-wW9Ka$C6RBj_VW*|0%)Z~N|i{!m)ehLTBg zY(75;{XIt83A_!E9V+!RZZEm#6*euTdT$SjSb4uR?h>Xkagb^7lkG7HCVupjqzK#2 zxXc$=!*E&cF@K2clrc9ffmg7d??xWep(bm`52z2r(Y3C85K2o{ykyFQP9CO4U( z+WYU&hK{4D2kkAAokdt#OZXJ4cyh0lJ8}G!_-<9#Hn)@NtW}jX(G~ht57vu@x9G0f zi*G6>j`Su}z0eV{cF5abCgXY6_=)g3=3!T>CkYwu<1Vl9u9(gzdo2zAm@w$Wba9q@ z?yP8q9c#&$PGULXHwMZbiqoP4hJ!|8cY4x0`lKM%t{Ul1C!G{sNEA{QcG+B>44}HA zW;B#uBKY+OCPa~ckn2*XF>$6p{(Dks-6#HeCMPmN8s|sz=X}#*aS;_Z`c<)S_;`o{ zxF>=tVlt2G>>JUqaF0wbc35PLId<~#-IH)teiaL6`@l)>&0Zx{6`ITXVrH?q6+Hx_ z{*{f1gW6IQjF*K%Ov&c#gAa8s8@-QEu)He$)2!^Ad$D@F{U+V}djabzl&!{oC~I+H zjVfl!sG)c^gT5^BkxIBrD}!!^+R=$v;Cwr!iL7~_xkm_+!jHozF0?bI5a} z_BwLvwKaowomsbp!6zmMzL`^wt+hpH;(`I}l?_PLMCGPRey*S5&l>kW5u@f^!9r_V z4yc%g2%$Cm!C2ON_RDw=_$Z2C!dD zekhk&G;CySLi=bGh!64K!qS|)sfqzKVM;WK?dBXK-*S3@qqi+S_*E2;`H4!v=)+ib zv~t14h6W;Ov|7t+kd($pCN`a~u$J=*F@E@so(C_9CDG4l+>KSw0r_Us@eUDe1T`sr zlP(Ea-syY#gIH|E#H1Co&ilx;J+B!VBMEDN{j5(#nBP*tE7Mhrt({y<@()l~C-r7l z{ptXwp|!1R=WlAC>A+I!bpJT{`ii}S(pT}>A4BgB2omf71Qx$_#(;vf)mp9H;s(wj zPR}IgC%Zwnc4ve86~OpdKB$LXKC4TOpKc2g*-&|cNyH1-&jjpZ(0bFF4#|zhVfGVT zp}{dFU*$+Js%V~&vnvmxMM4PZPyD6|y|`TZxr^>x520)i@!k^0fjtV|iEu?d_?{IYe(% zYx0%q_xckvzrAbnfLi}%QG+A?DA_C~;3zXCgT39?YkscE`|^iHwlmLDRoaXsJvQ%1 zO1<|Vhay0YH87elDM71^y5P+yc|_3Z_cBON8IRxbGOh>q#dW>yGF6r; zs&T*+R!sUIO^B@(dqx*tPovjq+!07dD@2jT;Uj<9y}$K?@T2z=mICyC-6&ISDrKD# z|FfmR#Y1#zI_7>FB2$^U8QI3##40+9MkPty=IhrYsRz%kHF~JA>fiUz&6~hK)OF=I zmsO~YdU4_Xv4woa$|nY@A7f_8{5x8oBy?gP2cj+Zud$Z;19TY@ivn*Kti2eH}(qPRxZnqxp+ zkuHgn_s678KHNEKMz3}u6@}(H*PQITXwfFu?e!HybT9p5M7U)2J4*>lB6{*WpR+U~ z-Aprf1izI&GgC)<&ogA6c6HACI&N^vps_O`Q_t;^%!2!)-!o(Oz!Mw6Yg_t(^dqV){r25 zEiJIf^{%|g1=x4DO-s|>_hMN3pT)-cS3+KP&aX6^P;#-{DWJ`{QphQ*K8et6* z;;nziE_-c|RoSmLYn+-UlWh*7cl8c={Lwmmj$y~SSVs62_CC15#ByAbui?E|^gUXX z@+hX@_m1?M4l4?G@SZreGQgZ=z-YSEA)Bk0sflK~V}dop_o%`txlqNFx(!d04(yhj z*Q7MX&p8l(xn1f7HyR!g;Ak^cAe!D7=zv!h&d9njxN#f2M1#QQ<;Y~Ec`S84<_qPd+Ipjsm z1a>!^!wrj2+Ep&H(Zw8vsiiWdq!i3%@s}RtQ#Kt7p8}anEN#=MLqsRfkh4Qk3*V6C zqlY0s%?#_VDKoBhG;x?d({QP6JxFvjDWojtxFT36djuO*VLQXD!RpFS=(oe)*S`Al zOkvlh2O?M2C7uQJA{0IgqK0ic*za8tmb*am# zxuB}|SV>-krJ@T5ydaHd^IkbVJA=dtywe-b4r;%AvM-%AS8&fNhD?Z?!kRfJ^o+3D zB6D*32Gx#5^+I0kgbmGf_k>nn!+vm^RWs66#^kV<%N4T5=#w*O>j%^ZiHYC0w`q}M z?RtH3zxLxpHP$k&3I~qDW=*E6Mh+V-)7>>&1=GfTp<)DyHMiZSh@H4vJ25PaURB-7 z1<6lT$KiD)Pir>*@Kz2E4-K0+KBCVi?~=)3@#&?RKWSB>8KlFfZ}_9Ey;^ug`L>F( z@aa+xTkR=UJfXCcS_1|8^tXx?J`3o3J1{ky_ua0IrSh9ISm6&Eow=YcsyAx(NxA?j1QA>>h;UT%~D#=*b1{R%T+ONAJ4C=(L`cQXENjueEM%*=Bk+yU0<|P3NhIL zd9w9Go>LUf%&6<^^XIhaO|CwFUZ=!$qk8u>^=D;5z5;tTX&UTrI&$unOm=O#LTksD zbhgP&(aHa4ED#4#+-7LPE1X6lyee_%0*CLtFM^xn;uU~x733M4+K(G0h|@ayVh$NMgLiv+>k|3hNA$xDV$GG40tS)vtNJZjU((_Vlq6j_X+QW<|B)*#w=@QF{{2!WYMLkIv zgGiSZWt|tzlIIoA`uS((a-2h9MPNzTF9Jbvp=+1U^Of@dL;%1*`^IwH-zj@}D z56{`ssUBRPGRU(R;(rIuLA~0{CBvYI`)=qq74$sjQ2fK5c)5hdjW?=JuyU8LLdZ@2 zx6Ap@wY+mf|E8OkTL>ypLZ=bO+r+P}cawF5^@M27t5P(|so)ck0ZoeHuum9u-;rag%$(PUyg1$U&CL%s~_u;p<%1 zb|lvgi>84dMzn9&wFs2;dGTC&#GX#39h)9hKKoFCf`;%cSd3Yjp#0o`5D{sS0+u-M zb<6%3>#0%_@Rf3iv0Ft0aiEVCO(>`&vuJfbfQyiY;zN$&A2$X^)SV2zO6>K3Kwnhe zye~RL5IV>&n53u&@7QbeAr^gpG4e9xHtiIl%xKrzRhq7{yq{iV;Md*t*S<)4#~A5j@_TEI3a(&ESeTT6o=ko%7j?~7q*gR6OZeYt?0 zgr}w?%-*<%{`~>JJMcXJUXgzp5&roAF&j9SV0=9c z{>L8?z`l7d3(ShY9th?<(ck`pAbIHM%h3YP(pa_X& z5S5eubK`3@g416FCRhQqGYh~Q;<{G8hZUnABBzK1Egavi($}hL0DqoD8uFFUzY%>! zPkBme@G;XS6u%$7*E&-j0tY+mc6%`h@T;I1^vR}Xt;H6sNshlPxEZ97%>wDE6Vlf} z5T<)Q>9XIvZvh4=312z}D&z&=*}v=Q)bX2(JTegm-(MH#_-Y;{Kv-piOEMn6I+E({NBHF&Upe?CAm(1i`Jw0FqJ5{;69whjhfj>%bm7_9{G=%LYb4Es@ga>B0RQwGX7p z74TrPzs%|oXiDq8RMc995Etg(3xAX{bplcH8&?1qt+by7-7M}!q>aTM(x2s@G;^Xq zZGo7fs=%O{xe`veol&r>8-q);{dGAx9D9AC1t9SyN)W{FAT7J?<&og=X9#VpR~#x{ zYjqAej2_YM6@;Ilkt#JrsS8#KGbFeo!d&YOlJw9#UZ z%T#exgqKg=e*%nxy-|2l3*bd$adM--9y(POq^G^k<$jP8qQ+$o9ZS}(VZ~^FCkn?J zqT#~@BNoXvOGStX1yTLX^JTul)4a4T%;5i$H0PikvR}6HP!_ zDV|vi<}ss)E8WF?z$GIqQh}bcQrJkzTQPtX5|YpllRHybC!05BGg7XhVifR^{mrWJ zH#UVDIJ#MC4iOk%wwTkRK3wZv*#a<|brkO?`! z^%r_V^Xp`jzNRiph6o!dOSlJcay0<)J-$YDGt8{zlZLHc^1-0>O)Ph#3@fYvaE+x4 z97M%z_UQG<6qg>FX5MzosaaPzvdA&cSdl&f(uL3~(`5nGeDN*%>~Xeo zQCBZ~&wN5ujyCx4w0{0mn)62!hh|fDEk*^#xyxD)QPww=b5H#_ZQ4*oWVnJxa0$q* zG^7b=CF9k`T*(rsUJ`OjYhnvm)x20JZvu#`3}JbVL${*mnH2l9H4FCFfGh8M=Y zzaKHM^x1}xA*s&^(xH*)-Wv`tqh!Fk=soG_3Qmor3Z(07Tp7SUCF%x*pkxj|33dHM zSJwkj=dkv7AX3zMYNznXH-}E32D+6p0?LaO+q$0?$*y1odjGc9T2SBnQT9^vEVs|Lfs>#H(uCX(4^=8%0$_9FYOGKtOLSopO{mw3nlt zZeRkD1o4%036*EOqTKX^ur=QhLWy|4_S5T=XTJp{&bA$H9ADBB$zmz^kd)Qlx6)Cb zQXC`yHMHpRMCe>&Qs6kPscWB>&QQhV^N^t9jTznwR?w> zl3B!RcA-Ci@!@eMlT|^gkjDtQJ5PSR8b#B2s~8kkBUO!~h=`j4Wzs@+S@xBKE?A;; zcgx>>5h+?++3A%kaxdN!WFXdtGh0(z7f<+^*D72MEjs{XR-22B3!R501~;-vsVL=4 zS1P}r(o;JSd;iGL-uvpy@Vu>W=WQ@`r=ja@m)GqjS)0CrG!@;&eJKplO(-W+{JwYB zwkJ^*GywgQ&l&s2kzrfZthz-8N^4kHGa2*{@2A3!KZhC}nV%UsF1cN#AKysNn>GkS zFhj=*z2c#-O}ij(aTXi353o5eq04D_2jW_JH>OB|{b`$mkuJCH`BlW81H$^y!)M(W z!kK6N71NsYpy(=33}SR%?C%yOv3{s4j%BkME-mU7VYw^X*d$Cn(B^~+$AvrhG-$DN zYgv=AMXMVP%_4Fyju32BX(;Eb^iSXh{_9Dz#cQBA*7i z#GqH^@!u`xRK=tY9GoQ=7=Y|J)J3y;%ui~qi8fMM*iH{@8D2j>!|rPw8+t{CE_C&! z276`|;xG$-A~u0P5nmwduAyjC+3By!oMV^|&niSkU;VL-%Evmnos*gDz4xn|Gj&k1 zxFkH_A>1vy@pYDbfP8KeP>6>yCQ47FHlO zn4!BvX8P~R#FT~`D~g#e=dj#3JZT&oVJ}WP^vcd_Z}*`J4=DlVWiK{%=VgOjeg5mB$lu%D6Hx}^^$S{g_Z}g!Ly&hg;%Pi^y!i_@O=usImNf9;`axaw^*3N&@)1_A{m-pe2RZb0zqIPPbS!jZF) zALDAo4dd?M@8~uaxj6n>Vt8vkWn5%7Lq=w_)~u;8gtM}CImlvhRUJKtJ%-!0J{O{9 z5)fIa%k|+0P!>yW=!-Hp(fy)85i#XH0$A$I4a1M_gq^JNm_qBi1gS0o(kPamsLMh@t3P^<_HuttSB3e5x&5+>|WN%`Ne((9s(M?CmNEdm@_74sEU+NbK z@w}k_GfK7&TR0STHrV<-yLg?QTReAsc}?BXM32U#mJ9EVaSa5#q!nG%X}cknUrX+Q z(q~yzKtiD*=8-Fj3Ux0zF1up)g|M=apZRZjw_fV$^27nOG^LYxM2Cxp`G=Xg$*sOc zr5>jXFo`gV?!CL%t^~}9XLrK^|<@FuG&pRQm_VV-T zom~E^wwJR6$!B)h3XRiW3;oGQMSNvMiRkZ9COcobJH+m2wNP@_B)gd+K3k3sF(=H#UsDfqip5jf$FTh>PHEHjpe{+b2D8!4IzV9UL zr>rrL$0?o)^_(5uaUhchDPY%yriY`guRI=6)UX%UuvxbZJ(*b#S3K590@;+Ru@_2UXhT~r1|3qm*U6;S619a?Crz3XclP~aTC$j12_A{ zaaqxK1z2xQl}&C5!*hBWUa|cmyzT-1CN`Ee$_8wp%i}!`Hns|X7n7z_{q>N<-5Qg~ zSKO{Y4)q4kRcO<->s`{+gWT7&PyWeC*f7!1%e*yx%f8n1y`q=;-STjooGjC_<~}l= zNw8J^qR%jN%?C2(vu}9U!k@n-;(FT7)?qQA*SuScvZm(LhzL_Zag@cn?rnk5)(pCt zoSxBV{>|+$|9YAUl~F#M3%|a-;46^g2A86_dK14mYI5arBGFje$vRT9rm#gmzAxPm zS;Z{uj6r*X_Mj}lY)VgQh!VwX@RA;_kn$KXp3wQ#W=wd{6vXsAksMh{MI+P9HbpC* zQ{>Wng>U>TKR}m>=*{W8a8`TS<2Ra%gdg;>ga}NqP(Hx~08~rL&PpUNihavU<6wV+ z#BXkr;$X|H^co>KwWZCKx&p4_gp0Lu;us7rv{Bf^{YA~n6{2$Gu$Lx(%;lSvFf4>> zDJ;o&T>5y`6d%J+8az%EUueG;@L+9W67+AK=f^0ZVEWF?u7r%T8)q-~jdE>WfQe@s(zu{2(QIth}t7{sCCXdXuDGs;) zp<c@B?4;}I`rt4iVnW&reU0Pcg*7-uR`I8+jV^mM|^c_@`(I}SL>Yb9!7%CQzjBa0e#+XM`Nm;4**LL!n#23;Cb?fX zf1`{9=g=YIny2IC8f2W?PE%%7H@!Z?O0;G-t&k|$#ni_CYn3l8&xZZXbalX@6jm%R z_BW5%>IwgFsbH-x&%EkD3dBh^em~SsIZNb(V@Jx)J+ak|2T}>#Tz$V%^TIbnw^*Mk z+?M#VCL!Q;s4HJ|bLO$XtrKIH$g{Xr>+ac+sL8u1K4(UV}%`u z7g4H!VaVOu`U6qO$@vZn6*K(Gy*H@uNZ29QdEz8mnVuJi#eKsWR){z@A0fL}m?DE4 z(x+ij^JfsvAF4W(kq70fB)g9eVIgHizcw?TY4M}bzA}$u(U>PoJ;M4! z=0nIkg&$HzjqO=c?vJX|cQ_||0$~Hlgp@p5YtV|HFh05FUl!CY(64jpQLk89TN{_= zll_I_P9mX!jgo(|ODWl&uuUr2 zqGLWez;MEz(Rs=Wph1iZxRgSg4yu@itgv3jM=T-(KM!^Tp>aV+0 zsn?pOoqhz{mRxa42;5EZT$pmFT=So}Tu0`0^?gy*DmCe(w63XrmX_-v<8}lnuUM|f zas8?3u|$RC(GkRNxkWuv;^}#wgi6xr&={RnbvfB^tfgIyT$rn*T~4p?xlxfuU2(8_ z^zWRbsm5oR%kF7r?0!4=MqR(&lG5n$SWT|jnXmcfqe%8y0i0jL&+-iUt^@sf7tt!e z57A4+wZ}`AD~)_TGp;tZc%tv8ec@ryZ#?x`|5v)D+GzsHn6~}SQIl??x@c_jeg3#f zhk9e)MdNEdOf^Sp_}34e&3~L)WC^zR;p^V?y00wflFi$9foUF<9xE*`xzZGbSCuH8 z_9b6Go|t9*+djH^%HYTE#dCYn`tc}h!CtMQKgT5_ww}cIo=6Vu6_27##PRnZK%8HP z+>uo@u8kE%6e@Pusv(&`R-4cUSRSoG93QemA=HH97c>oGi}L99O2w6;v$OvK_;^SN zPJh12NKo_x9}NYYMv3}N-RZcm!6@ryb&2~o|2IG{`VwIUnG+RGw^3z&5Nv*+sl{?9l4b0uaYp4N#&^8Mex=^xki|KH&Mho0aR=I?1b;Em03Oy4|CYZOr>`TYKAxdV`rPWGJu z3em!}4N~2E`DLR(7mO_zd~yIR{-M-T()UA^IGbvHJs7l3M`lRsf3C z33RW^E1>kXzrWajAF*dfj6KGhLTL@a;9tmJ$Y!80NAFd!LhL?nP|Pu2?JDJ^XI!5Q&3 z1}SjTJx~@@BlW8c+ZWGXMTSZs;y1Sa0xgq`_;m*MUx+OZ0tZ~|#2%ST0dJwGI>2LB z`U~J`j7BmEx1=(G#lm7whJ2ihTpg9<(z&=D?LjHa6*E!WlDI@z{&xq}b`y2Bll6Y# z8DwV>W0U2t0(HC3U!<7*gzFmvahv6(mZ~c76c*S%u26RUh0^v0_*nMg(hPs^&QQ66 zhfNg%NopjV!{$=Ie02Gg`>aV5ns5y4Y!nVL?IB##fZp2shrp*F^=pT4YTv+B7$SDc z10|MMT>*C-o@mR{Er~dXxY$?_7=rE6@>j3|4b-hp7PDJ9<-K|R?Ltm2XGca9qGiL4 z0{kVf?fqH)KSE@)ybMvf+hC3vHky_Y%@@KDuY%ILwtGo|e82!#f-`rC#zI1!)1iVH(B@*LE=(HCcG>^A(XF)ueU0;56 z;BSdqX}M<#=_biGz<6wNd(`P;DUl0o65;S4U~rXKsI=k3L#TEe`+k$UK{d_(eTz4< z&dfqy>&ASy6Np%E{hu!`wVuY6OpyGTU_wPNClFc+@mQ5Q1uyQVJnj5j^k>M)g7Oek zvAblrctujT0gJ`RWnGF_Rc$5+Nx(%G&~O2 z`MjtX(l~+M!OMu+Eh2g`^SlB43+!5&_jck|3AEh$DfHjK&NXpuAmd8d1J?BEhc%?l zdhZ(RWz7HMfS!$l^LHiTI|cR{9g&n@GffqAk#w?;zBMrs11nn~bg)b{(@200uY`LX z=&&nb03@P0pP!hGD{T5qGgA5ailnZ#Y4PcqVzruY0KePWRKR=NRmK>jZxHiJU#4Bb z2>S(wh~#mU`)7I(s-0|Fl=}h<;wy++<_xdHHH2~xyDqr4% zEg(zE*WK5uMk`~kq5S52v}w>&LJ=%K5Ei>bhVli%@U6$<*Oc=Z^et3ADU^>xqi--T z5^>;}_UVVgSssFm_R{hRq4kbiRQ#XK3?E8yaXGBdCRfD@qc=)`a&CWygetEX99v$2 z9^v+)z`!12Hmy~4v;fBYF{YpTzYK$7e*a_oP3nJ{eq&B2<<9kK8pME-Y3+_z|GaRhc)yC78BE2oi>*-0#=51)T!@?Ic_URqU6Ly{jN%x-*A< zpq6DgZRNT7ZHgaSPKeLH=Y23R?6)Y$oLU9DZlM|Ob=HA#1ow~OF`jFlK6aOr^Qqg2 zWvY7%qBYdk?oqsOhb$=KQ!ofS8Vi`#nPS@O)mgx@N^aoYg9pfQ(-^pGL?aXVPupN^ zQM1%N3kQ`eoJH&phVQ(Hq@f>)IQjYLf5c)St`L>K{*hykjb`#ACa13eIpBB<2ev6` z`btC}t>b<0ny&)fd(h`Hr;##~Sp<zb zd8zaV5)hVQddK?lay(TSgkCJgQAO0p7P&&|ACkpil!9v|@HD}R-u(I=G_g;%+xinG z)-Qa032G~{d(4*_tr)uQRKuagY^=g>34VwU(75jmp>4_pS^!hp=c7}YBN8naLd%|d zn#G!4Zp?mZp^CkJf|QgMbxwfeKi2YP00gV=5sKD&Fs3gpLF>cB3kjDc{6L(I4$E%hjpzW`_T3`dCOc)jNd@JhYG>bx}gu*0wU1Q|}9riYL z%}vQo63?eJ9-lVLjfJs{!@DZ~R%O6TEmMG0MBvqZHT1}i^>jy~mku}oD`aw+t7fyy zI%PpJa2X0MV>S*bIglpaDqmjU;B=m)$8Y%eZ@xs$=A{o9QgDF9>lMf zY^8rMc>D&Td}7(d;vy*#tK0$y@bQgoBwQpWRAa-?EMbsP?w_~*U}X*&f!I|Q#{RTX ziz}R-&k<6`+5_nmeg_~@%HKD_S>8>5uKgbo9)3Y{La}80T=%`X)@36V)lE2GE1`L% zJB`r~M}*|^)bjQFIh|+7_^5{XQR- zxpMZ1#@n2JC!KjsGgSE~R-@*-;>wV80GP{5NM28Wv8{Kdi*f8pTOoX#BxuYhxc)}x;MDZDoV*RJZC)dmq zQS288p7kkAV7sppK_!a(U*qPf=$IvW3F%qs^q!AVc#EA~I1lN3APO7Lt(?)A zG^^I9tFcb;WfR`ufBc=Jq70#^&aShQ3?`Q_evzz?V)%75t(v$)jgt|_G3WT$Am0yG z#Y<1-&;EUR3~+fH{#M7L5+D1%?4z)`alvKD?MNKrn|lE!U%o+f&oqT+-7HFHQ>deT zyP3qsIR5_QODMR?N8S;8JSbw@(TXCi*Vo?nzqx%niK_Aqhw76bhHvghHe3Pq@D~C? z(H9Q>o@p>~5b>tZhmN%<*gU+>*L#k!;ug7find3$+q9n9@b4AKfED1}+-7f`MZbc` zS_DQ?yMd5n+QKNuQW#Yb`0Je^NcbM^;;yC3+_?_@!rYy}lb3vIJdHlHSVD@NDjdGH z19lW6Q70w$C!m^oMsf1O7uBcy&+c8LA+A(lb=kMEJMQ*>Eug~964x^>`9>iQWaD&;% z+z^CogivQ3{jcscMa$%*_A#>czxe0Vl_8=@@FlV)w2R83Hy|us$yjjkh|hU$wAi07 z3MM^=c3@G|55%8uaszj+b4BM^iY#D6(orG4e|}^%X`+2KI&3~?mZ(j_>s5x#ZU|nB zl3dvhj@B^0Ug*8&A~~O6s^2sYBC;XH+(lD$Ox#DSi0g|EfA32&W!NbMVv099SO~Wk z`bs#|;u#5#Ts5tT)=;`$_~6iow0YFY6cNhC@o06N^4T>8zc)|Yho5Q%G0_p0A|&`t z)ZeQ{hlN#RW63v9;?pbdLKi{)T?Uh=n17~$a=w~;Xu3Ik1dJJ`MdEvI|0PYAv>(YS@MpeR%WxXPe&ViiY0qpgvc!(;r4* zk0HY_z|$~=A$NKek;EQAts?3)um0vkgqs)mS4lB|V?>q<6wM=GnQDwooCD=_$5(go zX4#Y46&u$iS^|Cke5(1c1$rF5=j#v9=G}t(%u`Pk0+atoKQDr}MIlJGFF7B7Jud}< z)kCq3H1K-8GjZ=OWQBY=_ zJu{Ae9@2?e)Izp^V@QXH??m8+6jBjP!b|Xxw=jNV2zm@YBz4Z*$Vbz zDZL)wkXf^WHC{g*!H()F`7)TaF!F_ryMI0TE_GTBF}XzM#%8G}PJ25Rk)Cp^zXE!& zG@bSs4jb4Fzb{l4pl;3o5lz6vn|&r2-23|e_fH&&<-#0ac?gnYMhXXva_~5>7FzWvu%l<|>AA0A*!2T)nnDNzPZCFd8m79m zKY6MPC}$&3bTN5&etCTz@pQiSjW6{>k!fqyxfcJuhVV03X6mFs%xTcxZv#QFYH%NF z&penp>T~+RwAQnWp5=$hg|mneT0;Vj7m_yz2bE242B?g=3i$_2Xe-^@7%bvd=slw zUv51l)X38`iDBP?&3w_f3j$!C^Y>3K(DWREs)oUX>Lahe(2v5b6NPTNYfq4qQa+?8 z4>brZG!Lyw#9ZHiK&?&C&{%;x^%jwaHt`ZzQ7%1Xu`NW#DOze1ivE-)qA6^DYnfE^ zcUanlXsGn)rIM;W;p1QRLI*#ju1RIPskKvGTyHxQxwo@4G=r^XY<}7%_Ds^X0HCEF zCymC7h3;6R_E*g})K8cP3OhBuNYNrtTd@Vg;c`xHf#d2MB5VKC!_HY;1j$~WSxkR# zI)z6NKsWJLne3eC@vw(ppN^g2ar5C(OySN^`1S+RY~jssPl4X7?fQ*yJA@f2ip^!i_%btEknxk7LuTb@T=IGR+z;D zht#GgO2p#0?lcmuM{9e#{to`@sc`M%!u_-{zDJ(>sy58Npb?6|oDS;Uqg zqXl)#@wy-n-CJ;Kx#h{ydm%`NaPk>=sro zTG)(M-~G%faIDOtoB3Sd!IEbRtSP)VI7A|Ke2a-zV;C z*yyzPEziF>P8IRuV z+AhT2PeIm}Y~eSax<@zi#NCUR|ISgUksM{ZfPF0m=FytlEz8+y@=Qad7?AfCkR>)xtmcxoc z@i4{yoK-X{^L5m0P2g7c}L)IsXB}W zC;ke^aUMKv?FxHihLVs^R(&}`gGHvbTF1RLf~iigk`Af)RvG;L<=M!WZ*6n*&jL?)(ztf>5;()XULLLdOuPV- zxJ>6d;x_7Dfuqa1%4deS@hm{C%Xef?o-^{@k!`+X>I4WXGFjU)oI#)*qRlP9f5#-l zdt*-+cU7#{bM`a!SpWTc{QbhvzzJhLy`rJ{VF`?p%Md`5N2kCV2;RkTu(?fqfn#M5 zkeA5OKKsTQ)o(w-PVicTBNl1R<+K}ekw0Va0iD^eXD>n?`wB?INDu;aTqx;;&0kqg)1r8GA}q{V{jgh3nkM-tZf@REmW9 zkJ5ZOC}K-5Vdnkh5%u@m&4z@&CIgjE5?G_P^!15E%hc~$0o2d-pNUF@3;+v%ZvmYK zrq+4E^rnHNZ*4|IOV{D(^E_e7LkDAqMr*Cu%k_sh1T8uKA^p?+^?6`5QxgfvZz8}BYdzOb=FMm`_zR`K{!wZn|k zPW>r}w1*W8*iK<2LQOU8Gx5c8htR7;#tuLn*+?pEVfZV%Q#M25NR4EY-c7Q7V3(fC zN=a3rQ#esZ(kTx?#2uw0|M$VfE(H%FaDq+a95Yc{2_!uQ!7QImMf0QMxI;+HBdN)e zsFR*&`LC$MgG8M%rf%K^B1m^E)#7n$J#$d~p2V2Fz#jA2U%QOZ_o2hUx!^S5%Z5gW zwCJAD_$&E_D5niq*Iwn6v9sh zBbDNtHY@$V{*Ry8|0?Xe$V!scU1%tqvL%V~yI$SjPM_c7`}sY7_g~$QPUpPG>-D;x*YkQ_*OL$SI%EB< zd!8f!)ui)hq)lLR&H>*?uUiXn907CRm`tF}hTnZ90qv!sTmZnr6m;(mR&3{QI?la< z?t#Een3240>kB;@MF2UnWR)5H0Cv_2D}Z~2GuW#cJ+@ItQ>UCqTcc#Ho2g5(yD|-} z4@y=WKekc00*nC~VO0qy;QIFpO!lYOpvjE&Zce-0MLUjg>VW=zjh$O^PM=`}a9>P{ zk=pr3M!I<}edguLa6??BR}09i?+JjU^o1%zhR(=sJjTmbm@xHdHurd^8k#DPiB4JI zWjMm1r==PwWZ&b9FoOLO+*Ap&!qL7hIzj0UL)zXHoD=#2&3lb;{I)$Ornouok@UFk zLGtOSPX=M*H`uSLE^_#FI1 zt&s;hvY(JB&V<7RjcaKTl8}Yw?{_5c@|`?b;|M-pRtSmD(jVnU{Q=l&=orByN_0q4 zhj1G=`%#VTW%E>F<2yqoRd?yd?zQYuT?-QaF&a|*8{&b%@+=Hz_1KTfRd=DV@eSq& zhEt0V^uY9#tp=w73D;HCEi+Q}AT+^@9U?5Ijn1`&yivkmL>TQ*WE_~zLL0+7bG3_* zwUxn);Y(g1vwjQY*&OsLl(9m+C+IPz4DT&={^2dlDxEKy5hw)+BR_gkxCh;d4|-XZ z=fd_-#H4mTpkG3MZOf@U96D|koy(6qWclR%h!+ogc@=*aoBzBXdL^zyA*1U-& z+^<)erdEHaN`R&J1UstC0blU4C5}opL%`rdp|SPEiB!|Dt6x5(`$3hob})Iu752rtZ(!v~@*3|#@P&}W7_8}UMaPc=3bqbgCTc~u;6D<^ z;TQXrdKPQ~$4^wF`L|G_6#go%Lh!z^Y3kwhihj_l(9LxoMO6UxJXg%iY_-J9stO?! zkht_s<~#?aMGxglu8|J{B_&FuDJAOfe49O6$7x9E_!Cru|J1-NuqwdN;@(!F+=H>y z;@%~ApU~d%Pbcu#5I53uw=F%*sHxbzw*TRUk}Kfj0xd0dVUwsnn+~WM5iB)3;vb6# zqE+&7dXKl-(l|1A0{>6KkqIZ{4F86?T z(`QEV8Ji;wXRP6{f04WzAMUGaHhFHJX-aV#B&2dV7_Mj8#xP$h+X`&-s-sybXyJ0O?{L0 z*3(hFIc1XP{R6*`&Q2lgrGS zIpt}4TPwB6t6WV0-h*a+BDLq!i{J=L;|uC`5;KMp_L~Vh_O_CCOVRcq%+wT2)u1vD zr#NYXvC3!lGDk*Y`*$E=J2lEB^9|L_%`kN$In?Pozj?(L2JcNlO3 z$P#5xROK4b>^MRsw;nbRPlZXtp9$4Bjpj{Ui%MbZ<8ovqNH!SIB~CEN@mzS@RRK8- zka1RzOe-HM3O=ag8ZVxISKSlMCUVo|W|RQ^;*s)hWNR~^BrH?=SoyXs?&1vsM@tH^ zYP_k_AVMiS<^}GOY>_TWN{{}gfajy1ltXk^jdb~LRh=teq=L>4F+jryH zmwHEaZyeb%JODi_H8irn4|a4U{s1G1xG#HUogHA_aJQ^hREV?StDWu$2nj9v6q3(F z6=paOBV9C$wQSY>icQMfy%vUD49D9RcMFKq(F8!LRDj+X`)K?{v1Q{gUbm1b=rgJ| zf;|2~6JQd0jh!r102`!&3Qv)j^nP)KGd`rX-ZkD&fxNMNzf0Dp!Ibc|F#W5vu5&P* z@Dj}UjuW=pTFKlZ{3w6{`gas|grw?^1H1kK89F9BJI1#PMP(DfoO8_#l3lXc|Njjz z!cccHdT0lFMvQ^_?v4_|X@yA;J-9&QC69(5bU_Rv3L|E$2TkImEOE?{A_o5Qmh3cq zXeiQ?jywh%^x2wIt7Kr!lQ<&k0Bnde*JIb9ZLkBQ6BWX3{YuxJ51t^+v`-HC2{xlA zm|CP67lnr6!wEbw`WUhsoK>XX;0e#kn!yYx%^wBtFJz82keK8H<9zoF_rX!?EqAvt zPRe6kv&1GgEdFI9rUzn4<;(PA8yg%JR}*8#V}ImoWQ(0;j!GETV}r*WRmPeYLH=PZ zAoli9pJ;4S=Tkt;E84Ai2L?9bgt^-?J8bS;COI<5&V6kBxY4y+%&2SSlIKOBmb3DG zFKPj`oLsRg{&!a*IN~6ez1|uYq^4|M`X%cG++`Y-L!CPL6!{2u33d_%VdLTOnJd#X z!^SL-{vB|UKTZBjA4(zK#yKds^Yfi$=9u+y*ad0w>pq<8xAoKx`c)2kqt!PGUmxj;*|w-txCG=ulJI4qq#D@h4;#JE2>Hgh8{m%A zk+8NHj(3omxvb597|uuCZ%DxLlAc3iwkV`bx*d2=7a+S8CgI-{!h89>sT$GQhbXZ* z=u4G(D77*Re>?dg&GSs{%#&9181cT@oaDgQ8V9HGtnn8u_k;Q+IC--&+oO`G>q0mG0Pe3FpY}MPjEB>5m>rbQ)FIS2B7mLSiU(S>J>(h`}TZ5^SXg`VzIyJ zDkrF+ zj99nTN9*2^ZY!>x+{^J05!`E)MTP}88HSpQYewmP!SL9EgaZ({+c6fz4KLwXcLa|6 zD?h;dS;{Iv7z*9sBBhIY zIjD6q-auxLOiTMtc;vw9cqz>_29(%!J&DmuAey$6+~JISOHRupdQsaows+_d~Fnd1# z2ynlG=Yr&k65wf%H;qMqI@r30sZ{(Ztbn}9Cr+=)9P^eQLTCI3X!QXQpH|M(JReD+ zqI|G*|LtH5BeHmE_Cb?PV0iRWuXVJ@4PHeB!=rna>L4hub+SC7Ld-4L554m#w-@2d}NOzN9jO zcHEFHLGLtC^u%M@fs8#D^*PspBLDSl?rUHhSInS1(5Rl$r1|Ie)E+)YE1WwH{pH!z z(G5+vI}OpWBnU^&Mcun8?eBJpll30oo{@1!UHkL-8sziwHu~)Hywe;%CCm25@{FVV z?p)W1ZIzIgv-ry+A|7+{W5estGG(P~KCaSiw*vgBaA69rJBh{Qm8dBX+qR&3%GCWN z_5KGozT&NN(ynxa9kEycW;&nYT)fdwJQtQcd>1JR_W}R^1~?Nc4Ul+F&E39$TT;PR zm=!=mdyB|jZyoS+^#W3Mfq22m3q|eIDVsJdhL1mJEyZ=2{RYc_uhIuL?EQ)<`21re z0zvL7uKp??dz&1J&_w&dBFGJlfRA2SyW?DC(?-vA-0dFn{2Kmp>sRi28(edJ65M_@ zwX>~8-QQQT3K2xZy9X$^D{!{K{w9KC#S2`~YHB_}wP=kI5%%{t#|IgYK~>GEFFVZF zX2vYdQYS>TN={@r(cAu@mEgy_m15EluVz%%U%UM$N(9liB5M2AoqTpEA^xleXRO}v zUHdMBtKg|ynY%Ye>|Ul_g2-D93#N&A^6wR17sr;qjp*38C-#@li9z4ACJ5Q!$xYB| z`ba(liXS1g_rBgx$a@FkDJuZ`*WzaZzs>@BC`BFCcEHTtKNVjE^1-)gX|_ePuLRuj z>+9-*0o{(!tQ|i$RQ?QElwRbC4f_crqrKpC^ch?LOIJs*W~hKrV+u#YrvI`ho%=7? z-{!ZV2pp<#VHV_3kD&&-VZJu^ZmOgKQhJT!?m*Yx*O3fpnThdp9RQhs+$WP4_;1@_m${9vXNHf!{!AAByQXH40x~^oAgBb^$`> z8$d%7sJ&R&8sG~6WT9+~grIXEAx5Q0FAF{1Pkaz*R};vGJQx+%caf=!v5 zcaR;Yf}{d38k3Dw#;S9iF}!iYqwP$_Ftwqc!zad;r8JRt#{W;?3pPZQ%7jHvbWm>U zE10?Qg~xy}3*qd&s#%^6=bG1YTZZIIzr^l`A3#wp3q~C%hbjaPeg6EVS(uRCyn}I% zm7qrKVwSLMTZ;3OLP?+?I&X_j5wqd3t#8C*mm_^Mkw4;Azdr=*`cnlkM){Wls);^Dj*Pjbv1h!8yMd^k?PE~eXKRO8QFuPxfi+q^loa&|-gmEPPSZpP{bx+C9AUq~c3}Nabh1=?`&e(wQ z#qwbdf;4duI4|zQyI0f>!!*HAns<(1Dc7`tcUq$Nw#LMIWnm>tOx!0ZO!M zgCkFUK{jSS*!yc5an=x8E_u7MOsI748xF(<6Jlxj$Cpwqji_g#A=4HW+m+12dy-1c zMZM7+SsZ)1Fcsl5JkJJQ_Cv(M7qHsiZs#z!f-P9v7`K+pY>O?PU4lJ7%`^Cw_;iIf zT@eHi&X7>v*^L{E$bvZeCRxH_?+>X5FvLMYD8Tq;(2ZvzuVl#V__83N4B>^#M%3c* zkjwDO6FBrlc8}khG;EUIfioki(H?q|vvTQ2np5$TWZ!sc-cf83ZCMj*#y9^~$?RPv zY%0eZ8I0djKAi>B$n^7`Qr!NMq;e8a*lP-7Qm2j|Nar{AUHv@4|C!L){;EvCYW?g4 ztop}5e%K#BZ+ZdJvugpv&pCxsxF2gBmmfYK@J}s(O>FgTUfaQE;92!rK8w(~vd~2> z-W6$cZ_V9qcjN>zw>WchW`E-?-taw{y!XF9R^l1N6OYTAk)vNDWDqx&&)BIq8N84B z#*SB757BuCO}v)vo}yOIopO&eS~rfj^p~(NyoT}%cIvj<2-KtrMll?T`lqmsr0$Ji ze&eORBmM$vNh*fQ#l{Tk?zdGF*AN@R7wvqSrfpc<`p5h3m1BLw&m zpOM2{>X*!%64}=k`vvHAe#QGw1Li)|53F3mJl%Yt${?n|HBJoAoT}h&o%tErVt@Pa zWLGS+eRTPfAWc^5o~bD8pp^u0**^;UNaQ!g5(R;P?Rw~YS<(BWOUkJ>NMaKqv#c)r zz)PDb(sug+W9(hU(k%zTB9FZcw$5s7^ zUZ%o_DZ+*5(c`qA0Ud|aYa+*vux*y}1sXa;ah^QZGsmB*Q}URRPP1inIx3oB>+w~{ zbEl-^$3o!NJNbUvb^IR6-PSE>&D+ICKDb3!Ka)1qF=lXzUh^p%4VItE@O&?L=GuIh zN)@JbQ!_LuM@6TvHe_CwmNFO}_%#|K2 z&Q^y~)->OEjGXEJCa;9&e!VF&lP5?ySg1;Ih<;mcyCeCUR+CzcKf`bvv?0{Xe@&F^ zAYcWE%*kx&{Kt|>@=vz0K1pL&4eCD_!F<6(cFEjb5lOD7=z9MzABel#RVzUCvd1LS58-bJ0g<8$LLB;g*qR-Px&X-&m^<4sOxgBRWVf+c{ zI~(p|tDnA`sMwe8?xS~Jipf))j@kWC$#s}C6k}pdcrY3^@obwKUu_awO>@hsUk4O- zxG{BoSEe{KE_g~>bPz&O%>2Z}vl!?*@Ci7QY?3ZWiY62+htl~*RqtW)OHjOlZ~oF5 zFBW-n53>!CkusTA>(e&Rhii7W-UqBsY-F@8P7`7$m9{VaCZjcJJo-j>4JDIf^eC$1Q0mo}v$R)8lsHvrm-#)%UGcBkh6) zk1=U9a?khe4RR*D&9}I%u>?Z=GH+O7h`v*Rrjot^=H$&Fb>rr{rOp9LpPp?uX8p0Z z;3Xz3j`<9TG?#ew79XsHQY(g2w>5`!*07CbmvSUCQtoD&Zv3?>$uX>aZO&?*?V`%` zN+|ObkE_D4r|Fr#kZ5ktw~9-ujEa=}pG{=GOwpvFne8WRCxcyp|NQt7I7q7Q!@jzS zGAh$|sD>~65cQmNywk#Dn8876G@RSDoO{5^b0hG?2gyGdSocs&qg-Ua+J{;w(kCE_qZY}Cz1l|`kvS2g)?plQ#yW}CHaPC&NJ8E zvdDDGoG=fYBkG3Q}nws4s|p0xWDP%K*m{T^=?}= z=VLM>b-sxTQk;$qOcYQD`SF~ zu2AgT`&VDd4hg`)@5O>gX!W|gXtQ*B9_=m3Me4Pd{Ls;(csC3`tqC=+b)jL9u3i(% z=jegt(oqL9yOj`YpA)4s-d0q7%~(en!j$BqreNXX9)t5I<-7pJ_|(Y0zPhB(nyfQ1 z-Sw6`F<-}>U&Zj1&r7<%gWTz(=SDoW^0)`x0+gvKvibnacJ4CeSmdnC>C|jmu4OPC z%kkechB^9_=(%s>M)k47!&A;VF6Z`Bq!+ooYWt5^E&;)dO>U1N`@w-DB{$s6TF+V0 z8u_@77BL3OH+b9THtC(2%IqU8E?zp{wCqezfw76+BgB0TdbK13AP!(0@QlwH+84Qv zfG>F^dx{_{SV|W!VOBM(m_Ys7jc#if^_4RE!HoIqIUAG|r&EvdxHh+h>Mv=o*{%8q z#AfNO0N|6)$l!G>8@<~z?aBPI=hTsBouW(@LVuSNP)`);heqCsb}Qx7;Il z+dN+|v9N8?GfH*)ZyGBL%c|#2`$uav@!)OOM?OJR`o^)8is;R=TZT#RbVtM)pLWRf z`*gkXeL(H}Pqhjanf4v00uZ!r#meeJr(7%&!pB^!ER~OEcMmyR(vaA{Z5x}e7AOPk zR#e0jUTI1!K`Yd!^~614-okNe$3?PzRmN-f@eb8E5NA2fr*cA&wz z>`7Q-jz6S+|FGzyfKmL3$vuK=sVZlbjiuKs*#eq9b>_wWuNQ>uV@e9T@&CLuzcwWF zqQE{L_rA%c88F+p_0yHZuytsKu$7zMNlDOeija(oUSH+i?_U&>Z;6 z)XpWYCPg8KZMVw3yrUixm?GMRF8aNft`FDN;@~;R|CcOVw?SU-0XyrD&cLNA5{n^$ z>ecW8nfr>aBy85wDDeVmtddB=7gIaq^k1ivx5{&*)Ev5gIBF{X)K}nfs-swieBLGE zO>uOhKT-`6L}X&ZIgSx$J(g!lqj!^P_kWiES22|GC#O~Vm(2@^Rz$6LM5nipE=Zyt zy)kW7NQb|-{WnUWgM-owxMJLij29j(jB{)Gv9&yeq9m!0u6ur0O{l~*R9%5VeP7?E8mCiIw?GQt0o_^ zVqB5z;x-!Y8Lqdb)-Anzpnf8&=5U7Exn}L?p2Nd9WBJoc(`2y^7`OQQ=p^_REo zn4-RE)YQMl60EV%4OF%Xgr;AQ&lBGCXO=@BrqqxI>DJfxT~QAhGOaWyzd?b%jE?o7 zv8mMah6*o}#()Nf-X`P;lJy!`>KFY#F!cn>m00MveY#+M2>Neo)->)Obuu!9oqYZK z;t0=4()9&gNmGna6%dE+E6tx{{F37$CA7Cu_F*6Oaf?y(I?$8%frt{H<927zmq8aK zPdUmMA>&V(H^LaiY?A{uG3nVs%A^Dv(H55E7mXlWzZ_{zPv;LssBcIb4SWRls~1F4 zR31j&-@YC|am@=Px(y& z_OfuW>yAtjbWd+Fcc8Mv>K16GjqWK?@QUUG@+$f2cWM4GuqDYQDf0WLl2@Mzav1R! znVjISJBXpRWi!o4s?}!j1P|_y+SFyZbp+-2`>04nEZ_wILSXZ9ZL# zDSiKfX*;Tb$Fu(0esXhFT-TTbsv_gjfO(4-XQ0pT-(pYjab`_ZiWr(}P|d|1;*hkp z`vxMW`cG=kL`b#+96$Aqeu*N0tYDbZp8cVizuwbkkPIUKJZmX&s49Sb6tODi# z{66*lHo@EODh59BHGD;HJQ>EvBW>G3u_A^e)kLfch!;q2g8%lug#2`3P{VB53zGb< z6+}P#V@+o=K=y$1UxzlbpEOk1Iy4sW0!w=+*K?n>`8oEOS4LOtKHz;J04(Us?V}si zJhJ+PcE1APvDS}*FUfjHdMiUk4vhjP~Nv-Y$MRV*x4M6akS6$ud-}Tsb6Fs zouxPSFk14HFfRQRq@qoVt4<<5G+Aw8+3g3E);lz3Ai;+4l|@_L?UPbZiLMh{u_zQx zs#mj)pWDxny4G=OP;FyZ z=8z0o#*_DDPmaI185qNtzwEZS2?2HJ?5TYdD-EAQ7_dUAr4G$`&wFy;y6em1XZmw- z+d1QptT#n&0+;Pw&rcev7|vpm8F|ANo{eW6U@hjx?K9>v{wb+OeG{ofmKU;&{uG`H zH24a(i>Ft5OlZ5RhC1X#h^U7%m(WVTt+*v=IlOl3Fb*eXTzW52FIrQz^aG5#%#uG9 zD?nc$99xZ%(vA}u=ylrd9)Y}+;vC$Bw(!3wfpV?jXq z4s2SdK?>hY;IkW!?DxOk@j&2_qQ2_ezL+{%4N}#R6si0@ z7n|uQuGtL^8=&zP2W0jh6QtlW*NfpLvKmtF!U;{?PH@ELf@tr#+grzXuX}lDm}Ih= zgZU$&nEWNIxL<~Da5jV9LX0v>3$85t(J)|ZRu>YRD zgnH(8-zz$n_dHeg)}oc>X{T3GobjPuS$$- z_ZS1rKy^23@TnZx-u_DGY{C1~CrVS{#Ci7M_n6)($?Ka}tH~&37P8BBVl8oxhLYvI zYusvl0n}gDV|ab#O~^!@J^YksyY6-MsGuiGr|5FRF~Qu-@I5rWS7XTEV~)OuJ#d!y z46nH;k5Ke$n9K2w@;MYqZ1jS*cnNFmaX%Tqs{PqX(1*kWC(-Ou|KGwR83I7>7X{aU zs#5-Fb0U?#K|#naKBai~A8`P=1Qy8(-*-HC;3AohBNu4OPQgR>C0(ujbG3iJF9W`> zosPsKhL**Wz#>epFv~x4cNV-7OTj97(r0h|^@S=}heN0W|GRp4$n?m-#mn3N-ianO zcocU3F0|$B2?i?Mbg1l75jZk`gQCEs&+4muy=nwUfyJDKVow=R=h*-I8xn$EbN+iH zZj|q;U8|A(A(W8V*ac_@DX4Zp4J<5g2N@M~L%NxBA9 zWG>ED1F8B{(ObNk&;*4iXDEsQt7cd~lb7xclXYe7ySc?IpOBDmF>3fqhaiL|Qp3UM z(73rAqnmNO@1tOAiZ9I1ko1LY?B_5P@rFQUdl>~eBqM-%BF?B=5u(H}WVM_C$I?Kb z&BbUI5uPGC4me0?P3H6inln0g9#X zAMcp;5as89+N*|vAFU7J3kmf(BIF>ehNR*ZQJ1mk@kdewqi#9WHiahNo-Z~Zf;rp@ zW_4E!kT!M~(5M?AC3JaT<1Pzr@tHe^&k`;Jh%x7e*xL@)1&-q-Hj%C97=q%s(*=ub zw;N?ae)F!}KK>)JhBg73eh#KItgii5ICP+@P0TwtiVX-#W{@`h96~ClFM=OFg6hl{ z`uY3-ttft6;FR~N0Aul?9ZWpjRM|d+KkH6O8fk;VE&939BIVmh377xS!e=HI$xSvg z2VJT^*dghLFHW*{oJH(xb}NO-(LH(irO`LwJem;n0b17fd3H(7*IJZX1zWa%v;<#x zF^jqi=wj#uu6qV&GFwzVTn6D}2z*7xYD! zW7zbL7wWf=@OZzK_uW#<=Icwz@GJ*l-|Y6u{DPYOIm$*fI+i)@GcYWrq=6^?zD&Bi zsx{XfS z#n79Ar;kfpcSG~&S0hDe0E)rr%KxpzbHXujutmZ#4sEK0UBO?e#VO07WQ%i%YCZ+j zAvQ$cRwW5}3vWPy*SyAt_61BX0O}$gX{o;sbi_A|#mQkW9Az%8n0hLPx*1h*azoqAdod1c;$^IL=SK{&dyU}Fp| zwYbd^%BNu@O?#UFKZ(s;V4TBMR6{}hd~mh%&}iV)UUA`Owyrz$ZFtLq9p(=W3wjNH zZLa8XJZFofXFMC*%MA_AtI%NNF!ze&?a5J7F_CqTl|8XQ!0*MOYFm7uDX#Dmcw!m> z5;D&E=Wln!O#-aLo6fiLes_L5(h(w<&uJDo^kol^9j!(lhK#D$pWi?ZGIzmEn1`5Q z5p#75WG?142AZO@mfiC*R~yK;^`AmtNPGKV1na&z5D2!zT;jG{EU9u9_yx&^@Zfvh1SjA{~_P80Xkx$UHbR`?>M;M>e>$Sb|?SgW=PW(#rmy%JURv~ RE8E~dE%h^M#VS@I{|8+PN~Zt- literal 0 HcmV?d00001 diff --git a/docs/images/HMAC_DRBG_util.png b/docs/images/HMAC_DRBG_util.png new file mode 100644 index 0000000000000000000000000000000000000000..c79bc11cd888d7c1a172495b119ceadb455044e6 GIT binary patch literal 52939 zcmZU*1yq#Z`aM1j0}M#a5YjNDfRw0ocQ=aCCEcA44N8NQbO?|1$GuElbA=Z*88c%J?2y$|ua+A1VObVLvcghWkMQ6B<9{lpaQ9(ghO+f*r>*fB)(Zvn|;YxK)Y1jCuOZiF1rM$A7M^rS<#{=Q0^sv~> z(20PGLEcFBJ$vHolo!eDpZxCM$ck%#|JK>Fp}OHor9^3;ZyLq>a49q~_g<)nm<;Kf z89%`~Ts^^24#F`fLP_sQR{9(&0+Sy&mUS3+YfG~_@U9;!3Q`V9oLAZPv?7C zhFeMU%!K+ny z1ATqZ&RFe{mKKBue1=1CVjUqc@CgU}(19Na1UD@PLID0J2S18M(0{&#W)$K6^BJcV zd!n3yf|?rm-@wMp&d$x-!QH1sz1$z%>YJmXv5&Eqrnrr}E5DVkyR{vEfU5`g5{P7g zIQZyl=VOHmaCLF>77vhO{nr`d;4}8H04wTWr}#Kau^MaXq7>Y{>`=n|g8YK4(nKf} zO47^rk+{C1@_#M|ze%w=`1p8;3kdl8`}6zX=6Cn97q}%RCMF;#Bp@Wj2hQO04s`Re z3gC0|X8ZR|{<)8$owtpbqlb^9yBi97Un^^OUmqz}R_ufR`|scFv?ph5FvamQ=a%7Wq{M!B<>@WZOrU*VVzCNF<;0myry2I6eE13cBpOYq8hMT?Z#k_wexXiabaBJIF zp4`xhzEVQtuYNGzWBlhdRs<(3hLn+KAWPuB&B4#-?xY5_TbzcV^~6+fT(bV#dUzb> zOU`XO(5yF36Fkk!NfJ<|kBK|-Xf?5&TmdJI|MUlD0$y$L!Mw$9o5!F+`x%eX%cdY+ z!%GX8gYM;1ydTJabtCr0Srixc7C+`j-L~yTIL@{B!h@~H7L^yoza5Z@tZXI!=eg)B zglO<_^{n@hlzx>yM~-x8%N1nrG|o{sC?rBm@}FTyRbDvx@i^=6POHxC=KIygoe4Xt zI;!wL_WIgzS-bTA_ATa$&GMdnxy|P!DAaotH}J$nE^@Thdm#0ncEyQ8ha?XZs?FOU zzH^)7$+>Nx)Ff?)x`XWwk{Xw1|1@hCnJw&^+xF+zD<|EbLxn$10s?3uJ-R`GeqzG^ zGzuwi`8D^heyzDw&Mljmw=UB{@(=4~JXmDO?3z*@$^6qOax^B1QDP;QIc%71tU^a% zbs#It5WMSx<{E?7`Y?;*_qSEV^uh@}W!^71%5sMlX!Qf8MgKE=;oU6gax0pQ2XAag z#3yNQKg!_H$mCkpUV8ZCYF*~?RN>Fj23zRgW0HrT=+;StisitPYIUSt*3; zCHQe)%AxVgAOFl{szgb1<$=|uDC|iCXZtN2U*+(%Gj0T-f{LKOHT!C&rkOc3a`#pf=+f`4~)N`_0mYg2?f{MeT*Sd zCj-6tg8ltRin(}*4cDBQ`*`lZ=ZNGJNaoWIe|IF#)(Rhwt15oooNln+bjT90sL2^K zdFO(hIaO#|DovJt`OLxTTQ#?P`|nK2^SzI0te>v_CRl3j%(t8T9L#^^iqYL3E{@!q zZctgkiQa$jwW?la^y*$3GITB9f1Jy#)$g@or7la~_MYMiD~7}UWRUUhjen0xGOM5^ z2GZ=ak><1cO)D$;!});*Bli*y%h%^3lV#NG9jG4ic!>ZRj|Ew+y1sk~{|sc%uW@d< zZ+DNE@k%^t(k3mK87M3#UK+Kukp`jY(#54Z&METT(*=r`i~im5Xm}JUPB$uv_O`MO z$F^vniF><|#B8H;7Uwnw(|rw}YvmKQ1to8m%>xGW-onS158PU}h0O-vC4YL%zL>$K zCyzLRpZ(qa@cXg#6{?`&LBk^<>u&f(;nZPZn?IsRZX>^WMfKm27GnbkL!|xvtz+rR zLT^!xVO5$XJ#p{h+R!U%iVXQ1+IffoBAK%lioO(<$RHwDwtDS+@i$=?L1qW|S_tC7 zjj@WG`VN~h^lm9sH}CbPvZ<^L$y{j)M%WMLrQ(y&aShpCU0zUxFp(W`LP0DQt7V}2 z_bO|m#Nky^u}D&uT#3F$J-#0K-t$}ag9zjP=k6U3WJBiLgZ+OicG1Vf=)1L9XXxkE zyH!{tTkqGJ|6zVG-|V%TR%KAZBQARVRwf8M&#XT^sY=a7Oojd3775(zBq&!+WzB_W zq<9A;yhmED#Q9_h##BtIBmtF_}V172)SCYAx` zpYTz~GF)pRgAH?|xGZO}@-Q?ckn2ne_3!6{z(5`a;fmMAA-I)uhm!)9<4#%(3=hSIK1rdnd(;jF+Fol5JynAG~qU$9-eTt%wY_uAmb8uR0Gz2e?a&C?oVydeIPnbME@1zWyCP-`jv4UZTac6codBZu#^biw zSlofHg4eH6av_%Smaols%(#D;YZSQ|AhT!}?RoZl(&AeGO1S=~u;QaRi^mt`OoLs~ z*EIUlIBeQ1*$v{*V+eE>Q+?X$rQ2+io|r)X3%1{$q+mAW-=-dqf;SQ2@XG8?)hoPn zoq2I(CEJw}K>#xR&hQ&IuI(7=-efCLYwqvK&|MDoxlYVEh>GJ=_~rzp48wMQG~n)#`!vsWr^6MzqSW^wI4U$*0ox$-k@y7oEY?R` z#ZTQmk1dWj)+R#2382fLle5Bc3HoD5=*vu-y%yKgLYo+H=rZ^VWnb#RiT+8_Qq>{;gKYh54_MD#^ixd0|m}au`&%2-W1kO%`6M$NbH*R>8NSC^&T=K;PB(6KC z4-XrioK&spInLpj^!O>mFG%8Z-_37?fRa>_u)Z&^P% zL7alzX9p&^9vRu5`8NP)bA?@b0BF6}p|hKq%cjl)T?of>JOkn9PBp7pi;tS?leIG% z&>hkRA*6H9;YAEA@HlFI)5;!p^=l=U{1IDLnnI+b&kva13@*~XHFUkYU`wDUxZ zJ?jPe_wyklT%UfxC6A|U-URGhYeAP!I)D6#UP&mxxAPiaZdSywz%9l8E+@-mf@l7E zY5PO{z2cL`RG&+uyM89>Nx!2H;lY(3%^pZP4 z-TUls4^qa##C?lCTrx@2_RRaq?g9WES}b9Px5VHfr;D+pZGqm2If_EmU`h~xKIoH6 zULy~OqtH)o0wit31__B4gjy;JI}D=-rjglXwLbB&=>YNd&Nh2bl+L|0x_OtsQ$&5A z2?~$I{Q8uA%ccxO(0O{43Z)-dcrlD#eyT9?WXLaBwyH-<-SKY#k|;l3pQ8)Kh6uZy%2h68}Q>8`r@?e*%j`{thM zzs4szL9F!IThYDCie|p-OTRGy-U{|ie<42PXhfxP=k^E-v{-oor1;6*`7*Wi70}v0 z7m=QQ#;v++%q_<#+=Y=xx4!eKzD1}}5|b1USZHHYFNEy+6&0EN)L~E-4}gHe zKa-n#vxoiOnl@;AdAXucxUB{Yefu@TdK?S8!-(rr{y5ftu0|hVvzT2kkO6z zKf+8nHM3E@v&v{m^P62Ty;m* z%rj;EWcP=~PWy@ZW9Sk_FLIpe1E1=G4!RDe7>|mv@)U)$*5~Bgr0Knx=7kd-0?g#t!Cecp<_;shW)oZn1$Z4GG^M*=v zTP%b`efKN3$_fIuz$i=G`o?ac|HtDiK7ciwEd%NA$WpQ{5Wv6{99YQDAQNWFTq*m& zpj@4bkM>vWzKT=A!+qkZXm{v&9CIPpQ1v;UnTDPl|- z2Zzz(u)n}oK@VAo%o`pt7wYL*30u_O4#%FGHMrpewQmJ(t&CuE?eR(9v+3n$uuWso7(E1gQKGuM4CQa{?RZGJF{~H z8Ml={aXTIziIinHut}DBG2Q>+>ax|eBjiD&lGLxxNCFAt!@VlM)qbkiVYqC;fE4f= z(-MiU^*&aFS%X+7bRBiB3bST|kP-fJ`EW8HECkB7z@Itxs-KYb>|vZx7Fo#oYfH7M zR)2THO;A%K4fFd!xi&8Rp<@HohAsfoJ>{4U+I$@r9lq=bhngPv^(yL;*s}@-AG?@^ zeH3Dd>#HwQG#9ZSq-s344z<*gHTCkbA!j?^e*fbLXUL>f>)b(B@11QQc{xGJt(<`K z0OdUZ^UBvxz}&9XaG$goS`#4CO&hHs(f+tStmYNk`Q(O;o!17wPsJLS zF^8SXrMtRyoIT=up3QB*2}0u2g+spgv#gbWQbpX&L#^ne;fU}BR*#KQj&|jGAqcsT zY3+}&zpu@oQwx}nJ5#N~QrpsbjCh*&d+2>vQGa}&yh(1-K3pBR(G=6pYP=M~l34A} z8whZ)0k){j$SGoyQ-Q>=NG2G+?0G|LF38FvNPM``$M$~C?|Db86(DyWw%_WklmzVA zd>rB^$rg>RGe-!Q4`0=GkPqXtbX*gu(=S(d%(oujsxhuFd4whi6?92~2TH0Hs#Lf6k%1JKsxZ<~osx;4`tTua286z%H^_%;VMtvlr1ejl?zRdWNIx78Zt%*YcVZ7G#?;l?+4@xsZ- zV;K6Gx+)b`6FrF9*a}0BbLid*x_GkP)Gv$ECvJ3^)>qHqLTdX?Q6oKI(7p8`0HQ2F zp4GD=-*U1X^%uD)>Kng0;EtX$2yfNRg5dPZeRc28H zf+=^gxEy3&Y*QR>%ZDy0LCj;xWX^RtbqaW2-LK6Ap?6cONUv1c3-Bl6zxo*GQ<(IUvwj~8r${R7zxNDQsWb|hDL~eY0 zZBnk8BNUVmYNl_<{j6c!piUb5*>~w3V&fd*Ae)z#cAg|DL(G#VEgqY_(0cx2|EXaA zp1fuTJ?xn;#*R?o6qJ=vT$=JxKvpq{RO&Fs%SIqDUI&0Jv+YTsReziKXB6NQgGeDc z62()LB#iZGP=5w@E*w_NQDZ=plbTYj5Zh1h-o{cnv3+OAk$umykW?AdGz^O95qS30 zje*&eY5>O`_ME=?lH6{`V=ww}?KI=|z~Md6k$eV!XN2M|uuRN(nu z0eHyQ(B_(xy;t;ZpaoDjMPL2-y#c~RUwOr<6e_enUW zo^ytZ-_$s3^XC1U>gA`N9&l+?eVy}UO-qShqtgW92*VjK>w%3nrjpYS5eV55k}%a& zOoS+}tqwg|0sbyQaiGdwoEph-yjZLFqTufA&Z*gs(ALtUG9g8jFtli>>m%cvhR$4% z1q@E8s(h7Vty*UUo?TZIA>GS}a`!rsg&;hYM_e!$q+l-r2_1V}AwWMOAis~2G^|iC z%6+>1=nKu)FFjugr$<#guvFq25;8GdsaHB)r+5H8<&}Gw;9^LG^dN_F&MG1%36dF& zNfwF~zpzLLo5{LIfw(IdF+;vTlPq!6oAw>~zZ7Muh9KVaoD! z*}fc8L_s9Iz|^iwi%n*ddZ-y<6)|}DOAgd9nda?5-2P0G*`TJ{|D`L&Pt5|!Wvph( zKD`Vb5@>l9d{aujILftj3U)ER0(D2YqVhBP)E6?RvpsBKum?5@gNmeWZ zquAKQNXN#IzR`(Te&a>6qZUGt1>n=CMNZ$)8Xudh!}U% z2oUJ9G&86ty59)DoLcxyK`4SnZMMBBolh0CMzd2WA?}C-mw;QCIfCyjpbEGFHI~BQ zk~%nBO0wKx^ZhlxXxKRtnp5q!G4sB*$Dm|Tv}P<>=2Fx=|72^LZMWlZ&Gko^PAtQJ z@QdH9#ZaTAX9hxsf|4o#Hv1!Ix4A~I^#%vM_;%9g@sBFteHw*QIRccT@Q zVG-rGz+>-1;qNj1IBB|;#x2o@cgLGxPBIo9A-NYMgX#gE`&YFeE{S|9-4sqCFot|w z0@86r1gM+i>75fcWluk!V7coPi|{=tn!}Cv5EXZo??1nI;K#Zcd;dMaL=Tr~d^i^Y zSFP$B*rb7M0{kuiB5RU6pqp}0OQXe#imo(2V$uz;IC;WfS48q%k@fJ>r>DNR@3C2; zI}ZA9){`yUvo6rV){A|<8a7+#4dZ~KTx-uZiQGC&r%kOt;ktmiY2c-$YQ%dlKw_&o z#;hU2MUk@QG=}a_->*Q9aM0|DH=LD_Er+$-?v`5;SK30%PsFN^)KQyuh}xdmzQeT1Np&ckt?rQXi1YAFee4(>}r@94HjspEJPVmW3b2H zRkwKHJgSFBm0eX`I`9J|lz8O*_|v|^us<6wdyq1O0vz{PxfC(3*t&8ip;^}=fGw3I zp4sRe^Qb?gFS^ttv)`CC`0{Mc4ivEw+3MXbdRSJ)xY?_`i1k{fJ3>1=R!02*A=@0W>@U;*izx>`{;4n2eLa5v+9&$4Y0n%YjnLy~gU zaWtwSt;FK<5&ujq%}>_|ca_`97L+d5NLe9xe;z5bv?)F@P0G|*V2C6f#6A_-CrK~{ zUBPL5j{X|f7YzHEWrR-%6nFe2K@wn;QQ9#=Fp+h`NSGvz3p?f{$nBkz>~;am<6KRz zZWPg)CJ!Tjg25K?!?Y59)jqrPQpFYfO^U3;B}&)IuOjy0EpGwX6LOYLr8)4hX9FC+ z{ZO)Vqrw|_+aoY~rMGBf9w>_95T9Zz(1PHdX2GLg{x&owjYDHRI|S6n4w^Am@_PEn zoVl5IuIXCOg`Q~r#M0o*f3Un(I;WObbwQY}%a`n1x$s8MWo5qU_1Ih93G+YzE%#p& zu4cp46L8b*y<^_+h{X9KhUx;7Uo0%>5RKu>mhj)f5O}_-MaY)(bk98MOH=yDre9_` zZcz3C0vM5Wz(Q>J678siIDQk1@tn9f=@|XMBR~O-eNh^o(q&DCymUtmTmnEv5g-d- zB2{2{rJN#i{d?mp{cotjoRB(X{*%f5-P1@9FKoT8Tdf zgb>|SvYi`U`d|)26hjf|ArLEgK`d+XrYz+IG=>$T@+EB4i!L3R6M@d}(jflzB9eft zKZQjBtIH~4NZ`W?8!->O>XPC<0~I!dm_an&w z0tX>BaOCpEZv5$?cjt;1=2C4M->&P6FDFfS%&yhBu42Ua&Yv4BZkj2kYEPH@h^s$Q9jdinN#55SpCBKVd1I(allRAZASLfKBcC(sX;rjM|i;(^3 zl#*^U?<`~2#b+_^Z$7>}Kl0uk4sO+v|EG+E0q2rKJ!6;-12_VmhisBJOW-bZEk>`_wg5G+p2g3oXEqh+fWT&i zp2hIcVjwXUZ$!P0p$sqA$l|$)%RH+WC|w+AxZjl0avTr>TX_>uGghscl)E>6uJ4wSD|Y+HAq4M!=V1- zF&;T72Bb8evxC)iAe(`Pn30FECi^fz!J58Dc3r)rSe@XL1pNGTIXNgl!k_Y48rP1J zB~a7!Uue>HIzcZ1x=RPBY-45GGuus&T}~!~gCix56pE`>)l&xg`e7rv-C8r4=Au)p-ibw>EL-%6^LCk>M# zy0J}4w5phfTrwRSdEs3~LF#CT0e zCyK*qpbVQ=AiE0ZI2mw)xG0jIxhJ0kWOH|(bh;$;&(S^~sX4>xNT~`|+I!;3LR=UV-#Qzjy> zWrLfs4x_^83D=s4r2q+qEq+m5Cd3hhes?oUk3PJf_W5#r3Zp!+9cH7 zlZgv%O46X?!Na8WF-(9WYG*oYz`S&%CYpvU=g3wouKFTT1R9^~PZ zY1h{lco>i$!MPb;J_NRsMvfWtlzt8Pa14Rj{l*e4>dnkHe&FMNN z40sL7eB0Ls^XW5=r&Lc7Q%#!m&r) za(~bav@({!MdOol&yd3qe#d>@6cj}?FMm$E#UU_xR?YNx3%Tc&7g{!J(m^oa7yo^H zr`68~khv1R#rGL}3z!2I!iW94nHa($Kj|h!2lFM?;Gva@U5EwWT*16HFUp!R(6#-$ zAFg(uv3N2tVT~0koI+C{WfMrPe}P;^zx86eKmo}Gg7_>Djp<5Pb*ZZE@xrh$hU@F+ z%{$ixnJxkRv6EwE{MCqEYFLh=Y}zA*ABc;3JUJi$imTpyN$v#uHD09u`YLm)c$fyxBoXf*X0ihWT? ziev!1W(?pMqF?X-N5goeFrB6XnvR-8o#3lllcAjJF4jRH4eS>lzI|b7aTuV}z;0!P z>}jx~`K4b270c@*iAXO%sHT!cFL&+&bP(jK94S};avxD|T56fxJ~K4#xe~X`lLjP) z!R|}8-oe_?u8UHY*)XyRutVjF@#JmVkx9JtnppWWJ+eS$2+aRlj6t-%1?3eBnt(8b zfNM}0;%)fGR?YsV+O~WQScXsFBMa{^9<6yj|))x|tr5xZhdS?T=n^ zfd%A?2ZzbOW~?3iS8?_fkh9&aKO>h@Gs5*lC?B(;n^j!q0X4m?12GkHGOyszsYFx( zV(14BfDuHXE%`zeq@%b!iX9jP;V*J{T+aEC6J6hWut4WD{{ZuVaDSrGXV(jbGgP)QYT z;?}H`H3pBpjl}pq2^s_=>GvXHoQD^_;7>O&4|sVP7(H>T>kMVM?uMkf3GkQD$kDK- zxFWhOaZ;G2A|^XZPii+6{N?zud4(|f`7R808n7Alz$1n9@%esinfkMSIXVuVXCVAlR&0ab z%)&<0krE|g1L@98-BxYSB<2A06C1nsr#ceQ21-*UL zP@$SC51f53$$V7R-+Yq(cDc2>Im%ReQ8-zZ2)mNN0jVor@EYmpA_$-bLR>hmiF9|% z0E`(0j*!5m_#5L-;cQsN@)>B@H8<51P%v|yECJ(;9Uy31NMe2hwsE4j8jzoEPcLuy z@5~;omnJL!ScyMr(gS_$UO@Adnjn0Wa`oh#wMjTN>R{YJr)ts**r@=&jTVjFo!AsQ zMg!)vRTczXSu~USjV}?AKA20-E<^L=MYg-nMq{ z781>Dvr52E1B&Fe%+7uBpd*JO1=D7dA2{=sc@n;1x4E`+FmFV<^tX)ggM+uO%-i{G zk|{ojfx<8Op;3H$AxIXY*kqyo)@+#CI=#)51<#x`VHd#cahlGzj$=L9RJ?3G!e#iR z3|ya+nW=gGKVMHFTK*ZGl?9Ip*E{8K&J?bn73%# zl!natP7<06=6P<1yCapyfJB$^pcnu#y^00#Er2}Cp9ie}-T%xi6>O$V^5?Pp9wWv* zna|iaN6;#QK{7zM9uyiGoFpZhH-M}}7ry!BVD7`FfALP@wggkSK*yuZ=V#qbzSQ7q%ULgRKQHFXDR7l3(*CH?{jQ>pwcXhKTzbmk zbCcaz5A6vrO(Pe93et3%;zWw4SQ_C^@4+bofEV$%vA_*8{4Vs&Kjk@<)HDb6h2h{e zk=L^9BU4GwANuS^-iqJ1zTDoGKiWTRzl}hDCLPEJiQ?65N}@N{_tZzT6PU+OYsP|i z+wbq~g%1~aF88PbztbvEwh}*BG0DNw&>%grqkpa%ys_;oQKnS=za> z5}SPf&BWb^Brsn*q(r`w>7ItAF6WB4D=;=#{wAz{cGvk^21vn94zlnGn=xsS003gR zc&HGgLh31cL#Lqao7L=^6-?G4N%U z8R5?k{~ReIg#EU~#+{ip}belPIL*&t>yPJy}QA_F?WQ%b`$tcf)y_#=puq zK{tbIW-FxbYV$(_vSlMIfwzKUg0lasHSPIEMPVjjUS6J6O4?Ro& zfSD&HDn!Z+Tp&C32|u&Ss-rBIx@|z__Pp0sLGgrdK_Vr?*q}QCtGZ(bo;pc@ax4Wz z>u8<8;}tb*v%DUri*WHqqZghp>A(a}PDyq15+UHdhIA?$Kl&0uPgdaTSt*3`r7C26 z3Qx%!Dp{3TplSfq+3D*Zo{ANfK>9>z%O#~pJc-?R=i8xPwpgIz`=q(#6ohf94%|Mf z?yqE^E*lUo;ST`bnZ^tkubI`eODrJ0`YAb&Tvj29Y9>BSrsmOMssk3dqS;DG!lX!i zywWQ`n|J|<2#fl=qGjud)lDoYA7RKvX<)JfZ-KZMOnpTW4#O&ra)M`@C|DqX!zNks z=Xbr5uETbVsJSgVBs)Dsp@6KM(A<4(@?PB3;3c&SEF}T2ztYm8qA2n2-bXUK1x)zE zT)(M0tIm7*+ksfW4pYJG3h`?|bN~nLi+ zh~7NO_O27O9V(FO<$KC`RwTI%OvTTL+d&_Df%3BG;k5471W!kRl_lhRvxpBkF&{UwwOZ}t9r;8@!~0yH9W&s;wa(+IF{AfHc28`%UU zqV%IW!{s0HYM@NsbUbw)-(lpd83P_3O<83ffNBdv4%Ccm#sGNe&Ezx5P$nMORQ(3* ze@);XwA4b+ zVdx1-`#sDm;Nfx+gTUoeM7wYlcf;q!xjzGm`qkIK2$ck8-n2PR8vaS?@xQp9hTnNjeK(2*OYVN~G7 z@5yw-yBrZO8#dWdb(Wpb&O8D24?dtfXRJRi80uh)HqO1A)}xdj>%?1qF;mTC)#SopmDCF7uN6 zcNJ*Aer5{Rv^0z{BMt;bAxseyV)YvAKrmqr*^|Ru%&s%S_|4lKa#}FouuhZPT!jhU zx>64wP0#!}8q*0Cvc4ic1Rlvo&8|GVgtWK_L4fsc0{Hd~kaF~fQi3%Jw6`CEnAo5x zraF-E?Clq?*5g}Y=K-65xY4-xc)!s~{Q-z*#3RxkW>h?ey*&48@_@_o@dF*H-x+rI zVAWVhU3)>m65+KE`8h&%Z@`uZy7K3Xhi?;Z+2h=ZE4FS(@T{KE^y?@{z5p-z?LxwD zYdEwAmFPqtI+G^zIBZVFstXpJZYS#COFx*%54g*B&p^$-2_BNzA;!Dgyu#>5F)ziK z%S`Mz+f?5Mx^hP^HuE~8+9G7`4 zb|J|$z+z0)ER;4&Kymr+gI5Bfo3dGXljx^v7po zRO!)B0VCzJx&&uQXT55?9*ruEVK8?nmcyx+_bHZ@GJw_E>9Jse?hr$LH?|a^^O~yn z+0ZxRkL`RKD$stE);*z&`UG-z;Dh#{#OZvhM)e8k~SFitZ!3&6(z6a1pSVt@=P&dAt4d1|ua#cWWTiLPjhW?9q}%_+=ut3PVSvP`6;UIIvr!qpTkbWMd5bDQk!53 zk8J~AaO1E{W`^^9BV*P!J@krMNftY=zAEM`u^=4t~0slGW3B!GLL#{x7%lhbx7pY@cEEl*3g zM6*XZL`r(D^kk35#fCS5?XSKd?2jXfmXP@uw8nf-q$4fvlu&)P-dfBdiB!hOYv+y+ zL^u>5S+UCG0{2tE>Suts z(~x&9aKuvI64M7{#_MxiYy8zz6{e9@w}3fKhSDNlcA=TnC@-)d#aX1Mcl6RT1gO}) z^qCp1onF2TkG{S&Rxov)`uzRMv*T75j3drw0904w&hdWrAxT5;FdFf8jsdK$yX?nS zgflcH$5!g9$v+;pS>L!HetFGx*>|NkwbFY_ge%^0iOp_rq4W3!%@r~X9T+#RPC?R7 zGuBBKjt~t02;I5Oi=*2X4T0BN=^n3-d^Ev5Tyy|gfRkR>rHO}PB33uRt#={rlUJ=l ztwG310)Y-m*~Q4^d5;i$ zkW1G#2NWiEk}t8PonH@SuFlA64af#Bz@~<(9%Nc=9#5P^6cTp1RNL`~XWA)Zm)!Q( zh*Z)DiC}u*YjZXBHq_3jc3gbz^13Tb{5qlN-ccvm88H+UiYLyyAk4mwqUCIfMcCcE zh5s>=d@rxt4QmK}_6=@6ybjG@j8@Wg{7dHLl6cM(pB zW5e}ef&VRqVIJwVFdYobG>F!Uubi0Tc$XK)U{oHu!cOD8P-gaS!!^AQBbz2;?7kqk z+fkRfvXQQLoyK+NZEd2QSV_sVtu=~-OPC{J>?)r|93Z15S!!2_HWWCr`(XWp z%#)wo!TOruEsfb+QTxKD-E8cqvMGj9VOyc6$wS9^3bmk?;!|$uahSAKXFo-;5HaLD zikI%WwRq{lD1N6Oc-7nB45A*gn(uP&kqYK`>@yAF<~@b*+(r-81fT50m(+Od#8u`b zLde+=xcE#G-R^p`Pa$d2g0Xw5K#K3Xi+ctDH(q_pf_(@uvyqpJR7N6N9gMHlyd1`N z=i^zQQWJBaq3Kj0_>RhZ3cCw`S3DT%LO321N*qSLh@*I3&KF-r8N2FPeU1{l!G8v7 zHeWS{Kb(ew{BLQ^1oEDC)G4|XyUW34%QUPY>GKhgczxs(B zFlt8?vCu*pqY;AbIT?XCBm_d?5djuv%^TkE=fP5AEpSQ@=OU?@@ovg(N8MMNC31}F!Ver4myanXbjSjQ-$*tw-OE82 zI15Q!DR_rBx2ekRd9v2|Z9fFQy_y6@3E@GT**CbWv~oKaTMa`kN_M|;6oGX>lhq?k zhD7s{E98U!uDO}?ZwV$h8X0I45HSQ)D@#%rV`w!xlE8jEq%L821UoQn^|`0;MKR#8 zCl(DhSWOJqWu*Ai#E{mpdI5{6^Oh8O57#`lR1{ge%Ude+7IE;AOvb?|a%$S%N)Me6 zwp7?Yq5DNhvNr_qZOPzj=Z+$TovA6@rUUE%SRa%-)pRz@!yy!A`qo*$P8A+Y9!;sM zMnGl3HIFTgPJZ5TVS_d*0BY))-y;kf$7(Z+k%I{b_UQ-MJE|V`)QN}k3WfG^!7_M3 z18yY+`$NPR68hb=-(DJNq_CI)`_N-5{bU~DKT@*eYE$p++S^AOy3|mQ0J_1xCj6--o2Y9@R!`~Op zqQZ25oV+E8mtKWDCGQj+Zm`#>0-V{(P@zl?Ur(rbThW58Vx@j@3-wjwDU^6GU366kO0wgavibS4HB>W|QTRfta+>pzcL}M_z;ln=RShz`ES6yqJ_Q8|qY+Fno6kwy zm#=+7M{Jqg(LZ;>b^qG3SpMQQ@t+T@uwxQTn;_L8m4?P3OkQ=-2<=t=;_BS}M9W8) ziFkcZ@sh}_k~fM+qViih5PfgDet(;J>$n>1YjEbEvuRSovFew6_!DP9$aX!`Gs&3q zj^e9*-iL2hlLLQMRUG93f4EA1qzLErxh`oFUB=Jj-@YZks4gk6>7wGbDf%93%o#r+ zr0g>ejK6XcJ@*VHb;SsF_k*|ZS!KRA;eAZ78Q&z?-CdMa*^FSQuDUXO4#+5eZ_uL_ zTNQ3s#TXUbi(?kv#gn_{9ZS zWKf}pMJ1F(zGz~G*)~+N4A^u0ZSS4UeNUN>A=M`DwSi%Vp?s&&3x6vZC7Jo+j_tVE z$Pdd2xa43Zi5szonCDW|qprxG*ItFWQ2|{zUyNWQ6nK2O1y%vFl|N=%ELv<)rs7?; z%%Py2`{_7$H^^XQ7=@0NX8(zC!11O0L<`3nW)XqM^TE5Hj7fcC{5mJ0tV3It2d8;egrct2&WZYAL|m-?fso>#yC^O7%ordA~#!6MZvF{+2!RYkGH7Q zgZnb%L;VmayZm7Tn^+Y4tZjatEOa(68Q9ed)Gl?dLn|ZD^b-KqAI08!khs5M%Z7f~ z5|;yu7hBKgQiQ-MflcTIuxK3Ct zH@SGiV?#`n5$EPJp@m}JoW*9MJEC+MNzchocnljJX@!1Q;N;Gv;7XN)G=8hkvtA05 z{Afc>%icbH^OOWA^-AqI*6|Tl_a6T9w*V5!Y5!#{*Lnnm^Tt>0`f5sKcC?*3j!RVO z-xV>3n#ASvu?rWVczg5Kc~U#wqJ%l2UrLZpZ>1Jb@2Vm!aUqum8l#s^C0V~o^ z!UEfmU>}I@PllKNVAH}U*v-wc z8wC*VMJ0%gpCD(dt0<^`GH0&|oY!oS40tw;u>QSTA1SRFnYsCd^&T0M8n36ahpG)B*urb*tH9?IMWIM->k8C%>0hm6A1Rbs%>|DTS2QMj zh?pPu18&w*DbQX>>b?!XBwS9If1$RN3IsSM#wRXbM;B8z$)7~|e?hX^isd%a;=R&y z0mI$KxxVlS?>oMF07AA%Br_GeB^qp4tVg|j1}YeNOTf`TY=?EP#jTY@5rki(=C8a( zSc>206*qJE3aig2-eFI`GeNwH*M**|jB2=qBgK4w8EW;$w+js0(_oBCRLEa4meQ^_ zP0g4Gu2N~8<^VluyaxDCDz#B{Cuze)N24y{4!o6AiS$&Not=crgnZB#a@E8>tb+%u zay-+MpJ6lcmw?7G22UFD*Qb+0=X~a*l`A%qU91B*>lui&6(yceIR?dOFe_}Z#VD($ zi|xzinc@uD0CB~an{lAO_p}H17;D2Y8jI-x`w%>=yXq7Y*qOTbP3W>P%kg3gUu@*@ zChr64B*T7Gx&lZaL352@<5tn<8nf2!VUkaxJ<{K_t{in+9N(Fi+%!D{EcL`wN?O0T zRRx3)#~nCk8OL3)-!h_&Iwz8nH3b3FFHIn$Jz z75I!Rt;rM0AAgkKHkQU8RHY2vD9`eVry~@9cY}sZKLxur7#T}6d%u zJy>V`ao}m*EgR*#ka|Yjjdu$Tb2XuD7qp*c$q<<{YnDF%{egFeZ!9kW7z!FveX+oU zvR1Y2uPsu5HNgYhBT0=pJ*I`IGsxdw=hBs(xtnL1SM)_jv2o*f`PV0-@X z(Wf3EvQRb0uCX;5oo2hGj>wqAz}|O2u5{+OlT4ad@BtGmya=aOHR1kUK8)GL?&EsKfj8XB}d2}kO-;BMMCEJ zBu;5`%n;pqC_REcR^YPIkSCMmKtQ>sqxB!bSuH>zpE(Y;pgv=20XxE8Hze8JB|^rL z;RG1fohL5ACKCNWJJ*}-C(2~}?Id{mXQHwqiNlEZP~VyrU2YNJ(m6y!jI+km_H3j* z>i^Mn)=^P?UmJ&EfT4$O7`jsgB&3n<7L-&<1d*2R?ovRy8$n8PND&cfkVYvf0j1wF z-*^4~VJ%(50C(;^XYXe}&*#|B-ulP=z)t8t7G*5?#JISoo50sXIk}twkJyfu0Ke|- z+~wS_em{pyw+|oNPP(hco^tPTLN#ji_CR@RoS1cS^7L#4S%;jUw5uHpe?}c*!gEgg zBo5<(=?PS08td%x?L-Kb_5^1Gl^;LImbp;J#Cb1nqJzb@^Wx{bj5ay5hPtPPsov0M z<2er5S&}zjVh?)N-l#9jfTn%9UMB#){4hq6Shi9E#02rsr&L}{m47pg7z1IwTE|)V zm)*u{)wu?Z(j~*IwzUPA<;Z6C^Sp1gU2AR~yOs(HucoDXdPJ@rGmcrGdPs?(qRv#4 z?lo>pz}CG7Jfy*dYb{O)w4!pLI%Zgz)oZXH-%r@&r$v`KW!~(AA1=Q8w_*n~$M(0_ zF)Nu&kVosiX3x;h?9jc$g*amB*dQqkAhI4XDB>N5cLkV#?JN9yfCd>}RrW+=wCkqB zuAenv2LBkQ2(yeX0Vx7AE^Kv|pF1mmO-mY%*MGGV_nbvb45^&TbK*4`avie-;ajr$ zARgF)&Nw8DL#+=k>wgGT&i7*ooY2p1kAxy7-SpQVhP(Fn#+QL%ISmWc43kPAI8HV0 z05xs}a|+n{vm{MyZmdO^$I5npk&yL*YzRIJF&Qa%k-hcM0Z1%AX?*sja!NBQRslz_ zTR$!Ad1>c&bztS%YWn(6|1GV-iEL@5Y0KF-nt~unTC-xc?fL$E^9RQG5oGWZC@|2O zF3eKVHQksX{C~>zEU=j^9hDwOI5Kf75UNv@%FBj`Sab(`vV+<1@lLxew(!aQ`nQ9y zy<>7`Xef(7h$*vMA?T8n9L2wi5RS0QI{ai6X5dmpJb-0w@_%LxG#&i@g8Iq)rpVTa zd-vro&u237dX2t#I}&xN2ZOEzqvABYO(Ep92XoqRVoQ8Gc<-r=K3M#xH{lAnv^HP` zq~7?`{IF+LZar$Gv`JP7nZ>70@aUadKm5?FbbX>35x4MMc(*8IA^Bg}oT>sHUGw{w zQL=i(vSasL&Pz|C?gKmvyDv4uEj~L&A!9_R1>dU8E~6tASK#XvBZOAWWs2$;SXc70 zTJgagfd8E!M}<>H|LM<0pg`uApMhzN8Bi-fj_K9h2lBD_gN~5N>`+?J^)}gMRM&v~ zgz4}b4K2^@LZ#R#CwVP3Z0*+11`2&zAa~(m*PFE6p>efZf%n9sdQ32x)jMl}j~Z8^ z5r59Ibz6o&jZ!ls)nGu+c|7MqIw4DzZ?GbT_BBAEGysd*i(rN!0f+!=hVN>{Z(#14 zXk2a3z#$R@iy|I6T^#iUG zKT8!*Ws*n0{lF8xoX_3t@HU)sB6?)yNr)`q!r9Hjcamb`p~O?c0%*ORp6?^a@xSGunc8UU`Gr7GM7ml@@u( zBxPP_a!tG~wYLWd;9D19`Ig)LJ@~O}DwL*Ba1itRiV7XM8{*CAkxh*ELanxaGWEIm z)3d)=4TZx?SgH?p-Sqn+dw^K~0j6wzR@YyKfwylyX=yg*&NM0uVVIYdXYVTt5!IOW(;*jD zNABZ@0iUCQn9X3EmcjgY2~>i0v?TWO7Z7NUPE9@ugBliP=1as7gYim-?o&-O<{&`k zZPLzb2~FcoY8ER)g>hY;QKXQ8r1dWGBaJVh_80-1Fwz!@f*Byaoll?PZp^X@DJlv` z!7WWmuv?|@VYyhc7tH3 zxQ67nU0imzM=uH4ag=yQ5kGO1U4bF|!zg~7mBCw_7L;S|GgAO?-}~zK#p5jM#E^(- zc3Q4R6docI2EuUdtABz~8i^lwA_oKj1&GX!#CwD8y$Q7z=R3PZdf;x5JdvY<7r^_S z%CT70QrBtjpPGlv*`4BHd<2SK$O?XpJ6v|`8kkH5Sji+FaXFH30PDa;ScIfA)K&NI z?zFmP*edo4sKZa}gbck3<0O}4>!+NCBTGygtlLEhH%J8fnabFh*W^SR^vv4fVr{54 zD>($Xu!>x@r`D%TO=jJuxmW^t)Y<6Ab4Wq*tGMceEHUBn(Oqs>Zp9NUJpExPV+{^dv9`KU$1|kH4VU~P^;(Z9&Z z-jKr3Q^yL_KLFP{339c~&{D<92Tw+$wYP@~nexCzxD|cv*=xSulQw^a{zfX7*Mcg# zEVRm^aUvYvh`Re&ekhHj>~msi*b`(1?F);4?_r9MdRY6*KQhj8)#{p8fzDwD`+<3x zw@GJ4&oI&>W^NOVuXgUoIFZj*a2-|-Ry?l#?iBxB`wIT?rTtr^D^U37YUy|g)Qq(r zd#4S)g7ZJ)#n#LcWRl8NE~i9B_1ReaN#g%1@s-tJZ&`Z%T{8u^gJ2F6*Dl2S3CMil zSJ8!!0$Y!Z92yoI!>wzsH72@SQme9+N-2n%8{;ksQ|x97Li7C3O@$2Sp{iMA&w*QX za{dpg(C;IKALY6%7URt}xy+^bl7tcvU>a=)D}eF^hLxcHe>5O1#h#yg;h`)k(HUCE zK?jNCxh02t>u`TKEWVXpCvbJ={W>31!{L#|L4*~sa{_RTI61n$gb^^(3B)&eR3=RA z-2wB@$jd%M*lIM~%@fQIa7_od40B&8m=O0U^Z_>6z?995#%#Uk`1pT65=RlO03Y@V zazisPkFwjHUx!&pLI*-a2{yN)a*MqwgHgN)EVeih3qU{=dn#ZCHD-pgN!o6rkhlWW z%MB$B3eeZ?Z+qx;Q%pS|d&z>PC2JrE;B4Wz1};cB=-~3X#Q?bd00u zNfAze;cqz9>?(DXd}hUl&t*T!9b-elyjwS59IXGBHa&D~;OEuKuL9xz8@F;? zAR9J;xi#j4(_vJ8k02DHDlWp_PZ+2uiA?zmr11M2l7%t#?kIwfEE-hSh0sO!h*=+K zy98>##x>_e6q

yWReiK?VaJ?S8@z%+O!Q(`6!GF5z2r3>-!NDP#%4eFP$oEbEG)^X9hD!%Mi^LOSZWU-0z`ympoC1Nf8!=bP_$;iF zSazPd%8)4<(=I#;-Xy?hfp++`{1S%dfq`DhPm^ZH^v@z??cgVQ(+7hG+5Ux>fm;zU z$UXCsbblgWI}H}6VgPA50V<0sc-Z8Z86{n@Az+kd2w4N-)n|`ci(iB#6{stk_h=Oi zY*N84hAKmmLLELFq6T?QpGE_X-$Zph@`&<}n2Pvl`OH>1}ES0cBHAWIHc>PzB!MdvfN2Qn7-UF_Z$e zgNQx}5R_wS#VNQX?M#|Y0nz-&AZo^O_ACI5w;hxE1Tl|g@TUd3ul3?bIu6>^Ww8=d z(gAUTl4_L<-0AX(Js`ZuQ*6WDuOZovO z2bPb)PTf2S$A$J3p%yHF9PGS4`Fu%R589-O*F8q5;lRjb+xsgab5kmhdmNa56ydbMi4n;;P081D=G)9PSA}AZZH& z6v051Re!|EFiT>&oR*e$**MMFD^dt2(IVg)Y!$vvT@Ls*-PS!GkR1$9a0eElNzh%P z_%0y727fLfX7SO*!a^$mgr(&lYVb1kd-IvM)(4r~pe(8&CaT=s4azUq4?;MuxD>qQ zLZe4{h%QnFgE(xWS0K4Lz4<;VbeJ$ID3VwuSE3ov8Rq+e06qbvJHOlYDF*7QsGVIf zUwyVa=!5Wr2YbW4fjBeuIBGLZXBbE<_j^l#Mcy&YYKLkOFz85MGHY_4;wZlZ^>7_c zvdV$ObY(g!PeU3mI~11n47CSDqX10nLU_NZ%})q97OZyh8eReut6mqsx4&$%JQHL@ zu803C^;j{#H`99%h0}Z`mm^Sl3I@?E4;&@V&8d=)^b)9qg} zvIK~GUJgqCU1EuPS}IP2^6`psjLg^rHs{-52~fGxq>d=35}=_|$qzR!w|h7>qN585 zN&Pxn4_?4N&IWOoqiP|Xo+F)EK(3R^u>%>usU)m;%8WtO9l(l@t`it6ihtce_6wDw z_i<0*jjuO=={d4u1%)QcLqmdPfl4|JL-7++m*`xez%I- zIMnM3!FixY#Q@ymU|FVLap;{Dq1UaVa_Qs;p+1tgH$bNR z9c93=E(MSbEI})DG->0xOsFsKpbnn@j;sJCBDV8TR14h%%u%Wc8L zYuC!=s54$`{dN{`I-6FB-D8}Oz-P)@i_V}4m5S;?WgdaI>ST4eQ-~GF3we*GaFnkN z&GVQkiQ+3I>CoZHMIR8OEb_8T1TSfX(~0jQ|E6s+p*B!9{~-c0+i^ku|A>O9dTswZ zs%S%2(5tlu+xr4Z36e+*EWV`ZykEMG`tVLb6ZaXceOtlU3`w9O;HuF9+jM_LOxe{a z*tdAWVyXaJtx#MC>A!#EU`-s)^Fe)iAGAJ_?05j4NMFoH4IAz0`-j=}xuVDrriJRI|h)~^ED z_}y3QzyVczoa)9fOpkg$geg>n!Q^02SWLKwt3VE|fDgd$W~X6fd?rBteD*D}RIEh} zniGv?-wcdNYZ_bS=^+1ED1fdu8%!nvvI8fR>H=*l?v=auL9_yx3{;Y$FiO#BQrEKA zfRvyLCKn}Og$dbJ0Kp6nXisw-1dr@FGl>7+`^A=T1QsAet!{8*CCBn0)jp+}aX zm*1muk-}o}Bd+kBnWQn3xBTz=ywHR<&Q3)hWuH} zMFEG{K*=j~ehk2i91uYGDkgC)D)j>QL;w8!D`A-B1+Dpe&gVG3Os;=)qk?9MdqVd? zwpl%NvX@j7d`Y#b@;up8by#evi37{ygPr}~*V@p&cr^U%kITO5)L6hGLV0j7zj6nc z^)XEAMwKFi28;I-aNxv8+z>(bJJ1CH-swl<$n8rvK(YHr#%xpro^?l+!Y6>pr-+N5 zroTU3mDve4yy{W13e~8Ymtf7GuE)0czS zAJ}id?7nWTH2B&N3!;D#iE?(ROuqW1A>vXC>YmiM_nj(}zrNiW&!%-GF^5{}P;lw7 zw#GL$=Yvaar?ZCdVgmvVvm~Af0qkBO@T)-3ZC`x^nP^-}{_3+5mI92cIND&fbZ)NR zH(<=R1&Vn$#$jp2o&gxjyAD*~pX|1=qUgO5=X5&Nr zgsBs3`g*XSJ;25YJ{gxhOwtk8OHJwtDAUMhM$x)Nqo8?x{ZT7Kj4p2bLd5MBU0D__x3P;pUurj^j4@|SDT1Xg7xQr7+M@i9X(W%maCA41V zq+0?W zB>|{oqX2t@>6VIu7ypxZrmcuTgCIu0U0_R~4?H`LH7vbE*((oTZMq)HmbcXrqrjF3 z(SIOSnF3N!-)2q3{f}OUD|ts)s%T{i%2inaV8@Ydy`L$d52K215HnH}F;9>M-sr7^ zj>8OM7UkAAtOhGzVJMPzr9p+f%_Qbi2u&JsPBGXJ@hTsq_8)xlgjK2fy+C4FJu2Za z0O7hhRPJ);gMe2UYv1G9ZuP|VulvXG{tYwG{JRg`TrtqhPZB10Z-D*SQIUBZptnqk zCqsUa1P2nM9dc!KfKH*8Z8Ffx?WP0V)(%o^!slT1*MwbP|M^OT72$mVB(`={kEgq8 zM0R1NHz;#q9^eL3F%iu15l2P$@YHn{n*NCU7#khCC__LcD9aV*>i!?DHE8Pq7)9?r zm|#m)4i$!whG(f7d@!lE3@!$~X$VcdIy0OUoz(>uh`Bu5qjblXX=6aQ^#$%y{eMTh zmldZ!(EbZr4>QSiOP1!TFU#eWp$M@&u_#;7(dAks<0j}dUmYwxKxd@eCaRzE2e`H` zg_uvx!PQG6LC1N3NE*-Jdw1~pomd_4jywh7D@sLzc`{;H+dO71SuI9jIQv&R`uMR)`-Q@u? zsOr?)GUDJW;vOO~DHB0)uvogEp)albLix>G^NFgC?kLIt;||G02+&&6U*o#<4@=|7q7_gM?<+T#ZeF_vf|j*0@Gd~^ z&5_LAbZ?ID(XkOcKN+95aB2{w3VDSRH^hVH4Ht?!f8rSio zq;*m2kKtbt4+oFE;h`VNL!4k+EGV1sjq_cvTWhInE{dfRZ!fitN+X^?H51wA{oJA~k) zb%33Za;tIC#l>?K{q99%Di`TXEt67YJCnYwExQ^i(~kmyPJ9wP2iTc^IuE{ty_7 zd{!6u#ERe=B%l)GU8+x{d%z6(lN7*W-j1hG?gfmJIw6_^W=JrxH~657Q6-=-`!`_* zUzE=N8>-CU0tNq<9!n{(dp}e#0R@@Fi!cJx|3GO|@3Cig4`8%uQp07d3BO87otA48 zB#gnPJHKLo0JKZ6_|JwQ-8j( z+k&q1G6efU8%nQ;|Dilb0J7!O=u#?70VE7+F|lw#?YlI4SQc%#olBSz_+0qh|I~wh z);arKuAK|#w}e-Nk2iuFjH*qns1H-@)&=-TUpi1fQUAO&l~aIdc9nt*Mm2#@=nLvP zzebPyL{E)?C!X(*t4%hx;2uEFER^D4HjHT(+TmwY`DbA>fA(hL-Iz9@*JzDAK;4&o z`j3``u}tDNj+ts(>c4smoweU5^31?tYls7;B?qsj{?usMa$=MA*A>fOfmA`ZTRRs32iU)pz(JXe zd@Le{>T5rgXTjG<2lp7j|0YT#z14EqRknp9>U!R}{T!n{8$&RVG8lS$jK!;G-sF^u zp`g+zax$We(mcF332f85Bmz=D8#3)ze16dj4JKzrB7Wmed#~~#JtEn>yz!Zi6#FEj z?6y(*%cqm0F_z`IjJX%{i@Ka>44=i8z5AD6f4MVpOgy+zXb1+6yo2)Vd=rIj=*!yQ zm;-vP7t$0qRLWD0;^W)pvF2|+RoG7$8fusphO`mbZwaXTY~^ssdzc)>l%f_qG@Dw3<7n=yfyq~mTT;1MsDNH>8%;p&CZM|?LU*C}ez8!F5 z30cFw113_3?C#StEqbA4n5JS=;-gpZZY=!4Sq1kKNRWdjkb8OR(!8FJ&)e)7nYaW8 zX+)jvUv}-vj1n`K5`X?;V$^~;$QozG+OX=6kfXx2RuD4c-IF<;P!Kx0_k^4vvbW8f zh(Q{b(Pbq#NHN73L5z#;LWLoZHbE4p@rE!1p@F5$ma+d^C)l$2i)WOqAiSqJa)SDr zU&lN`7XB%>)^YUcz?8joSXlda#+pNu2BNd-R6DBCHd}~AYh1JCzL+o*@*&r#^uau9`xl?RDycmlif80JH_6Mp}OxT46m+j_l z`mt}GS zPTc7;)v2!fshmSvJUke|Y-zN_tAA-&*Mt!LT-p~T>$*h3FI>1runio4&)oV_BN4vd z4)q%Q_k*z^*}3^5!eWYBf4D*a>?;lNN$M00Ncgn?djlkZkUge}$%E#vo#)G_bZXMT z4-hR3${XE&9!e@CD!@EGlp>lEek85bNZlD6P3+CMR+6ZmX(A*=fO2=)+2wC(ux z+Y-TDD2|CizMNGEkQjMtU96oStrJMqn)D6o-8Lf!k+*?0Hl$5NBLRa^)2!XdoFSWN z*;cr$FaybO$juL;%-ev2Au%jA7krc*dbAv1{Co+m4!@-4CH3VFA^NPHMoB6dX+UBmHD zLYwB{{9I?Q$@rr<&cWzephl6FkS;_f{{V25``q6+5+d`?k4B=lRo;rN^6W*#bZ!|F0*ViqMxjKg+?OCWTtAf+=^I9 zyinS{rujCA?gABso%`7rKAZf!kw<80bVnvbKOHn2Ker04_I^3PWO@RR_|{x|KPPkp zjzOm)OByCRrstObL#QSAm$0Yg1c&FHIHAOX^1B}@`@g>}#!8Fkq-KdLE{PWn$q(K- z@4;^ZY!#-m?Am1nV+$HJ&m#W{2&gD;2N8sb&2uD2J9K2rKQi$4@n<@*+| znnQbauVo-3jE?jwC+v-~HR)gk_fs&+SA`zYqSu;)Jqu2yD87RE6tt3}f2Bz?NvK50 zIE0151ArI&dgM#)cQTq9H=AC~Eg(;)-d+Ead5e=bXVN@jKWL;7kERw`I3s0E&vh%0 z7QrpNPpkltZOMu~0ce=LGUXTe?;DqZk2KjJ+MfdhU7IE?pRR|9y>b}Agh|Gj1`xyg z=#B+oVRg{p!pE2F;ya>V+Qo$G_yWG68jjv|B--e}x+Itef_D_k*nO2jI4C<(L=VXy4TCETjZk(DA2O>&5# zq5#vj3n7v$;6q0{MtVv+yi7E4S@MhKIv(yxp!(w6Efdz#U8R95)4hG^sdh zRC;wCfS*;E;6L&eA@oKR;3yuO_>#CZt$nc_SnF~=lpNl=417o20DH$})0c8<5#`3- zibiY(r+Z(`^kH@eMhnhR-900Ef>J%G*YyFNZ9{leoT}VUKbPxx|w7lbNZH<68 zuY*CmuNtPzwu)t_anSlxDC!^>A&$OjO@edE( z-~%cT81a@#3YV7&oapdO+60QCtMgsp*$4u*#U)y-UJ(wlH~(>csG3C7-$A_SFwUM} zK{4;SUgPLK{NeY2@rgxGkAp6X9BQpSWzO^L(G9no19LXaYHwoeu{VoS{70ZG-TBU( z!A@npI$qZYgpjk#hEHe*bePQ`$&Nz3zWfG=R;i0*U;pAj1oy#-M|IKnV38>Ok><7F z{t@KPy<9r--;a=ETowv}>ik|bC1Dp(b$U#bVDW-eCt64I6$y5M3RJo02OMldqn+W5 z5`pJYalT`{V5i^!CZS^v7(e3~&q!=L z|EW=uwg^Or3}HYBE*P8+Z4#ecdlrTBhWZ96eg1cr0 zV8Z}2K6BK^EO7h8kHGxn8=rrz)hnBOwn9^BJimTRpoe$ zs&zt}fJ#c^{s2&}nd)9`KN}~jp`Pq64m>Oa#`jhuJvt$IV$oIUl(SB ztExannK8=MtJHIh#b!Py?RyGGUZjCpY-`bTrxAIyEk1ykLMKo{vx5RUQ;($T*EGqW z+-YW$`t)kAe|!^V-~>af66G1-58(jmRhT+2b5S7X(bGx zX2-JEor04Raz#;;6z`)R@*P9;7rJ0togPsRbYqlI@rCfwj5yl`Onf0fEcqnxcP`GH zxt+(*%g1tDm~kAqJxQ7;?U36u4e0lKI{dwD;WxtiHZm$Es z<)&*Q=$Ns&o6_CaslOA%(0`#Mner2&>95UC645Y}zwwQlBITx=c9+5IU+wW^Z51J( zy4fAeL9j3n(eHM4yG0^rE-*6FQE~*cm(jf_yGGN5Vc}Idw*>HP@3eu*<4>IFLY?Zh znb$j#kTyfk5y1`snld!qDW&~%m?_-B7YQIdI1*CDs0jSN#QGx_++ZUAZ3VOBLVc+; zI5laYT^|Om5{@yc?ShHCHIs7eC}o4}lek8Gbxn_HLt}>#S?h`s;gT?0>0pvbQ4~+M zw=ZQVs^oGNTc+H+@-+1(JIQ%aqS36uTrgWHpR-5}ySqU!x{=WQ{w0YqQni3AzKPfol_g2BEm}kLZv$VU- zfb;I(kPJI1ht`xgVH$bnHA@h!6+m#kp7pvFFk}<0)Hl@2FO0@m{w?ByJQ^LdyGfa6tts{3RId{J4tg-kXP zLJ+0nG<_}A`Z>ftX^O4dU@|?fgYb~VPI0QpcwE3OC|R^U=d7?_5dEbWd4@j$^GC@e zhCVHaK(f^U)Z4o4!}F7OE7!`{r&*2IwKD4iHcQq1Wy`&t8g8$0`zr9S22Ml)hh;O0 zC1FApKFT_ynkmB)6i&i+1;B-~IcV&~+f4j5OiAkDBneg1MvwWJ1m{YqU_F=-c9=>* z!twkUN@zSxCjgD&QJu>9oKuze+0KTg$!$KH(BES~!6Ri@Ztn9}l-z|BT{GD_X}uNU zx{j+w6KSnDdLCpBnE41bO<6xR99(nIl^{Db1nZE)X}2^qu1Sz1R4CAJYa zafbbA>PGvZP8+eyv?#?8^Dh-y%Iv4q>I_qb=t#3~b+#?QN;!2y^ z86*fN@5dPQ>-229O6I*ron!~31JByJC5zm@63Ech>Y+Klo8`LS7q5Y3k^{6C-!Wrz zaOm7j7~DQJkMtW0BX({?Gw|4}7$(2{tAR%(bV#!=*LMz~<$eXJ%?QG%ScoUs?n#^G(dtZ)CFEI8FwT)n^QDR~34 zwE7h|=p9q?-uJglzQe5q>01l|4hFJYFmIp4f8@|rcHwx@CFKz*2lLv&6X;ATbMbj< zCTm&KJTsXRcl3tdXET|mZl>?&vIra0mAuH-jwzDvl?o)1i$Z!GZqrLnC`PBo%Kx0# zAx&2yn^wqLQ5b;ZpnDuK42vWN-C!9T>M+^5wkhJOF8wBKUfQEwfrr5FInw zrs&ApqihmuCRecd9r{s9YIs=u7B@;#%w0 zZH{S=WnF{nX3;!j^ ziyNl;4MU#-^}79Y^Z@e(b}wcEcErZ&rAwJ>>i6v$-v~iOYcc+A1!0m zkD;TRl0-Bss(N-?wd(`%>4*l+F9(LIFK5#(c%=l+s!miV$lJ;bh_dXuZnN?#a zWu+>O@Gh6k!FbJE1*v5MI@=BTaEj-i8`LiA$I^dvdTKE&T@dUvOs2Rn@0H@N5xmyW zZ@p4OuxC&C@@Ypxi>;+K!C~xN!`uu>L^Y%IZ=w?7y)d@po{gw*qK^*`P3T7WBV&fh z92=c3=pNq}XIEAK(6rH*a6|mn;ikV)jfBLglqxara&G^ni3K$kpnFg3voG`if?c1M z$!KQ%OA6}uunXCodr|Yk$6ijPkKr`}&3pDvqnni7{|0QUYFaAI zbTWKNBq?5OD=a1Ewa^M$$|_|S(_@Gh&~paBj?*;qw(D?i;?h-T+@%_;Ha1S?Q$ni) zZLKygKOVMx_3Z5T8j)VrBZOvvGdK$1BP9h)8;fVD4&LM`J@-uq?_84kq>^$tePrBxv-&*dEXwo; zIOZh9kn*~f0B$)k4+;chRfxmHl5r*s-Tc({oBNGLOgx%0)zXq{e!Fn?cr%g|ofK1O z%98PWVafNU6)x%eT-wRH>GhG3duzSJEH^+8b*OjYOp(Zl;acX9qdaoL)<*NNjI z`93}FOH9Lp*!~objo6=OdkR}+XYmu_N6)Hb_*o?efbO*o+mKoI+2xW}*wOnEYf_<( zFp7fJ*5X*S508;mA<_o+t$iI9!iJnoPwk%Fx9SUPd4gS?rxPK&?}&kBT2S2-J1%J` zjNr8^c!fP*3`5@o@vbUiJB2=H5uW2NU#G?IZtJNBFJwNjeJ0~(IFfj(l38#o+e19c z#{D8IiFy>Dn_^T&cRXcGmq9*9pw!R%*x72rxeQ*V^o&j_i2p(!&6l{E2DHas7Wv#E zgyqBXSQmiJwCdKR)D<=9H7J_0djP5(LtG59>nYr6f07+k*GC^aLb>fZVo-2>{;|7e znr7l)k&V-JtV=gzHTRwwzIWTIufl~q)23MKPRpD%-WTQ4pZs>K@ZqovmnFCAqJ;sV zmJdJL`q$`xHr4WRV|)DeWb%7}J<6`h`IX*3>^)ICr8&KAmb|Sb2#0QsZQPUpGLto& z9fN2U??oq+zr4#me8bVUQ~K_-7uqHqYxi|mYvEM><{6o%J*D|cDB3+|>mb+zu1cMB zoJs~^ekO(lOkCmN?=>#s-M!xip0dH$PMba=bu(`ZX zG4(l`kQe`eVi24;?>DFLlUV61CzS!7#%`f;!936AsP*)074jHY0POg9_D`=3a?d7d zY`yX(M!s}UX#`K+gs%9>^Q7R?%6MCu-M;{i3uWRY)SG7>#xR*xC6B8ISf3lZ!>Y2D zPxKrQy|u3jet-}fb#d|IPF1+qEBM~ie3`y@%IzW(tL87wvrlNlW}7CTqzxn3R=8IN z^oSMPW?mrG%uQFKiRjd;C9OafD0lADVG|=v#is$`QF{fV&tl}Ue(+bP2vQ^>&BhA! zx(iHsJn|w8VXacGhp`?{XFuECg9W$NW>WPboG&v#GlGb;o^-tbf*7S$@FF2IV zS4JGhFNnJ5c$dYWE70;LBgc@9U<78w?ctgSobqnWF`L|MXRhfs7A5OZIJH`_9R=@O zi?c1J`#-nr>`4yw;acp49PZt|={RTVkyeTpt#(&Rr}tVCVloK5x&sw&sTm^^!%vw| zat47gjc43t*xGD+AOR%n&#@oDeV?^TCp`jJG%Tq87J&C=#P9RMhp}j#^9LxoC3)ts z?F%iRx^gi+JpJ*s}#uzZ~yhXXpKgQW}mpDHQ~C z?`bi3h~6%U3%LKTX&RR}F5rHDL7&OgP?oF1`2ZeD)x3a%;W|PDnfgre*{kH|>j2-G zH`+Q>#P&nwqM;!gCXt38?s#dYqH&)do)8U?H_PXCV9(jx;Tdm|O!v6BCr zsh29W9PC5;fC5E%43Hb!@3UrL3uz2}G1#${;k1V~{bl7WZ+`JkTB~!#_iD}S^0`pX zOx~ZW8b7Ul4qU;8r=AbfqU67ozLl5mRU2D<0BWe1>Bc7=x7GcQW0nNbpVYhHmdctb zMrG`z4)6KyaBly)@||?wf19IxGv~b#fB$FJ3Y)F+$0BP=BQ;)MOB>T~*H5M#pBVgL zdN#9hK=T9V!a|^c4(9>3$--AHv<4gN30#36dUJM}`h?pF-N!2%vOJbzBysz+0lt|gt zjCLm;>g&O05gDrN9vbDm$6ps*^UkSXVvUHqj;ii|U~jW<|8jo>9h)vc+Ib&)Pr8pa zp}4gW=c`x>mP(R47X`$-T?PJWGe!NBfzRl$qwb??r?t{U(C?kLEf~UViTSw?3^kLI zHMUw9pIGOI;3MR~8j&%2qxq>SFgIy$iKhY=MC0a*M5R1z1zf6;LCA>B{F zS{Yg2Jvq{jq7I`O2rH(It!ngW9Z;c(-XO&^^Pp^6hE_ef{&7C7@%UW#P`u^k^1B~I zzw=cqn%m6+o!@^@81uE+E6}lu{yH15cPcq!vp$>UM%wW!g%PS^$;6AkOV8`eU&S1R zNx498_0r^QD5ox1I4&H?mbs2Vd%lfouNb&uZ}_whJ37j|(Y!z}PVlGi&o_dUXn9pv zA$}?{^~?kLpnv!J2&B}70%vn$45iSRzIt&HL6EVe7`Or)8YKJ!vOzjL1zl=j94!;? zCZJsRDN_g%_Fgw09I9z&h*i<5nf(l&)O`;}M8jOh0XFX3!Ec3=7Fzx+OjzFDgrhRk z&fVy@bR`+9{UM=by_g!2{y|oW?)pn?!gurh`d&Uo8u;K;#AumKccAHuvoT<_L*Kd z5ehdfV@l46&`A^~d@E*!RZhpS%e4=NKkHs0VR2xoAXLB z8&|J^D@sXZEa$;JFtq1ZNpVRc8BYJAc!8Vpg8}I4l*MDVLF0I9t*1)3LQvKHDSy?i z`!||uLlMAZ$Mu8Jz@L3zeU7?M_YplgQl2WGJ4`LfU!7daY3A4q=M0ZTi%gN}@Lxlt zljSY^a1!o_wi?{h za9IBkR?L?YI1Vx?NNZ9qPqgWG&4bakF^)t-Cr3$&$Kiqcy7zV088zR7lZ4RlL;pE0n1)n7;Ni6`T9XPj+4 zh*wHc%r!FwUcNyLp!_668)Sb!L7MRH;k>Z5t`sUz4px+6Q~b?(Wobo*S>>p&Yjh?l zZrQAqe~-n9X6;tAH-%=ltZe&Hj_wOp$+EgbwJngtUvVfn1cf(%1FW6lv+tU!Qi z@Yx^7xSmLvYpXB#&P#oPBNz}$bJyU9W6~8YM8-vJ4^`g0ZPzFnbC}LwT$)+tR)>~T z-u*ZX5K9&=ukf+~C2l1`kClssMhkG#8WaIl1Mn287YbMz+{C-Qw7yW`)`GSSCuFX zTC=d2$MGpyCv(4yF4HRIM+iooA>n-`e#DmYy~sYc6__P`ekC#WN*dkk5iy8PIi3IM zx5}iX>^(hRs7w0}yI~_MG5cA9dW{!-CiS~xhUE0Pv0O=G0$E@oZP3!;Z{IFdTpGi{ zhk&z9T3^}rrK;wzO(}qb?o13sJ@;qLn>c@*2i#(!lNEwkK-FhSJe~YMfN|*5V#KKuLOZWA(*RAeC&AlO zX;*Z+*Sj$CkS{s%YxRa??LHW7SKd$is+>%A_^ze3^tV_SEw~Z3?mw4N4|}f7719D+et!dw zw8Q0A_nzLh>n)m0s#-}L(7&KYH$R_fOinToRW&R?BIA%v5sm1(zrIUC%mX_peP90O zI|=H^c_C2{j;BGk+d6p!buS>hSR|`0C~2r-`Zo^$PmR)$-pDW0rYeLnfp39F!d@it{{6q-^r8a{hMtAGp6)Z#}n50qwy0 zH)gwUJtVOAR&`r{nqTQfA4!yOzq)6z`}+K>N3V-hCA)0&C#Y75^mrdGc`m+?v&Vp( z>DVNP{B3`--o;&|bd3AXrQIQEe|S{lq0VCi5_rf2hrRR?aOv0YdR;NQ37BQNhZ2aw ztlJ6uf*8v+W9n}rXkDmS-|SvaBesm{&PSS-8?t3pcWV+CiGoIU04ZN^VEx9M=u%9i=4$Qb=!d++@Y=NI*j zB6=TPM4w^wAQFNoAv&Xv=siU5iQaqfy-U;}dM7%;SA?ihA`&8__wsIepXZ!k&mVC7 z;&QpKyY9XAUTb{{4yhN&c8(;VUmpd9@Vl+zc8$Hn^qNr6&`*fDT*$xjMu(hz{oq$B zRK8Kiq4thh+bPH^xeo*g-LE`@WHP_v8lFAg$brkHJ{DNRyUVa?fSiIbK(gL*uYxp@ zzvc41QL>rZ12^~kZ60hUFTKKGEyFwxuc#pmkPL7l=ZHZM?7f(A*HI}SRv|t9FEov6 zx#sI_-R}F)G~pblKD@vimhV?TpJ=n2_@tki8KyT=PpGGi;A6kpF*d$Pt_USldBEn^ z8hKHgVh)L{X7L$p3*RI#s{DqJ<6I#Y@>LdRzZ{SIdQYdfgG8P4uHN*j58c`90B4F! zVKCEjab7g-6Z$>uS9q`r>{=2|9Hu+fX&v+cYy2Y9QDx?aLTNNSq7;*&2Kw3lyAH8C z0rxY^-x_a~c*`7Ihv+?_MLxf+FVuM47Ni918|4d$Ohw~{x@78f#F!GE6spy2Cf#U0 z{~B^F9bvbI`{zqlLsc?PzR>Qe=-l^8*fHJUxTj>bv0|R{ZSwmKp>9!c1-RxD=XIIt zM;I%-19Ab@H8f<8@_6H|gO$=aALZX1y>mC%=$`2F`gFD*%ICv84?ab*ebfAlA%;P= z5a%7xx6{>q_SIE}W9B&Ftt(?R@Cc201T0t$Z$YVpvs~1Yo?~FRMZ{}w^+!LsnzD@P zj5N)ot8wdR#Rh3dct7z@*rVRRReW~wUpyy0$p%43-UD;c2A$g$d7F^aiBKd{qd%3@ zgSv+%NyIO^U86%bW3m%q48twG^AbHMpO$|Yd52c-8?m$+D}}@N@#>w^iRSa zU+E&3;2Ee5T`zqhSTfx^gjkbV+ZV==x$4k8jK_#McnWwlm^b`!3L}p~>}j|W9_pOs zY~~I;zh3_0n-93s`^9d5wxMoIG>2&)2iC}e5COHH_Y>x`+lGa)@mch&uIeD8J0L$b zY7<{9$QX;!WW>Kx#kS#c@ND|6(DG~v2fR>|1g+CMeHNW=7WE-E>j8<6KDp@5ueG?N z*fY+z3s1}VaIb#JNhrRT&DAx{5vX?^Wce#K$Ub;~l=0wpsJ53z9Ks9r{Qjgb=yluVKb(rN zMhuzm{rGl7p34-Ep&&Y!=Uu>^)FwUhxp%yzJBSiu86Rr4!?t7x*YBrg2IgW40O4&R zu_Kz+1&D<^RoIm*qp+aOgoPqs$c@{~`?3Y}gZW9n$EJfJ2hBhrUdy8u?0BzPO=T(5 zy@Oy0nN-p+vN$y!U4d5|+6ul}5eSv{0-&xlJ=%8ypCNp7)vJOqqg_3}_mRX|;#H?M z1;Q$HX_!!BSkF4Q^rNKnhswC9$2u*ZtLGL)|(_;*O>k;m|rSE;!>jL`J z>@-m2hfz%Mte?APbS;`TlgL4u5VKIk=3vFI$k**IVohsa$07Vl&U2WhGla^rdqK{- zV_N*|ESpZlzb)_TVoF_WweR*+P!z3OOh zP|*bqrnceuXr=+OSbW~SO-ZAHSP<%4m}#XJ(D%s)9#eUnwV#M97a2Z9_iM6I z8@eTir47WI;4;e)nEE%!;_kChRC#pFF}hy#HEuD$qdVS}m$pgF3jP3~1)#(X73QB7V0RDiBv=RR^5WO>_GY-Ncz z#WX~q;1ElGl<6E+dLo$S!6p}M5fk=Tk<3HK<`e!PmkVBPLXp@)*BOx#hmi!KG(0Ef zzmRzTpT!}4`^eW3rtM<*-;O<%KYx7_iIH3}JTO;PcViJ6l~hb?FzXyCK?vaX=A_Xk z2?g$kJWo2UY?UElH^!Oykko$$Fc+91MLK3`p-8#NLv(5{92n?IkLKTBXN?U*Ud zjVt{XPyY!aMMXVh_bU75T5w+7X{k<{aOUsZ6%|-YYG^gy#LxTp;jN>&aNY6#*+dI# zUBXFnm*7p*z(-rd4kC#?(M#Yt&+BX+$Ij3(%6UTV|Djo>)H&{1M7ur;eV^jzdtF}? zK2@ETtnv>8AYon54q&dV)q_}-9jhP;WVZNL{j;JAyYhh?`s|)v(Vg+s@rh~= z;e3Tz{$5{wuErhtdQ*Q)BJy_-zRtv{!J&0wX9?SQI)8RATI%QAQv`Yj?9Rz8GaUNE z*2xRkL*GThTO{@!_eFx~dO!2~wQTcPpe+=nH*Yt@&fG% z4}VL))_UA}H#9kWkj`zN4=PGy1T;|HHvQ)Y5>jqvB3s!bp?+-XHzn7Ym86o4W@1}L z@L>3u`vSYPl~5E!0nWP|idL6AB`W!Qby^%(as=z$gF02RyrO-bW!IC~$rM5zW-pyI zto4yIN3Yz=L9f)`)azeIteQ&31#+|Vl@4(e41i*RflAM7ze=Abo}-k=-Hjsn(OmoBe>Y(xN1YZdRVZYKYps}h-luzK8! zp`vyO(@d;)A>myCHj@qR3|~xZl(b#ZW%&ubK3k=4mPg;1lz!Y6tG1i7)i;Rluica_ z$d6E1Ih^K0$+h813I)mj1-L0?1f2QsoozBSvUD*qB_8|9ZmsF3l#`It{Hq`A_pwQb zgx@W}Bn-lEdxQw9bCf(;B_ovd@_aMlDNsKIu>#yepgS2(f~9M29J2eZOFJ+jx8hMQ zRg%Md_blqdA_$Dp@Bw<#0JK;yl~Wk8_U@C5$~v)j(I`tx)7sCHN1U4~p$y7+8R{jO zTJ3Ip7%_~NZFB9XiYKV?Os$8l@L-D~1jd7sMdy)z?p}(DoRFd;=UC_U>smMstHiZA zRiGu-m^3$O>__oS{@(3KF0RLxU*ZTSRs;;o)l`KrhGTb;wZG>Cwl(V`Hxx&^8L0Z4 zE{5JNkTt*1W>Pe?a-r)Odp~R}oze6Z7j6U(Im1-}aUsvkaxN(xsKd8jlQBIuvrIZ~Kyq8jATL9yhWD za|XMx7f+gt!eVvG!)ds;lReccC0s4#6H^0|Vg@gMYL`;(ZrB!croYrufK=7}g?IOe zg_-09o+l8_qnSQ(nGo5+yx`Tg3$mEP_F^fO4mbgnk=ZXdl7vbUtM|rV3bQK=j#XXivU)mQp%usMGut#x)Z8dsLnkR~DTD%nAHPtM%j>T9?y|CK{ppj6 z#WqEAz^IlEsHpiKUi{QKg9GuL2uW z7b_M*8-2k!4^F9BHi;@D=G`qn6GYZGLoy%zp+*QP4CuQ{H zm_C=P>ETBSE281IN3%|GV_Z3|{$};LXG@>-CPF;SHvASdS#&#eLs3=f?7NbPPVOUV z|AGFbtUl=MT@sFG%5&sAXXvR?spOXv#MA@Dvpg6xzHxB@J!zOmRs$!rg6ZAbvYjAC zNQpCFiquN_roscCz21rfQ_68oJNn=mP!lfaM?i_0;HiXU{TNAw9K3dos$MG|T7SA-eQ*G=C+TI*kEZa{&kVxXR#ym>dAF6QRj>6zf{vm__^`)2t|34}fx zDE<8(qJJrumf@LtcxO_dLoUA$KwjZs0BEhB%Q*kguf7}Zd7@B~0TO%`3JHN%=aZ{0 zR(pdBlOgd{iZ}jOADo^z#~&E4_o@mIp_DOghWu$rutzy3E0~{$y?+;Y-6o9jnMqPs zSekLwM7I3VS{}F3h`1=bzau^RU-ZAB0`hF7)aI*qMpTVwy}u(Y`Rthm7rj;s&LnAG z(O8^)wzc{ghk_>?_|PHNcWFbac)2d?Gs8JTsy>xY6i_+pdQTwbk>6@g1TSlETv%W7 zAlC)!0Nm&k5gu}#4C;`m`~{|zHp9Tuhyq%tx^og~8e0ZRL>>|eOg;Xo_m67X_KbV) zhj>TcK8}KRyuTXs4|SqQk*zw1=k+s~t2f`)+}p-I!U{Z`5anwH{pn-97+Fy&ny2rb zCp%wF9-VpK8u(!XajZipWm+H&M*b^qHS{-EZ=#%9wf{@Qb$p~py=bQ4QY2{#DFJxNrooMCclb~ zljNmPtU~sun&@#UIobZ_7~^r2pMWk+i?AE<@N~vJ2ty+mHsjyHs~grjG!6Q>j{7JY zZL}9CX9+1J)v~U?rNcX=QZV`i<^5iINy?-iEk+~;vrJI_*g+&&-#L=T{5dA9sUDOXALblxo%hB{0B|>m;`j(!mVFqs{xEyz*T3bBlQe=b zy@~Tu0C1pEWI&kBx2OJ|m|0Q*K4@kv3hOKmxtJ3xA>R!9Qb*aKt))Ca*pUn&^w~0< zpntwFx76vnTPVd9{X@DCQ+fRs!96nN#_G<3?h18fP3jtn?46BYl8+6;wJC@|vv?pj8c+(UeFOKFyricZm*3@Cn zWIAz3cz^Fj28y!A>PEEj5B;6(Ij8)TyHx7j`wjstVUst^6jA&^SCJCezt!$DgoX!X zXt2GV;?6Aas>`#d(T_=r7uG(@Xaq=NfghJw#78!JK zrcN4Mu2g+1cPmlB8Hoj*4yA$nRVjep@#@R(bBB6KwCy4}7%x%jG#E^x7|K=(U4d@* z-}1@Nj9`BUyd^RLXTt}9FK~Nk(0Xqo+4J5rlf@VhqD{Zb_7WeJS-^+sbbL#a!+;Rb z4#8jFF%L?kDFy=MXsnW3{tDwWchovP&X)X+)%?hLXlfJk{5zaI=$3i~UHaZ?N@`N6 z;u&!sVg=%;8YP05*CP&<4V1*5GSQ||6z*K-TJft=bsUC&pG=aQC@ItDh;NiZI788~7GG+e#cSypzxV|s1 zq~W7rAe5~+hYYL3#?I&p-+ywC#fUMfj@c--XY9~y!OXL=03xp!hTSD+eL_Rp`R=fG zk35$e3PsfzGG74t{m@3b@TREi!vt&3cw%q*%SJILg9m{{OjGb#YV0V+o4xRtB?0Iu zGJ>E16JS9O|BEPz;OPLZyhl{E5)laUXZE29wUDkLz|;8+S3aWi4z({-9v`i!Eo6(@ISfQ| za9EeZRR+OuGzd)xzGP0=r|iLTzsI_=D0SF!qs8MGWBVo1Mr3{(m5D%`H4H(|JFY9pS$#I}8MwetR@4XSPg?-R zvbW$~14!couNM1xoGAJlpNUjxBQiosX1<@( zCan<^XrTsAxP$w}$QFl13JuJpu0Z384rP`)xkT_oE#Z~9bSP&ts8ZX(tOsEw;`db>q1VA7IR_)^%}OtkN$QlggfGy6krjjN0M z+G%AV#6=IV)X!*AgW<7K0xs@}%JWRK@0ehQ!N>@^k4%ciO_Z|Ia|#-=CdOvH_(i1K z{4$AzMKPEhB9-?2*ECR_>UzgGW-7L86$+B&eA2hNalJT^y#!Zj?2u4V`50qTOHp|Y z)r23ZO&)68c2S{l3HxQo;@n7g-UzPNgO4pO!Tl`Q&aS#Otu2AUEm^_PzE`5^JwZsp zU?fj)OB&bWffPj>lk;KQU(lw*1B1_j6zk#e4_2Ua(^66Gn`pt%`Q#O=!*?gZmB&%X zzK3)o$&5IDB>!9Sdh}Yx8xMjj@EcD+Z~8b%hC=4e%x=G6*<1v0D^ic|y^fj5YqkIw zOoQN-?PE`){s2noM#n*?CL^?j@9XQ8^27Jbe2qn}97&0k7CQ76KOtv-K!e``yF56l zfAdFk@`|8bfeNnt&r0F~vQL!#FXuh5idB|pmizHb`-8Wc*p41vWJ8U9c`6toHxtb0 zHLw)96E3nXMzK>=>YTPcC+IByI~h<^_=#)BURSn&jU}1EaOAp6a4n54TbODQH#!N& zf1?2(Fr)0>zTba;4k1$E*2T!!Pe$FZI3F+msGDQ%A%iBUmmu;~LI#JPVP7iKwd4F5 zdb@-8xo(bJv|8CkHk3*@=Yu*=WDImiODK`%Kc>_(x7=LoB{bM<$gNPaEc3f&ZF=u? zu|y`SuW`E)mGoP@VbkN?{SM>VPt=y#bPe58t4~gIn3lH&DsyRp>YWY=&96rc%698K`B@iI|)_?WL(2dQ2W&vIfB-4R6G%< zpH#0m9n-jM~EtEJ%r$N z_O4W2`A2NyJC9hfJ9IyRR5?QFUFd6-Gv(h*eQ#4+4!K3D8(3XN0Xi`F0^wu*QeQl6f>Gro!hf*>wVgI;t01#Yio^YeyD9D%35Rht*E{BIvK=X{bKLVK z9bk;N_~x#czmCGN4Ct5f?3CXa2DKp85E6 zwez>UsCs!AzLjQ{P$ZF+iC5g?qSNJoZO^UsC8U7It~wEGSY&LtbpmvgX0N? zMf#XUrPful2XLH3OskLbCGNTdz>RHKuKUXOk3f(Sd&v-|B25}Q+^Rkeh+J3HdGHM?dRE$%lD2%EK`%BFf zR5WYzq?zw&(`)OlV)xr-g*w>-_D|stiO}Ra`K0hO-4R~3&JauEn*V@4pnD(2ob|uE z>De#}e3goWcBRET&lJE#!eW52r;xS1!<3|gx_%!gxdoA&U#FE-cuMAx;gvWgWhR$~ zF~@wX&uv<##Gu6LYi`=JqvA!C?Bfa&mP#zLP`zJ$6`VESo~;%(_-;s-g}I6+3ae^s z#HO?vftU2$IrueXfRT&SC%2BWDR;=$!x-M=6mTbq_1PiGMzpHMNyJ40;ZpaZpYO}b#DPO4Ev zKkr6FgDWY@Y%@n*5yF=~tt7?Q|IFf`ROMVHDhtyqHr6jnrWDBh{C?adv7i+Bebhhl zI?>1KG_P^&i)c>XUc2J%o|t=OweJWIiKUt~o*pOkBfrRNwcYFH8&))GnqcP4cz%f8 z?dczHC(O2cfs9wHI8p}d6^{Tc^|rn7qV?IO$(vg4y@l^P zzSiCR-wPUTEE$_r1W_6gxO|mo!X5_&&^idLKHC)C{qcK-8|rSaBujI> z^s1eE&eeX^2KuZ~^HfH3LM;YAQmdtWAr+~cx+z(e8aX9pqo=kJP-RQw+pDcAZckP} z?DVdR<8mOES39!dh}CN^cM7wHURnh-??ZYrSN+tuEc)W+|A?Nl@b|sTaZvP z?(tb%`(S$UJ)^+oe=)+g&SZ$)Xd7c+y-U*H~MZ!Y6wgX&lT0MM>vJ%2lN&=BIa&KhdKT#2f4< zWSz2!6ygnx8Y#&OQnnJ8Ubg>LZHra!?Cf7zG;+h7B^R-59YjSyp{qe9Xq1{gY2oq7 zg2|HC^~*a|w~|_Xmd2iO1L_QiPqtN1y(+5mv4fFry87 zi63Xi<<$!XAbwSiD7K|;B#r&r)%v7`lsR|N5iE>_?WINcr(=9yCJnm!z@n(WQRhRp zkj-8PC!jaHRsDJw6+h0V$Skc7>CY|f?RYb`#C5+X1)cRvLW~2(U(iR8V=~0-*QSB~ zD!=l5|!TKl|!G9wSIC7&(1J@dWOZN$P<*s`{=J)WAQ)B zH0l2g8`q&uD$D2psK3HaQHqf?8Q(yDGkEzt;9D`kjc-dUG%jSCy!Jkvy_=TCm|l5_ zA@W`%Iy56u+Pug!lXh5H=3y5g{E(GbSC23tyWT&>@Py8e2;i?gHnZFBFx_>vi9s`` zoE>RUw0!(m;h3+bRiQ2U&!GnU#y7om#ljyY58tT)bwR-jChDI-Z5kHo?faKNgSpy) zVB1yDE7HB*+5C0@;)E$6g_h)0Z>kp(CT~9D1gR&ad#oHeCiokfO~RQ6sfJ6ByhxF+ zAW@$n3q_ZG`RdhzPruLKxE*B=!DM_h2g9gfG-mEayN|J|AwZq;!@64sqc~5lv<>oM zHmo>`hD4yMAjYXY9!*Pf<`0b71kkcVheN9T*}7&SzZfthT*Hb&Z&83dnY3ESd`S6} zYW;duk4p#a^Ua=lklA$HNH}c3rW} zs8Y=R8DMzxV=Y~#n8KhXP~T$bH%c1p%SX)(Pq1@-Z6)Zqfh&xGC51*TNuflQ@_1>mpQXr0BM1B~ z_QZ?LF3<(%f|}~R(Mvq)zNi;OR&jKE@Prv5>p;@%TBtzq8ikCF#hT!L!Lzjw{;q`u zq@%LjdESfL<|*loOIu4{9!|O~Vis^wC^|F%S9{G=#qmji#xjs5MaexoNbFb&EG;jrgnkRX@O*8EHaCLlT>mazs6O6*1+{MCiP_-$S@UKhp5_CRp^)kzEY#^ zhwLJ`0H>lyWGkmwgiZqBwAx&zXWa2&%}gZEaW#NGxKHdyvE7C*kGkq(K3Y*&uL*w1 zzB5j)@rg0WwKMQTu=hKvwl(uenwD?yRf06pZMDl|WHO_#A+p$}FARnJu^` zbz@~7DV92b$gbF)zSZT?=2(N6W$N%bchMe3j7LyD1t{Q#8hC%n8)YNr6}*E*0EA(E zv)7B$7{{p~XOZr1KCS+F^XS9BE2I#kM|>|gR6QV1MIH!0P9;8i$}W>c*M_e6tj1wI zs$I{Z(9Y?&RlVza*YS1LCa?w+YEPuI1~Q1m!3j zZzM(IoFWB01MY00{Am$e9L?RG<3j^b;Ap%KGn;*$L%2Cxa2Z&e!R>DK4ju<@28E&c ztcBd}>PUj$Dj*E>K;RGzs9_Oe9V5JQ8b*&vlRXqm_fALQfc!C#cW@)lIV^6Go)0HD z0!c%qi!~*O{NF%ELM7(XiI!Guh2^gRyQQ`~fv_Rnk``lQb6z4(K>OIUc>+YPUyZY3 z_Vhn1k|}y5a4)p}-hJW}ofKV7GjWG966%R3&Y0lx1s1q>-o_i7>fD`T=39Kcqd)N2 za|#NrQt+>TuuIIJ2UGpPtwA)cNw0;mNnQXZ1bAAlVxTVkBqqQxP2y^eWOP%v`{+CX8)sWuS)$IzNt0~DAD|#bTYa+mxXy}e$vs|R#Obr?Vx9R`)*J}s zN(Z=DGM@F|xMc#J{dyo+7CRCXe+p30ipxv;PjCPH-@Bg5#VzPbaJ!d`lQsnKw_G3g zR7H2SuyD2AoO)l{T|epCkG05kpDnlDFun*wGa5`}m9u50h|jc(G1Vo@eiFvoX{@7f z-xwm{!&7_%*h7hX1turYVT?;OciZ1pa1lW2l9|7~n!L@is~e!oa(nKKe-`>C9~3*{ zAjeZCOOoiYlRPi)z7GZ$;b`U$&k5j%7OvhHs^(&bg?2G2L;g~4IzX8;2{hV%)y=+q z%~Oj07EH}XBQE~?NbYh6S6~3b2EF&clQM+_eSHteq?K==?;q|dspg4^n2H6w&QShq zg>O&ldi$$5-j?v0#bRx8%MlT}Q@A=7E6D~wUK4LDWRGTa@LS0V0s1VGQ~hBfPE_cR zJfKqh3hgn!01$+%Oj{1}oQ@nbFS+{o@5u(F1GX;jAlHU@z=^9gKolr`Hf9V0IPVfF z`OZsM!1vF)0$KRfKa}IF)GRsKM=0{&#G(XE>wbLl^wSO2w}6dQku^AWX2=hzF+2K8fv zc|S9+;#;~3mrie=cHjDbk(yBxKTa7m`6sZXCliCi3sIDP8zaY=K1T9ygiC7Tu1)}$ zTRVB)oYoUMF|S(A1bC9o!?zt^UXF4Hab4Aq@hZV5cYv79j4#j$SD6qy9Jc`4mnt>g zHy0MbKj~}im3}-fnB%Ns180ydW(FXbd9#g=q-5T}ovgz5d{nn9$!DEBf4GRQRrDvQ79``5v%Mnw zld5Y}PPM$`UXMoa5?YT&U7pITVG}-z2T!*s;lC6b+XT?x)ng-l^e>A~?HyWjFi z6tl01b0+o_T~F?c&73J zkq910r2xm{W=%Jv`pqlER;nHc3E6fyxt$m=@bcZW+zjF%3|LVIVb%!~6TL6WE38P8 zjATUSI^Z5L0_HL)eyV)OMyAGQug{F616IXh_i64B?ly*d-RIfe9OEJl)9Mq{NnXOw z)Hy|r*A>Rzc2%2viE9Ee@!mktZm`}X3QBl7_e>ByXlrt&!87tNm$n+R)OuCN+IRka zwMB@@!8OoCkV}=P7@0HnH*CeLW>KM)j$H9QHFUp}PCV=-@k8*W-|6wx|IB*bL_f*x zaR$g%%*<9t6_quLH#^-oO5~2JV*4_s+hau%mf~exDVCk}JUP@yxZ_Rgyl%NL!KggW)c_ElD7kfYTvV@Wl3Phu|CRBbMKa5e%5mtXr zc60HeQ`m$ZWvUAojdZ{}A@Zjsd6}X_W&25kYCL5O*fI%y&eg*ZzM{BW&oFTlYKL88 zH}3@Ux9(Fsg<6>e{y1*}m7|nZ;hoA9e{)pY~8$kr4igK~Ttpu(202mlq zJ%V`Ti}L>d#gE?aYz;5+#hZ~D$Dd+RD}$7yMaI1;my@3cQj{15yDyhfWM&byCU^21 zPb*P6_)#f*p^Ot?jAhBJHg{iFbH>5r#hMY4kC&XDYcr{{=so^s63liw+xa=7xf{R< z8YhHH1IoLCgIdRU+kYj61u4k)0o{!ZF<|%D=A=^oAe=ID{OvFQrj@rle>>%A^IEx5 zXoHN8o+mE_D?PwU4u3=&k16)Nium^a@yH02odC3Z;ZHG^P*$aKw7sc-MqBxN^#o9w z-cl|=M$4ZajthJXzd?ss8bUF3jJ>)M~LJD9Ged_CzRIwAh6{N@q zsxI{yTOa(6xf&nv7Yg=T;$=P#epU~Hjkdk{?&w9_B6;WrSerFv$sXLX4=N7sb-|@n zx0kysC&eeP+bl-N2C|Yuk?zfa%&Yw?qP@hH4lke1zCpbnEL4qdl4ioYD}w@xzfEgc zofG@t`W+?6p~RUEim;p6i&YN;G+0Hg#*2nc=EG5Ocr;5PNDrhO zUA+nfTP;N{E)XLEv6%UEJb_R1jV0La&y8!v4KT$b6iK$JM=zO?j&V=7;nn1yO(t&1 zHa&owe3nyTtm0A70KS;lN(LDo6~NTwslHNQP-p72MOyP+0Pn(bau~%k3W>kZ%``I+ z%u^hu1}1vuu|h|e+m#<`y7@CN@^8=ce}EZM97h{NSM|IlZfPjwrRf`s-dAg3_@V5& ze9OINSzN=}W+$rQi|W&2 zcZS-76>3XiK{olNA>>-CV;!%6(`+)(r%*DV0P>spq(h+{*C&f3fM-$JKj*X69oR@_ zW=Sz<6-8J}6ci-yo_x0sUWofiWrkivbL*sVR|(_Z6Pqm&z>UHgp(+z|QIRi_TI<0V z^SyBMm9z0wAVKFGH{q~|#DXPmJ=;HnM{zH`E0ZxRl&iB?>w*Q}uri)U@Ooh&o*703 zf^ad=q8j=KMuJ}@!fi3nJdUKz&>Mex7)atHnTh}rBiD0fzB(hcV|{bCK~IT>0%G6| z=WG0@hUZIUTF;T(V45I?>Nu>((!2qgZH*G}6)#u%8ZYi6a((orQ9SwaM~j!PEhiD| z!9I&A3VwoPagAKlqO&h3E>n()q{z4g^(*rng$EkuJS;4pZZV4Odm3}(?TiTsf0 z?}0fQ1>PZu^H?H$0)3H=X8g=);}qk7TT-c?AO%rkuM-3km0vyUFS48|7yPc5A-}jV zka|va>#744UVtwQH$8yJJy35{GVsQAPR50;)O20gUXK%uU@5;>2E=Z=Y7Q~`g-1O8 z);r#BhqRx8f|GU)u&B$cD7*6f^X)1CD;|>)GxSc~;6j9iuccf;wMeZ@%xrC7S;2T; zOF2(WPhw~*CA`a<5ky`SZLobepd>d==Es22)k@w5BrGcu(v09kum2(X?(N?#L$9Z$ zt1J#OnH;F)3YKwKHDJoY-Y7trP^zW)3+xTG!`{BwRKmU_sG@Oswkhu6&oQgtTkuL23!-eW9wZnUL`AEgOmGvl) zPml7ED^|tA6Oirz$~VLrD*D;)qV8^$@W0z{NnH8tP>^~7<*+xRcphC>5Sc_FB9F zM^!cu*OC_YNmzVj#LtN>y*6;>5B3LQwY5 z`1aWND_M|+;#Jl_`n;%tTb`-IuXAr!%!ozS73wkQD07-({mYFE`KAFOr2`@zBD0Wiy7m?^4ve6^80{`Lqg% z?A-wSnM%vFTk`!fcszW0D;g_pkHTR7E{#DLfe+4&YTO+a{IofQAy z77^$Tk`bZW{9cj)3RtqRN);R76vL4t?uFO!UvzS#zRS-PlEBN68jxSQ z1-rJ|wcOIzlw5H>Vb$og(EWldYo@xg2aNMSuF_+S5p?I#AT4pj!cetap-i7wt7pJS ziG2k7vO+7SU}j>%_K{+9nyO0O$I4gi{oG>n2QGGuB|7Lpf5~XStQl4Vr|^E}1_s-C z$RArx-1A(h;KE#6-@MuqF(@eOyvD&X-<1ickxhGh3bpuB6@N*08b8_3LcVbZHtr3! zYu%DbghN9~j!~>A?x`MOITN0&8!%1pUfiFR*C^{1H1sL~sP;eYn}M$45P^c{S$6nr z`E^ld)y$c=Mk>^DOlr7yI(sh_-s#x<5LINw=NPer$8j$$!eiiZJ~i*Sw|-xB@PW^f zAP&Zhl|NAj4wf`pG)Yt$M}`lZLo${UMH;k99iCohaWGF+mHn5PdkTwBUU$tF`ue`c z;ezLEComR%>$vXnq_pm2dFdyXh$X5Fys{sJV9W06A=M!|AZr3@@Avgz_|W3Bp_=w` zU3}p=7KP+ru-}Lh1b6s0c``0Vdown)Z^5IdZodV|*6BDyRll(bD!;5v8A2&L)RweI zw=L@qoo;~*aTo|{VIke37(m|5vy~$Qj)j=0O?Y6D@7&D#y1G#(H7RmBQYnestUh`- zck*xCpS{qXp1+ZWB|#Hl2cxb|p<>$L`Io@#ab&g({Pb0F{L9cpl(NRs#d2WuX1g0G zmz9w^h1P@3LLzGdF$O%P%wRBzE#Fyx-9-*hN<^rzB#$Gb5d{>5<C{FS}2)NWQ-Kk3y z?xRSw8qE|X&4;_LyPhc=RSx1jjWEpL7;aBm3^uSleXhiBVlbjYC#X(L^WS_p&E3$5 zA`i{-)L%z6=8HIjCGo8^qk2{y+JY$lBTI<0;Ky&)-XEHYxoWM?=AM6>69HFy!UU4v zCkB=ha&iTqaZP?lQ>u26Qx6A8Tj%d|E~1bpwf9*%7N@^>rXVOJxvRMMw-!(*bhDb8 z6g!LQQn%iAd*+}yPi{@=3~+^tD= zl>CmU|2^*C;fPQ$2DP2esQ;yf|9g0#&fUw_?(gXQSI79@n~|gh^Gc_8&G>(hyL%ER j%>VzU|G&NIp4)r#4`-YNuOz>tfgc4~Rhb%Tlc4_tq`u!G literal 0 HcmV?d00001 diff --git a/docs/images/HMAC_FSM.png b/docs/images/HMAC_FSM.png new file mode 100644 index 0000000000000000000000000000000000000000..faf6132f20372d1d1e2d775c2a6b516fdf7decbd GIT binary patch literal 39916 zcmb5Vc|6qZ_dkv%Te6ju$XX#ZjA684hFKVlWyYW^V`l8eHjFh(Qd&^fR-wgGsA#t& zQ51?)QYuPPmJlM}GxuHh`}6zr_ef^Uyk4*Cy3TdZ^PKZM=Q>ESC9D)z5El>-SViKXuK7p)|Ko(EWn-c^Vz|}z-^%QRez+_=Q62p$svu|6cFq!wUZMc(fi89-wLCj_!xfMIl?bG{MSc%=%YQ1wcxFJ2u#75#jy5^*l2)9qm1=XM)0wwHPOk2ywLy$ z?*sh;Snxt%c?WR#x8QukI6?3UUSHot56S-rA3A!my~6zd&m;KjiDZR^`*DK*E=La@ z{_n(D5new3eoAun3&GoCtZjU}J?yQC#@=L{&%f71M2E2cz2;w0@jpdbf^eCBUOr)7 zATp%iA4xfLxi(}b0k7{7YJ&H0@E}FR@czBrm=?qE4z;qzQ;=Sv7&O|?lFsH@L|a?g z5^RuEM<;&@F%WNM>Fh^~vT+G_z`z1nfzDKtuc5V}fxoSneYB;%50cD^VR?aAuqH;{ zE;wudNZ&9o3^z=F)Nwxvd4ETW9VNvizHZ6V^C~oc&Z~Kf)Qg(aSXNyc6JK$ z!9|1x8AWo!FcBp9(w{%pa_a3#+Ky}1y@;E zI?@<42ZjlXY8@Wp&*9m7v1tYv7K>}(6$0Nohgt?bi%k&^x1?cLmy)sW+dCz9HR{pjw4o=KKf)T~bn`eh~_KKi+ zQ;eLjc)n_2{bTH`i3Su4mLVbBz|WNwpl@zt8^vkb3a21lx3); z35tOacMU;1Ix|=iwk9TiEFu6N*2~&CAeW66_b_L&VrQlL4`?m_WN2lRy(%s6L8l3zH)U zc}MeKxiR5{7-y3xtgEprIl$G|F36CFhU_t*jz~ zym^7PHr~+|7KVPIzJ^%3vnwY$0!4B`>KmH`LThhGjz42IQG?76K;(F1XVB6pkj#vzb<_da`4KNJ0=W@|r42BEM zD^x$+$=c8uGC( zSa~}H+1p!Eeeu`;e{&Yqk$_@Gx#FxMP3%|%M{97mcwY>Uj{uedA%+B;3E9ro+lt|1 z5lsk2GcCL@`bMEFS3{;Dip{0+k%JOU$KZnXqdAd=;d}|ujL^|ED`X@s%9VjZX7>b=flW50fgnIKeHUu3OY-q)G3N?2%W*A{v<`^1( zf9Rq5Y%br8(4EkBA;!E=%)ievr(^XaEX*B(14APtLv4HmT#+>AAS(lo3)9M(N%Z&S zhH=<|6d#6N0M~{a;AO)k=$qJ*t&P0BDIA`oc{Bs<E~;&5;{ zYX?_z8rjjxo`;}gz} zbVd0)qD_oZFvi$UAkl6?G7C>Dwi&vbzQp}`LNXcL;RqfLxexNCs7xeXu8t$oemH`>uWDB6ak z?`rPCMNvXH3@qOp`eF5>0v%9(uC920TYy$P#(+-qf-j7i_Iy)zprR;DG}0g-Fq|1+ z5`~ElXGf6GmY|bZq`ehfAB^IK5bOhqmISO#fD0I2B$0q3Q)yn|(Jmo@{NYJFSBR|m z4uMC+;$WIsL!)R+4B5|~?&?T035Z~a#W*sYOrnXzC?{*4tCzlQR4C1g?8`?wYLpS4 ztZx`-!DSEvBd9?*XJemWEZ5i@X=6z6<$DwB06XhQxYEbhh#eDUjDksFxfF^c9_Jqz z!?z>;2TZ0_lrz_wV8kJ|pJDCG_Ql17(!A|(L3nbQgLgD20$d$zL&M@6 zeQ@S}R6my}2TMl?*z{>!@WWUb5g+3cN^&(1@HKQK+p$Pa)!&r#}Zt)A<-mnMi`g}K9m^j$FK-yF;N`X zC^9{i;X`(zh8UrPUF<_y(ZNP+6JMfLprb7dA3`UDu_=+R;HgbQSX`mT;OJY0xkgz#*x56zUCf<$_5l`H|45>}rLj|hVH6jG zp-1`i9h5i8NuO;S;zIMM+F)2*vd>%(hrp7^XlJ=0s<-mBnueWee|^0O*`t(mb_9@Hk0^xhhuLD3a=49 zeB!vtI?gA`g?59@1{wt|%uOpT*yl8Vtd${UX}n*G`}u3B|NFiE_r|BZ&W6{0jegs* z{`2U)8K2YdwtXG-x~vg3_V(VE)v_vr|NH68G?NuBS8z?$&JqyI{QILRBte@~`R`A7 z<7=JRSXO)C97DrQ=)WJhNaFwJMTyM_qoCIs>x}|4e=q)Wdvoo_ZSSg)9VhL*|C^J@ zDonz{&1_va8a`u#?#lH>5#z)P`_qkO=u@v&{BMq}N7fsc?7kJIP5kz{X3N1@bC{rV zCQs~tSFANJjQsZcm^wicU2ZjzA&^2{!c1uYH;%06L9;YTrNLg0y*YC~KBsjb?G0vE zji3*O-~DfexvSP1Fb~Adf4P{PLd|XvMbcLumap9Op8%5*MdPNr2nM`y&$*HE%E5^i zWvO9z_x7;zIALNU;?GZmq0raf$~@N^|I&3m=+t=RuCBkK6yoy|0p5W4JIb8LIm_twMfP{fARurwpfmrnwUy1)6A+bg5?$YmH2B9A;a*DKrUSHpE(d*6lx(bDs zW4iyT5HGtSU2aQ>%Qd#PCG{LOb%#~sDK8Wqowmho;~&{|$j;7xtsLqub<}@&R)Zw_ zC|CES_@Kjit08TpJ5gh_%}b`gXT4z!>D90Xg|_3D|2#eMv)HL!@vg!v6-p)#U9dar zemiPR&!^)|4z-;2=-{f&gDFzGq!qDYDW^>Lx(_*g>%Vj3df=;PD{;?)B_wDyC^0#; zKU4U;>SbO0oopQ!2ltpS8(nTklqyJvWvtmkBQLLbm+Pi!2Y`nlWmioIH>{!iIeAUJb%rs8ZkU%@9{?)LIjd#lY0zU zk6Uwf-Iz~KpdD)bdoE?^xZkB;usOL&L~4W6qbb5sMXg(5Lk>%IadTlc%Bzz;S9`Z~ z2bPY#o&6rr4Oi2v%=$fUNBGr{J?_PDn_8!byKs`qyL2#gOZ_~shVZ$o+&=@3YY2K& zxt7y(=Z1?jx}PoG4E@NQ8GWVgdw5r$iO*BT0%Oh@9k*I?i1P2nGqK<8lfIfREvVb= znkyOYyJ2_Lr{hZU%a#qXWcTPHInr>Qh;kgofZ1ADt5oi+Nh+92xtZcrE2<7~Xq zliCj|4W2m}%l}iU_lUSgZH1?&Os;M7Of?N19!ZsVmu8A2zPZh{UW`u>URlDM_%Jf| zFhhCkoyc#;w${yJud<(Ln}iK!UfFZ!@c|k2?mA~gwJ3Jg?MiH_xHi4HqKwl~A(OKE zx^Gs*_ct!f%jya|)L*mKPptB+dVDeEKf??W>bfCFKD~`9zFJd7eye--M(bSS>22Ji z`6h>$w|Api!=2|wP7KR#*l>kyAMm0=Ym4)h>^HZ<+xCgADgkBn7u!|+>#!s(>(~md zGHHvCo^s6!U{IIex4ZwfeMbai%%%TUxKDSx-xOIO-#q?Pfe!+eJ3Z2K=(tR+kV^iy zclGu2KOY_6Y@MZMDSJ<~J)D*cX9g43j6EBCl@0-Wa<&QGS=KLK+Oo(3FGPyIXR4rth z^!$QNkLs3Dtv&Z*YTiV>i~q3wmPdU;yx;Q+M-)xMEZ9#^X$ACNp^99apZZdKrFmEH zjduxd-+U}pPIksinJ!dWolb3t{PF1t=*tGVVPSO{8JS}nt=I64d>V}0wd2&zWB&qG zV!7Br_AUp@_Y^rq+pktcrk3NTTM3J|UF%xfHHBQ9uHDz#izxF*m^*)a!_v;ZzvH+{ z$>jFiANHoMkk4KG^|KtYFuRnWb7XASbrfJTSNHLqD<1XkR@s^|$f&{OX7@h0P&jTY z2e}^%!5ec`?k^4}NsH~i9YOlN@WU>r>~Ws%z|+&)!+w6>GyRi(v?Vv`rEG6}T|mO` zIUmwVqstn0XLfjg+rM)C1$IQ}q^ZkQrr^7d^@mj(JbTt24NUz{%`6e@Cnq*86PBPP zjjXcU@xCa_grg}zJMDw=C;x?aPqm~D)1}>Vo6HIo+FuB+qW)k$m!R$RiDk4f@2Kfz&K~j9ZIPpwlJHJ~AlXTi|Ksyz zN@HWYP!*b)YCpD8r@Z-6zc%+~el zy48wG((I?y8$79|Cn@TGMJISjly6FMIt`DOBECFx>E3cjY@a|%kD2d;>wnkuN}D}; z@$ks{ax=7!PCNQzSfAP2irY_$6s7*cfmsoP@=$K`l09AyPQ%`88zlG(E1S$Iy{*xmzNnB3*lIK4+gSnU0AGxIZ-_7QT6NY|jQai>I z%P5wi!l3O>t5(%${B`J}S!Nw)b`Jd-tCt4>W70r5KEF&q@kc8K#1JIUh1m)1_olYL zFM^wGrSVQG!318LpN{|U8am$d(Xoxs&f!E}UH3ZGCT&B>Jb@{(|$(QK) z^~L28WY5L33EBY3p&R&OfAnH00g=PLv8Dg>^}8(S5-C$algLEX;I$0JESW8Lm(j3=^#^o zyT2lnK4f;$_Vgg&8bmp(KZDRl;?{>D{u^M)&hx_^8^iMz2dOKXWoUVqk`m?suDH4*!H_w=zGzuC7Bg=ku&F4-+h5H7T6zW#D zv)-HkY=4?8@7K2*Jzm^ zNDvTP8oTv*LxEAyiH{K%@1KM1RM>{A{V#6!ludejFLuRD*#{8PNf1)Z^Y4o2KWp%{ z8@TuL?W&T}`;V5=HpCEP<4X%o;F=RnIhX&_@O@^UU!I*GQIQksS(y8g)+x*3Zi7|Y z-cXtTE6O|>er&aEu0CekpO5c1#E|sq+C`I?KS0o#-jHGX`;t%(WC1Hc2%yy3Xa8EE zilDCOo4RbT<07$@5^J4$m;RK-QTzrXxe#Ft}`*H~V0zgOmNw0ChjZ{bIW=}0P0l`o&YqMo#A zc(T6U^x~dpC8UkflY?RJ;(wAJXKQWbj&vW}WTQaJ(>u*<&$r*OcOev@=n%6l*CuS} z@x}rJ*5pNN=iS#rKjNc*&wZvAx?Ui!b3S}91$hRP>|?=I%ax*v+aid_zKJKs?=Bg{ z|N1$Ww_3~LlDuHun+ZlML})Sg1F1>!B4xz`mdWzsSEldz2KV1SFGNRN1*dUGJWysp zt#fDWOoe!y-6_mDA+OzTmnf>ykU*XH?JAmVM|BV(tU{|`Tv@B(E|K?`(HoB#1 z(muZS{di9o;zyrDZFy~F)N;hrdl3JPIO!>RzNm1iS=U?Yc(Gfy7h(bC;{3F>?-l#v z{oQ3$>-$YjHC@NkGZW>-_Kgs#PK!2bvxT3u3lA8XI$UGhK-8B3V3mrH1#gPh$1X%& z7WI7P`+H&Ts&AK?`Q-5Hnt--LTkAB>Tje~n>e!e4>NdB$V)rcvNDMZ?-a2l*g+HQD zsw>V<>wk!?{YDsVy*9!$ea4Rn&x?Zt)fkWhRsBu*fUaFdzsfw=p0AJlhKmOMfUTDzhA7;btU%S=Hh~Ke?sE;z&tVG!S5DEXQBBd#F3YD z-B!zv^Ye$jq={{9VxD%-!Ch{Jm?UfS86I|dn9T{p>fKW1+fMC_trc&zm%n3k(kS_r zh~g}mu^7TGF~LIFbn<BTx_zv5xU0CQodI4>!{_O#3p1tRA6t$pIcn`eUVC%d&6vwu zrd6v62tBc?Q2F2^yohPCgjVYTgYZw}e=q`J1$lPi1KvF?M3tdo;7cUm89Uv=p0@pQ z(qKS9p;^oGRQ_p{&(W#x{cc0SC9u$iJb^{xf?evKgh2?$wQ<%!HFUq&Brb-4jM^XB z&CBpYgxvIPNEFnT*FNSiuS4&ws_lQ#nY2uVIX=*+owg+eyCz*D!Z`ED^6lKwaO0GQ^@0OdO`-s-ugp;y`+2gVF-4^3Tq%Hs zsJr3Z9;>(4qIM`b9iG5io{#7{u^PF&506&6DpQH>KQv2ckc&w zh4bCe)4>@D-s|gr{wX`zj$ms38M1ocxuCE9xm*Ydp55jK$Y*5Zei#VO%YhA28RBRXKLWHVMo*+MgGPO zK+;5^UJQArd<(1fLfY)8D^tP@T>(ze?YUO0s^D?a&WwD7Q5Jh~TK(mVoE_0&jkIK7 zLd0jv?vFVV8;JZTl{~5~5*=u}4QZ2hk8ByzzG7qIhzD``e%UMJ`$4*~Gi*gz;77Xf za|cEO6VfFIeqIhX*7Md0aZ{A0rQvA^7L8xUik*BLJ63usjmYpgZzU&NeNe#meNn4W zU{|#_;pBDC8yeE^q^yCP>*AfU35Z*cX%g$yj5%+eM0~)DxkrzbUM#UVC|f40nu<8A z204r7u|R^%aNmu}*OmJ;`gbSSE}d(T)lL`joJk?w`gTJEJ)R-3cwq0Tird<|Op}>Q zKq4J>_}D0-oL&EW-a1IcY=g77*jj$lV6XA&=!ttNj&65()g?A3b1e*26T{LV<#kk+ z%D-HcnfMejOqjZE8)zE#YbFSNJl)jsywygCcJm5?uTZ}bP6ceg z==apK$8XJZ3y)JT`meGM#erjfQ(Sh?M#z3yY)4f~1uUUmR$3I}9-s+&feUqgyLR!w zQ0vY<)f8&SiCwcv-bRu`JB$NgHaIRddS73(RXou2UNpO!xq;UAHf8p7?Tu(Jb!w>gxHx*Vhk5JE5=HAV*Ys*7`?#FKLHWgRsPfTAL5YmrbeD zI*sb3&MME1H%YnZcf`FpGn&*`w)x41H-+yrt#Wm=R>`9fk#+XStZ84vF3VfJ|ueIDV)kK8>&5S$VEl16Q zKh|hSBSBpgvrIk-&&#yrKMqYdpmR?>8ns{hOX0W%Nex^~H9>I3e_Ikz$gSYfdlH!9 zV)=acBvBSqLozMO+xezwWeW8M{n>+1@<}6`->6vUT`y2Tl{M|oS%-Vtf* za>2um2Hma^7N$k1>vo?D(?oDV6&)jUcVLjTb z*LIVc=?CW5)GK#7G6p&z_T2wg#ciCg!e`L&_m^cHI3Qh;|K-)S&0^8n4M3eaX_tdt z#bgVlDQScFDPr4}66R$-_fgVhKwBEcPkgEJY!q6zGse(vDS$1%y}R+;w!r0PT>{|B zu!#v8(yrg@)+Cg;-3WeG<%Nrq$}UD7f#BeI=e8SOI=zRLw$sXJmq*^1Q^IloWJgL@ zn!@z>E*hMXsBV-8?2s#D6Dt-E+<6i>G7PCkdT;a*DdkQ^(c4yu6;3J8$hcT(As%lpnv+x7D2of{q9Q8P&E2qM2<8I}{W3 z5i?`&wgUB-_57k;Ta%P&Ah|fOxds4ecfjjR;mCmo!JMW!Afh33K9TwQ!;`lViuJ@A zWkfx=7yC1}+`01cgM+I`sR)L&@4LEt;!fbntqe3WRlpi|sZ7WKMVL}zd)gI3KRi)G zS{fuR_&#i`nNKGIs#1QOaE+e~ z=7Qt30_sfc5Mp5yOo8L{y>1-h@N6K}9s%L_W+&ySp05yuK>jplYo@CCjmGu;d@bpp zK{F!8)k_`Df6zW6gWTCIoI;gax4rJ1dws$l19rP+?9cBxr%b|+iHbcS4L~TwFAtdP z{e8EDTyQL#s3Oc?iS%!2Oyp?(wyJ2zLFQ%lCQc~)lS(1XO)M0P3QWQ``DL0VBlx*@ zs<<3u9c|a3uS>^2B^p`+z;RpXx zd0n2lIar}CvCfR~=<&P;K#LM^gH!6ig+6jmxlGTas+f;t3k>~^@yP;rLgU0Q52J$E8YpXUR|Kcy)sx!p+!f+v_{9*>A%qP(&p6nZ)thHaZ3&==*St>6hyXg?PZPBww zC@|nYtIqX5QS|Bkr-rK;Qhl(?HO%4p3FxABjId69ZAFB$92l}c@?T`TMjwJFJ z&Z$H>^uW15r{j%fN5;R6C|C*rew00CI}lY?3td`P4{$-%NCe&k2D*Bqp!mG9{_!i@ zUqj_ia=WmhxXvxlvlIY;Ww$frkDCPwLCEj$>&&h54`Mrn7Z3al41RIo3!z=@>zT-P z6}MY*6OIgap2Im@c14?ne%R;H`$J8Zc-Ra|A1tW49D`u#f!_ugs_WF-^(PhVEtxOgDv`srSI zpb=l`XdJPB`~A`8v)Pa_r*=znHVG;xbrloZ3Q#`u+Fo1+V(~!Q;h-+c7lMw^x6WW7 z@w!8R;C&O`L3&pgKLlkbsamnO1R;IVxQS+Y1K&=SS2sh|AMyCJBS-|jN5YN!zBOEd z8#{f^T{>kH2coHt(Potp~j$jQGLBnySg%7l03%h*74b0Tum$xb3>p& z29V_7`dHo?os}M@q+FeXsj+uwk-Pbs#gK4qC~r#L=zj0r0O9fz>syJ_?y=v{TC|G% z0XzOEo{%A4jr|$icy@IZEgm>MHU0f$W7*poeWyEsZjZ|=>$GQ&V}a0zE=(Y_1a|Hyv+75U;B+ysQ12kUy6i!QMSJKL($*eq!3SUU>cI= zJ2Q69h?{1Qb{|80<25)!bD5YI}hM_prW^iYm>fO z%c<}8W6+18H;(z|{`LYKx7&5_wqjeqrmpzTv%C)nF(>Ds#>|@d(0q08QbP1#7C~dj zj@=_KE^h$~bDoD_cn=K5ca09<4lWtdD&2M8?OnPz&pA~ATwEE9svJ6#rR!!?>RfrI zz6m*f8w`*Hyz2734sUGCoK0m<&qE2IJMB3XcsA$h?UBu%d@v@rYZKu4Rd7VSBl`|( zjcax7jhgNM^yoO@F|ac*lEcp;S(Su$yGkkNl3j02I#ZVG#IVSp+_YR451{RCkAKn9F;8FeF+zid$JLT-LXcb1<= z3stb%a{U~e79sHFbLw+b&+S-~gO46}ePr>OfE;15=%1NVYjSL)yHy(&KA+vo1SV|c zHu&;Z$S0`#Q-JJkgD_|iD2H6A`jp|Ad@9ev!a|dlCZ$aK`1Gu4J(MVM8(^yw*XnuH z?e_1za#>QkCa8}#ZR-6{f={`ONDblRlT~V<$ZX)>t?AcUm=xopmf3VjY%8V2#~gWR zf^itqA*V(i#MW15cE&!2($^NC8FQcnT=sQ*a^cfSPWOthWA#fw+|-H+^-X{66)}{& zruVRA`Rsrlgqp*j2#n{uzyr_s_wQX=G>DrG;d6KMPi_RhasaCHe4IdnUFF@$^v5gT zKz_H*IQZ0RO^R83_x;Z**On*K79wS)0E^u5hu5C_y)b&MBYSHjrv{wKNYkpM%?tJ_ zlTgSmm2DoEx6akc+QuEZG&7MUt`wTNa+fz?-DA_D@rQ1ldmCp}(#EFdmdBAlL-2y{ z73GDRK3sUXo+Fy<*jictyX=gR%oim@g1F zcXLIN=N!9=EPF(j4Ho#Ev2RpzFUuF3cyf5)o&KG4`BjLm?oMg*fSul#7JvU5E6+PG znVhHdP}mkQpz}$F#l@9Ni7JvwDha=S_D6Yin|j-!ckPqe_aQ~P-lt-uP@xwz>Pp$X zD&_%40hKp9n_T}OZ6jju{rZ8QQlUE?BlP3)eJ+`18|ysfUdfG5rs(lZW`)L~PHIUR+I-uSEi92TMNOlm+PBLBR;YecG8`!V&Pt_+{q^^33a+9^6b zNMNjLB&f476Xxj!&tE3@NH5&$xcESl7P|Q4r122&5!7;nmwE#~R7EvWK2IwWqUuui z%_@CpaH(q4u4TP1-X2qxUMS!8yDNn{+*9hfIU2-HH9I2JcXI(dHBscC%JwZ5DIZrA zeGP&4m6oQ})+^>^be|NZIzaOVG6ikbAm}|e3_S?d7n%?;jTPHzYW;r+ebp^a&}T{a5!~UkCjKEfsp~z11Zdc>+@h0&17F#e)gvLF12g z)Bcszs&p60_WN~npH6CbHn(YB@szvP!jr)PFRz75lU(c|mzzpFxhQ#o<(Q|0+pD5t)a<6egwoC)WYySlVvh97uRrMAY`I45Tt(IVoQFC=acz5lR~gPL92UGbkneZl=E+3c1Pvp< zIEfEY5i_GM^^(#X*HnBcR*2nRO;A+MpG7`QBAunLtC){yPSY2n>`kFoxO*L1mP|$5 z&DrAo!iWxJ#*HyiR)ho%ckXjltV{{s)X)FoJZ3H8;=#fd>whn1JxHboE^DX|XH!3l zCqEIV$rOIr81nJq%4i7lshdl9YLiLv1LihuV<(ItAl z9ZGV4vX`WGNKYBlEK>9P(o-zwP8eS~-pe4N& zspvGVT{fWZW;`(`gLvNh`B3)m)v^MRpKahtB8$^DMvaQx*K$#ssSw&OX|BRuVcW~t z-y>h8c3NU4&1>uCXFKK)r7Wbkn2*w)xVG`Scz@9kRTp*UwPN3-;pFn?PHm40?*7IT z^D=cFJ>FEUyyBhKQq5(i7c3aZKC!%BkB*ZNKXZ1m9U3&hK(n zMB*N%3||w$5SPbSMaga5Em|w7a;f?K#&>DL?9ghiz^U=DTDP0M?ZGSPz4cFHY372; zpT?sPt>`jmxe0-l{(y)G$5ZD zyDoNM!anKM(96$4nJ$Rfw_H-J{OLm-8MClEvEuwPW&=vV=WwC0)*h8ssS}+NMLom; zWc-&r=?Jffa@GTMp-J5vUX^mErXk|XiFs+1c->Z1Qh%3X$o&oIz%6E0>ku^|t-M#B zOWN$M15mU2@c9_&gcFGOK;@~R{bWE-~zi1&xwUn8hL^MGNxKCBtvQ6&(c z^-J#JFBzWNEPfYlI7wdV;Q;}qXz4Cvq38U%^SPE4a$C0{%IhSAW(iZN>y#9$?nerW z?%E~2*Xx%)v#{AUSjr4(4ODmNV4{s1v zh`%@pRB=&4ll1K7!GPxYy85(Ab9bkOoivM1sf7{1&7p>*Wg}G^ZTr=S58G9VD4FZV z$kT`(Yhn38ouP9gf~Pom z!x?wGE#!RytDf%AGr#iCbH>&yS8nTiO#e&23fc9mYK0KbeHhepPcdB{Vk zYwOVc zl7w%0=b_B{BY{}C21BtI8-It+qQt0Z1SvSl>;+~he?tp0{EUBiN#tdZ> zlh8~t(&g$US&>*x7+5g-?1Lm(k9Ah+eQdWF8MEjvMss?pLGfx?8V3gI|xGOyh%!YgGTh?tcvBE6Z<*2e&X(ZIv@-j{|T{|H#L-aO{fI7$J z?r$dJh~1~!c7>6r?hO)iM**Pe-P)p3=`X)IgAMiLG}1noXFVX?tXG;B;YN=3H& zpR$VP(t%T1b;+Xm+9$PB!1nN&;1ZkNAlX+G{7I3Ne&&~kmiwOQ5cbhq%S=Ob&XUjU zaQSk0$Awl&AQqRm98OB#mLi~i85WZ*%+!{)ws}ADl)~ENQ5RR{Am6+m$e_DkK3fO{ znaYi^5;ifus^G;(BB#|6{;A&TM}9J<@1?XTfU#ucC#lohzeRL`QxizB@DUkjuWW~vxHC^RfA^U#Sgbbox*{ucPn|ycuQ9Wo~ zJhu64oWpJIS2o=vu(c1t@1cg0r+vrz|1+h0o9D`OEGtE>)FF&}238?4p{1 zfx{Wz2eIgnM~oSVLx4rO3T%scsVQX7=7sjfcxXeV`n|qhD)Xdzr3i2wp@~_F7Z{9$ z5=b+S?Tn55(p8++gvWD_K!azGXu3je(tQ7lH9DD9RaI+Wzi*J6xjJuv@V&H+8n#yO zu2~g1&FARr1$y01N3BB`>)W(6*O)=YQFLJ47HV1c zV?*)@sB&d)jm?LQAZZ( z$Y+r>kx4-t^P4a74uQJf7!CU5%dgkH-JekcjWH)nCHr1v0-e0_h-{e^q!@XB%Hbaa z#iN~XaNb?fc7*~ga8Y`WMHXUEq7Kr0GVU${JV$6@mm&3)hiS6-VN6F2YHIvL1M8%g?xZiVylp){u&fSKce8IHj`fJR}WI%}_^O~M&V`Dk#_@>gu{i|g~ z_Y2JIgekfMQ}Pcbxtvc)dRm-JlfI;E?v-fh_lyWt;lLNC`rSwXQ#sdlhgZx=P2{`= z>KPIHheUMS=z1a342h`X==@6}4tNHC-l+%G;}1gWuXpS-TNI^*RYm0}KQdbX^uzac z6|NF*M!!>L!l1Lm;bzEt#GU(Rln0wFKJiNgIDrm@$)NpdX(In0UJ>z;5ca8E3sTWJ zJAeGiwEN#}V9R#33@jRsj_p2BbZ9 z0t5S>VJe_1VM;em#?c^?I4hxuLGF7SU49%|Hn_)XWV`rj=OpxCmkM+%H%cjqRMR&- zS9ZO-X`5i6F|=^%{yZ{KO%YtSD5|{AQxx%)ki0T1r7P>_Fuy5lLnPATki18nz3#W( za_5f9nWGzamPyiq)Ol3-HCje&R+OK-$>p}@Y>_|5I|a(rqMRtbUpZaby!oz4_$MMX zqhLD|Z){5ib-GB`mf5*9_gR@#U~rz_n6e>eShb#zda8o>y}yLCs($Q!>f24o*qJ~o zLZD!?rUsu7jgu7GC|#x;{o{!-H4hSzmDdB@$IJjhlzgw>bixPCKyg9**d`IRmnBD6 z(=@#Zs?Z?hbcteqz9PMHc%Ny|xIz^0@}YS$mN}U8; zu6ZSZ=e`3b1t0-OVGUPhA9C#9;mgWKzv+|A{cV3i-nxFZ>;Yid_I0GxBdR{Hc6))H zR)-pZ1FL!N`()nT@JcwsvAeIi^R8KvkoJI%>vi7-b;!H2N8iUDgKb3FN(EGm?ZycjSqj6x~zwDS*D8b;4hu zqae?}r)+M|t*)x0LB7L4bkJCAIQMZWX7A_IQQa2Q3*-XXo=-qQW>g*{JM8RFS$_gzSFwCn*%#I)J0I1^GF_~oU|M`Wb>6c4n1sGPOXxuSI6__Ag;SAF@lvSLC;E_m8? zuXOstR0MS@RWJH$ru|gJ1eOH~02D4$xm_YQn+1ewDOKSbBJHrS#9EacZK^@}ilEm= zlq#MUpa@`_=cbyFE??6Zo=xk5DIfW>?Tn;$O3r}8taNO5e zHnS<+!#tbR{G|ap_&dk#J=G(De7-dmfN}Y3cwna|=u0NlkGB#0{LAEE5|`V(4jItq zWL68C)lm`N!Fe}p1vN;=EZh_3SOwdot|+!k6l;9z8K=20-Rlts03cp#)gWB$LxZZ%qF;4% z+)MfqZR|^-@mBSW{GyeQH^X5Gb0EuutbPSLc?i9{vrl)EPI+17kyAzM77sY;ukr4?^<@WSPq7ZM|Aw^#VkxHl*}=HsDjpzK&DkojaK!I>qeQU^<=tLJzr z4f*=s2(7Lcl^$b91jDm00AlGYRYxkld{2Nb_|3$uY3QHvZD}H=9+sfp^64SARb5_^ zZeVi<%lPiNG@>f*2Ti+-;}=_)toN|x_tGGZ>L`k5r9M} ze&(&Z_mbNXe1GV%nxctu<#fNx!1V(x6Ib2?{(5A`3c3pIC6L;a-!9D#9a=vg zyaWeA_?<@lgBdwmX3p>1%dX^-EGjRaiLr{`x$8$e>OzJmbY>ZFN1WIq$kW#sl?GA< zYkJ*}zqstyS1ExG;cvZj0jja_S#7Vo zEbWTsca_8@C*pj4P@hrGm2|8S8)8BzPR0j)9V7q+QjuHtwY$_?S$ZZ=6Y|adAFf}} z4=jP^*Xw1rUNsf;YHW@_ZjF$z5$(Bgohd?+_%r#)EIQzYVcoJ?qEIM5-tP52cT(A0 z&wjLHYGZ4Zd=Tg8{>HMV(cjPo`v_98aDr)-z%C!emU+zsrP|D=B$jCb&=ItQnmn75cb=(G19 z9E2W-ya;r?WQM!E=PprGr!(*r?1$8kqQ4)|o zq6+J|ZTLF@JdqkyeVp9{Go!NqIq0CoG#$iP%8B<+wty(f!EbIhCiVz+$hsr>J!X*| znaeuuEmw&ZG87hwP&xZTAP)vQJ-3}u*OW+XHzD34hVuIvB(Ewz3xSdm@#DiIHP01L z>MwokBfdC%_v(4NP3@)IejgE$518Vzb4fd{z!8TbCrUM(#hRBna&|coQRGn_C@S5{ z(|pR0Ak1f)p6S*K@gqm;%hf+6w8(3=y0_~HD!7O$%ZMT}{SU`Fp$#Oc_K1+y5onRM zM?oJ}%&xVzQ|d2^X5h%+BToI|1<6g0r}#&5ae--$KOsbL-aO7-bC);1@eVZWeDdmP zpv>}oUsjzfJH8Xr$d!gg;u_;y1xr2z05p28H`@>N&hMlIui?-4j;r4uq4Lj&+ z>guNpua-wx9Qh7*&^r3+noGfBYA(0KlX6%{tZ&up6VOXhc`rVJ3CXBG_u;{Rideb= ztwl|0Mz+@nmLj0;FVF|^p4AX_5vn{&h2QG68DB0zcc1Kh!|9^CRWLU0x^}oSpDT zPpHh}WCXfR9_kdVT8`4h3>_+X58Y&&B^zb7jlHh1etmk;(_`S?474a5)jc16BBiC9=UU1Jh%@qq-N#4B_;0^Os;2D#djzEX^n{C zPV@az%6j19xg*HyJ+aXG%5QMu7d5U2_q)37EL|&BIARagt`zNcHl~d4KR(h-C!v&Z zsY0Oj!m34;v$qUi-OhsTQy@JrsjYhV33`2wE@dh0;8CF9r=jIgk_lNn2@Z^s)jpkO zH(rOvpfE^Fp{Hd>K}^dO9CP@8biH{vRqY!#%(i1whHXl0^B6J}Z8C*yQRZz($t+Wb zOofceJY+~o8B#)-iOeCP63INL5E-J(-fMZD=Y430Zclcj-SkaPd5j1`i>>^b=HhjI9Fn);npEiYa2l!6f+ zjG^D!Y?hs=-=BrKR7+FEI4rMv-ryG~P4a_)?IXu9Q0G@g#ayf-?K)P=U7)E%FQw8K zWQ=70h?JN+KYjy~_9tv(OwDjz~_-r1Or7xuc?mroo$C2#O9 zb2`>n}Q)(cu}jrzBo;`8`5yY{_~Dfv0b7Gy15wV_~jj zzNJ=UvE^GsdOv4u`$OphN$NM5Oi#t$>MNPA0j}p)-SmTwvnJLkDdffjlC068i4EZ0}3Y62-Pv4;r%X1hhcQD9F|5~Ha#TUu9EB#J6e$fD3&N+i5P~{J}&Tdoggu+Mf9|j4y=r5CT)E@4Pb5mwJeLWcjATLy?kY*l&F!#0tc2N2)fj;CrqSU>dH&Af* z0Wb@;v=A-{Q#NpC8d^fV@u5%j#I)MK?OqV6j&hfwwbK z=VuH%WiCn^_XUyJ9(v&p>_I*N9TEUNqgtJOjCWT#@owr8x^1B7?x6dEs&sa_jT@uy zeKQP~No!f;B5&G*{a=)HZ*91IyHtJG!rUSIDLwWwr=V9c+d10^{_ev9N; zobI7a(;RPsDe-DYN%*+_8UXM_RW@m$Sf_Bt){i{TdKhOg2hve}(ivd>5Q8H54bhJ< z^4Op$+xQ^H;5kH}kBHEqtknd%43Q+-g7hpY$_)M5&C|pKisoG%OxQ`=j&FD|Lz6%h z#t1ZISG;EFNq-{ZbH!@`Cts9=647Ns@1x_T{gdl<{|q~(cL5O7mrAaM0Ab!SFxDUB$zZ@2RV>W_o+Ze zAmZEO+-Nc3_4|8%nFj4Sb&KzQh4alK; z>i3ozCHld-jZq1`G;IRj0ob7m2g$?i|nS)6FYB)`gmppI_&P{Dw{2PjV~?3wNs`BS{grYJCZ z&~(JU%T+Nh$+3Zy(kEJRE&X%A~Jr-X!&H&+p8$p{IId=0P4baE|L%F%`R8 z4@cQ^x`hvI4cmVTt;C&LqnHkzb@FU7Vj4d}U-^2Xk<;#K$q9j5e~w%&-a^D4FG(ZM zLk>#(Ok+cunGlqO;p!KD>|Th`U4z_`2(+m-bS`CyV5|xvOXQ+EW70ZK%`RuSDBC)w zZA8B7bzwZjSNAR)Oa1G5fH9W@cHjoOK--M5y)W+zo=$X1=BV;A79;8 zTy5u_UrHFG8jeP^K6`9;3zvO_G=qtd9(~8yX>cP=>oJqHJIYMQr$Ah!hHBUGhouk3 za5ttUP5RoE2Li*NuH<#A9eks!bX(t&bn=xM$}&G6A{C^$ybFQ^y(VEAtqMRf774BA z_aV;Y`ITIVcS__YIY*vwjHImi5EY~DF-f;PhR)4_(1*Z=L?~}DuzMYNiAd_m3h82P^ogE^_& zi8c8*eteW{3+de*2#m7gp%Y!PX7&PBlwSWPja60@tDSYpw@8Ma*OfrqLZqbcGtN|X zWt=hoa4(x4r@svvDYeD;bIc*LAyVDllrB)Z&Y^Xmu?(9g98J#_gmQy*@KD*Y_V{Z) z)oQT`x`;P{5@rWfppsGO3FdD{6oIEL1_yH z)r}7rg)T}mDj@IDA|`~5lD{M54$vI@5<4YVM5`ik;rH)F_dY$Hc{Nlp-LgyU*-QAS z$BaRjXCrDUc%Se%j|CxUZA1W!=zXM`;M zjOrXY%vL*;(5v9uw~%7F|CV5vl_4*XNnb=rZRhI~chV1*o*cF@SjU-E8F?AsU=o@$ zyyL<~XO3?no-t)7VE=ud-+qK$?u*^U0fm2tEYo$oKBPA3Ab=FnDVtguhNeT}Zydf5 z;VuOz{RIF+bfw?ui6#DX1G)|1_(60RaNJaa4Mi?bja{RW9tBcmz2kg(O|jXC>{Dh4 zr9}RPZ*Q?`-1$q$6;N{~G&Z2GkJY~DF`WFSR7nDJ&k3!0U+jd*I;cuZ`@>%?`W`DB zy7myfd)@;a`nt<5hk5|i;mQ|KMRk83YHr1gl7Rk`hm?^Ve``%hq{6|*AMV3ConmaR z*SQa)njd?q*a;>Y{RU?+toA(3TY35^;P7L`O{zn$Y#9V9-A+IZU`vtYO~!9^xT z3cY#=W!fm~wirGBCy9rGU}(971DL2RL4bV+e+p@13h*KhK~OOBFbNwLpC|LyLPBeH zCC}vA$sWU*59#+c;8*sZkO1U#h_Qf%(GO{=1n)!UAqr5yJ=o-jy3f2pEGB{jDJR}m zLum@7A?S7Ce<9(91RedrPv{S{U>(W!5wa>^$F`81%B+19I}gt{5s1F^XW=;H)dt8* zDWfDQxsKMjS{(=Mzr~st`O1w1+caoXq@Fw`Q*3`9{$!uG7=-r@P_$_ptOLYJ2gX^- zI5hM%vU{`uv%Bx_jI`UxH-xT6uX!LVelP66)B}Ki zAxMmQh1ig{W7$KL8^BI01(+k+&(7yy@Rd-IBN~^zKqQKYloq}PoVcUrfF}^X5B@s%ZP#cg@{O!XKc92)%aw7Uc<$Muw8&bq>sfvu0vI2 z(kzOO)V;O~cc#h)KK;fn9=BtfA>UP4@X9>H4`g)A47(gl#8ZE4(w+^H@u zbQ(G-7^IM4qA-E%T4Qnfdn0^*>PM!!KFP-o)FCAj7q4Ab(f#{d09o;vFp^Y}#Al8$ zfQ~W|u$bsrgJF;~fp~vVK%XILl>=n&gvBlt2^y)Qrr#hEGOx9XA?r}tkp70@*jXfE zCV*%QP-L)eSRHaII@Zf&wh|33)CP$=-q=)X1TQlP%d-473L|)%zR`Ien96;@+Q@b8 z=w&fNVi3rmS^I^|G?T%8Mh$!CHtD<@$gwU%a5#F)+WBB3pyzS}v0uebymAHb{B6Ky z&4Mc!#<0Md1jafE+K#LPQt6rzaNom^<1ajrdSNrt<$8_O+50StLr}NG>Rbf@Zu5#m zuxTyGZiNVS1^z&ddgvi=LdB0oU<_01qDjRTyE06muP zUW_;;$~1KnQyBs6M?y~m_o{(rJDGNHu^NJp&ERp+M}4nQaubGEoMGU#dk&zGd8c4~ z0(MF-5#I=UHc@bC_~H6NzD4qqU9qFoETkNoPZR?Xe=32$E#D!A)M_F&MhGAVN)E$+ zrtEk6YoGw#`cSvG_4B)T%U33tegcY^`{GxPu3phFMIttXpH(jmvAIByem=kl`m#i1 zVSi^Zsc=lWB-t88-iqL~7j0?*b8Omw9oVJT?)(rfuWbPVIDZ0@df-D6-#f8H@w7iU`8=|&*a60+h&~SzyKkNMbrKFDxW$QJpa{H&WE+ex zPJH!VXc~jBVdE)EU4HGe3Jnf#p-<}!ekqNeXz&c-0yTi-->#dR+!F&Xg3ANe@$Uh{ zM&xUiKd0;tAypv8bYoA_hK%7b5MpJ>`}_7KS&Hf4CcHS1}vY@bId+y z%BNJX2d6&^hY2K7!Y2Q)n=Bo6kY(ZE`he|@$+vg^yOu#YssnnZhO0k5xi(0N^+Cj< z48QW)ElV!r4TyXhF4-p`Uyy$p@yVFKXMF(u){k26uYj{qsS(LmR7A4 zMoif}etdLM`RRNQhBg|V(7OT!3lmbNkWkK^Be_GGDt`4NS-il5J5Bjb7Av-W04Z%k z>w)_EgAWi;}fsF1cI7LpcQnFl#G0~@R_w201d@+AhZ-f z#Fb!o$2uaF4m-#)PcSr=Abm`BQBTDpao{!*Kj1kL>c`ykM~6=VL{p6I1d)+z?Mk@e z%S(L|se?H9!=sdlOGk=}`af}}9$mcd+7xm~|EUHxAjLD!4%`t>jUb4-_AH{NgqG!X zi%#dLgIClwv;ptQe>QW{I?A>AKCQZK5bhOLWz&3#YswE+NA&Mq52dw$qvc>x@b6{b zOJgS>0WxyPU;X~!=Q%X)Bqcto5f*4Jbi`I+LE|-D1%)6&D~nVB?3;m(iHSK?Oq78T zHE|AwD2{-Ug!yNyd~_BD)~+j!8_*S>@MfCN_u#hS9njS&1iv#V{H_1JtrJ?;eg|=Q zI!HZ8f6&b$ia}_?f?i}2m&=}cJd_w3Qx3@#vhU*LeY-Q62ag;K5W+vo5LSPfPvE%O z5{<#z(YuL~wxGb7GZ{7yy!R0~VUVzM6(EEF5Yvc5&UHHPoD8y~NFO*hIpn()=L7U@ z&ZhWI3-yXCXfX(B=?~q|mi)(lO%o6y^mzmixvm@S91er)gm%M|{g+C7gzAfAV;PKc z%1=^cW_^WkBGCcJDMA7u9xs4zWvLwP9CC0OLLx^5q+AF2Kw~u$mp2oE7B)9>_S!Sq zP$Jn8zTgk z6fBqbL0<>J?kT7rV_0BxvF^)10cXM=g+MyF{Ws%US*$1Ic@Usiq4QdEyU^%JLX%@ea-uo8gD`;Jj82q4?O$)WD_e$h8Q@7`%UqB%Xt)Eyrv#CWSPVjW+Y8~B#YT?V| z1!Ng*V-RK3ne#^R1Am(0^V}fo;KdgXTF#d^LW)m(4!xU5-ou>~1F`0kUmD3n5GrFGM ztfx}^cnJHU(*m$E438g&2w3<3F6!cjNqh94hzPb`J!Z_JCc3u!nP~97adaE`2DX<8 zV2$tsYOjP7%ZN2>6@6n=C(wor53#jFf7cfAGWll#FJT+sIU@G8z+KVL9bDOmFS)2d zcQ!7q$&qd%Azv7XO~ST)&`CL}cDNQnY(AiGt<7=+c$5UXv==iChy+XtoFySmn@~We z)Cpyf+NA(sU0}rp-QsnQ2rfdGg*3)3jlJn?By6dN+)ukg*5P(07WfoL5QZF zx;}YLo6G`Co7& zERjR!8zuchl)a!$0Sk#i{78m1Z0{ODjo?wn%^+|=*Uu!;=fRW`@+f8c#;|>uW_&9E z@;@}3XF0S7m);B9+ay4RlS6aSBIi_((PS~Y$HX%J5^$OLpfFyA zq6hF2Q$Djw=Xow8;Dqf|VT#~syQ4?~!i>IWO7Uf~lWt*tUz~bju?}MD(i=atK}Y3^ zp3yJaXVmh-2*JX8h3bMNy&wprh$!7hL#oPAjg0+MnP}~GOC?ssJ#4@)q>-6a40Xk@ zKzSm15rqkJcLC?%FHKa*rvBiV$_MNHyV-pO_-1IeAVnUo%e84}c|wq4Ge^=JITHo2 z0_t#&V8lYXrB7-P6s1EqKP5<^NNvDS;i6#R%G5a~7T)9dKV^#`^*mIgK@(Hwk{5pG z2pLjHI#G5LOghu+5`%g%-Go_7$^JtH8q}fX`t45PT-X^JByRwzqCxsYFKn{a4mdsA zfM~4r_U%p_Jmsv5 zC4r&3EjzGrE)<38!ul1ipvFeyjn*Pyx)c zv=~k$^ADmq{%pclT&>J^ppb!2|7>QCw{}Zw;`KB#*8ZGXUd3{tmL(qg4j5D-@Qki` zJsiJY737S9Ae@Zwa3O5w0t3*ypk?oTqX%jYyTbcCzIeyo<}S$7}!c^o*3txEOWe&}m z1p>kjy8n+4SN?vv!tELO(z)P~JKsGBE5nq#bNW-L*(b=T+G!-R9VghM>#Fcilfkf5qlAc+UI?JUssnajL13SauSr0>Imw74uPhuGqG?pY37{x z;_#Dxl8=!)Uzm2(qu{i>S-b`LZeG3telGrU7NZk}i*Q1UJd@Q34DepgCD$b=)4@~= z39@TEv4$mAwqZ!6%Zx8Z{|=NZ=_Q!37QRAAQ|jmP`3)_zO|(e*8WO0SA@xGIXHIAA zUHK*`;0q~nJ@AeEM9A#A0hr9ig~*FZ%5KQ7PTPIC;=PIK4C(tj=BG15dX9cun3&Jf z8oP+rumJzN{C}*p-jOVQpW(CMKw{hgd5=_p8dtgR%M|#9!l&$kSG_kdS|NQ%Sq8Y> zq4T$@(JuRM!}%E*X&V>jp$1(rj$rjg-q{=(a>PxSXAOHx;gHcbrWeSeW0tzW7)UL5 z=$$_|{C4Pay}v2IG|v?|mMb*5N#DTYA2_Etd3%m`t=v^4%lkhrK=jdy?D+29d7~|i zL1-V8ZIt9?u-*`w*5h)8!oL5t#~OXhhxh#Fm&O|4mv*LG3c6tFSaO*0UaIUMq%jxD z{6mf6hL9l@LNP3ns0{V#3>0Vgp^5r%;BdM?a2m4af2(y9@b8SS_arMe%YHpIOh}_I zoYF!}3Xd3O{C-5qQ4V#kdwAbjnH#0~YuJxv906g!oxj_}&hb97S2!6EHrXWnClw-% z5dwe6_i*%sljqPq*&BAhEY~+~So~R@7YG7A$10^#q+89nAP;92vps5K{Y(CrO5drT_ zBboffk#&(*g~ljL7oc2QSPX?1W}ag++>Qww{y(2AL6!^F>~p-QWVA8)p9x_k@m1i_ z$2s|tqFI9z>rs5E*4Ld_e^N@SC!<_JUib@%`ppp_2Kc6-?s9-vQ-m(%yq{7)Sbe4Y zJ-aomcBzp?4eJcA3YAewkt8@x00%p{vHVA095>KX*{c2iz8Z?Zz#Xg%ix;igb+f;h zfd6DC=Wu~DD@nTRb1Tr#Jd5RAhE6dxLVncw=yM6t1rv7nZULDw7(#qi7ZlD`f;F(~ zVv`)chE-2oGdi<|9V_w4Q{>6BScf3FittoV5p{#6uA?@%&dL=)*=z)h;>WKh56^H| zxDx0bYu2oIx0n~@ddZ3^Yz8LT8V6TbAXb_ZL?Hg2IL3FTU{{U)yQTBs~ zJ(S+=c4&_G2w{Gne2(|B%NU;GXjD7~Z^8}$Sx0USz}-uUe>NhZhJ58w3?=sHG#`E^ z4AzhD-_6YU(Y>gC)<+!aj-#2+R3kU$eS~ea8E>KGecLY`ke6HW?dmn3dlx z+O@W*55XJugB7I%koGn+N+{mJc)>tjFou=p*%G$^b1c#B6B@3p` zdPvh#0LR|y6)SR=3`R^J!Lb9~y8#^<*ytz9CSaL2*>j7*0Q!shqaifr(9CVK5jL0# z;Us_qhpi1^#9r6J2<*5o+*+SM$Hm75e~htWfa_0UVY@>=x%=8*4QBA}(4~jhif_$y zFueytQ^$)-#TI9K1W3;TAWtUzcOFqUfcNLqDNDE;hsht)C8hNQ}7)6V-QMBl1XwV#p_Q_>#-A z5%J1kCPB=Ezw4d|?Ssgv2_}7rw~C|pfw2_4$Ny7UC`|e$zz3j+VY#ANt$5l>ZY`t&9z%zi~m z@VScDh)H;}pP|_NkMS1PB$r*vMq{E0QO5E^%8YbHkTeFnRZM-99&y#O2wemEBOfeC zF&y_@#)DsC+k;QMeD~g&B3Kg7uf%fnf%yyKlIsDRpYL>puf35T4R!ieXfryLn>&B9 z{U7hG`-9RYlidV9^@#mnND}nHK3K6i-J90#OXOyRZ)hL1uiisf=PB@vn$|k~g4aP_NZwd@=(O7Wp(EM z)uF7D+n!vAsX9h`z3-)2fAEmO%s)XS24aZs&tvc!sdcd|E-H#VA1x|i5(&@wB?(-N znSJ8o8`KD3H}P`>6i1UI%16w&2UIjT7{$ zOhmlQ`GD}<0}erK6&C$=Fq0+Hi~WXSLnv~|iH}iiX7iS@PF~rJ*hd!#y+A@9N1@bP zDlu1vyF!rfywohwAJKvZS63(fUA5^U`3`POz^PFON zQAUo2Aosjf17f99CN)vwATC2tQsP!B0~<)C`A$tQ;dSpT?-=3A_y{T^L7m5<(9*acuejAt zQ#bHD8uLG{U0$E_@Qkn`$kbs)NJKp~Uxf0+mR8V-VVIzHs6Ys%3u;l~FTfYF=+b~g zQwaJPCd$m$cj1nH&JKSyW_cGXgJgGm32ATpqF=WC!2+Y>+2s-)1QRm<)-1`UF#$qJ z$MKU@$t)OR=(AbgQxYLshAE36Gm0>Y?_Xn`mSB)KH0wFj~owmrFn zVb*m5l@2Kz#c&ya!__z^71GlcthO*^lxD+<0bv!jb=_v3oLM2nZ>=q*ivSAMN!*V6 zj6%h-O6w16CRE)A7CNL7Raw`0Gvm8I@MO)Ya$;GP#&$vLjoedjZ2n&}uf(I2EGiZd z%0be2EOk*j?AdNCo(2b_@p2RozoP^W6UXb^Fj~* zubWrSVfDA%5a^EmJBb!u;uV|C_pUD}AlEvA1cMlf91!%zy%v{5jTVEZrqBa#aHV6r zWq)5CndlFNhI8WG`cEP^_M4Y@IIGC>9z1D&F$3uYbV!+@*S|EKLm!v|{#n32qRYkK zCn=SKtgipIoRcLYdbt6Nj zE~D}s37nIcx919F1HwLjUAcN1>L}Bdjz-LezZwGekJJThS0Y-@VrE}yNEKVH}^ohXdFj})d3c2BXr=mJ$ zT`~X)=y@doKpX@fGI%ZBplm$-|MSB8{bSW6e#DfeeFdRsSRrh9WF(4*oK&^_gAhAE z7R#e{s!2~ZLF;(-WvLq%s)e${xYRlr1tQckQQg>7GPINiT?4nxrk`tiVuRRPu%9cQd^&NIu;HAsHY^aQ!6|a(!7&smAsH~~7s!8K z;Tv~33U&9v_E-im-r)BS>5H%}dVe*wuO9t&b*tz8NFbQg7p=X&ybT7@kQ8A`(HIS9mRQ@*L zufa4@E4r((oR9P6J30=Z#t!^|%Wiy>eYf|wVc!%QjLwiM6GODk+rP*+*56n}Y?`|AZL9dY(DCE$caLX)(~0f)V#EIK z(l2&eO&|*v0)xkaja1BUz0bJwl`H7v+2uU*9*rTGNYXaHkBJ>PnrE1g; zc-G$Jsxi=2?h_0A0sgHEpda%L`$%y|zC@HDL9$7gkh}+}%qi`!NHg;HGB*!+sX7CD zCPx8CdEv`Ayqkr(OykB8MsfwR=yF9ya}sjwk3rDCHBqC;=b!BF*dJx+YC1ew8nEqc zEn%>?)!!g@mR;;y{mk?m$g-^ommOcwh`Wi{1)~95n{HP=__FnGYAmhLeE8)47CdMy z?wj9w!RxgVM9IaVAGbY&P6on=T<|6!w!;vaNBug^G(eK{j?#^E{j$eAO}3tUPk|D`wtIi1fJHiogVc}(khDhIFZ2#U8ik<98TZ6ZfZ@G zh_|21U}@{ChP_*`jXw5^*O8m!`>VZTzP}P5=sA81&Ak(PgX%!XjBg?2$hpQ$ygFcAKoo2@Qsh6@c(|uNz>k;W z2@;#W`AH5<6cmO`FHvI4szG0e|Y{j5mvxzU|Jog`Z;EKLUF?(dYjAl?ByFbB3^<1Llj?V3^-X}u<#b+3&g#1mST!5Cw$o~E` z=YBDuDYERLkKdO|aQ^~}*AtgtcZ)Ubc=()QFl(8CK@tDEPwKWg3Qvx3%A;OmkHMC2ml{Cu^QzS9<56d;i+Ee?Rp8`jsn93%*e1l#RK-pKFrjHP)5d^6*&}2F}7Sd&UAr@4p8LJs83T=BXPU2P5gVw54qA@;;r-b#|Vfoy`*=JG2M;G1r_?O+tmz}`>-!MYO3CY4r*IZdR zq;;XyQAlf(B|SXXK$yOuDIh_y&GrG^+uyE=GX5UCuEByIf z5M?GU4$GwxbNXqNeM;mtIeuax`QHiZvxTL}K49i1$78SZVBVqKsIb}Izg@)1Nn$Pl zSZ<>&VxmT-->n_6lARQE@yFM}$W=l6Dg9PZ+9Fg#$IvN}M6pI*^it4O$dY=4UrzK# z@KVvZ$I3UJj>Gso-_GTyfZ6r+8BO=;ib9vH2o%5yaGw#xF0;$ON5i zYPCL=tPR%D zLDX6=INCaf^fOFsBRRQ`E%@@@K7kW|C|>eVn}x(Gh5x*jH3m*Bxn28vmB-L@N{6YS zsqJ_(TFCbiSB>X7l+mY_LybqD*WB!tneS>9zqa!c!GIC7OPNTv+FwsZc_r4Ckq-*<~ZUC}S^Q z=BcIChm5Gchef@FBFd66Uzujew4#VICX!7vIpjWJw3;t26iQLr<+OrC#e8mhuzL-# z!C}M~b&=ZQX0(Z%)!L@6t}sJE7+T^i|AJ72OHsR%*#nb9g8Cbi4}!=4H=bt_T6|V- z)7z;I=y<*P-OE(qtZ7f*)%JcltVFnk)fw~Bk^f9aT3>NR&*#Iiq*T@>^f!X(T8mZ1 ztFVa;Z#v}*>RSq>h6TN2a({uz(kszV+|>Nv!$!g;P4(#R(m##CWO9EX+r0Qz(h8#% z#?$Fy!;neG>h|+xRgFop+mM)kn?#zy{l5lTKghyPlZ)$ESd6UHmLI+1nJ77&Rcv-Y zO=`-7GVVGY3|6h|I_2QLlr)zK^2Fkde~aZ+^Ltux$(iz zgU7KdEn$CY12bXOhX{6>28#FX$QUYDr83W8VVYrf0{U7 z98;tla6`>GZ*Wn(2|It2tYJmc%IX3Y2Nh@Y7f<6>oZnQ0RrZqx`|Wn@p--pz)`yjXK1p?r>|to1jzD_T_1ieB$;<5* zUVUZ{TQMX8U~b$zh&EZ_PS>07xKVQ}_{V3N(%;MeugS-Pb=nJ2cMnzP{yr_dYG7d6 zl`lR>GRyo}m7L~VCq4enXgfM+Rq#6>*UcEp_o}rEZu|o*@uVk(Jd#RGL-?ijb&4e; zrXDClE%KzD06oC zGP&SmhMr!!t04sDcxrQ+k)@VGJ=!VO#3maz~ z+sIjdY%jZbNKJqQANjhUOO=#|(VWjeip58|TXo@h?qHl>~%ZMy-}Wh2bfAccwM&_vxkv(D|qw97OMs4#71&Iw&rleb#S zI$pPeok=l~Psp z`C29>#qfx0Pc%zb@{b&z(Oq*$wi#z0zag|LX)$%)vC2x+dc|Mh4HJ|6s|$}pn>%Qm zQ!;j2uQ~n6$&~Pk;-w08y4XK|h7h)*{FI#I^OtfT>0q`YRIHv}u!w(@U=gK!zMs13 zW8xF-;`~>irPqCcPWf1dNj^RPf=o5DQ~si&0^xb@KMDQz+^NC8qDnsW zp5(`AebhOeZ5EB^si{0%bs3jf&%W~O8OLGHkyyd|DK;tls+kMu0__aBl&xF8s*W(b zpgn*2lrJ7FR}}tn`yJV$&AJK>OY0ZSJ7r1W^n)FQhlo_}`XND~)*1oMg+q)N(F1%c z3BL1oiP!!JRKE;|qWyhEAh(}boDg4)v~d+a`+KqWP!eP>$K-w8j=#@)>u~8FdH9wY z3%cE+(N6kyzS>dJ*Jp9qE8CkR9`0eEzMgMznTl8|=}CFOP3NZe9fPUmj`t| za^3Ri((V44Fy4kjxRT4g9)|JH@9LQ;%#)W%w})G>bJn>cCsnzienpPVxM%W2Z9rIs z>j@^|b(-~Td((4BNZZc~#YMc@^}k+?Z?^yWbX>+=ZOde?qYF;9$$na1UY?voCF@g=;H2zG=EH77FL_KxD&tQD>>F94?Io#E*Z_NG5!D4QNF%;lN z&(r(!=k&R~KZ?S!y_4<0oqJ9nfCk8-y!8dsv%tJ(iB}jqUT)SHUVn$2FlK#h~sU2V-}){M3VTW`u&^(TVYms3Iy!={T>|DbMcnL2bs6qi?@CR9^u= zMNMHoQ8NaAkL2aCc#3-{6xU8FKRQpB7s1;RN&L8vQ1_gX14J)iZ0(GYM&jsjEe|KDRs@k^Y|@z{@5pE^27-V8bQNxY1PVOE&t%gaVGrZ z=0LG4t-kWtH!cX@e1q&<=FO=H49oGm0#UpkBsx`cXyK^{537`DsHSYeo7}x=3FZ_7 z8dJy#AOrvf{7J)}E-f%b4$5jidMSA0fo zp{JY`_daGbNJ#B3{(2D@jCsFf_hHPw)i*~8udQdEaY>xJ>AQZC+Rd-tT4h& zCP`EEGrOxz7RUO1NO5n`vtr@`osk3IUv@x2P_a|4m34_fPKdE|@bjo~$X6rn#1DydwYuhXoR>>4bvsgf{ zx)K^`hx5?fTyYu+B{vrG@jL_)-dk_*JlWwQDrE)J$aMe+6@nK=B^*KlkdP_%;VXhV zDRK%T=nEGY>i&+E0Y6eL>%F7(V|a>hlb zz@vng;rh*4cAQ?3xxyRBO@&{+(k$5m+JzaQ?u#$0#!5jH^)2UOKCk-0y8kHmQ*^k@ zK0d>L^}Xc$gPMC{5CA+AHNSgJMc51}j5r|?h=>8%NiP_ESpqLdy2prUl+Rc&(sJx2 zpaaFg8pF7A>(T^+hTzR$H}DegTnK?r!#A+e=XnK}Rl?1lC8^7VvcbyDJx$8LlJU~0 z@Yi_5_)X%~TXXzAj0I72B&2bMKzlEklznlvp<8YNg@kyHKBN4@Q?ZRee_jBVVI?4&ykqRd zB`FnUTq5>zNxcaU>-5`hRMuVXYj^9fHi)vraLiWESFA%cu{IxZ{dsw{LD4(&h3W%t zAZ1a!nMpN!4%LBn@B#r)qJz|*|O+(1_k7QLQ|Dpx*lR;X_VYLA!Da(Ae9?!8qb z7KK9r8e0h%`XFc;dHcPkUoXQ?P{o%l>A+;ao;6jegVVdb6X1JG2A7yAuu@M_Xrf-yMCPiOh z*sH^Wglxu98T%4NQGT^pBpT8LLK5zj+! zx0;_gg(>RNTW$chpSaw3DBDcKb=c_j#kyBSJlj_;)>gcCt zzrIXIkoT`|d|X|JUxc5dfZy3$E@8pH(n6cA|pE zxyXGb?FY=B&;rL@B_ws?puRH|Q5!|%YGbPcNr)Mo5?hYdvG}>YHfIfM*xTHLiCb3) z{_>7W3?7hRXAroh{hgW;M_7*_l>M5s^B)cbY)A@IsW7BUTpO419-Q`mx8=`*`2-6$6^7 z6e||D+$(n8IR)RWQ_#;xV7y$2l6%xALM>Mg=7({*nkB#y3R$j#;Z=EO1Z<2jC+3B^0EEMy9D#zJ}# zug4v?{FcV?4!*fxQujz)o@5EttgkTzHLDFY394dYGci8XQhDXkXXG@bVQ2vOWn5q~ z6Cu2@eq?nL4n}fUOYCBCYk^JMQ;L}AocYcOem46x?^6?;UJkshJNs3?#fq<$E@tUq z*6gmQ)7=X`?^cjnl|%bbn34Cacf6HxE4H=dAwC=b`sa%Wka@S`%KS(4F2v=zm#~tw zxg#{XVju-xg2ZSwIA=bOBp9~%VX!)a{=WAw{ADI?IwqJH($>e3Nm=;(8`1m#@D&x^gK&gm1A`4z{MovK61wFCP9%~8jkxIylJrXW=T=d zgczoL8QJ;Y-GfOwk#}iF6@n9I`bIl;EP}{&8!WP&fpYQ(4i_FkayNxrYwfhFlzFyL zA|P4YfWz|)JJ|P&p-p*)w9e>gQg{#~o6*s{rSG(T)R7?Yr*icHZ!LWRX$y`+nL}5K zJi+k=tkl6A&fjOiOm(Vfr?{81OXE)w4|)pHPo99o(FY}38*=ehWekeod)$aDf|4=~ zd;+wrbRv{ti|rvOeK-CEHNuJY8|}@I|Bm!Dz~;gV5wqijSe(YtaOR#-82lOsZn6SK z5-fOzA=`06_Qk~M=np2qk=0r-s|IaLl|vM+qH4q=denQ^#Rz<(Tc)z&4#T}SC1G7;0b3v)NEQDm!qI>+Ro0W9O5U#;LghY?qpkq+XDA< z?UK18pH^c}k6i<8OQe%epE!5INt9a)Fo+k7IPbM#`Og2KqjOjL_*R3dg(aBphMJ+5 z+P4y!wdOZ|LD_U;64%(g4~0-6Fv9ULm#6R@Y3Lx*&zL1|4Sk3DeS+ zIN9$kKfz+)3Y-%C6&7Rr+F5EQR6P$@+;X3k<9)hiGDrhCz4Hp0O6UqEKe~r6LYHz8 z4lk0NGC+KCpqp18u;e!`;wuvj+@MQ9MeZ%dpYhsXz27i!SiIH(&bahkd5*ML*ME%; z0~apAh`mMwg9+|JwzjwjzvxisRWj3XiIgAD_h3sH3M^HjH9ZQ4=E(ZN$#E{wclU-j zHv{N@@yz&UT<7EvC~Rw;^;MqUDX0xLU;rPY}F?v@-&|%6P$Z{c7BXXmyVw5k!XQdOkLTI^E_>A>Q(uoLH z*piKrDqCCmD>U*?R6K#UnK!tmBDwBMNX5Ux@uBzW=UW(bJky!zYA&1U>svvi99hiU z6QQ)1Um3{Upu61-pa2_?EEfmM-a&Th0xAKCROZ*rmLtG%b%OIbqgDGT4f^UHZoQ$) zyNLv%`0B?(=gcS>juO>i7d^gYR%lJ5NX^$gfyQryD24 z>U=x13tk=_*X<1$r(k=;1l#Z~cV6A=8T0=Q!&rJZ_?9?Y_#8>xgs*4H8aBb?B4 xOqh5@H&EgBWr2rb0$^E{FjF=Z0pMA`{~5V|zU5eNTxZSz1fH&bF6*2UngD%?6r}(F literal 0 HcmV?d00001 diff --git a/docs/images/HMAC_SHA_384_192.png b/docs/images/HMAC_SHA_384_192.png new file mode 100644 index 0000000000000000000000000000000000000000..2838be494b8c24ca711204b8fd9a34eab436177e GIT binary patch literal 49359 zcmeFZcT|(zwmu4cAP6d`AfH&kf*^>}5{OEN0D(YA0)eO?H6$SkEmUdthFAaERl%*O|kD0Wg}y zaiP1>U0s&nP*qk{Q&Le;Qd1_ww`zJS>hMDqvsYb3gStH4k><+&Gd*grGE5+kcXWmo zI6m&OYWnbRQ&`Ode#2w5>f@wamez!_MX;ZRr` zGcN;G6`T_hW^uFSatw@BJh@yl(?Wybhcd9$_g6MD(YG~pRCBY^b9cnC;LNdHOCphk z_4FlBvFaRGO*2(%JAX|atnF`P>V;SH!Kpb@iRL6dCe8~PPA~{i4rHkso1j=kRbw2^ zgW$_D)hDpnK`dp0hrg9!fWL;BGHe`nqEFIv#rYaj^cZgbc=#tqUEPgrYNYO?VNG_& zn_H1dsJY)gWl8^H*M1nOIGRq!|qoUbo~!Xzqt8euS27A!-ms;h|`!%*4SCD7g9 zipfB>!=|~>Y_NeCCeh6e$G|fU1Gy+wJ!OASL*%UJTvs)9ygGcsSvmSEYZ|+$Qw;*q z96bw^X@EPPY(fhR^wcyAgaIJmSmPl0z_KCIjs5V}W*CYY-P4%k;p`IN})A+PHJ3#WmQ9tm9w+8C(J;l1iJYXY@CoOeTizewrD>` zf3Cj22FF$t9&yMXY%A*keMe=oL0|xk4=_M^X<{%qj=mmBU0GF=V@dM}BC_0+sVrMl zWm{D*4T6n6*(gY#!S>U$A_kBNCdjd)fxlQ+cUO!N+XM{?qL1cUQ3p{?K>b-w^jZyYATil~ftWg4lw+G?tqS{efBSTrC6*3a6> zF(8lvBmK-YF!0MlRnv>hP*YZ=pw!%4(PTAmGZ!lr_yPvI`J*YO$~Zd=8G}OUIR;s) zvc2roQ1B(y*2ESj!D6iKEKRx23|~zPG{=wYVu8%8=8RF}8ak?aBU+}4H?y{7BkLRb zX!?1SuBVXkiGN%f;zdZ>{7sG3}kDk6MjEE$DGtK#h}449hEfkCFK23R$H zyeG!r-PD$=VM6e+Hbl`enluy@&p~q;c0Nw}D(V2r-w$$^~v-C&g z5{n9Q@@DuOIog_9>FZMru%>2SMii2bk)xHNb)X5yN|UNbP{re&?JTW`bexMJhh=5z zX+*asQE(PmRic-nI+ICrrdj!;^$hi_Y5Ib~xw3-r);^YWQUKPJNuiV6%{*0Y=)NQa1fy&nX&&y@Bx6-Nk&H9* zbj4y!El4P1jtSig?SsY#0Jvja=z%OB4nx_-*OC-Ka>S6#h%VMXcyC8M-PekwOf<0w z3N)~y1>!6?)^v9-eH_-4reWh_Msqd8b1dj6eIsKdAQFpnqWXIptAH9<7^zx-70~DC zb2PD3eUu8v+*aAd*$VBY##Oc>Yp_sM3YX0apax(60%qPP~01UDmwEya&*W9I0K_hZ;`X#Oy{3YI_vDbP1jH`g>`qFDZDeQzH_ zHDh%ng0H7Og=GTYQ9LwFkuioSP*r`pM}Q4c!_1QG$;BEOyL%!cYC`Z+R%R*_3_U2m z?v8%mC{wb5qdJ{IM_xCe5Ce@+C{1SzjExbR=!o?)U@2>OS?HU2xEoo!yAnxsoHdF~ zV;OJ|!T0enb|t!d;a#Yj%pmt5LsTFQqe>wATluP3C|etHnI>*1tg*Gfs-Y<@NZr+g z<>X;x?HOR<20Fnck#V*`8eFcU1;z>Q?#eZ#5nZh4EGmj+ZR=`84r03dx(9d!DVt+` zf`a^9@j2@9rGS(5xRAZveEhrd6V->uyiL$YiiL09t-pQ7TWd&L?gRD`;8b+#4>MVB?WmhNl z0EV4L5QoTiw6Gxg8hRM0x&v5Yi3A*4)!RyiW9&{g!gy+`Sh%wS&}=_LV>L%QgK9#; zaa|Y|bXyCWyBEdVnq}!krUn82VXYW+f+>q^WA2QcJv=ZnH^Wnc==vts2mm2Sj8QSf z0<-{BdNC|$s&;ryTNMi*Cv(KG_+!a7-d^ThjJt}NwV#!;vJ*DgrOw9~^E%h92eOx^;HcT5ED>GjkD+|0YKETyjh2yMg>mFo9_vGNc$p%5L z2=ME9_<18X(VwL35n$o!N!R4~yJPfRO;k0|s@A4XC=Uw^ma6FB z&KiE+CPWh&CP>59&eYtO$W;Rq$>37yG_E&G8OT7aiXBGJ#+VH2`0G*eI3Jvsqn`x< zp8GJ(XzI>>G!v9BgKG&ck{b>~CYq_@v3Qz+kBSG$+r-%w;{qdb7G8t^FrfO*E-Z6B zTem<4#*F2wK_Z~sIhtro0^QEZ!b#P|iw%pY8-n8qzyIMoE;*9$?>~;Znvuw&|7IQ@ z86HzZJ#v8kNYBdO6w<7=`Ea9g5t(fFEmiib}^Q8zr_ zDgM&&fB!BIuZ3lOghSYT3pP^9wO)Jf{^)}zYl`4Q`^4*g)h6_>Z*G1H)!`125A=oq z<#9o&$kvIaJv*>t6WZg()L2h>D@*&==k=Q~-uLEz|ETw?-JRB4_I6+OZzs7s$MWXC zM}-wsPIta0Va`kB)eJNwkH%2!A9AYaTkEuD4j-bY#BBKVx4Qdf?!Y_8E5okuD*~q* z&GvO{;#4>uVim@4hnio-9%5s1VlG?m8oH#5t#=Kd%0Do!){;P8!OJhE%M+0# zB-Xb_*x5@o>CCOs;@+zLH4{L5SL-1Ti&OQ>jf)l4{+W0r;KQluw(5nJSSn-pfyLiH zjvU24ijSi&{Qfl-Fk8%b3w3Rxd?fF6_xCkAzdh$(=qy+9YnuoRKav5Y=kl8Z@x&z~{>b-MfU@ z3W=o+*iCl1_LU>4@$9_Zs@dApqSEY0DHQdy@?pg(_nm%5JQ376huL6@Rs0`C-u1pH zb}~bD#mle1{@eB%jQ`kSW@iE2ecJ4P?(taRqLHF5HalLePV-4l`PhDqGLLvxkyk`* zVVix|{O^(cdcQ9Dzmu(QJB0p95z+sWS`{+a*+Ow(ZDN-=X7kp$cS%qVH%#}2Ey}LY zEbMu6Q;pRhLn(5NwR=8lV{P5fXJl|@P0IW_A@QI($ufuIN!Hz|LG2A9;jH4G*4x2j zr9(dXZbsURzkRwq^52@DF6Ffl7(tjkH&#A=-!9*cJ(*VbJ#>Dyv?h#ojwxH&bkav# zYgpYoNlVf?wc7I2eMQdJQ@4Md8l$}Lj79s;&Na@)&J85|=x6gz7{jI&91`#IT5w(3 z?1Qs=Lgz+Pt3q)|!fsXJGLM+S2PJY-}Id2ags832HKn>YqtEj9^0*-kF}4OGI~iz1T8Z z^68R~-jL>_kerxKR@m?F#$D|4(UA-Lsyx3=4`t=r51$s1+*?pN^S&!+a^OSGwQe5T zaBDW}>n;DH>c#o-mbtB7PuEU2lPo(%I`SnqJwsL2J7GNhG7GiW>i*X!lUV8sScN*4ydPt}d;aZfBj}-%i9&XRT$&#bu#{ zCH^MfRA2oQ)TQv6#r{{LIpMeXOkjVvwZ1=HKmBq?lXejZD3RiaiHX;kDP#HAN5Oc-#ilz8E=S6Iy>{F{X&u>d%clvHonAdZ9lwcH@o2>+ zvY;kvd#QDn&4tL;iqBWgMvjZ@_1q^QuA6B4a(;AKdN2Mcf4byxN72`@G=5bW#wz89%9#_$f-~y#OY7dSs(wO$M4sAW82b zJ|GA729Hp3*Z5_+H}haq3=r&V^p$1D~nq1S@+(=Q`lDKE*n`n-HEIs5!)`?2l!? z6A_nH8cmest=Czs2$>yByTGq$eDUuOSbgS7p<6RnjnUjAmQh;MH-bwEYP)M*6|T#T z9Ll#&V5Vrlp!GJc1oqrinh#OU#dYkj1NOuOWSfRb*Jlum&--MP89nkEh!xQd;>%c} zIfeT6{nLwbD`shi*Qe)W!#(#F@6$8xHMmJBW$>i74m7?XElgamF4<>bJP4SXyAUR@ zUZSR{7la}9-P--35;a-&T^~+qu|h(;3e_)2KP6z0-8DtKb@IfMcE?F z^yY-B$prwwvZMF0d#*0*Aar4Jx%k3MpG&+xU1Z8G-b`W|CZ9w-b7*^!_j>Q}!ljoM zdKMwkW)YT=;jL#sa$D_QM9SA~d6Y5yx!pOcuzalSwZL%ly|uM+w*!&}o$Zg5`yIKj zT(+jfW}?_irRb%9w)hUwOIF8t7f$zUq*T{)qE9JJ%&-1Lebrk#IrA$r?}1SjQ&xm~ zZ_Sdhq)33UygD@WSjq>ml#TmQHk`v;oNcS_*2^jVB2_+G)YVkC|;G-5=`$j)2J)E#2v#QdgRtTPqRln@V{pK8nUf5-KDyi%^j zReaJ%K)rA*^=eMknl1ZFdgn%4nz!nVrngK5f5hU~y`$v+8AC;nnJp^AC+c z4*ve-dGVvjH;wzsq}jR0-N$+qm*n7gwsF{<-t@-evmggSTXyR#$-$riakVG5-8fPcQ_3s5Ii%G+`|Yc1 za$PgMVGdgQwoT0veQl__u3Oa0d`#&h?GM=}(^4Nea9gZf;s-m+CL7IqRQZCo5!Af0 z@A;tQN^Kfsj1B9gyV)*;p(B0`Q@(L9L|5H zX3QUZCwO1noN}4ecJgX!jI>|7Bbw1Hub~tI{zJ=w;qGR^QgwaO*YsG@JE6CTF+RO| zlTGEVqJ{}!;jy+@#tN_rIG@T5-r3QqJ2w|r@%>(XVV_BubZ_m9lE|-3&kOa##^(Z$ zoS%?@5lNdjuV30?oQ2~lO6rBhwAqGkBwlXD!)AzVH>7meeYLH z)VA!p-D+ZD-bdOI)Y;RoT7uNbJ(z7pX zT6lz0(10CN91`|b7_6T1+LP@{_35GDt=je%QhRM2$dpEJk)ATH&ug85Pt>y0;@=K8 zPWp}}^T@T~_R}Q39@}3>@7E9BtAG!?(|VIqb!=(k++!#uR^8-G%GA^c@C39A_*@b9 zN8wP-1s9`yR0ck3iqpp=$c4>Z#Ziz;0m4zrugmE z)YM_-kg#p5L|^m%le0smeAwBEQodM?ZqE~&KA=NpeT(bTs?u}RkJj)=zPyRi1YF#+$~U_GW@sSp5WuxRBKNsFZnY6eMAI-U&!-xV`KA@VE@avtAxe+ z-W*LP%Kq!BFjjx9OwC4|8OieBeyb|48?JUD5*PC?1S8A*e{I8wHW9pkt+=tUZ5tA? zkyC-;wH_L{$bSy~2s3E_gb`98F-URpdtcwJ(3ZsPN#bl8Zl5=akOH{$O6{Nf|n_`$V(5ps40?|S8a zI1PJ(c0OSRGse<*<}2@v>SrKaD&flz&>U3$h+f%sYHv;9y4j7o^C9c|;>=sO2gokH zRATdZ`K7jd|M?QX<|o|4EquFIdiunyQ}2)wBZV_ncQuD;JW;$UdFYHH%*5^@`RE9g z?3(wG#GQgIKa~KLqMRQUGcgU1&x%fbwIbpM-{EU2cZEE6op2iN6ZqM+?)Pqp2s$3U)k1aVoZMYJa<=c7jzPQQ!Bk~fkkQfDo_VDf^ z0~uLfb`J6Qu1)+-!k+l&C%4z!Ty;MCgk&Gb>{}WS&uySLs8vQB@gPhP+}QSa{0;&G z-oYuo`M%aPI$nhK@n(r zxPwo2EgaAD^Ay#6MQbSGOxfO^RvKOoQRNx$( z_2>Q7Dy{}TyW^4wfFIl@k<@RdQgJ5~9Z$NXB#@PT|L+g`UDWTwFQT`}P~|%d^%G!k*bD zyQZ7FEqQZHb-GRw5uEc95b}H;<8*+ZxUTPuf~*XTeJ?~8PJ*(pKmM!a*W_Rmt2y23f;elcg;IRPzo+GP;Fpc@YBY^i&dG;DmMO)%*Yzu3#$ zu!&U#gJ4fRCI=ddAWkdoOaKR|HO*2Qg0!g-2>%KpmGs{2ZJet{@3H(X0eulgV4+@*z{LC0sYL)sS_q6Vnjpfc zdmbgtK>|otX@6{v+f0xD9gzUW$*<#Ki*u`5(B;-2z9=AwsDNm%UHONYH(8gn2ggOM zesH8=3!Nv|ol=4=_|1`m`Nh(Y!MriOr(00(qJ0HahIXL?J$T3;JY@M7rmHw+(wC#KtOaI*C4SjK|%I7n*z#>%v zZ)!PDsDCBwz+QZCVQ<+>@C%*eJ@QPm3&}i_;64|N>im9J)Zwdq65GC;-1<{HJDlx>H; zuF!-XuAOv-9qM#O5M{(b+r0N8!Wp9knajK`&Xq=E(Svj2)zw;4&7{4AQgG8+sRsBX z)!>hfet#idymI}nl2IuXv7^mAcA?*FO~>t>Xl6T(yI;USGZDE_z;}H$aLB?AlNSuL&fUVSxhml zZ|bbh<}Y=(hP8K1b~1B2q#!kDq9nP;wlB1;kV%>0-7Xth+dO+KuCD6!-turUs6aNT||&i8+#5AUhTpns0D(GK`_l-ZVZYtyOHMx3HGXQshey5spK z&Is0tT{f6{LC75k2a`J%+L~-ET0ZkWm^IX#0ogrQ<1O6iN(j3)uKSU%(0%w9K$HR~ z`70Mz6zGe7(IvhF5Q~9d`5+cLEIm`P%YYwWc@ZG*K-f?|zhQUCSlnS|ZeqV?A9qcCHc{>k4S~xBsV= zQ>*tGzO4=^vH6dKX&<#5U8|co0mxw!y2++3@e9TJ>)Uu5qV1KxCteqQy&c@S-twSh zY(@5= zVn1o#^Q{fd`^4Wgt4~47vm-)q>p*K0`SK4)o#zWmAA6VmFc4z<_Isw+Mj$frdi3VY z+jxpJ$-%KV@awIfm-y^zQ-w-I0yK6B@?RAK0vepoJE&$q1{m4*=52B1&#sS$E)Z_N z0}2du{z`{Ho?HfMiR0+06=PFVuQ2zQHG*cj&(BWz=)F2HUeUB-)!%)5cN)fX%7zqX z9?1x37)MI=hvuw}6FvAXJig3cZBP&AaAdswq4SD@-?@Nb|AA9>!JlH@D82$fFfI7q z8_RsQh)z1`2x@q`<$d!8Uj95eFkr!~xO6O(2@2^7!SQHT_v21f6U8BV2Z# z3Bwm|pB{s$Qg{nFN z-+e6v1M2`>UuV<@752Bt`SX_ue%*|?zj?c0yG$kO$yxW>*A6WK=cmIH{%uH*S{ zj+e}5=ENE4&*%Ddc`9XiUx4QaB=&ydX^Yc}F38A;E5Wnut zMIN~{UcTEaPagB>wSNWDuSWi5WD#ap!Pz#x*=tr=S4h#;N#z zdehs$8eYMYULMz!Ckg7_Tc)r(bcZyjhW^B-py zcY9wz0SWKaPB6e|r9jYhKRPxk;gQhE03QkSPsE1v;zEOQd!DNoUanA>IGOtHy^v>i zK$EyQKd+qFM^r}WV$y}ZdEiTw&F=a6^HWqdtE*^iQE;`Ci`*^Wt8?SOeta$8m#*Rd zE?f<%{Cy+J6!N5sVK1YmaqqS-2WPr{MhluO@>^^U zDV=JS5kZ{ZQe6gb-De}`KjCKWKC#-rM4Osx!*Zr+$c^^2@JJz%+rMyD>NzjfAa@;s7kE=)mRtL4vNl=s|N*Rtf1E-%>~~ zZY2}FP~{fxQxneXH}dcW6;e#unVF2W4Fij)<3DDHbBihVfv0$AYwIy{|rlm7&{@{)KomEbu7DM1CxZr#~W|#bW&i2tVYrkUa`}s^YWnr%Byh+GX_8F3}&M z6!RKnZ|rzHstHUj-T!@HT6XD|I9HD?d02RP0z9WToTnRr03JSGk&_cOPt*&;Exj`@ zA$0a(cefrsp~@e7-66Cz=zP%J^eF2VxAmd5jomXS{eGy5&WU;dk6wT{ZO6y_P>Rh6 zfvg}u#z1ph`VKycJ+STLo1&7Isj`=OD!4T@BW9suyC5PLyw&{rBjaD|?{E&WOH){R zuXdq5`*e{6wInkd>}N~R)R60NPI=DiE&H2uvB~NPqb@;F-eUQ6$WaK5Mx3ED4lk#4 zwh5nX?ahjGIQHFWxG61a&i~W%$m{JRQg45i_s-YtvPgJod|JOkGhK~OVmlC~FLmB& zJ93KA#xnPo2>ZHu2W44cMNT&N8h0W(tCasn6>_UD`dK`Y9}j*!yTjwm7QdI}N}CX# z^+S@xZVh(=kvy^Vzn8_sMkQ^h0Fv(zlaJ7qpAXsFGu7rW-r99=;^mGX=b_5jHr?(l z+66V^3HIw1$eu7r;B0W~;8NC60V@-YP-FdOx2fLJ6P%0~F6@q(hSO7_P2j9U@zQy0 zhnX1;WH&#%sIzwASAAF~BP-j6FJuiOVa*N@?$58r@GVK!X#xGfKF2ufX-g+0TLWTD z0UgkmbgE0h6is(B%cF#*#(R5Xp(g$;!L9x_3+YY3-`d~u9pA^0{XGIoI>@V$`sGWs>#*kKTvQu@3xrqc$A<8|s7}*N^x; zgkxx=7BZkK4gn$|aZ8}+`yR}?r9)jIpnnWG)D@O4nM*Vh(sa+xSMnu5u`XWZ@EACE zg|p_j4|bGshuwf%v2SmvoPa{ZT0WrwMcweGuGG6nmv*8pw50VY={j^Ra?&z{y$HM> zb`a2lnD1Bps0thWtI!(V~GP(R)K?3Xx8WYrF9J+Dhq=%q3rj=tG#X z=lpquftRb6$uwK+-foOc$Q#CwO!&faMc>@f+LbyD`_`W0RU?aQY zJGOXNT56^=R9DA_hrnK+(gL_)cg5IHGt89?A=eVF+k@m!kucEt#ibN2gfbBH^SaPE z(Gx^Su>hka&P4oyjCzwx5Y`Eul+_J$D4T}37c5aBxP3ikexqe3Zm{gF-2)l9Y4vfa z*MJgujmOszA^a(SnHsJZEodmc>82`TfbGOhvK&km?|2tmJxt9w_0f84dOMQvmJyFA zn2jlz_@2?zJOjtpfDW2;M{u91)Cm&72$`EM1l+H4t4lBqZ%SKnZ;z17gR=#WQWRTA9EsO3h`g+?~GR^ zy?@~Nq#`gB{FS0FaZD>TR#fOwGx1KPe{%YQI@3x?Pt9l`!V(8V|-x*!1=yrNPiQVFaCy6}E>K-*(Ku%Adk3OYH?_ZBAw?ZmCGDs$$ ze&L$*lG<7EHwK9Tr;`$!KglffL@T{RVrljWUFtlJ`fF$U{`e(xw-b!kO~lnh1mO4D zWmf*alI>vnE%T0a@RHW$DBMdFZUToKc_!Z&Z(G*1xhBAX!yZy+2+81VBhZfVsKSmljz7IS^N#t`B_+=Jwz z8p~_q_5B*>C@r^J2mFh`v!!0&dxV(#_2Ndg5rA&5#lz65;MZzLOZmS!c|i@5yN*P) z${*T7t4p9mWa_O~2fcgA9{n=T{EcGEN7<-swnMa{k+4gYe_@Aib^T4TDT1=1cclbsL+lz)cpg}y+yG~hdQ}!M`#c;1@V?X5aEm2v8njVf5C~e@ID~NhFFraU*rk|T;RJ5! zDa5UsXQ$or%hXWd(d?8sn2W;fMp zt8iT19i84;a9obxn4K4pJbd)}VefPElTDWF$+M}+(D(6cjE1`=XIX^!eUU=4H5>a( z|F(^3S_04e>eBXhbkm_LiivjOtj>amia8~Z>$K-QW)$(;IPWV;hoi!Neh}11QgcY^ zt(SW`zSj2evf{Wq1F1X_jS$rDiBvRRP0&qN(0Cv4$&lAVYbRaYZS2Gq?AgQMO`!co zY&9f8paL*GkQ~+dL{w)aHN6@R1Wl{k91Im47C5Fz*FtHSq7l>c`4;@TqiRzfWpD)P6f! z_o&LUrM-J@Ig;1`agTb=W|VG(lYnO7hBR%lN$8901j!peejUl)HhmN?8R;s{74c*! zl()_{$0fYj8oYp0w0+XSQ;I}H^wQO>K&E1va&|KIcL#6q&-nU~9{c^p2 z#0_dq*@f;6k8>&}a0ehga5$W_1LNPrr9f9{{9Iqex*eJZ2ZkT#aF%T3wV2kYOIqwL zP%!3J?lpWOtUX+lrs|D-|#YOuqmwzkj`#i!Z(C$BCXVTy|EbTIx{J) z5Z{yz4kP7@QP6%Qv!rnC!=w{g>y`xrtjxXe&k58FbKm(OG z0sXoKg{{=rkffnXy1cobB)CNY+h*&$y7)8&DhoYr?c8fqP?GB672Mo+JZ}H(tx4)e z7Sij20Hu0foSs~qmA}$>#dzyr)tC1WuFc5KXg2jv#9Zm!(U-MM@TV-FM(+(kR&hExHPrGN#Cvt|$&1<>N+5~+Jaff-=$>6@{iAFDP#pMA6iY{e zv^5Ucb%5ED8G~XpyI%=G>3{xuCoE@S|1eT)1mEAmCH|%wtt`Xq^`88TB0)J19t%I5 zpzZjtaPm^=z>=0e+{vr7=?Bt`$jw7K&4tc~>a3OAw4-ks$j`Vfhv1Q592!f!n`;Cn zzvaK$72llkuH2tf>_&N;n=WW!Jhk@hA5ZORPRZ9zANvFUvZ}Z$bPx(7e$7_KErBE8 z>$iXV@MLhttdVcC`s-!y<63`F5*u++Fi?SsCEck|QzSqMNzLs|dVVOtM$*(k!;_HJ zN2FIFfw}6i-ZL?IsQoWFcEZWto7d-p!J?H4AU>tGkS&D@wiG`c&^Ug*yzpgp66nWYg{>cwA*&P|p4;&2+e7WI#pOj{CGfPfFKau>$iOoo8)ZS|k zexXB((7SO8&dkc9_m5@MaHvIEWn7RRr+>IQ5&r=!+DpLl7hvwUKThv&LW(%D#c8l1 zZn^jjh#h6bPA+faS`7BdlI9^%gwCG@M6Gd4rW1)&r#qvikPtvm=pV?X{=W;{9T5EG zgFGT8?%R^`{|PQxZ*HgEK$ ztw4d{9r)$eA3iyQ*kVMWes0qZ8#g{c5C$V#;~&us*-rz4Hezvk`L{>Fb&3v{go#u- z1Alz6gSgxS+D`qRZ=|&YpsW!G;t+yebfMMouSgRK#8NLp8_j1jG6A?4r^B&cP#Mge z20AEY}>0FR(})GU>Slrh^Z69dk-Sy zldHWL zc|tPLVda824`NTwB3QX${U+<nPc{XDUHv*tVKNIMX(65DZsYO;V`HH7o8|cgN{9TNrS4%~ZBN?&e{zSgqhecL7~T#PZ#U zJU^G}(zkrX`>-MQHgaT1bv%ii+ZP0smC8(-&BU1M-g3ER7(6M-gi9t&IlHn~T zbx?ald)W<_hkabtu`K|apno3}aF^8Sk~K@2cz9>#t^b!dH(N8U6(v6({B%)`q4b`f zcFPR{dS^Xei!BeT=H}e6fxjgZ9ljT{)CJ<%ddyq)-jlN$Da8^@mof(r0Q{! z;QjFhyZv$*W^~{nZuoTrcnOeZg@h*(urngM1@9o44=DTXlN^ETC*P1_9OOy*S_cm^ zvkSY)qf1vKeJh|=((Q#xvRcfZ(wc*ScDkGt{WI_tz{`?P{=+$D-i7$F^ihobTSb^EOqZp4z^A)oXpw!o; z^eCxn^xid#Je|c~_2|F}7Y*U>NM8$bA8Bvt=XFSHtNH6Pce|S;3ykda1*@r7Ws5&& zsux*Mu(@z34$E5umxB6P7^SRa)YEaeP^*zdoRLKCDUO01vP0egoma8`3g6OeZWED6|3$$2z^_}nyk9Hz7`%f7&`@YE zRKAKMx5`XD+}eL_UkKDI#v+WQ|8DfM(EM=nSosZYp?hcUBe_KJL~DZ8qgT+i*cyjs z4Ta`zszkFo+i%it4<64)?uKuH>s+baVs+V3aHswHTbh5rMR&bH+Fs%HrQY8bQJoW4 z>iz!F3m|M;1Sy;tOVdY|tQqJm?65h+>OY=^q)X@uiF`Tx7oZDrXzSzXiP1a7Ngm<4 zS^@!m8FEL@`K2Af@xOM=61Y0~V^-+)tWTv(^K0DH&A6hF*Gk3h=j3kN_Jj?cN}N3) zD=^b}8eNn;w&Pdq+5n0#q}?O5fEeUsGt zp?C+lxGvCpH2(k80t^c7B2Yyd{gRf}1_V=j5+0WQ*eknhfft7k?Tf_4Lut*DQ;mfb}+VqB~@!r{~EfzMHcT8bO;z zu|e|m{qflF-@S|TcSJmgUT0eI*d|-YkmVwkt;0#l+Nk~3kGhd-?eq2y$&^NSOMzp< zoesRjb$lrs`XbVrAD`NC$8R?@CVmvzIrw$T+iJelew_jxKLTBSW!zHK5K&F7vyk}} zs~!qjqt+5H=i%OumGmZB$Bi?)7Z$=$+iq-&-SQh2G^h8%H6Tun12GFHSeLK5AAE`c?{+!fuln z1H7_vLAOL6LcXPx=Puu^Qq!hK(3|cvlRUty+w^EsEb(ai*7F~CMdrLqKUcCtlY1Di z*z}SZsT;|6*@{3E-4}G$DhQ&{ZZFVwGs%ROf*?Gl>B)uEPe!DXWI@>wcavn(BvJLx z+5?76cZHf?NM7yE!laz!su)LhV|186_|&#E*-^`IwXu#zep7IpB~3dhrR%!i?psR6 z3sJgPL+wLKX2YiJN=@hQVf7V^_WcweX?kr?8GR9}|3$5Bat3Lvi9G+it9QYs@)usC z=X2_#uNG@_hi!-QQNpCA{&;93Mvp?jUHr4Vvb5%6#lN$(k#+$;Qge&LuxvK=5`%vb z%9GvIF-a&*>oxeowhNY{`!2}$=iJQ*PA!`asqD^XE*`V^pSW4@<%J-Uc`a_ehxKL5 zUO#>eCu}qH>0sISw!dnwUQNxU2OS!JU)f`DvFdbAl;kt~GhxpmJ-A(ZF12O1NrrCv zR`-Ivu~?b{+=SgUYb;8qCadA3R<0A!Ois*B9Z>w48NI2mSzI$4m03e)IfT_0>%esh znqXIpG2Ba-9f)B&bf)+gZT_Xh=QS6|%DR3^>h0h7{+zdjjGJZadH)|Ta&VSlF8}kCPSHs{?=LV-Cs;NLj;Mr)glN zmb!b8Uv$Cyd)gcBMTxrmI;&SIu3!Jlj>fNTBl~GpAWj*+m=sPTHKS$? zc#q&qFH}9a4O}`Ctu9PT#;Xq*?iTiB%v<4`nwkSxX>?DkM(Q2m2}a1nvgzxJDA_Ghy~0Zq~U12V;v7mugMRo<-`gDT>1YyBnr_i&h!iD1UF=42ZG z5sTqG;Gy* zcJ99s$Cy0cnhw3;B@(8ro_L z!zQn6jqJX7Aae&_)bXfN((4~6th&(=QDxzxy|xc(v^)m&%p+b7BY99aFEM2+o9rK=Y$7f5F353~Q8Cf@QbJ(=)B!Es!IJ8^p7Cyj zn9V4Z#W0(po>jHyfNO2^{+a7^apgJ+B{WKR`7Rr!bKd=WL?DH4J zd=`^j)lzx3wXyYeLpA(G4J1cZzI&G1OVVox!~AG!*lcJ9wc}pSJU1$7%FI+KS|5y) zc;?a-=|7cg`UBJ~;#k2S^H7V7BQ!p_xb-+_j3|#VA7fH)@_@h^RFJ`9)mYhZW{bbQwJHdH4%-F>K`s@Y4+0crN6a`Y}JH7sXKlB7Lw?GY7?n?9Q^l9 zvPlhhgTjf8Pp)k}uYSsMckhCN28gsUh%~O}aTLB>oc-c9S46zAo{2RUPq=U?hu#~s zHeGb6e^=!IsW;{N3Dc|gNeuq<c!S&$kGSvgOAp7>Cz#j?RbpokZT{K23EpKvD|skSWvC(20rShrSTw zcopZR+9;QZh@PQuwq2oqQ~bCvBEH z)fRNKJ>4qV==|ON?w?&J*KY5psHJN{e_mT#);`L+e77TEzxpL5o5>q}#g`3TXX%qPhmvdP$mA+9X`#D%KH z%u#g(q5~~kkv@6mcpR!a$--b;cuf*q5d9g5eYY36(wKQfSGtLGnV_bn{?RI5CP}=w zzSTTZHl^eR;oZY8lxDgbzu3#*;IvnC`!17+D?5zK=p8s}Igv?Mf~!j{ z8)ksEBJ$gCz8eB!LS>zv}Xl`0cIDZ6Va54EQ zT2I)0=z8l|V>2ha>1J!()!D`@?NIpBCEd~?|EIn80IDkMwuJ>j1Voa6AOeb@WF&(m zK|pfO(I!fkq#UvVY!Oi;NRXVPl9Y_1k~0THK(LjJfJDjv+z7Oy_x|->)vH(c)z?;a z9nRio?Y-8TE6p+HnB&5A{_!1$SubuM=LwMGe1b+1{+Lvv=$o{~w8it4PG`IXF59@W zjHje`x3xUoP<_Dl=q#ovZd=~>E~-#$IJ(bj`yNQEvXiXee&_@dauDpig&YL8BRW+w zFc(pYP5f>(tj%aON0HVhkvo$|BEIa zUJ8W1EcVi|#P^z&@X-zeRs!wv;=(=)G-#V=Mlx3jzs=Ns{@}BI8AX=x+qwFQYxjnm zu1#!`PDU#~ZyEgy9XQwJwfTKSJ=<+mAZ+pat);{YC+r4S+7|aZ`1kU=V72S3?SG&2 ztEev=h;)>cc4Wjdb^hZxA)1we^=Lclb^qwxHlV%mdIap*j};!k+=NAQA&u=E?jNrn zK7$195Z!P7leG&y^2Kw811&^!~SBon_wsyyuv;oZif8vs;{^N)C>33d+I-z zy$Fj-`{y(_0|0VV> zrj7it*7!thpk-Qsn5CCgkKgOi? zUR4UDY5chG+VVn)dGSMjb(Cu+edOIX*{ORL!em!63T9#pY2KN2!trp%}htzmu5tt-U4K!yYwsQ zjoO1W#Ip)OUWx%-`XXY~Ofazn&;Ime-&)Klw&c)vBr-JIDm>ex{t2e2Sl)Mo;wR;S zcG5d-K*wKQ^2z_i2T-;hzWG!>iUtWzEgQOt-!JqOP|on^%nD#NzkKuniId7oljfPI zDyp=M6ZNwF=gr^jCQ%MiYr$fhRy6^HFM#tp2%dg1gl#!j+6)0th=4I7fcz=7BN((j z5VakBU?@ZApM3!$igWTaXwo_Lt%Ems2~6<=0JPKpXK+qr8byUXL*&FBQu-mf(L5K- z0DauXg#iS#2HJq*vU+Ki`*g`@|Hjj9N-?0#BW}Q~+%=${+k%g`%%eUhq8Ng0i=y8q zCJ*1EasNWR?4&c9Jel$`d=|L44+ub+444i$YoNP_$b+~no60qO243`e0ByQjTQ1Dl z`fN-Z=O7BI%O8xEO@IXJxU7LFRIgb=*j)jDXks%Wnif<+_qHcx*3(1t^Y>cKSr&Ls zSL>!zueq}jVGBTDx$^aS4~Qq}1!jPzjl1jTtP|$gOQA>rv*Af0r0iC4p0e?9+^^A@c0c|A=vlNdwLB8vg=b zi|F^ETL5qdl$@B<%F*iudcjmfR>lgb14Y7F`rW{NS`arvgOD?;excQ;hP33Nv|shGvPR@p9_Hvx@cf9*Nfq`!v4RIt#*x#cpTYkvf5qFFv<%-@8^$Xpk3;=THi3jeeA z%f6mOR9{PgV*Tc*+%%7~hwES1Q3~5rZ z;O3<9meaC6p7+Eid(^i?N`5?Fp+FM;x)Xx;qm|h(zMYOH*_kTuV#dI<5piPtxR=Jc`Pho>YccfAg#84(aWR@z(Vkh*Z>fJ?{Wc*s3)cJE$aJSIu+5&x)3etOq;CH;WCdfrK0#9jJyt4EOZANH;1=-U2%y^ z8NREfa|N5f+3=$F081t{1cJIYQjL2T=6Wz=OUiDqJ-x?vHh(C-9~;GTvh6?dX_V=_ceoK6rp#vkDrszQz z^wVF6iZZ?$Gf`&rI7j98*Fh~^&6W1=7lUaI7fM@(IvtErZPQ93U!aQzsEb+zRanNP zJycknS2fxfcfhj0;MUg(dSsU>N;8F!Va*idiw~q(WSsLo?gc5xs!ISdDAQ%75~K{O z%)XjB7!S#@F%@cS*=8?2wzbEFD_xHgp(IN?v4i^}AZZ7-WAx)vop; zla?>wR|pShny?v^;RlXg+c?!pTV&Pcx2a=wuQXMODL#ugIguk9B+z(sWIBWA@!jpP`-h5tFI!nw+n!lGECvl3 z0t*yi&I7e`$9OazD*H*Wk)}mB>f!5oJ+{!8pxlDV(@h@DWRZ|O>XCCL$6VK%u_C*3 z=v?#VoE%%!^E5y8iDeB&2DUqCI+vSG%%`7|iK)TGbgG~koQ7-!13}HP&IpYK9z7QZWe%!dynYglgE1fsVfp10qe)K%oWhApA-$eWt{}{JD-#U} zt%h!zKr*4$^2c#*4$sOZMf7_*@7ul?4l{U3s%)jf?KN>~F0IM!j8Tla0sI4JuWq;u z1S>@G)Cb*duZg62xjG^x%QmS!t zu+M+Fm>kBVBG;HT#-PX3p}Gkxn)Pk}RjpC~!i=U~^rFd(}7TCHP zU|({6{1@$NTiic{5d7%w=46|Pz3^@WHf{wFOu$~>FU$LCDkXnY)luDj?4K@L(lB#b^;D-|;(=_I*-X#HaH+|g z*3Du1XtMI%x5Nco4BfD>C1%)`eGe1Gibm@e@C2(c={|W^_}dX&=)+5O`fd z)^UEZSjy+dg2#qm2a$WwQS^z^3>76SYlB9mXu;^s4{0POAYdXpp z{^yfOqogao)6;x znC?xPv85%>OI4j>cPy)&U*_0kog$Sj=u^7fqQ6w#a}kwRr;w?|PCC#fc( zIMF;31L_m8^2XPDMD&iGX}+#ZW`wVb#JAF|VP1>VP9(&WzqIkUs8X62?{V1zjKt$o z2^cMi_>@k7(bUE#LLWyC!Z7OxKnl6?w;p3uer^OxFOXYFZ7XYhLXTU6<5<$mTXQ`_ zOn|iGOR}{mQj){T&vEezFP$O>A#Z`ic|3r4-}D{=#oefTIiH45f$eaR=g&Vcha})x zOGFtmEhl!nQISy+2pV^I>($6!Y>qNk4c0Z|lcYP27~U&i71iytVHbAc?T0kNi;hvz zJb2QRUe>pnwiTA?6p&dPGMBha+F1Wh#?ai|m5t4ID>ea72Uc;_%3UQ+s;6)qK z8gvz|ey}(cmdQ%JEzwiWfou@&k)1mlA3SqTJpEV>$4N9Z@Q99CG&6iUcD&0Q_&!An zfq&uasYw-xCq+J;DB#gAEKcq{s<$MC(cbd(!ss0|&f2j{XqN>fw%sl-Wi?Se+d|N) z!lvSYMn^!%v9_SOoj+txcl0_FDUf8Ih9zMOTWbQYIe{8Ni zQ9Qxof%IT!n>dTtm{P_=rU@#hjOtX;hZHHmNorK2=(#Je7?8(yHAtcPFLYFoYKMj^ zwn|cR##oV;=_U0hvTB6Ey)9S}ZR4LAUfEfv;l*S$8N~dA((97$;F^kiVlpr6A&OJ8 zUjS>B4gWF6^Fx-Rr@WE)5W1lh@l*UqjI?e`Y~$s-PQ?+de}wa_E~Q9|jvT_{jZ&w@ z>$a+uXD@j}P_D*&rn(n`X3vCMs-_<00W* zEx8%i9uU3At;p1B_>SWP`|8oVbKU0`q;6odE}o+Idaqp71L-fW6)hE|zLKF0%>8;s zg@}i$SGPx2!k61Qf`|+eq^f^7EvzgN+a*&&SrD=5XhY zY!pG(I(P+1mi`y5k0{@K3sPVC3-y(6kWb?VNP;gz~% zvzV+?{={BR=?|$JPB~ErT%<&syg9=C?utU%MwHx?+R-3MDWy|tEpC7L^lYtES}spt zcUUu=^jg-NDd=7u=o!u|Z~f%$ymC1OpMQ4C1Kb`Tktj82z z8FU?cB6WX4FuCA+D=?ysDuI@z?Rr9&OS2KAW({*%V&6W>H&H|RrlyU8IDzh+=AyvkBM7SbCC zLW$<}1_w}F22D+HoG*9a35LUVMTZ6S!}3oe@6IC^kKU?jOP9+6CHw8FAay!;s%>Je z0h}fp&5t8Xn7EhCH|G@{Q0kE&(Qsj;%X&aBLwsTlBrCM9OjreCAjy_AO`?r5Tsb>xSwmfg>1t<;^i%}z< zmbQTuZ{o||dte=I;`L*!%Ht`qH?=!}F{(2>1xP0bCMk1UFySyRwyV>>?~FAPCH{C& zJIdh2b3R{R=WM5??e4-Q8>6{R+7Ss}4Ltd`>Hr~Q# zNq32hPh*hRLAn*XyM(dr%W@cFk6kta-4JzF%*J+iOJJ{lOAt-{AkKpM zZx{U3nn;zz>9Mh5f^5mdKxOZ@U&fPRU|6!q75`+~dpE^Df=fU2849J3mI77He{Ep*msV2Z)xGyZ?EZKy847*WoT#?13ftuto~9eJ zm8$3a(={G7D74N(6+-iWFISJ4$gw!l?8Kk0Q87WGW(DbxE#&uK<{XA`qnc0fr)xpS zh#dLybv5q&)}&xVT3A>yIp=!)|8z|n@9_Wg-FUwmnM%NSbSG1^=3vXGt;+LZCncpu zKs9%D;rx^(#UC0Qi;8^~=HmzgzW4FjFaNnW3@Ud_NG8A%Kp`5zOKF72=y5@s#r*ew z1@f*lj~IwxSSs_!HIV*pWKRmJA*e+U5#yQ5)UL=xgi_5PNs%*gKscZvc+VQac`-Qe ziP;kCAVINfnm?5@6o%aq=p1Pt&eRFPg3sGwn$@8JbSZYGA`+j(v2+dhchg=d5l3B% z1!ewWPy%7aXMH9vI2M0vHE}uBx1iO*AmYbR|HVG(Fl#)nS){Lmu2VNf1wVGow8I~o z=HTgvMlm1^q$UX7@wHSa&sp5wC_;8`r0#v7tJs#Ib9#kMv7k7kh>^AiM6cgU^ou2b zR;Th`G~IDq42)5e7gbq5O<%j^!#oZxW-l7{hijbg;o2*EA8pW^*#F4*G?;=!20WSn zaLwcyT)Q=}nG1Oi_P?pPGX7x!kJ1&5KU}LefonKr8-|dyVE@pC3KBUkxy)w>|KZvz zxOUWStqk?s&oD4W*yx6)#z)lM|8VUVT%(>^y1uyoY48k`9?UULYi(j$7Zvl`&*f4KcybH&?Wj0(>; zsi!K(Da9P{Q^oSs>OZ~zh5WjqxpVY;L&UXVk}|yFMzXJ}@yhVMSI0y4Bp>*&(HWk* z|4C!z@Y3_|TEyeoV4l}E-~$!%UtZ>r0n~Vi-?z~r7VdPnOO)RC7UItE63uoecu%N+ zvH9|qH|{Uu-5F?X(&(p$U6i0@1@&e2->|9--}~52+(W^`dOs7SukL?_Hax>{*o_M_ z2@FMsKOcL)EyRN%lu{h#QGf!T9a25HzaiR@<&QtOfglmK7ex94y!$5_Q?i|9UkKiI ziYU8=TvaPr;lpsZ`t|+K=!0k22VS6s-olEpC*F72S9}t#cjws3 z#v==SF6RZ@eU)fC3*R5*`vRdLD*pcd7D6>h9?))3zjx{}ydIXJp4PJPVtYz0{$Op_ zV+CqR!vOTKK*~}(UDF`m1{~2@zvAHY?HAU^m3^28*GKpp!FjZq-=!w6=;F$_u#VY+ zJAD1;Z5J|u#n-~?p0s@iSbi}gw_y${yy-1Y0NjObEcNBF0^BkTaQivqwGTZF;J4P; z_?lhN!VJW4d0nS^hQ8c(I8keguJyKtLk7&W7|01~4hb9`){c`F)d23Kfuz510#-pd zponGb6WUeGAlf!}Ni&Ujl+2MYky7L4FBW&0Xp9(ak%DR>$idUpefAZOJj$i=6M@5% zYwtkNHG#E!_BDFT8^T;0VS6q%@4!Xr{z>9)hnW$*dWtL;#M(j!8L&G5SR8 zklUI4Mya!1-2f}|S&&DC4{KrOf~bfx>RKsK!od}lLvD6J8&_C7TNpS8Zh@Scik zR}l)wZi?k$4xbXw5$-r*M?3-j(m}gRzmAr}MCpj7zNGj-AkY~ND(LpO*<-3p!oVNqNF+n;kV}FDG}@l zbYbZcR!i-yK&E8m_zIgr^6lVq<#Jfu`j@llVqk*=G(ym&Ds~0{!b>k2!Ov?{`7Ovn zBLM{MWA%_2T;!A%4k=|bs8DgwxFx60Dn@BQTz1~XOA2GpYenDN0qtfQvi)X6>R5c( zocp(dDqW+LN1A=q`G}L1I!+Ae4SMOC_+eXwC>;>+yt{hxdcW#ooiJo_ZnS|h;q-=B zCX~bbTi`H6UFM<8ERL@t%4Umg3O6FLQBM6rL&B?LNm*WMB#t8jKI@-pEd?Dm5wAe# z?cBlD?iUU4Vj`b)YL;^xf*~rkmLaSB?9lp{jF=t-*M77MNuT)r^lMYwt8I z$W^b}Npo3Ab|jQnp~>HSvtj-1)<9k@Q^aSnDnEha3IIH+As?3A7T^*IF*Yon2Kdd2h!r^kb^pRbK|Vo zO1<@QRK-1ylMgD@s@-9jYgb$<;A{g#UH>FK%j-A*2DwpQ7N3$K^8J~R{RCIqUw2pI zTwzRnR}tDjYq#;kgvZWfegVT$OKT7?S~)EvF-TOD`DsMg6Kq3$;C39SF6)ySAU*}n zyn1N0?1_P1rRqpibEVc`&oA`o)0Z8*=cBW(%;+Az%8Frm6V2f@E|1xA?V~#U5A(y* zeN)TvbI8;ZRrb_(-Z^f~fK08MCD$^x5y=}PT^+f?4pG@d6F!#d-yz`K5OUGBFo)Xm z4+(=DM3zwmrCMxkEak`8Q(uvevc!s6bew-A*=5;tOC9oM+cRQ}_Fr>3w$GeQIAMA< zv>L?g19;n4U>E&1AfK}h5-{swCpx${>Nh|F7#$LW)UH~&E8^zvE)$}??2d>_*F zRy>g%a*u(tBKj5&%L~hd_#=X#yMJNo8MDFw@L4jY?tsY}hl0jkmY(JYWKec-9XsOO z`fxEV7L`dQ5l6Jdo9C`b)@C8F5hGVD`vgg06Y-*QF~`sY$$oL_m;U zLO-ZeXa+amjt5mc1u~t~Gcirf)0Cx^@2G4-frnEbHN%aMnwu5LjL31oMrSYXcvpl32dkU-W<5dhS_q_&b$#X^Y`C$^+CL9$o`}JX>FdavM60dnlnvVKFA#}( z^z0I=7EgZi=vB-HR?pC{1tDb}x?qo%UMKh4=@> zyQw8zTR7}h+1O9)-{c)+hn?u`iRxi~WXD;~r%JzXu*R1_(Idq{Q3UC*PZU4#(R_aq zrX;XW{JU8ROXw`Lc}x!d{u?%s@2mJ+r9|LiQ{N70cnx+JM0ybPW0~I z{?Mu5|La#et?XNU4il8um_Y^S({I}iy0)9h$%1_1FVcOF`O!9o4B!(9Y<#zGL%nU! zJhIRT$=xb{Ji&i}dKSMmH~mwoQLsg}RkKOQ|7BF{9HQ-T4-54DlYjnC*A$^pz6{>3 zU;JV_ujW?_^Q7Ii-Y36~we3><7@^SUE~<(BJ&s%z*N65jerc<)&ld1!I+h@d~55Q(fp zz-DE064-xv)Xv8B|3)`9Im4b^NenhG`X9&Z=!01yi(40K-{_#?n`=%VyRMpzFRnJ_ zOh)O~UezmW%~@??i}My~>34FA5%hV>*KBD&(CR!{+GW|Ytla52qE&W5$-&FPisLJ< zfl1<~&&#zouQAb>MVi@?AOEaAIF}3`$ZsZC9I_>C8u?Qz@W?>(#T2Xj(bPYH6R#N8 z!ugK|OAiLCq@TY3#I@bJcHqS8!z%dM#k}|MW|%km(%8E8ADfZM*pCl}uieewpB&D6 zHt#nA?#Ad&a_c`DY&6&w-z(dn+|PS9FYXKm+k6$D_m2jv2(}e%!uJP{jd(odBxxBb zyc6|DgG~k7Qsj)@KUX33Y@>!koj*t@{?TC7p~V@WH2=|HA3&jkb_tAot@YD~Lc|yQ zf4Un@Ot2mZO{9nT{Iuu#jGW`O+cx*!#SXuTyH(g64$F2kmN@@f2jWSe#@+qfFBcpO zWlGbxcYfzr3FR!=b(V7O+_C58K!6FPpBJK_`aOCIUb}7MMF;c!Eom3r-6H(K22OMk zWnSEwn2y8LFiKZcYy;Y1+eNr&A#R>8^^Y6d+Egs_-nAn|L8Zb0K$rvi_R*%rQWZ>7 zvK>!RgUs7h*>q za7)}mnUkCirBU6v0$ zgnCFG>`lyVOkiqhW+x+%rwB3AQIDU?yS4mCTwRPsF-M!0w?cl`>W|!q<<}(Y!yWL# z#8+Y6rr$uv=B7ID)aj`W?!P{yu~6s-_524QPlj7SF4=*Bw9vsMs0Vr9E7Qb`bnx=0`xj;+CE+0-n7N+)M-@j^`l+;z!HMBcq%me zGvZkim%+YGe{$G$R+PkQ!ZIaeaUv=8I@7M@_`$SuR6)HAlt2$JMEE);SAFP2yD06{ z$(ij_-OimDm``Czb(8D9hCC%c2`cqqPUr^hPM0pKc9!jqjp}MJN1W6QL&)lYwJHxi zYW#KFTIlRfol3$T&ERRtr(LcEQ*;hOI8V&WAYBihj^W8-}Ab8{|rrUa7_rZCg6e zhzdYpT6DoDpd9+>T4$s%mI{%gHhaCaK;ZrFxy3x6ihdwdqeb%DrB8%0si%e>JSq_1 zYUdG0xg^1CDl~ma*=sw&ma66;y0? zO~M(E4LN`XKt36fh z+CauyY}#rI5Z#7dw1w@b7sWQe1rOf>2TS^BF~&~g`-;yai-ip-ueCZIhl$wwC&EUE z%h23h_St$-4iYTv2+rVMd*P!h0(ay?;!-V+i-;Q~YpW9cAurthH{Y)V8p95pai_&r zLm- zM$;zI@%n0G%mUe9R2E+#`A@+E!_M$Zqih&_GR$!sQ~)}}vO!3R7Mao3#^9D$3AoQPX^Tjx z7{AD?d>7lA7h@1RhJ+YsRwEK@+hpK-zCJ3(fjH<^nk+@t5ucKE z!Fv31d$4yQo@p?oXqXE$UQa9y05{1(KEnQ2i!k1ti$EM}Iim8GG1sI?qZCf=&JkEU zdz1MQyf0E>9425U7QK*SDC=G-jvC7KOA3;c*};CeOrrqN)-T^&%*&H#0XMK?v1POT zkl1>S*nOs z)*QzcmptbanrPgMkkRqo1kT$>!{C36y5^8-c@0HL$gx7qmV`y(JtFwD)V6A43GI^_ zV1){-RIBF}#qdNu7!h#r=u6@)t4D>A^q&dRw_hS`0u7j*Bd|q3I-$7nUTXeakH4LBqW&9L4z0vY|sO#lw z!9m`nmxIIF{X@kdDvUwITnVyd%w;HhSr=pBiq0=TU-;2%RYif5<1y8AI5CtBNQjA6 z1)t2(st1j`4&ST89*G~%icAhFmc1_*FA<^Mbb&C@MjK1ZN^*Ad-xud|@Ot!WDhMC0C%6>_yxp;;wjY96E=UrziT6|xokj88#{A|72d_zeb7;$4BL(g= zybKkgP6X~(8|95R`8?SR5pUM|TGf_EkzGqPMaL&er2=D=VXF7|O6aM3xJnbg+WuuTF#43ryR*n*$Dz^8YM|%RV0jD(KYTQ8}iby%rl+@`B4wAr-G~;~~u} z*9;HGqg&_Mmfx0rT+Fq;=hyVMSFWD$I%RI`8n>8r>Y2LMmCi=N3g!7Dts>!qG7#3- zM;V|<*xDZvna16!0UVBh_Bb3o$OgR0O*QNM;VukIqYrb5F(qf_{}C<=iO5^LZau$*GBxU!OgFO;Xa$AKI}+NQui5;LH$Z#W;F?nfcL#(;w^ih zrG4QMUWNKaB-xARQ_{=bH6)}@ER;HK6OUs*CgK-CaT)817#nYNMB23UTVK1ZLL5Ltsr_B7lTs|s-K1M^k_P#cACMyq? z0<@TGb>wG80|k@NnOhL_hYG(^xmYs)S>|>9`pZyS1+E4DL35@Rzq5nJi`N@pRBe2< zu6(;NC7&J@`+59Ygwe?OtB8cRf}?n8Tk^jtX#ZG}ftHXno($Q84)`Qsw+9>knDeVUeS@DA%JL^pjz< zU${bruUJig5)2crb&eb94K?BWbc38YTYhAOrt+m~>@D|?Jt>u9+_oaGY)~xt0=27l zwxAfWy%bcxfkVdGN`fvEc3h-4@6F{u4oSG?ex62m?sUXTE!P73Fn`sGBJX`R4#{!X z$cQS|%7+y3tQNBf@8N^!MdK`I_swDBP4mV4C-DXBtP#%xc}m}I$yg$=r_Hx_5q^4la(FS;=-{TFK4 zURj{@QqFw2{7^41F-9tKu0wZAgySvKxM~=usnS}oo7bk2i7=c8^s_#_b#*BFz=bh1 z>&xBgTHdjI2{p;^KEtD$p_8|OUUB7OwNzSHgcC3@fubO zM!l@sJsw8^JHXa$E#2@0rfc-U)(TymdH9zi|l|^EC*b8;V;^pY+KvuPr zBM?mJNyd7VfIw5So%CI*jMdHC3o#Al7UQ~+vApi8CpTZ~wZ4i(nWcLfNfh`D)G*i5 ziUwG)lIob<^{Dt@=fyTI5=K!k_*E?GaTV$DU^oITo|?#85P3w?w<0PuFNI99 zmh0C8BdzIco3DS0x#v6ID&)MHedb(KqR7fOHip32FF2K<&yT3KI&;0UIC*bWz&+gT zu=Joi< zN0gL)4>`G83$UKzcB{vgn(;`c7S`7VHQDHMbo0i}oLk!i;9U@Bt%!}~#Ix!;DSxi6 z^O6H|9kEwFWP%`Hezr)R7m;j*+qHxB}eAcrffnv>O9W8|r+y zMYPm9vQm$72H?bue~O~$f8?BoUZk?Ikg= zc<^Ze_MYaQf&qJ)a%?P4Avp)*0bg#QvMxDTq3jq zICs7^ueXeUeL}M}z7oe3?#sQbs&z%VPB-FyNZm2!5?Ta?qHK*`<&LpzMmV*UBIhSJ zNVF{O-6=I|xOMwEz5GajQ$>t(8oy=iS6tTlTY~@>>NnR%ej3HSHecSj#eaDQt<8*k zaWeMl=f^Mj_l^%Q;P@~Q;uiY=KeSC50&<$=_?+|(*+fa5|;*){pOA-m5t;1y;gC{79qwmy_NH8FfoB~~#3aey8XQ%Ms zNy?5`TKx&%0vdZ!r@O0DD8S}x=jxxh#(jzlJ2KF+d>ysU0l9{``C6>*+_yh2nj>2V zNMRFJAfed$?0r?7Mcn)wDzB%T9z7M*R#(dtj^j$X=zTvLayn=E#L?1`Hst|K#)e^b z!vkewajJUZwZcqOlm63ZA{Cwz(75Wwd%G)*g|Bd_ci7Nl7#h7NRZGP&M}?nhYm`?uGU=e_%1X0nk11DR7|N0# z8ETUEHge1V;IJ`=ZYVOdLixWXpbd#|{%4VqY4+l@X2l7V54C>W$fV z!;v}z(A&lD{7_fL)7oqDtJ{)_2@_3SR^OJ@z5xY1;av#BN{^xR}_o-zKZ`(3(NMwz=V6OI;)%e6T7KqZHoLi3?nCD-1 zo*uk^TV=0{QOGpqVVC-j9t+m>h*Z=|U89?%ZXodVl=xuPm@<@XM zVHVa)_w!BPNQM}@6s#i2S_%Xt{V`Hy6v$6BY_%j_V#1{_=&<$wW*%G7^eWOw%atx> zqa*3;DTxtTdGYABQvYf2Gn`qi5tB{VH6>nlG4-7ZJ)zq%&mmU#B_M7pnuryjWEdy@A{)IJ%Xs~h(Hah) zl}2mxZ&<^)jGcXats(30!$z%QDVV;s1e>~hj|3Fo?ub0Zz#vAGYB(p{5bWUI@~+b8 zA$wo{jn6^o&BX$NnkrJ!%CB|~(RPo&P%g@;zfQI_uq>zIj82g^)n(*c zyBmde&^xg*R6HS(ORm+-dS&4iD>T#;b9EdYqH@hF{-I4BzH!B2)fRp(4F!knBT|Yt zq9Z;$>WMlPh)b(h8^a<};vcavElb!PWBUE!J5+T05^Z3#*Wvq&&`qOOjCl*of?mpI zpJLZ_9-S)t>Mau_Z2TZhoN1nVWX(gPh%%|duuPn7Tv&ft3*LP=z!(kRKXkdw za=LNdGWJ@5O`cM>ZFbzJu4Q1(=DqG}CqF2s{6(04ex15R$LMTc^YcBQWho2zEOTEJ zEZ!A2+9V-K#j!#?GZSz#oVXJ0TpGIYzG=2jn>mMuKH+nnW|zlp6<%By1C#7emup!@ zu&}5YcbtpQM%4Ehd4A-u>afxEnmxnfNl^8S6kks1@krW^}~MMJ5ESF^&+ypb!->pyLw-ItYeQu-coR-8II(sA13sXEb!;yVx-R&Br{QTh` zjfL~+l376p5Aq6!W%>_d>5=|$<60}CwRY~p!2AX7)FUT)P^e&DgF*4*PO90yx5K+T zP84#8f83nff&7+^`WhjTmEw-KmseANkF);^Vr!)fK3uLa0vv<*jGGNtXAT@Vc1QNo zMGeI7ZBy+)5wT0q9g_vOyE0$29P%_@$2TJ4@w_`u?`{pdy%2<7kjr>;@cK4t@KHPN z+=)?TXdt@N;_+tzI}z!%W!@%6ye3{g@ISXfe?nj$=NfLKw($Yjy9mtEELpmc-}GS! zgwB9`z$q8b+I(gO@a~x{Kqx<2gHy&Y7dz&|j?W5l?ZfH8g<}_VINSs%^JssF3-z9N zJsesOObb$*|M<$}7zRd~{vN~_kMKluA741N+u9CrzgJ%&`~96Cv>70XU7vLG?$T3% zY%Yq()s1%^Ax;af{Y*U;t}xf-@w(%+X>VuA8b5&1d&+h1|gL)g1g}vZ6PP+;NwT zF75*W`&xma;qHVDu}I@@-RJ7hMgSf2IqS1(%;ET3M>{+U9Oz8$^~US&Zg@v`0R$8L!S0imW&A8~tL zfp8h_XsOBUOhUW%AI}5}NowJ36w&uBOiZO0y9>E5!o zbITYhd>_Y?+&D%HAGQipSavc0-Ek+x0naXf&i!AprTj2pLOlANU}!EHsCKqS(doqd zD!R8o9@2n%#uLos?~V2!HT63WyZ72R7H2V^lES*z(*0=v4V&=&l5uotA`Luj>Bhm# z-M!ClP1`h)`jtGrUp)p*(jH{H%ktQpEs#inX>3daD2XroqDH`O8}5`~g@g;WHzzsW zItPvZM(cX>PcsP87S%dXfN59(t=~}uj>npOw(kaUW0+Vkyw4^So)rhOaMbvb{|**6 zOaf)X2qa0Y0R$hL2k~~Fqy1iu^Fj38rRiC~U_+5$W`wG4KKG>_Eq2QQBDwlkbN3Td zt+ZjMnyYi7PYhzzhk@zN1e{{Yq8=nyI;igV(6+%X~p4iOK)(}QO z{6u9MW(!0m zFawG=J-U`poqphokbN^FvgHXUO9e&ee-%nX<`@g9+J?V6_;|P@u@j%EI{Ov@l4e0- z#u322y`yNkPa3nu+eWqL-^KUGLw^8%16w{*ZUHCVh1DxpnjOOLkn5$#z?yIVSdlLhcmoIr14w=vMH@)S zCpjcqLm1NGAlz5}MF0p2#s~)AfPiUpcQ`x~l53H;Af3hha>#GeaEHQ3NA&wELM_*F zfYcfwIz;aQ#I?^sZnZG(7J(!ryWy}T?Wzh{>4*+}Bjk5V(?#W2Y#mYF0?<;OV3_vJ z>HlsV*qBxc4V6!1W;Kw=v!3sa9j_25#~CH`p1sBVu*vZ&YcaT@)cB*CXIEkSKi4 z0rs`lpzJ;O*t!n_ifYiW#cuG6L?DC#&(9|Y(>ulINg#xl&j3iYf;d&y{|}{{Z#m;&3rJm7BD*5)uTKv+ z-l%p5zl4Se7ntq3_0a~93QF_@<~}R@?TM!6u4w~~Npog^#p}i+qb=7UE@vz$ICn`_ z7Vxumz$Ida%U9Mw9aRhSQdhVukTcEFV+suKU-BbDQI#GylQ)O)K_Z5>m zTKGM^9lCgLGIDh8q2$p>Vn>V0Mo3@za&?fB*&FmJBOp$q0FR-MKmbTcUov~l{X^5z zEd5_tUPfe7zfodXiu8MaAzJKR9HN%5j-*M1pbcYP#2Gx_%`oqldUiC+cw^#x=JK>X zX3Iyr3%vW8q@~E(d(-`9?U7Th)Q=-4^VwlgP2ErUto!9GK9RXv{SzbGGO8wPztR$O-V2~&pq3c+oU7+7M` zu8&Edu+w0hDnL#fpo5n>aWN{auRuk`|Nba7!Ym?7WxTIYw~DZAvcdU|&YVCdj4VmZ zCm#OUVvAksXrk5I&+^=xZ8ClNYQ`8T8jyBQMemnCE#eI$+6D8FHK)+m~OEBwm$TRN~pzn{q6<81D%NyoFc6*Nxv zbA0+zc(pT=CX8v^P?r$h@>*n@qX%kn+Tox%cny8JHVQC~M*3i3H3Em$zoh8pQL&8i zO~R4AqAVpWzqtf<_D(;-fIPJmq&gg!x~DL~stlyJ1ve7BAKwYxsU2O0-)^luHp z46o1p;xX}YL)a{rK4ROg;9hl#{*7Hf1wrxmPjg=FNaiwcIHt6B%iagJ!|TScng#EB1)k#E!(Q*n)^4iCId~D5Wi0tEEFrl@6m;?W&?W zj3R2U5~*56{qD5i?{z)D&!^Y*`~%O`G~*uUKKJ{a_j zE?hzxDxsw09p;G-z{mxJg!_brcm~P)VK9C{KA}NA1bHt^FmM2HbTGy{z&F6h`|lY_ zib~3IAdsB0q64s3*#PwCO;ui11MK|wcuzl{kpF33RbCNj;JlTm7jOj(&R;?q0{k`u zt`-D*0-J$%YkS}g0sIFkdVo|tz!!k82BAO~oP1Cg0pSu##;VF-d1Wkv?I#08GgL z-i|!b_}`BEgnRn^-3kjrcv=M!!<5nBpb#|+EY?#QXpVMrI5E`c?=gQxMcb-u1PIqV zz|$|x6A+nV!2d|f-^+^Np=yu8$Pu7Mkx@89`zYVPhl8C%QC@21X2w>40F0q<$0#V+ zM8(j`A`l5hI)kG8tsS7A&XFc~e;6!)fPsT>DiTT%gacS92m`Ss7ptun~S+1ODDu3_PX_O^#R!X4m9pnt~B2=yRi z7!2!-#v+l%8W?{EsF5ep&dABrKMHICb0#=L?CkvmeBfv&8$zHd(a8uNg;ueRzy>2B z=H5cIl`G3sA>}u z7VM>FX+eM}L-1HT6Lc`f7H8?L0o;O>8JGZf4hXeE0zbSoR2;0;3s5&^R z`g;0$*{eaZ!B##2MtFEI%FN2y)+^8i72&UDZL10m0vU!ttWX$a01=L{P{!MPn;V3} zlx)To&ArQ8Nz#tolvl0P=vWJD>y(8=tRYS}`P)9#yV`Jms2n`jq zgSDT!MwnF;&_ySUP};a4e8Nnu2%bI|OF;T2XcL@+sg=2kHNjNL7Xh~R#vuIgc4mP# zeqN3a2G;g=;YI-giYTzN4>B@R8E)WcVs8wMiZbwr20HtjItQ49SScfYEY(bm2{@vi zp{=8a8q~zmn&7V<3@97KDkQ?&$qx)Rj`D#<*n?n^L?s_I($WXv5A?(YujU`5>>PMYJt(|PVfwTP48p>#(X>TQU zWi_H2OwANdo3?l-Y-qSQL=El@C_2PU%`l8;sup7JgaL!R5e_IALPZho8woLVAi}-< ztbul*_TjWns5k(p;h;o9Feoy_2jr=2i1o$-EjR*}z!(fS@dLwDF%DjVwzO+o+kpWE zH8#ZgLL4J85NkNpLdDlM$l3xKY8w$q8yG_;6EGHS?QZ}J42TLvS_c>eg{WF;I9ggp zf_xP5%AUrC!Rlsqs;Z%OcK$dAL@?IOPQ@|O3>Ih{X@Z7ZL-1B!NGqtlF)&mRq#4f7 z!U?5fZ3*#nMw)toR6^~%k#LN^aRAXa)XL5P1~&#fz|=h>F+KrEoMEUR2Ore=nA-q=vHP6E9fP(| z)CjW-C8|R~zNo+mKw*IUwU5HtL?DTt2&j`PF~XMUV56uKVQ1$M<`o3;4Y357Sz+K# zPJkwX>^$*mI3m;s0yQ=c4N)~lMA=vpupkr>>jOsw2RQ{J&4Cu77FNOGJ|WH;2wycz zxU#aJMW~&P5ndz6Aky=wTO_= zV8=**khN2kiK@4;2L@wNYR;;_vA`?b6cq|6l`+gy1#D_av%G-f zK-2;uh$tULTmVd24esX!bujk~1ytVH&zE*A)YQ|;EEH@92ASD9dm?O=H0&Ve2-~P| zYX>!Jf{{8+o0JGD2xSXMWLPLLTo7k4ObH!k>WBy9VR&3H6zho!bi%2l!*OADQO=5C z2qk4jRSPGur<1vZml4h?0u_$4M1WPDeH|RbBjIKdK>^D4G@Apo?Bofy3Gl|4szz92 zh~`i;Xo#;;s68SG%6=THd26BP+~31e%gkkAMlFfmxe8HqFpq-t!A zR8t2*)y<8Sz`!qv7tJ3l12KW||N7uR4jK6UKLL-j(TAlLKRP;LIy0z&1HpZ@@YqXk zqpw#;oy;gi$IC0KqNzj~i5=>U1lj)Ar81_fg_e3z@gvXJcq`V5Hd+y z#qqyKx8rh zN3$#|ZCa;{M?m~PJ5IX~8)ZP=s)prPjs9EWcQqN!c_{DHJOtLC82#6H+@-R7))|Z8 z4^Z8DjNTu+FL?F8hC@XwA#iaaTaMCq((T}1vXA1rPIv~!x>^5M>-vo5;=)vSQAGjy ze{_3O64-~CeqHomqP)a>(^P-D33^e}^&f36TLJsX9T7VJn3m_WE_Fnwy$P@TN1LDX zfqhMBD^dS3^nWBMN}smPnXnZ4k2cG|z`iK1;mv;x)Lkebc?pJ3Q~zoc1MJ(BtX%om z6i;ImCtEN+Z2#AYk%4_HR<6Tb|Cs2UET)aQ%$6yn{~D)M{U%`FaDH8-)IWqR!Fipa zz>#>z#JKrvE{QjMfX~t&i{jQ6i zzwI}!u~1;YKB~U)UfC^QEqL5BMF37&Frf|o+cWfCH%{s8R~eN@Z!XXAATB19=nN8W zR-b!1e^vi7XP#!nlZMS9mkGd1Pn4tpEo8a`zxpz6sobYxHE{TAMPd7$%(J=_p}3KO z)gh1ggT`=j?6w>JqnT7a7fiiX?)75H33Ho?cMJ*JIcYH6OP-u1ycih|Ur}VgE*mIo&FLZZT*yx-%U@XT0x+%et zrxK8@1&rhb(BAif=x+(f#+}hm=e`0q|E1tH6A90uR^T{Q(M#;{(`i!Ukz>IN3(gIz zHTn7Rzjr49k*q9Ww7yqe);iqZnBd2~&qB9K6~{~;J;}dV+44II-+9`pPG@UG470)x z1tv=bejJ-J7S=0={(Qtyh9Q~7vOs)@QLKRR7_?BNG#*h=K%{qjtHjgQnzqF-mG3lm~i zp4M*Vgt|;Y%Dk=#X+>6e(7T7!&+R7>zBoEU+W_xJg8g;x;Xl}NMW2`~CJ%j4lQ(*m9?fNP9$d!u|+5{aLP zh#hPGwJbAS?PY==G~y+)Y3BSq>zmhl7y^t*IJ%t?| zS@~y{xq3pN_J#xrFR$tSmR)ZLbWt7%F{b4D-(>mfWRmdk0FJ4;C*Mk739UuZYfEnS zgw*P)v3I;Jes{b3W-DIwhXK0oU%6fPNce}}Z66-6@B6%x>B7uUP1|G_hsN&e=gh6W zmJ>2hU)mila~gskRfd}WPjtfhvh4HX02WA8BTKn1wubgd|HN`-{w4m~p38k&&80Cj_&hD*YU*VAg1&aFy;WiX=C#_nUf(^5DLb z9KBBWE21aW;$0FJ4%z-yb*Hmx<^)^`LAT7U%C3mL3 zuKGGN{|nID20-_F*O~uf7`QSI`NLIXu{oJr^p1 zPHOAb_c*&4N?+oU42^lA-F+?8<4ej{jxvWvCrv?+`vh^JFs@O&>!y*l>%If@?rrA9 zF~`w~ekrm??51NeCUxCNHhB;=L%wTpd3-?c@Ox$bJRc=wfIs7dNZb{U`G@%-Kgik4 zQjOP`&z4*izZh3NXnc-X>$|)Mn6ai6-60dyT7LDSMrEJdfE4-mOxK40)K)*3J2MNo z@Nm5S?Y)g4uX`qb{Bb)|ace>YpM2y@8o7EiMq0MMq9?5N4rWhkE(%j52UMva+HWvn zW_slt-mh?w^`aGa;yxXX9V6+nJ!q8a3^eDaV5{4|8Mg+ehXx&`!WuQ&1ahGuFGDGYMp$T(`sytq{)`S#@5K{@!Zf_y(D0jUVIv z39X^qwRHqtQ$XD1+suf#-MQEoJBrj7g^^!-s>|Ng?*{;~{qWQUtT$p~s`i%dNHiC< zZ;Kz?7V9V!XmXd6>b^wyIf0)f%De%*+V>d>BGtRipy0_>W)&{_*aqo_F)X#8pH4=6 zL$ssQJ$m()Q{#qV#SP^F?H5|V7E5Et*R?2(GrU}9C#zt_1I5v6^|88Q^By-J6-B*k zV0)o{DMAQ5&n92@My_$a?N-G3Qoj{I%GY|O$Qy2HensA+wgc?A!UaO}3uXe(OV-mJ zS`_*y)NP*}Dx32e{~nI&QQ!RC*J)`B&f=u|cQ1T6=Sv)})!EfxCOT!KvGTT!TqZvO z|Fw53*Z(%Q>ujvHk=V_$UK8C~V*aADY~$wcH86R-B<%s z_3os&GJ;9POl9VS1HezOD@=C_#eYw27ZqV+xe&UfAW7cc`2csHW6$b4|1Nhl_)yvD&Vsdfmw8_`v7`W<|22C|O1z6LBC#Qf5Po_wNB-asr3|mS(7Y zKH5(vCqCbL4%45k{IMoHlb43?ILbuLxR+RXDQ~iabS*kvYgV8nulfkst73^u(lXTT zb@*-LMz4tO-mJvCt?Y>s*(={_1{!7gq&(c8J&(>Qnt4ZY8$Zy7HomybApi5s3Xe-r zwX2xoVpd9Tw%^Qj+&H%TbddOTAyKJk^}KIWw~_R4Ho8bi#<9nxHv5u@3$4DF{BHHKrWGNke9)Y|TW=|ZtQ}!!t$o#(2?+SX z^_5s%D(t&vTQL(o{3~l3{%fGjN7r0OuZn~5-r(Aaq3#IP#0N5bhMf4^%#Dzo1@+mv zVNjoiuvz2GRJ&RZRlw+ps-RKrqbd1W95$HTP+A0L`=f53c2Q)~mpto6mrBujV<(T; z2m@+Hgz_E7a|7LgzZr`L*}c!RLuNiF))dWr9j`@A7uL(W3(b0`M&CXzp!pfi2-Vhj z_qO-af}G}2%4Cd0F~Q{LObDi44ARliyxKE85f(17bPJ`*MB1)P#nc3Ce5Yp6fwv|78|X1Iq|#PTdh)(9i$l#qpc%3I&N3v;PF<1bV5{W$1)py&m;ca_cO?JA(K>1qSqccTiRJ<_{hNACHf;V5X2|u{UUywBog~aG`VFE z-rcD+tbYS4w`w-+Hn}ysaJK+2;qg?0v|W~pc^OMyI(I6*SU2`zH6wEWSoLbf*7Mh& zOHEE4POq0h2RgMpe=R-Q)@z>=B!O@9aV!od?rF(yh>Xq$4}JrT<!}!4c=-4?7W-Z&b_ze*tUeelx3S)NE>mYl<4V+p zGmOC5L5ByDU4qq_<;~5joyGk?=;cG&Hjcr*?SCsRe_6f9e(Q+F z4OoW8+)evN7uMU`)edtq^BJcP1vWPlxw$Bax!t!_2>lqH?(9XDT0Fe#?M?IS)2v)* zU2|`}&cdQ&N$5{fHR<0@$#lFtjeYjL|Jn|BxwWWG!J9tt3{iqSOZ{diYRsMqm!Rt&1)L%sb& zODy1OpVgX+?gNMnuearSyfvD0DkGUQ3s(64QK*@3QTLhT)~le<_j#b-hwqP>iKk0( zjQNSw05OUkgSOY~C z1M0VKdP~=rFaT|-`Z(-AQZ54%{`!8;9M|>X{T!=yGb`l8?(W@gxa3jW#rgS9j z0l&pIPPQHGPeI27?bg}#*|3ext0EmwaOoY<5$aEP{smLc0x;#yJ;%;}0TTfLn0%Qk zbN&}-@dcm=`U?E?zraTXvuUGKN@?IfNM*tu4uDRbb#|2f2Nd}t0uU&HjDK)3ea1jz zfHL{|J68XLBsru2BQ5yjU4Qexxw!a2)MfGJ?glHlGHwVSgz3hOyx ztNeFp6Pe!wNyOS7rR9_+{8*YkoY~(!=a$xk$f0~XN*9;4b{1i@fPne*@Y)`5443r> zdeQ3=4BEroI%CM!=)m&7V>0g(b(Amb(!9?#g435rf$Yoz8xrJl1DA;VIhS-fA2+G~ z51ZEKV7SMnVZfar%kiO6)&g%4{8TF81IS~#sR(a0{6vdBR(v_bm6!VuZYyI*_>ks$ zTK<7F^lk{FibDChK)3T=jF9e_wC(f>gleq{!!OgDT^1&PC*u9f`ioF`fv1i#P~mi- zE1m`64jUYQdXi5W^?xF`A~xty>r!}{W=XDyuxn5}XqX7Ul z!#exx?HSDghWHgy-N}Q-zE^13pDrTKpWSX_Q>dHE^&nR(e|)bzFg~4UzOu71pf^EM zXkNrWxQ%Jvb)}G-CJJ!Z)* zOvsscdb~!0M zvJucLEQ?}UQ~8e`(q@!C7Z-Ek+gEC$jIBT}G10uYw!-5PLv_Ug1VRJ6Qt{&TgB<5T zK5B*|7Jx^QmyezZ1G1Awy_6Pfz{g|Eiu{U*ji&mt7r&U?j|1prrB`=G$hS8MYcyVz z(__A(FN|s099pAx3k@LIX^@Rw6F5YUEm-yIX}e?Byt1Z%tZAD~5MW(~$knIYW_NOv zIfTF=1umY+r^f-wFE?3B%Qc!XZ=oyeyIP#E)S{ow)n{q}BJ&zxL?5Ed*83}fq_Y_b zWZ<{r!KHJlVi}=8uO7Of(j>&mM=BZfyuY`$+;khR( z!_SHv4u9`8A?kohB3*fz>DY3631+o<`l`%0jo-LOElE(GcmzInn)K(b>;=-(7u))I zJ~>G9;J|YJXGG+g$cir(^7Z!tSi3o%$|05rivrl@!5$94nWwb{4X#yXkhbq{uL5+6 zN@Ah0mCCi?n$a$ojqdcbGr;1F2jc?)$J~!--`I!cY~LMQ=oZ!v%)Z(Y%_(xA`-$;q z*4v+}_A@l%e>Z?72{!9|jy>@JNQZEMMz#PX@8DqoOqUu5pvkB#DgZJGgVwtM9h{&Q zi`2gQi&0RSn-dk^;TLjQE`B z&ek4r{>?9z@(S+0-nKOdjp;%>!>M!>gJLnkkK_DOtYMus0H@{nGLIdX-zbjz^*A3- zCLU0lfB!;LSRnGG_LExgbpZIpN%5?8oz}U2<0Lv1uze~!C*a#OGk({A#oMS+Y6<`& z)gN^LEcz++ct+olPOY4S``f`ZuKsWeK~B3>+bMo>1PDtT7g!mJw2uRw z+0HKotTB?@etY@taZbQwUItrP@%%BF%tdb>2si>6n5;H(rh3PSO^@>V@eLY}m=KKq zK6T}!z;YxoS;j@?OX7RwYU_R<>^V6cYumqWc#>MVZ95#3gslyk7zZNV8y08atTRzR zPvkCC@X)&E3NQg}mwI5D??+RC0b7V0stICfoailcz_{YY5DO^hMZiq z&N(KL7)6K=8nl?!`R+bu%Sm}a{Wy5STwf^dXjAoa&Yvl-PMh*yL9<*GpvYNL{PPen z5pt+mjmQ^$Y8wpRGuOaHT@eDdmt?dSMAf7pAK50r@ z1ku_;F?Z#lb{ctY0P2-{?5A(F(cBS|yvePq0=PxKUt3RT_+0cu6(d9{Ea2F6Apb0p z=}1{sz4q!lqf@s4z5w6k7CGuOF_j{;)~`O3?#jC>;9?Jec5AYz_45kzWnoxu`X++o zX&xI@EK@tX_n{k2uB-QNz@#6TJ=TP8Jg*wd5+@(-%$zD#X21sd%)ZU~9V_Olgmc* zz%*SP{9CksEBZ&qdpXvPlK``Gzsq&10T`k^`Rhp;@nYJhZCAb_{O=`?8=lg+xqvg4lch}{(!(2vOBcKWPfD* zTpa^DdDgS6tY0#Vnyh0^TQ@aN!?W~Xb07jqsl#)uJS0!J?HGK5gUY{IM1m(AvO2}dt3VKap+o}3#u~sqF~el z6mlXCm8xDDYM)GI`+<#Ke*7qAgnd+)j!xhKZ7l#NPmRuQx884CJ1uFQmiweH>lb6i z1DTy1A6=yz6Uf@Utu*Y4+w}$|v(8ACZ{3VPSn-I{k~|GWC*d4`=Xp^6Mb4!?PX*9> zt%|{PE%-xN;qrET_v`)~47V(gPrZe@ab`xSuMS-mW7gXD&hNZ@m)38zt?Lg>5Zd*xmHtg85H4&JD=^cbW6C=Q$iZ@~S zO?-(z452qnfAs&zKy7C7lFzx$zjAaP(K#isp)5y6((~y=y&MQs2V$jS^@P**M`2rZ zbNA{2=W-BtZ$H1aMbHPRnS=l+Xk%Ey_sQ4fUw@L+W7}uosWVK2{R5Lgh5oM2k@DEM zS9`7@u|U{o0bB67Mkh3VctDB&T?$JJnc!SyyYaqAC;FFAmgW42S7roB{+-Fu z`t3AN{@^s@(W4!*a1BViLT5v1yb8FsP_a#~JH!(oLhg!KS{;TAoVznBm@&|7Ga`lMv_V&>Qo{ogECFXd6yiJ9Zd@4vMhtux>2Fw)VJktD|-Y&v;Ju{m~B za203V!vcozy=vLWURRsr=dXgROf6oz914rdVHT#1Qj@q0AeIHBd4dUPc6NOf(g8R3 zh+b7ayjTo43nMSZw$Pk7?z0ZaYu6}gh$ySwfhwJ|9P8c|eNI8o22p!DJZS3&3r^+F zZhbDzgi8Dj4;f-UE~Gmi3`CjDqa9b~Nu&<@Zdtb&hhc=*wTcM))zb+Ng@G`zS2LZ` zyw}ghg>%W=K1NNycws)w<(g)mQy)+<)ff~EK88xE=e|9A0kMeXs7YpyyTZh2{m}oh zaYOd<+ph=1jj|JX+1XeN{Hf<-uRnlVL^`yhW2fJF%IMYgzAz_+cF#$plX<0j`VMHo zrm~uQyuwfk0 z`8#Rd%Ad)+?(5jVSMnlW&QHhg{xT9q(+nkYCHL#tIFLP{*Y=)~d4afg9$Y~100N+x z0u6}nGApN1XW#`hZc{?r@&zocL%O<;e#m#+F11{9clJip9(RZbt$v8#Hg`ULS6A`_ zq9!%7jftsN8f8YSqEURl$A3;A4kY@Ht>POy=bj`ZSNw6EB{g%kCeTW_y$g<)Pg9>MBp) zRRqL89TckhTbr2j(u5<&Uf=e;(?e-D&zUbHD5%Ikzn9}|~>bp-bA0*RXY(|*vxvbK4 ziQFBB(;WUsX$g++#e2GHC+Yio`4-%tNPUr@$?7J70u`}kVAXA)5*_`FJ|q5nb$1w6v?B&I_~1k;P=B{ppGq2^E$G<< zg1jYO{!~!4I7nrrLn~IeGCdANeOR0Wm7Lx0=zp|M7b|W@u>XAj4u>KYw!`jeNLYy3 zr*?sqSCZ=<^_aKG@XB#&i`ed4 z4io+?i~UQl8iBf7oSnI^y)1-3b5X7)-g6&K5MgYTq`(s{ne9tG*<>URx~I!U zsax{W>HhVlIX2qk1j_^Vz8saS$(Ly*P3!dPFwmf{lBJ{r55vgH=WJW#`#mOJ*KZTM zJyip{Kl{v8dWqsdsPl|Q;o3pH>5>O>5^P0+HGGo+YV_^AcS4o z(vB~{C1^@ln-qb#G}6s(p`F7g`4pb|pd-*NXpEuaTZdcrC^s)G>DlV)*j&p^kVtG|mKsF5XM|MR0WW zn~_-B{}A_RRXtYxW2DLFB4^IjtN0DkHmjo5i@H1CjUj677fh`1^OA2SV>Yf8 zvyU+_P7APUgC2_adQrM#rYBsz&7jF+dJ@v)KGtr=v*_H@sQo47p0cX+)0!>!`yVX= z;h0^x^5>g(xP)C(Kb*0U^ou@)n=%oK{hTRwleT22;Z^=v%*Q$cPz(Rnsh6ZlhNO!j zKBw86B+WEkZqO#t5qL#Da!iUpZ9h@e29njhLy#&sW}!v8Uq=>OlT+61**KHC?vARc zX;TfI^b3M>Bp6H4z)JYZWe+cafK^nlJ&Ll|VYufNXPAnw7_=1Uxa)f$(#45~DLof^ zgB=s^1x>$nsSSzCPMtfqZQ(j*R=_=>P?(2@s=!5RoXo$zmWx_Rof5CM3!e@M+3>#6 z&1Y1hvsxWQMdVi@KvcSTYvnO)4CiW}+S zuT?!GnOEu#6~FajJK=s|<6gQHqTxoD5f2}g5ydZJa`c`af{MKFJ#`bC^O|E*Hb=CI zV>VqcotR2oz6iQiM|7P=vVU{czIp}?APHZ}Y40L~j-?lMskwAHFYq&XfFKXLCvO&CZ=1{z@RQToXGRpH_`8X8m78@x~&OOxq5v;IrOs9Iy z`=gXAUGJIUyH&!MK6L-+M45ctUH$rst@HXFnmOrvYGW8^`9?dq?l_4cd-VA_Zy%lY z$Z^n0(zFetpo1#~`*Nf%^^$QCSF@q0br(+<;oIpBQIYr@pvor1&_U>F>02JCOCQtC z374Js&X#(1Y+A@bNa1BBv;Z+#FHbh(=JBLzqblq<5`1Z~8d{tu2$%Lq_+AoFqxK(E zo%e4%OlO+op4a#u210c(>(z>h_%?O}@x$GJ zOvD`_Yi@Z-MoyC4b2QUYsFnYa`GchFe9niHY)-C+ zE-IP6>(p`1g#uj0c9%#@R{TtLdz(;N4AR>bfQkn>wYwd75YG)M>#c_0>nWYxF;ie> z!MKb^nZYljfr?SILJvga^1G=_&$LcD9_Hu%XD&P%h=jrCePl1q-0DIp4M2eOcK@(v zunnKabf$>QLeuInkXmu`9bcwukxY6jq3=gC5S(i}ouHg`52_JRo93DEY#iBoE?y+D z3mzz6{cRVR@4P_>OC3D6fK z@>Y03-#95$w?pGzGB+n17wU4ZFpmvuw}?11y*PI&`&~QMqwMKY%sc*N-Y+wboYGd5 z8rjhx-61d_QImF+PTvu2q@$+gUTw#n*dcB<_5#jnXNok(|GYP{N`mDYh?ez8vTc zy&z@6y*8bcV=G&c&Rzv%qUZ7D%+zm$BMxMsi#%|a`vc;VT%S>d>|mObCxueEij&W7Gx zdQ?pAzV@}~$ComX2yV&iTbW5~h0H24^sX~Km#p^%ZZOJH5rf`X%#al1AcK|$`xNrm zE9KdAGeJeDAq_qwCk!-`+9z93UIFZ4uQ3R)L_NO)Tgl1MsUdjRt4suPOaX;lcJ#*>AhYC~a- zjBnXm85nz>_1OzYd9YKG9#*;VHZ)%+b&)wT#3G9)Ib>k*rPDf(`+gpKcDCZT?D21{ z?-_KC_Z+#Rr8}Ty8RW-bQ}2_I6ngO|CmVV&StC2_#{K+VdddZo`?}9PA?qU}tv9fj zwyMt&l$RZfsoMvQf`*OJDy6g?fJRmO7cF z3+a)4Ez4QupLX|R!+YQJ0Y$kY`-;!0>B1_dOr$-4p)ACA9L&@^1K0tV(ouZ+hwqf{ zfU;F~K+=T)#v;GjanWKPckz8IZ8bfgqmaT?!5+c+ zj!F3HGZ^50{IjXm7dznOU*hAlZIy;z&@XJcn#Rhk=b{+p}lyN-o$H~^$z=GT6lWnN= zY317ZU*6l9c-^O3Lw~9?eTsH!SfNk*S^m>wC6#{*f8y-4X)PcQIfG4?06AMWdS!LJ zzQXQX?$H=N^7NRDTH@sq_aukz41t3V0g((F$aUfH)Gu>>+>sLoJNX1ZrxJT>oiC(F z5`7oMULW^{|?wYNoX+5tej~xalh_2P3QRRr^aYGbL z;{i>|@}o<1m}@cjjuTS8=~$F#vL@;6=xX;dJ1jYRCfv~k!cHqUG5^GtfwiBI9Z3PGNXL|a|0S|mOnWR_)k;Jg1g@J z@3li1MT=^tnJ%TU-UG?nRbS>QV)&WjHS#eBpSD7)^pM3eZO-yc5r(NTo_>72S?!`M zw|{fY6d0lme!YQtacc{+?|ffNHkxE5pZG~i)`rIM{jr`!T@8=a9Ixr$(c^Snxi8K6 zhv56G)0jX7o}U*ln^hxmYqPsU>qaP)e&{(sA_DHLp^O?=DjOQl=*MKaFt704*gpXt z|A4XRndBzLcJig3K3(h5-_77JA-JI%zG$PMJq;`eVyqc^kf&&v`b}~GMbF0alAhPY zbqzb?V{*+ulIU8t#03HIQHnRCohxW!ooY>B_qC{ljFn&q*r#e#aVlwU&3;Tg1h@4H z5p%!-q&Y zUOaEA`dwfQ87UV?OpPs%3L~9K-5E?-{;AI=Tx9nitU1^|+XjWd&m}w?78s0hPNqrm zDFc-EZ|V3gBaID6YTDn=vb5#^-@SY#Rm4mYZio6- zoo+upJ)!hg>|#)}%?TX-M397bnX+7YVQFRw2 zT)!zzetaw;!^SU*6&&NbH)^LTPd5B&>8i1|Ec?)weduR#;+!CbLHp?XB~L7AB)XCJ ztx+rF9EpG!vTB?Gp5~)t6DGX=pi9QMsEegA3aau*+b;?{zn-s>QucpU)yi&Ky~0|u z6H0z}-|R*sI!1de=4H$LR>*?rrRqfG`fi5IDo+!(n*DcDf|*Gfq8%QK@J68Dv5O6u+W4&1N2)C0t^k)#e3;A5MU%Je0*#iZ{958qsbqjx(R z=8&mmFV}XK)r;{FJdx`ks}gF6(w%ekwiQhkeyKS5QZIj`phV{*r_6 zlKj=NHVw~|QObcbGFI0x$0jl4=yx2+(e5~x(VZxBS&6~+Z z)|eimDvA(Gn+y?OsXW5agjt+xCX>eWIFVus>rpi`H!0CtWAnUFs2TC&P%>W|Rp5F? zHUk^`wZCbGpJ%$F>U=Ar6~TGR%(QophbH7la~Y2XR7HTW2x_JI)co%wUP{)&;i9>l z8K?*Dv729-zMROiYz<7={KR=V%m1o+nB?rBQpmVEh6P$B_K<_VZe%)}6b*u;9nL(r zG$^|vCwNcaQUP*8=5D6~POy!xS0}Nc4v7lj*yvahy;x-oEWm!%tjjqj@~|wk&WjTx zGR;9uy+sfi%Jn9~tL}e{gj)Uy5fL_1w+%W(uV47CerfwCB>#SL5WZ`gbBK`LJ}AW# zUnM#AqAj*-FL5UHoYc!BByilkAz>6Q?0BsZl|*1!kYt@+;fNDMu06esr~j#xn$=B{7OT>5MqL_|f7>;PE3d z$_dK()tBD!{OzbCpdaFy_pk33xd|9AMW99HRXKVzb0-YUiwrlZbHMdofnao5-eAX+|yi zw+IHd)fWQq_@?yp*+o8+^hGKKvy$(M^qe^~=|FC+et7*N&K1Zgn7xvI0&8TnL)JtF zBm}v~!P>Ix-&6Jc=El$QJR__T<2YW5Fj3KC{HU}_{DsfH(`%!Fnd6*Q)%ff!s+R2B z`izdUXK-+4GoHT}*9Q9TGQdNUEttCSX3uJJ^kG&fE05m!^dkiBi^R;9Ny4D;SEP2; z``??sJYh|L0>Gq)89lNIvN7UHY)&WsKG#=vmS*?+_-q!gnq5imRm^GG>1gh^db}8x zdSZMv7%fwN9Aq7)JH~SgEhQ>c?xlQ7_I>Y&7r$+4euQ)FY4jDpE>6woKvnqUVA~;* zpAEIzaQ^6#Zr@ph{~E(HfJ9bqT+Hxm1M>Cy=P zT-UuwzgL*LE-o!Aer&G1Tcc^WQ4zw&Tv)UCyBPVRD@|5NQykl zciHg-P{j~u_|9TB_+kQhRz8-t0x_XWO8=uuw)*2#eK8tt%UXN*%g&0S@!aftQ|ZHF ZgY%!LFB?!(z+bkZGc$rgD%;&6 literal 0 HcmV?d00001 diff --git a/docs/images/HMAC_pseudo.png b/docs/images/HMAC_pseudo.png new file mode 100644 index 0000000000000000000000000000000000000000..f6c4fcde18a02a745fca90901abd03acd99c9325 GIT binary patch literal 108701 zcmZs@1ytQi_y3K%Q=qsz6nD2$-0k2{?BMRMMJqsYcPs8rf#UAP-QDe<-se7YfA4$N z%1THwGnvWEWbe=Z4xf}2rBRUxkRTu+P-Q+yszN|Ol>=J~0vvE=eyW}s0s>OUNmJ>P&?szN9PbcGCMU;G6Vo}4W2S2S#n0QH zY)!o^wGJ|+bcQ@fV~X50I`Lh~!GQ{I_Tob6*tb|M zl|SKSNp$g={6v%=r}FIYXt`{=VEgPA5OC~$0qM|=j3Ruu@{4@D1vO2dec-bn0Zv+0 z(-(OUq6|p>OugF}e$)lg9=GM&=0om-+E>iRB3~#ATGLEySciL{tu@WGWy}>6AQ*sS z1PI7*D+n0i2ol%`fDHly`fC^jJg~&4sFd`jLAG~ZS0)+ zJ%lL!x`Q7${&Sjzg6ywbT&#sCv=x-eB19vbxd)m1edobHMQ~oQHf9E4< z=4|R@<=|pvZ%6hgUt<${R~I1)ia!PY=l8GYH1n|fZ%KB}e^(2rAj_XCENt&sS^kqZ zkW}!`S$<_J4>KDbNh@2Rd4Mv6x%v16|GNMGbLGD!{!dEn|4GTq^}i|q=gR+0so`wq zBw=q06zL-T-#7Dj;{Uz)cS1pyKQ;fKmiSjQ|8*8iQ2_|$^${QR z{wHflF|uKDGI8sq7OF||e-sL-3nOV+CndS@->Uq{#~2L~6V&sY`U@K3KZ>5GAQLZT zroh7c&r|<-cm!tzNxEz3QTr#N1$i;8?hFK#tekk6n|0+Zj2 zLGQdjN$qvHgR0-+7Nc6INKD}>OZ|VU0UbzY1?srpAq+CVEX?%Vs1c3eofJEqEziZG zmQ{Xvy5EmtY0rW|#QOaGJ6@gDR9J?vuPi!=VAh!MV>A*j(=X%g!327{-;MDUQb-R6 zr9bv|MpE^ZGKGDMl(WT$Z}%oB7i%og6X?~#HkoznKaxC2wDg3d@A3}j8a#pOz-DKA z@a^sW#j5#;wcr^?AsO+1w0K3?s}EWJ28GqOF7A>^^#8BVTsJ=DCoy)6t!Cv_6S&^GMwQ(!S@ zH3|ftEB6gEh%=WEBWQx=!)`Czq^;HY24$_^GrsIM8pxTlh9axEC4JkbJFs>vMN7l9e90FfnBM>U_RS*khm0lZYtOe*o~ z8RLyeJMBzKLNEr>Kl^D2I*f4R_yH1J*-f|*L&2>v350;A^2zU}^2m0t>&VT@PrmmT z`5GB!Fy=7)#>R z%Pp5BFZ5~!l`;GKQ-w1WMH*>^uaD>br1Xv(KjRrR7*Yh>-)#?k3tz0W?q3-AM!Q=H zv=2->Fai8&#J%BUj^D8c(xYj7_mx^d44Cf3ds3-nunbTNF3HjaZXwLZgyRbom#veT z`u|U_ej7-JSZ+O6Vdg!t+~R&&Odj&Qm%?Sui}t8KpC3flX(BfLaDBLcf4S>&x*}BX zxGjH;-fjMPbDThyoF0kCG77bu$gDdb4>3ZQUA@iz^JCaDx4=69j9Hc-kC99SF&2AV(_ZhGi@Zs}MeMOaPs zwf+5pcKu_-vR}7n5oH%@pE{9PL;gLz{xwn2BKW+?zCM^Koz9g;ziErquY*G)`ry1X zj7a-gh6ncVnfPBjal%0K>ZX9wZ=KUZ+$5doZ@9qf3tlrgmS0)^r{MjO2jbNI@p*=`PvW~L={59HE|=#D4?U;o3>+0Gs27Ak&qd{5sfk+sJ})xaNnm_l z&NfaBcWmn6A@bg?EVF-|d5%9E(@T^g_j~F{f87*5Zms3`d5HE2X%%c=e7e{kGXC{M zs7<=&W-RAMZb_F$m-x}}n)dX5#YaKfmQd<8bGc!VhmeiKFK9_qn~2c-{2s%HaLjJY zkbudG^kTv*xQ#iTQ-XV9_xHpK5hwNIXFB_f)+_66Lq4>a5@s=@$Q{g>gPjuV z)NS?*N-H#3Y>^l_;q+{yk|39|C}0?i-&|^SP0>MSMt@>IPzY;s*el4MuKFll>gSuh zE7yE+iIbx3PthAgGRL44cb9RNFP4d#vjdx=ma*k&X*rVph660W=;#$0ypYiBl+k^l>`QN+N&5Pg2Q&IKl+iIG$u&)lE_g;dr4SYmqFcsfp#p9sLbhC3I0 zrI^l-Z#6DH^TROHcZdLWKrBRZ?N?*$^#(NIhQJXyK z$mEC$I6X6kQVVq@G<(5ju@4PY@_aW>Ews$%2IB4gzRWeh`P(0_-f?A2B|VMaeUW~H z4o+$yM=j(2oa5cvV!;}NYI{a_jM^KC8#P;JV4)$xnVUePnKR|C_^mLUHfEVE@iJF3 zQoNnnm);KLF(^%g8jT`>Mm?wSN5)s_kLV8#BOPy;WjVbrZ%|PeI74VoGlqH1t_Nj1 z)E`3Ie?2P_#ylZmGgLz8^U0YJD7!+e9^%ld=h3ocRwh2i9r*KFPB^m5;~LdZ&>2!P z(g+IZsf=9+L03Vnz9nIU%5RZ%;PMl%F0W+gHhN!ka>Y;b?Qp4V_x$W)M6N`ziXAyv zqgp-tMdN|Pg>@>xYGgZLD4yQ{e4x?}&&4=C9N@b1lFVt>&nt^di$7w+`K|fhEf=R> zQ@Qvm-}2)7w|i5l;UvDKCVQG?Pp9z9lr4Lc>1pupo_6eFpH3B`_s_`5s&z9LKHn_a zr-e252)tjvJqWWKYuoj-Hf@M=T`XcGF{3P`e^icXE@5kO7SC#qXD&;8YS;n|!7|t7 zJ%0s@FujsvjGZ3(&w-e2diQxV8m)71#-_e2)dg5U2Ks%NLp;txKcN0W&jE9Mv$+H+ zFRrV_MF#CL5gGV7=(IST1|>e+PHXOaNg^(Q!t;qa^FMtQKFg6;{;&W6R=bs-8U4+d zOMQ^~L5(|aF#%pHx+GqxvfwC?i~6H=oT1?C4r$Vle1gxix}G-qT{RnfNX$K1D2va9 zw=tY%$R*TAsmd+)dj(&1Mgy_ZIH@HCn1i@SlwVz+>n=8jQjP0|Ho;HYxYcu-9)yIA zu?8JpR)g`ON(#wZ4jv~be9Y%RKGngGkvRA~tn7ngv-xVF=g=JVl1G5e)q&{M;pA;* zMw?(=YtIEZUDIW@`%-J}oQi*K5Fiebh6rwUR#+x|M(M?THQE%9h%E3j%*b1 z^htSGhD|%DkXi1iMb=S{n~_Qev_Ry}{*QZl(q^ zYXb@42prwfQ^ss7>U4jPTCrK&?ZLh-KH>RS(s`Q*4IGaz+*OqgtLUyf+Ds>@O7w)o zhe$^6K5N}L6YcYxJy3otILf}J3-7llu~?sEP?2JdEjUUxNgck`2@*!+jIYUKz%JEB zEC-v5L6i1nyad)ice}ORjs*C_!yHofR+Bn00cOJu=6L8Vf-y;!V%Yvnse5Ug|NS{qH!8S;RQy|D?{l`8v_`7tifYn_46@xs1d2df<-_YV)Mrz>rU$gRrg2d7Y~iPNp{wE`N8Q3gaN$lfUI?U9*rp#*DYM zLlrTlU+OgO6NY43RGJjWvDTj6I`CV^cd3g0i8dM{YF7Q-Y6%# zHYc9n4-9g!gk1VaNeq*Epk;4}IV{CQulv;|4c-|l>HA*1prR94r6`nyOFa59{#+Gn zP)9XA&9%&CjDvbm5;>J+%iDcCObiB_Bxx3FF~zA$;5Xb2X_ zdZt7Q@Ea*Z{y6e|F@zi@#cG8+m4FvGohM_oM4cbF{q%HyskYqgYBlhcdb(7L1y>KS z(G}=u-&A2Dc#w$JJX=hC8I>l=D8eUM>bBY}%*Sq6{gK#za9WK&NWeI4%%Q`ePu~s2 ztZ(@&xVsxqJ|VFF=DI5kw?4O$Z)RW?PE)A?)pl8~E9?!o7lwfdZcHgptgT0>Vu>u_ zlI@n1^&T?rk1jM9-ACJaVPmhtG1lS!7+SZ4hM+glNF!0J0&$3(bYfp$BZ7Xxf~i#!4@uq1df)1ci)MqB>+em8Tf zM5DCGxF;;0ObqIee+yU!mpNtFw2Egz<-lo2y>J4Mh8eqPFdQlc!kZkknJ=H_*YDCd z5gB{a+s^dQ=~~o4&fplxH+rNozq!BG1$t4`M#;r72)Y7D_Zg!JO*oJ zB~p|%gHn?srG*v?tIh|=_j)3G`c*vF+RMQOC+UM31XovC zA)#tGJ8{8T@uBu}+x>}CJN7(;bH7f@NV8W@J_ClMs%^HRv6anQd#5xdu7L4`+Shp* zZEM@&m~eM`cx>~^FfDk59O2ZJmg4SiIWt)!4Wg(fCVicC2^az+ zId@AhNFN7WIW_q>**_jFDMp~f53Ank_V!|kkYT+hrz)$?a@_`PqflIpg_6lt|5t@_ zP@TysIh>%fO zIvMk;KqBtdzipf_A|@1rKySsP&{=58xWh@cTJx(f)>f@;jweYw7HCwProDw>{GeY46T{3sJ96DaI< zePd)-c{uqF^xLN@gDM;F4ShsmD2&Nx0gLHZ|L*hmU3i<*TrJ=01C3^%dv@d!05|1W zPOL16?WZ$>YUz#_YQk-e$$uhA*}$-tBRB9mrw+S%bd5 zP@mYn>dZK}DXd$`_};E;;t+2};`q+P_@8GAzA&?QV6Yw!Edh z+Z?}L%-yenL-N46!FL_qAE8s^Y#L5&dGQzJQ+ocDHpbl@!k&0(lV@Ap>MHt5@E1zy zuNSxATIbC^)D;5F5KZW>2;Kq5n)+YxNwi!)`28YNcGJ`Gdb(iKf`fFM-!Trv?T5*y z_NhHOX%wK7${(hUgy5FI03c}KxP)lP>}#b#r4gilv&*NIcHbd-5)zU;Z(@YDA#&zF z#EKEd&8MLUCu-|&!Ye5X;MnKWoNiOmW|w`6HR?pQ|HY|fPm~xZ`Uat|a%2|&CklQMIhc zsTPWxuX}FXkX{MwU(i|H3~b5Kd9jAz-%gsp@i{c_oOOtUEhEG=)Ud?8f1EvcWdEQ=Ls$VFiECFb2cYUj7vf^TgZI7y> z$T#XPdE_M7!{E3(&A&Q27<=rMEg#L@d!+ru;( zXAgHW8;mtH<5V?-@!ivO9t{-VY8)^VGTH^9q(d#KdN-QUf={)|-gP z&!uVkAV99K3m>2IZ1-(Sa3x`~Vt#)j&6q((Jm8+_p&xX!0KS0LSzlLcIye%Np3d!b zJ}txCh#*K5M{ixpUZl1=ZNN#jBCpBvIeD#Ix!>~#e>=lxLYC->g8S6=R~*a1F(UU- z^cESe^riSg3nrzS)L|Nrg(9K4`h+uNk;0im^+MTX#)&=tTu;IoEK}J=YTnSg^CPtP zq(MBqrqBXgIwv>RQ67SXoY*7 zI{suvtqMzUlvWW2Cv^ze(CrJ zw&T@GwsV`48U+ka)mA{PC(;rx&`7PIs95|%kP^9VK@}|kEO_sKeM2hbm6yDZFXGo) zAV_X0^Ob6ye=vcuus0h2+aa-#S8b`C-`D#YTCKTwh=Z%&$LDlo*aUuAD8VZ+_}&S0 z3f~G~SQL({%~*5=JdU#x%lz3XmF}r#s!;}V=xr0enD2;M1#wZoO)+*I$mO(L9QNhV zzi2YicKeuI;MV8#%k62$Q30_5)L8?uqayIeQO|0zY*0O2TSLHiw^m~@BpsWR`pjvu zpeB{GPOJ5c|Jov?g4Nf$JU8I3x~U~bp3X;D{R!rZ{5bgEXL z=Sc=UQ^Mz2#JQjKzI9Wn1#YBMI`W~$j)JKNckm`i2lLwQ;Pk{Idy3=x(p}Ob3)ONm zj0*%&Kj={JQ%E4_LiSXm^+S=6$F8h->ugHlq=6M(m8H%UvJN(Nbez zxeY+kLnZ+xfmABDExX%bH+O|f?)&X0ZrjCifFN7Wmg#VM-`LE8x}|X4MnFwY)NP)Z zVO(v)M;n=?^`Hhj1>FX_e0&ab2X6q;k{{{qY;Q{eX57|G^12nk@LdmQV=lG^BpeQ5 z`CSj**c&bZX0s}kNN*hJs+7G*`Vl2hEJm&LwbP8V$7HNW)-kul)U9ISLX3sLZxy5# ztpr)E!6KyC%hHb(-2#_Jgxc;1E%5)pI7f09Y!n7DG7sP!Yqz;SFh|G@ri8N!iyrce zppf7~w#eJc@-lll!$?BP3LJk2%9eMhIVlU)1lK0gY?iy@8I(aZEJ_!G@ibxtxoOPy zD_fq$zUMcs9lq<+p|&;YeYB?;^4RW5Tg%n5dCXm@%2#FM-;`+)2#HYu^3&qd z{3w@ixEJ;Nv~JVfLm7{A@nAEcGFb}XEk!afG#}8|0oMA>PPknr=7I}J9iH=0m&JxU zdY7u|n{tc|SF|H$#DWYI_s;nKUHN8ful>%?LCwp{4v&G zZFeu?O<2Qnk}pCC!ogL1u=PF_U-OadQKPVfnlOg_D~TNsJc^5@?@6Z1L^(F~EKV|I zHr=8(^_R`LQwDUgO~ZxtUIz>n3zAe!*?%Ngt%J*QC@fpsOuQ$mbBFFcja($rU;@tq zL_Owe4=$c8GJOk*i|vI7=+uR^by~FRz#7N9nf^Pb)IY(4ZW?Mqbe9d*bFov5>P1;G z1YEKLK6jO2PIxQ^oCg32z`raSfva zUw-A?libe8M!(C>zhe%aeau`Ts4eCfE9L#Ti6Jz&ZkYNyc{a7bKU7#26Y_rY4?D<8 z&Z)>N#-p)zwHIkGpGg79sdDiH&sA4mmIxLt5%sB0neCZFw;mi0a76!kL^*P&SaCFE z(BWBr+}8Si>2Y^U(XPcbMI<>~*$YheG) zYl8BvLc@q$7jt>rHY;zMmN(p@6|ylyqm!Y$pGGI+W2oms&*5SkI1V~0IyC)!JQ)+u zxHC>g+J1OGALP?t5(>vf-LAG#jxGxo(sSS_*h&oVA3!Hmg%*&8EMP@Tm{oTJ!v)`dJcU$iqS21I5@b6qcz%81fgv*p}jIff<(0?MF*ySmjm90>y7c* zMlW*DipH**IKeu+*Ub_B_E@IjSWZ_ke9i34+}w9=oB7UtaAZbZN%L~)Xtb??t85xC z8xYr2j7vdUwn8Q__{^?Gb_Fk@%id$E1Gi|5;r4>%6%KLqE?Wp+YwWy^vu6`U>1fO4 zdR;?RoDB7cP}(HT2f1>*x&n6mLFMh?gr=fq4(MZNH0I)zFs_mc{$d7OzK-6AL-_ou z?*${PcC)!PO31^70C6Ihjclwx#?i?Gl2w@RYA+Wo9QuYZSM_2N?tG} z8rDscRyWlMSTL%@qSP*K#yF**EY+AWTFQ9*J+8dQXIxI*&c~J9@fxi$%i$X~m$Uxh zJZn6{E!)nN!!EyI9c!B~c+ePtZKiI}mgqfyZ~e}+kMxm1Mr%tYcAOW(!a6Fgo4WpJA`IHRT%I3X4IuI_dF)rx z6f=btw6|chxquIcS7PyadVQs32@v4E8_wDt%bcpUn)0Yhp3EY!OOXdCc?**v|&X?xiOq_S~7U>et=a_bZrBtd?%#>Z2?P zZ4-GV!KZ`Hqj?7|P3FUptfz-#>me#WT$pZHC`^#0#?);{CUqB~=v;;V0wctck?S9& zf;H<*4(DuRH#DRlxC?u!8vr;9vOUGPW>vTi0Fo>-vz@Ob2Ux@C^ntGXQi)0~oY`1L zI_&K6Qo|GwSC#`qCa=#x>>7nhi_8Ir!bG0TH*EwK<*a~=Cz)`_G3fb~^AEd}XpXEs zo0XMPaJ9_idIvD;DhkVoDzcfZLd^Uh+f+$~O%HdA?axH-xFkG^L6cKjN(jCsvp>Va-9^I}rc z9oQvKv_rPvR}Coi0&za*1TdJF{933)*FbLlko;Nsd$m5DevpezYE$_$ce~xq0c#I* zOiPN1d3nY5e5dT`8b<9_SGx3|O~kRX>!Yy|V(OVR3yF?ZEoQjpw5m~EZx6fOU*jv$HKWBn)d)XpM+ou$uBAN=v+lIo?hE4#!BjEn|HGhd!`k6^kqcKHvnz=H>~y*1`!s{sCP9}W z1FnW~mu4M!=7T4C*2VVFRCg$HG2kFERWdB88svqF1G1!A>$!Js?ix)_W}pr~AwYH$ z75`kSQkVuw`^W`l|2I6!(q<7@sJw1Q*CM^Ei}c3t?pue~zwU*?`-C_L~PQ&neQ2tScK1W1W3 zYq?u6zbS!!zv&j6t2eNcTmw^wXxSY$L)2%Ee=;2i%bs`=Xhad%T*-?D(*+X+j@K_u zpwU`boD8^zmcJD33^O{a83-R*BMlj$_bzyQo<;OVQ6mWtw2*k*R=^YUn}4#=kJc_d z>mGi0%0fq^#>|x-rj?zGOqHnp+#sz$7d6U@Z z{AF6|PJ+iE+9L$ki#0sJn=S7U1`?OlL^};uJc2g^(tO}wV{A#lXOk62PHmW7CKfi( zAyAZx>1TI|3u)#p`jErKdsZz)IE`lur#?%AfsZ@2QVb^<8CddLconv z?;N8xB@)TDW3rp!%7{6N#YslC6aL^t5q~a#nb(C=!D=j z|KEG3BgvIIaD8Y;2_wqdgkxTr>k@D4`zu9=t6X80crp)e3~EsI_?Zqx2ix$x8v_cF z^}^X)``&_%A2<##>-xfjUxoudefFpI-`xB)JkQFZIbokfxb@7)qJMvn^f)s!CM7OL z#zYyg%Qaj9s4pJKMf%4ka?AJt_#r(e#FgZQ1A6t&-Lqwdcj) z41R0>{6g)B+XD5*;L3q36jr#|H!rv(jb`(GI0Q^NnL(s^2$wXDxIh>lTZmQ7RBG&j zQzDv8WdxmlWGiVcv4wBtaIiT(lyqz!ABu4gJ83_-m$z#gl9YVBZxQHS+PrX&x|ZKv zV=CI3hYPMBTJTasI_;(t$xwyHXxLVL9#$4c9|nIdn&^S3i0Jf#EMET=!q)e`?{#MC{^|N zaha!u&8upDz_^RY@DMZ#aBEN&JML|ET~ru*yLc%TB@FVQeD6{&y`;CeZTY3n&emxj z^$U7?+=7x^n!(+@mAli&xr`B%7tQ9($;NNaH~^E32!z;TP!4hP#91UI(vF8E)V4@b zM@L6jZE+8Eo68dtTg#=uDD`%a%&SNCyYiKekx(*1gDQ zrwWyd0ZU=J+Dr}z8pTi52)pcIoKnFWJXJPzhGzj(Q?14AxDnMxcrEhgq%G&}``Hio zQ!a2YOsW)UP>FiPTJcItI45)!gC6e#X+nIQh^lw_RJ4~Q*xp^&>*c9#5yCjeQPKNG zuigw1@0?VNZna}@Htn9Ew*S^=q4mA@wMtJ`}AjjQ9Y_Q`m{G9pyZ_Pei*#7oO{ z!XH!358r2cm7R3yhMS}+yElMCOA7#ys`8kBOw8^1y5Q@EVCbT*g0>zzl(@D#?cL6k zfUgzy-j=Xe*wNPW>aOb$9$(45!GcSG`^v47PpU#BxPd;{;Cl#paHsAuvweNQ_}3e~ zl^#O%cp|m0ema-Pk7ISi{Z#^{!}Vomf}D$AtH7UlC;Dm#?=$*$uurhuzIng!pCvAz z%wntD_0)}f0Vej!7o^eG*XLWq!Z{cL3*$qLvki-uw$iC?yN@P#j7Mpq+@l$;ZJR^I zY7H74ZWs1@;|eavi#@mH>)X8TL|?p$zj{qzy7ec6qCPZL-qUf`(R_(pB=lWymz0hl zlip?+Osp_KB})tUQ!G@1->G88p4~_twcW7AT{l|A1YWHAtPVdnMgW=X%ZjIkO^CkJ zntF%TOF`|~xOib}*9a3J??OIv@FPh?5MZk_m`?HSGm)magZI6>iP?Ymj<+$u34KVR zF>#dDhO-6paUgx_BigCgRc|y)*+NM}%9%vIvDTKaG)*%*x$`&Gy4vD~A8vCRAVk;nhEM?SIwsFjyCwU}87OYfm zYvT~S(j?GFl&)e~M{PRufV3r__|1uWMCx#?tYMTDvnMtzvE?F}4l7%yyKX#%&Gfz3 z*&3wOC#>FWsRux`;@Mw9uNJ>{3%UQd)T?E=duzZ@RaDepHdA>0u^OBzh14jkE@}nx zZ&FkrPen*ktaIIv&Zb)UR6R#Fc$3_-!(lF;S0Uq{!k!-}kx`ie8IxX`9yojkvn{yZ zx)Lowz?;sJQ1QliXbydUi*z?224;;@xNL&xm5;OW!3eC=NbURO5&5D1t|4B~&S`4- zXMNi|j-|=-C(RZv9Zd*h$PVMWFgCd7k}JxiHCF&c29Ml^u%JHJU~p;OTK%p4dQu5I zcDF?ga^j4$EN~C1X0ms)*)u~bVEEAA%!?acCG+!AE2nGX94Y2Ms7Xq|_Do{5hV@z%G}%di7DgYkn{LmEo4DjU$g&qUnt+Sp z^vwBUOQuAxiM{s|cDUAAgXKif$?vM!3uELd?J5(#wi8O=Lo%5!=PZ;jn~(#}v) zyxBZ2J`QGzh}eHh;dL}A(W#-CDN+e05%T)kI*}{QGx$e>re35>&Y)dM#$`46^Wbp7 zNEQBk(_8gwk9(A8dgVlZdwMn!tSilMxypH_BQliZb9CsP#-NcRTB=FhFsNIoN%);k zCFn7#+!&kcL#OUcJ)j#mGFZo*IU@&GMba5!7xtR`Jj?PpH{snzEKQcSBU%?)J=`wY zt&F;z)#6it?u{nV;%+Lp&@oR|74yYE=`x zjTvJ!{_n??O&EUbJI(C+&-e!3RLwA-^|AS3m@JdOz1iH2uKe~^7|o@-Up!%0L;Lg0 zlvm=Zj3M3wD9-8aGG%ksNNGkOeS3!g?MFy$C1lw>uuW%L_SO7kG$J=nC3RApk-(Bn z3+=gjT`cLKpwG*A;<7Q(;`YTWqY`Us#44?@7?}h??CbBRLKU<{drMai)KN)5ghZdo z?h5kpsQU7QGznj%!h=;}!p4qckev$oHh1)jzm>Xj1+E(q|;D>|7Ds`y%e)r|V< zg)NC*X}m)Eq!HDR^<%>}7o_q!XK9x4KUA`?=6_2TZZ^bT`Ua<;xv&fLx%gQa1Mw`) zJ_h-BXExo9{?9jDJ;4@u8y`+<>f<1jOLxbHpE>SVN($w-Dy_-;R1a3wE-wj8+zUtOk*w7mJ2as5d1(1g!DZuV8HI?qE2!Y1O)Mm zKn!+maVQJWT04`7>pq;coboDJ*>O?tS;>5(+4cY=BdD`~Fl7n>aSGP^I!>#}x95PM zo^^GjH;PXo8PPJC-E3nM@W!kLXA%my$yg7%yN(tfHT<+}&o_EB_lfX7%TD>p8K=kc zPTCepMZxN!i_3x9eVP*KR47iMAN0z!*_eGFu85>xU7Fobiwg>Fpr21x>iXjDZ|M!c z=qEh2S?3rCDo0#)d9FWoV|$KW&i2`n9@Y-UdQ3-YQ-;bF;-qut_fDc22ozDja8(u5 z3%i0cZ40Usw!L1?9wpR*O57gLZOdn;K`D#=%ZUk__;6;GslvXeE($`NT=_U#K4#ec zj$Be!XQ!==YHH|u3e5adK(MovK2WceFTWtsUE3fS9)7SwHnrKJq@X@$8tYmi?^=IJ ztL0)XvMcy1+W+J9js8Mmo8Kj9$=mZsqHN5Rzv7GOUl`cJD5u_I1}tBk4e3m@d3&|3 z#-T93?HH0>Ju(%qxm+^*7sPdze4e|W8;oNirF*=+?yxS$t>17VQmSq8AAkKz z=4PYk=a9_SRaYmi1ziC?Fe{}Oo9aY2`%NBg9eoQRHf!)=a$JIMU4dKNCR_e(%TUR? zlKzg zHtQ4WM`YCRB`aiF` zwtsgZ4R|O&?4Nr8b#jq}e{)lqq;QS;X&Qq+EyA&>v)fyZ`)QAS3lBqzD%-U$ z8sA5CEJJ9jwXIEuRV_tTaq5*13k0lb8F^}Q+Exu((lFObQ7Z=)ZlqPqXfGY(!=0 zGmr7=myUm!@hzEh_|6W|DO3~`tX*4Hic7zJY1&s(U@ogJA#}`JqC}{{5RBKa*5EcU z81R>?C~n*2Th16S;Y??$6ve20BG)hcOh8zKE

)dq|85!DGR;KmAYRp z`d!yQ-?=exe#IHVSst~g1?9{lU4i2E#gySp?AlT`y+m=B= z`D~R)Sw0!ZJR*}aM^Nh1x@U;Ios;GGfyX8O8`=YRL&sW1gGRFo3$%8bSp7m z{Sx6SzMMolI9En5*FUCRsMTx_tQogur#QU1`-RKk^p?z!ODXE>2g5W?>*SylOhXE8QPtixs{G`wT3ci3gUn2)iAU z%0pEY?`v>r$g^P=pOH*FBTKp+AsZVgmnOPC*4zF8PTvBQem6SqulL-l1==p*<}zqh z&*Ns-T4BS;Min8-no0s-ml{COXi5_}=mm~Uh*7~o;;0wgl?;gt1`G`GB^c@I+#{87 zPEwUxKG!!mfYKV=o5C!^ElyIojuWn4uB}hu`qo9m#Ee`{Bb^th*x1l$_QgOjDJ@DD-!# zZf2>OC}Xp)2)Ewr>Y>*~`@)2<@+7SjE1!?+YMGFjCM^D#GoaHysJJlfF*{@$ePE92 zwOB~d?!OV@46`N!!Ch9#220H66e3a6ox{#(y1Lbpc zdODW%63ErUG`&y6SKw^VU8(dgi+i#iXTlE zb`CQY$9ik7xg`z*1X+UY!#9}<()=BSJU5EnC~<90Vlp+ZEU>!t^UY!&0POwM<#s+1 zCC$XNZ-y~9o!RceXqA>%3+SY}p{H^FXd|(T;|fc@l}z@z5qeHU7{Hw*AmuTM;&5ne zQO%$D3cXsZ7T`|j+u)^-SXtJODKa9i4__fpP31_;G9ImWH27saXfk&(kNk#q9{7FOlQkO+RUjN6hnHkFg7NJ|%lC7L~&`0VW3KvK zq8bmWGx@2y(E_m*;b%a4>9dSU5$m$W$N@1M{|;0e5&sFI3t4of)zbk-f}X$n`@`e)8Bs}v)0P6>y8(*?uP(Ob zz>Br?z7_QqdO!&G-Vr|7_w63b=?6}!@WNg&vht_-7vaL?4@R zn_3oSv3HTsk^VJM)QZBh48l^KMMs#g&#j7O40;%c<%VX;AOyY)j3kyhYsuLko4@|{ zWh&^dt7=87%I)2mxkt6&%A=M}{9N5cV@A;f;(ksWxzX9>Arw!Iio?-WtsjB8wdJSx zmLq7grP3JH(^1;Ebl~bkF{g#kUcha}OzdMYkH|`jz@sGTk}?3~r5@%#op$J`GDIAt zBjVhdPNonm`mUt>yi!Wf%Pz@7R!>ZSmL_;`ItM=@bdKMwG`mK@N+w}+E(Or5vhbJWj#~7h zOVVmGLUN}>#!)<+X4~lW*W2O&D`Ry-Dy5J$PDFyNdH~y-576R`XNdvpTfJ~EjN2i| zmt6eUx~0oGc|I&hgcyFL)($rfV&!>nn*@>FgW0qZ$g1XV?yHJyMdufN$0@5%d-p=r z;7rszPZ;b(H6Z2yy%`x_CG?}L4{Uq~-Fqdh87y(vbJe2g5Z1A?)q+Rd-aSJ>H(HX^ zM4@nJ0!4~m#2@en$m;l3S2NNe7$(KOZ&o~xYS{hm#l7v1_f98^xHF1)QAOXh$mZy7 zUHIbiiylh%9)8_EY%A6uvFZr2cB-2rp|^6xDSl?1P7=w~(B5$q(#RD)x_6m+e=Uno znU|MGHC{k+jJfK0ZLdC;@m7ztmHX-mQNz#i!UFXD6-u(A9_qwuZ__zLTKn`oE7U^F zR_HxW-&Gd_sc4NWeq-n$K)nj@gag+l%Z!pU1o@p_Hjge|K*ufvZFYzcc_cO_c8Grx zEXeXkCqs*G+uZ|L1QbKl&TxlAzocd$6vKwBg#MOv?J{EPVMck~A7^jA+_aSqdRWHD z2`bG;_u;}r>g7vj?D*MY&;H}~>bGiUGeC1&$@^-6lnwt^Toe$U_@%DIcOBQqo7TBeVCO_8%oK z2L??>GAmD~&HTpB=||$LXSIS4+7bDh^EHXAtCSO5 zkvUZjPX}1OX1dOzQKBwZqoa|Y%F%CVWxUHUVuw#HXkO^F%?{(%1N~}~#Gs09qMCy2 zef4my%kQw3GI>W+2xzY7O%dyAV+h9>P%j;~-`Uh)-4Qa{y1yt}3(%n&gWN;e+me<( zR`hI`dl2EGnZR)xc+87kLbph3og@=+K{s%K^BK%!(+09>bjmZR>sSMhD}%t{Q8(G; z!44>p#Ls99$@|XAhiI~VjrEYi4iaw|L1kI$m^o(RV4EzpaY^ydA?QlWHdK=TkG8i8 z2x{xvw&|4aZlys$kZz?@x@Ame*fd&GZmL>%@uRR zeP4t3PR|(MDDUt)>75Y`Q)aChr>WkU%5R>OPB>2x6JlSNTL2n#m0>$X8E}GgJ0a*rG znjpDntWSU76W76m?BjZ>}&o2f9nBQztY zHZ2bx2R4M$kl?}yD8lITK&;?GLEr1O`yBQaznU4o78QZI)3_d9xLJ@35g81z(%VJ# zLHO|DrK>WL%$uqmnQLC&Gych-$@F~6p&F|1%;>Z#^!!69Q?YfJucC5b1g01|bUxfD zR#cc=DCJ^V$L4oq9li%Sx}b&6AV(C=NpbIs=mH*UJy6zehl46zOKaRp&4)304Qzr} zZLq4}xdkFzT;@qQg}&$pBEwA9l(F_9-G-&;?ejK$LI2e}v+kf^WvXniW2lzwvQh2|$IAmM4*R!`n=-#tiwK*% z?j{Y4F4>rM>N~Zh&2LR*ef>3*qhts}W@3i;R?QE07 zdX^)Mn49fL>3E21>8ICG-f8nCIw~;A5A6Wq;)l8LwB;iCvNKf>nk2ywWeo~G-FNW& z<#Ga7iRX7gA8$h76n|qgpI9T<#rd;DujQpxG*TL%5}cswPXDR`>28~i@;Q!_a`L2~Z4-aph+}(nJ1w(?a%1GHKOne)RTWznwCw#t!B}~FbMqBM zxwd&oj*ti`fV@DSh)vAz{=xb+;m}*VQu^3eLxk^Jpsk^4=0WfzM2y;kEjLXn{rSlRZtzGih`!uXImOh z<$YGJjTJgP0?$X$D|;WsD_|DmX3Cx&BdYyRs*aNJPq0aAk0=BiS+8} zm1rT(*4Qji77ofMFsG`x13*%q+|XsYyN>l-^+pG%4(v!$5Ozl&Nmf~GkOw~7eg39Y zCnJNb2~y25-_U(JAD8dWWMFK54hZtpek!!>7J`ST%f7bD{Vy~_8;1}Vkq{vt@(U18 zj_d!I;=ujqDM(DqUFX?`__yN+lEBPYn|DDK0z>t9qubdwFl2ow*5;lCNo4+xmr^d| zJ`!?X0-u|Mz(5oUZwwo9I=AEIDDWh{wFZHz)HjXrXrMprqi95rWzvd2_)ufJH0)fa z-kLKWE%+z_o-p>g9+96z-(H~s-BMJAXnj$gBbvg~qwjKj%9M z@C2!{%9@(bcBkaLyu7v)AcM39wVOfc6jhpO3m~DH0(_F6ar7!u%E_v-NtF0bfgiNo zgGhK7RlIh7d7TZ3{C{X8(Y_Gt5(?A^MRww_wh)J7Ydz6B`!f|Vyi;WT98ggelUfcqd;@6b#nB3%pV}(D21{);10s z2jpRCjL*iDzup@mS}F)`Oouchx-qekWp*5IH4+TM-+50YC)+zx<5EFLO+UgyA{qA8 ze+c@>y^>G+IPUu{k`T7sXkq|9kEscj{~RD3ZeyhWZDRWCE+2ozu=Ai$HM_V1#*pkm zgz1qz@ZFKDt1)bt9<|V$#rVhaBk&@?NRQuA$U~bzxg0HSkj;jI&O+No-2ZvZ^Fo9Y zaWw7e{+Pht14nrH_p*Onj4ut8!6aX6`L}<$G5>Zl=2YY&dwE_D8~Y^xqc{Z2yY!j3 zepe+=`s)Yv3H=agDh-J=D1^zW(XZ{hqR)4S8W!e>CrqPFM>hEX7^~pX|HlQv<8q{( zzRE?mlr4Dwn9jD=Klq{n64aEQrl$@uel{ATXvq)%D?aZTOw69IezsE9|Gi&;oG|e&X zH&cvbmCNlR*r?mF=xR`!#H^rYS zE492=w$ZP`)o^)cZ)hiabpys|B;E_g-ueM~s96q8(B|37S;(IfDZ%~}K9&c~8?`9W zEDLG$y%%MkvFAH{6$W(x2nz>{N>?ZrPrc1*oIJwMB4dJ zL+eU{TXQyppk7Ak#Ve)xZTq6r^Up_!dW7dQld;rSE^kYK%Ksh7ZQJ_7H}loQ z(7?M~u~|%PmUn!+8X2#A=6Co;i#@t7GmYOToTyKZ(}0b{PafJ2fua%!?Rh=8)eeyf-(lSC^XhFxj9BrscQ03FAom!^~>B|aTfZ8cN=>2RJ~Dvghu zM&e8BG!Liy`F1R`4o?$3A{8st=;OnO%~xTVGbfi#pb=N~uuy)4(0&le@=^g*23~Y3 zfjSh+Lqq`k7!bf2`C+phe>f?0(GMW`7$k#p9b_$U7PL8@HpCRjiqOGRr9B$+`1287 z*7j}cn|da^>TKHtZ)xS`@9m60jXFA?>$o#C$MwNo+q@|eBeS_qc5|#11)9#Q{rrQV zi`Ou;fH01_V%siOK|XK}3uAIb4nMd+@V-YV>>9_d8Dhh6ac;6=Cc;y03&A|JnPKGSCxLQrOLa|A`~7kC-$BzL-S+49|1f74O`HG$Mp; zXF%aHV`e6*!87-?a_f$tW6p=u=le=P(NoKV`M?bm3?u>6)_K!7;!8H!Gp-GunB z3dU(>v+7o}0??ieMB^EhP{%AjOIEf7%rqErpxcv&dA>i)x{ZmRo}NE}GUb_WLa$kA zmOjAsXsaBjxAyC8|UI|TJp#$!F* zN~#Xa01t5$&SJ5+=g9R3YFUg)_x8iO<$-r4tBf}Dmee~1BwrFdtUk*P(ov(J8mg`%4xzz|n?j2JRR8J29 zDBQOWrS!)#h50jW&Q>PEEQmP)F5)D!XU zhk7ivs=HI0SvwQx)E)0&Jo3n&{Ql)AL*18&=xIWU{?S%W4p+<_^xYw z|I@vi66hT1XSSM{Ck*BH(c9aLyAT4Hu>=;qasmjLNhnyP(dW>X+hLu2x)ylurfS>G z#~QUN%bgE2>2>cHIMx7wC&H8a^E3hsAKEhA5LIgQTRug0zUrX=l&D!_$_SgRg3G(Z z+@|f~rPRVog{1K`Gm?hBY^U0V`LnXOe&FM%;F+0i-QB6CfWeaXkH_N-sCS-VW8sL- zXbL*8Q$W;gt%Ki;(c=}Ign+Li1?q+=atLnv zo;d{2Qay^YhWBHG)eqA7yCJv0n;S@7)wAS}U42PhTxps|VSIEQ|fsFlH4E=L< zW#qH&VfrNp-w$>f2tqC!83xrtR$OD_zL+5QtHU8uDAoxyBGvB<2;pVRq{!6;AR#rS za0Wn_^@9Uh(1tKg1MTI10iVin`H&FAWnhlkhWV)3k%jG4jH3sSg$icuHQuKQ1hJO> z3oRh*C8n}#q(T#zBgpk6S(epfB8$8KG>dI*)D?h`EA%h4K+VO(Eh{&xD6oE|cZJ}M zyU;Wnt-v^k9%DmLK#Z5x^D>^}g=Y#)BM5T|(k7Gu=Xoqh1ZKzAk@7>!SX{L;3?%s)($s_hnTXENqrrRDEp9fv9mpt^=Wky z(P&>^yMt5<@9k^nUAdA0&dTd+NS{5*q8SFrMo7jdckU&w5F6rESijP`AU(rGY{nal z&JPln6`jsi!*OGo#&xr0$41f-2DqZ7Ub)qOTyE_#cy3!V*%2`V~f*YPXo zn+HV9PiKOH?1xJ%Pm4vjA^~+v_1^O}Xg3jcu1}QD<%zkZDxdg)alqd}Iivg}p5au| z?0uKK^ct`Va>>*ld)H>FZ3m*6x4cI#e^|%80Zv@GmW%6nm3r%Sz<2xr1U&R_s<9)N zxEDE7j^!RK2lO}$rUHfB3@9jm;`6&+`T`o5G=6w-FAm)Bsa}(t@zu@xFWEk>Xra#t zMFID4iX%w;B-Ok0O9sFl>H3=bSVlj=a^|>og&=-}llsn8?uW8NcK`QSl27(#scG4P5?Sd!5;R zZRQ0rnLwtEdUEMVGazohnwQa}b{XutKvvNX0(v=MI3kajK@-lZi9`*YiRD+OohsG- zW1>hzdItLrJ3Z2r%i&BQ#gq0Rz4Ck7e$B^NV+mY=%iS&UN3{n;mbTTb@G~!8k6$O> zyHyvQRlLUzC9#2UwExCb4j0NsuueAUJ#KET*Q!$8$wqB)&8!xHYz*y0ns8-GVbLpY zwj~wKzQ$_n5fu1znl~t)GnSB9`+AkIi*Vgq24L{}QO<;rB3( zBuIP0cTB?4ivFR=ZSfn(AhsVX?odbIp;U^@tNx|D$$z9yF0+MW5@?)WCTlQaY=J(O zK`I!@NjJoPPMg7<6oy0ZYd6~$A% zQM0GG2hBDNSQ1?4xTDf#9|BN2cj_|a@>%qAn@vXv*xyW&o%b@YnY2?C&D$3~_%SY} zvbq0I@#aUQfU1>S$i_zPZStf8>QMd$ezK@?GOk=oqer=_zAI$Wc$nO~q#hPh%V(3h zllrXiv$pYTQ~=;a72pu5$SCWje}6o^kd`(7R#m>%?=YY0W5R0Jy~a3VC8#|CJ`sw% z7r9g8f5JNztG|-Q-X;+1WY?8Dc&_wBP5sdLk*66vLD+pUc=1Cz)Q~3g$?x#T26L$**CWMQJWRy`w%6;(s!aIE-M*9fh@=IL0U=;GzaQfSzD1yuhW93 zNNvvX^gg$!JVYMTm6Uc;Xq{lRcAGlaykwt79=d2SrXsA4v8qLNKoTL_zU zzCpdl)1IB(vrAeC+kGPhogN5}${TmsNeKHV3&!G*p^}!zAPi2kKqMdOcQeD?_V73_ zHm{~t@~l4nbIoz)nNIQCd>@j|miUT)g;Dih0eHF2Er^2?`wQ8dP;d0k^57~6752Bw zlCGZiQ(?d3OyKpPEr4G%K?IaM6mn9P0>f#8H8ZMb*lC!s0B1T%}58{LCKF~yn3fK zV(oON)%bKSuu=oHr#j7QkmEg~$6vD>Twn6G*8{N>li=JKW4pS~MRDRCMqx}E zO#FvfKO1+xl>F7~OnFCd`WEALV_3h@pr-R}B{w*`DEl|v8Y4GZThR#2sII0fUQp7M zi}G)|3jG46&~jCSv=jq8QPwp&T&UBJKG}&TymkF3nJ9iJdR@Bc0~K&rQ=fT*#5-78 zJ}Zz#G;BqFUws>UV3aU?32}scj{4wnr04H+5;7*Jeg&~qn3*50*%zKe_fFQ7t18N? zZ0*p?b$ge>g1@EeTzj~X_gk~ZIm$sxqh2%enq@?uok53Np@;&(%8bYe3l|*1h<3Hu zjM>MDuL3tOQ{rsD)cAQ$jf#dWYT%GzA%x{zOjj8hp0F2r-3v{JR=8#laj<^NHhNMB zz)_#s!i$FthgrYOno}(x?kRnTGROL&;jy!N<0H`ygET|SzIAwpGg_txW3@cKIaQ}eaVVs%CJ$}pNPamuOdlT= z@PQElufTkW?hT5%ofT8jK9TXg;|*EgS=(o{2r9McUV+De98TJH@1U{dk7WFG!CpBL z#G(%PfO1zI76gkl1%V8C$jhj)Rvr26+Ip)xR0VkoV#dKa93w*-W-TO6u(#~M$CdL@ z;Izj2%f6#%tHiyi;ackkw8M)%LYJm~0nOhxAzOHsZ>9mCY&ke$o{LX!F^pH5p+De) zXp#g$-BGRLwfPivNm$55Fi%XI4e6<8E9QiqiD*DWEA>+bLr4U*;LF#oNKgfab2rvB zkua_|KMJ-+iQc7ohnEgkuo;$8>X$;9dtOYbImqVb;Oy5)FP8IU_Lo}BYLYfJzJQ)O zxj7$spm{GZ(iMsmxk3~|lrmz&na1a1nA2t(L`3djWogdubJys%2#b(raCQX|o8PQqpqo&v8KyW0AM)yU3269b-c%uncK9 zOj#TA@u!PhHdZ%9FZaF;E~B+%x79!%5o_5y7Zo!W?Wtnyc&{u)qmQ00iACY8f9o;k10F6Y7i+&Cdl7Lala)iC>_3a ziB=OT$oc1&0z*OKMc(8%s5~PSX;pqMe|c_2Qo#m)hFS;{eeOz* zgKyWXciq{pNp1O^jub#Gso>?Paf28r90CNg)l(4Zq9V*l#zG3>D4_7bCPu z_MIgjOB))7Z_ac2-6iy?-ksqAqJZfaHi7{OWW2kO5|Wpd`}V2xIsxPu>ai!!Nt87)~5-mLcrbgRS*5nAF{lLLZ#Lsu0Elkf1uD9pdP4i-hHHhdf2C=b`L-B zYSl;m0=mtj2Pypg*I?1pY{IbAz5#py<#@O39t#d5%}?Y-iunx&Xl7gvZSoOMe>24U zocF#(urL?0L@Y(;!{n&mey(x`q316JPiwzTD;kbRIrDbP+ua2noFA;(b~y+Z95TAh zc;bdELBRR8g5j=cSYR^CY z@{BAxzS~ItTs#&_GZ)jrZN`vflv=_yGyb6hNr#|zh2cCpCAw5YY;!vGD9@p+5zkPq^! z5`k2xNDv$ww&SM@wLZJy-bzc%w{OOH6o}>@4%4 zP?T*CT3uC{4Wu?g;Y2Le%IZx;c}67mkuOE><&KBBB9ZLyTAQxoT<-*4kTEx26vCd* z3me09Eu1uWTp*96v%ua)tTi1Q-yAt=l(cL@X8W3_FJEo+&#p0(Qt7p5-KNs!UaME? zO)n}@AM({vk-bze95sx<6bP&Ihf?RiNla_B{V*m$TDY5b`+(-|xusI3qBQ7$r=BNotMj`yi zj~<1zWxbc&?SJTUh3Of=U5ibXvSn(Ek= z!>f1bUOI|7gA;kS*B0I2Cv=*$b_y31nGo%0DRXkmH;TC6UjCCwBo%riI>LJUpHA`$ zFHe)%Q(B)e1uAlv-Gt?_Jja#-Tk~qw@^wGF(Na-7&e+asGmx62w9Y^9uU=(vU!TP| z@o53Lc!C3aWMkNhFG8=ysBp8ce?$A%&rWRdf^}zI&h5OE8bLZyf!`)?B z;&aIRsAK*QC;Wb_$L3zw#}p`p>r>YILv;ES4A;`aJbzZcjK?SExtf1H;dsrETtrT* zGZXSLq1Zqjl6+gBBV152g1Xb&e>I|IXaD6hwfj>`y94ADG=i=`ZdHk5^E*{FK3#8` zp14>)SXVkjAr#$NeEf~bd)xV^Y-to0Uk>|m30%+V-OYT4yK8iPLZVOh%T$pza~c;W zp;fSClO~SIeIF!CFIR$)2ikvJJYo-&<(-L!n%|om+1DSPE57p2K~h%C;6-79`)+%8 zbKtNboy_<(noJ;Kn=nWz$VQ-X`Q)qKq!mG%7bJArs5l(+Hw*cg1ROYB&z5};g4#e2 zxK}=ntW7t|Yaxi1NU=kh=F+|4=&HCciiH!9SJPX4M}D!WN^G6u?IhuI-N8H=Qf;$-zI99gnCq*(dg1# zaLOwLfp4b9)1f%%d7c(D;3$q6aj;)m5Z9TM_Bi@`zzNrwk8{h?xfQOThL}R=cx_v_ zOz6i(-2dQuPMe-X#Puvw7#n&8%26$-0R}=Ad@BRgunu?}8?;(9d|xblzs$Hsd576q z=K`mv(L3KAV>?^q9C~VHI0IkAToksV-}=+mZd~TAt!xoXJ*OrEIT%`AQciBctgR>) zB1XOsof|c9d*@LsF6i@A-#2>B5ZY`ZTU-X~kQoFBd)Nhj7}P}`@6#*c{Sg!|#2(ug zt|{U+`;CRnp$!22%#+%*?XM|&zMSH|(WHj%Ic^|pG$_S3x)TuVz*N;~EMVP+Z9;ut zLKbJ5I1KK3 zO1vc(as$5i4%2CqKsg)!mDBv6)8W*|n@@FcjaSEVu*mn_th6Wrq_6R@kDSzS4TQX~ zT2%Nt5~B&nlal;hC>9^g>|8NrV1q~pHepl3*`gqS*}e9)lp9gvFWScs4AgylF14%^ zvE*lt5r~O4Ma3$X1#3Q9syX{9KW=F}s^N+Pu6;#g;o-<;ujvc34NtO;Pj#LljR0+g z9shE8H}!m9x_ow6Y8a~oW{ZkrdiE>0v{gk#nHt2kuEmlocPE|XUS6o{;$>b;+8mrB z?rTXW=HD|rGkjgVL+j`W8++{w$fpi$59!1)u1=p7H?I@hqbJATFBU*qV`fN0;zH7X zaQz+p?sW+y3p4FINSsEPbkr{1i~x?Ssw zKn9i@?HO5Z2Ejs*X>r)d>?IRy9pH{&)bAc=*kOCx4e3I8+VRtMM%^@VJNNXaEKwGd zHBsi4B(8CT5fA3#(B0?8jxuXQuU}5~uwPkL=^eymMU{T;FvN?!3ew4d&_$e7U7Dv<-)_mb_LEu40puAcaaU}!>fyzwi6nuRvr4-?JxL_$2oD7=3M#m6joU~ zf{QGpt&`LD?^eC=W6wOk;hoW~rTBJ7mn`9tML(#!V-juI?#rrhL?*!aXIHL5`w5E_ zd!MJRHWg;Q^gu&MCxRvWU}f;t?_#0ydkcKR)36?8>`wc^KUye#9@@Wz zN3$GL0ZK{;krt9B9VXTn(^a;$vJ%ey(sxRHC+(kqg`f-ds}hbyk4fi$KMnqAD?H2x z^9x8ZCXv7YDY+|RAX1Lg_GreROmSo|AJ048tWd8-_q0T(fm7i|)aqrLMc7LyN!=n4$l}_FL zVi(8jqzmr@7=u{vcJ|U~fBI{+?ULL|N60JMQ~*}ZdqHqN3B3e7drn7-I`Ql7x94;@ z@;}nvMG;G4P~8?$o`F$@7E{F}2lI8x^a>eJEL%5Ywz3vmZ%)ac{a&EQ|NRdGkeYqS zl_6mGU12)%6O3Z~w9$`~4;?!T@EXs<^=O8IQHVZj%mLxMLXMQx(7inP{Y$5=6#ycW z@_D?;E2Q;zp8^t#xDFE&rJA{uwVLtOuJ_+_L(wUStE@B<$8s;UD96kxVNOr0Vv`r3 zWL9z*%$=3bdS^*_ol{MQ=iggSy;IAX$js#Z-vcG|ld2Ud?{|hMyzbZ=eTUUfL@J_8IcI9t%a)r7X?9hhQk|o-Q+@ zL47KVv5@)x_P6WfeO((;;qL|)+kiGgpq8l~tDS4B({SS~)@xzzQ%8K03FcV=pLBbF zFi(oZR^Wr!JCA0{IxKIF z(mIbcAl5s%#rNoNm?L{~snl;1HX2C_ms{gd_}f!Xkp%HcNFtrFDAxp4?{}T!mgXK_ zhmsp`;`2D~C%#C2h9D)yX1!&L08=Z zOkxrN)T-+K(@J)L(l0CTjwaKK!DUd5*qto0OgI2K@bfv_mfyg`O$+8-8ah=;FRv`0 zto58v>G|}%F&NYOa{~??3#b!l+@X8bshcA%hkD3YzJ1>;l?M~QE+k;RB>o+4dTH(| z>ud@{h@7Tvgt>ovSrkOcC8R6??U^ZPqHz@ggHnRa2M7>`$Y(D1rlK7-2kGvBX)rre zJX{IPo~R|_V{(aO!4=2*ssKmwpr-gTR@WAm8UD3lXQ{M}*2~xl8tM4YywKW&;JFjE zqN$cXI)rF0!_U5$P}5Tco^)EJY>7Y3ULGzQ`>TKiB{o-`T7%4vw%QZjjr62EB4s(4 zEvANsVVu95fO817^1x8PHL{fPaL4j`%asp*s6xbgQncoO51B6}g5>iTnx_Gswp>9B zOx2P8;eG2Kc>)kLqd*GtSqG-G{&vA-Nz(Z`q5bL&FrhdAWDGqpv&092=~fEuCPT^M zdGbG;oq6&Mj{Qj3J|dvtvkJvps0*#E&F0CINw(Onh@5D=!Px_IL?xPtkppa*Je@j% zFH$~2HrIoRk`&jCsCd5?f&y`_h3|%{Dr6H>$pGZ!U2uNZwkLX>qLOS0^{zNlt7>XD<%x| zcS24p3E(e@@zdOYl2VW}SdmF!CV2*R7x~;hPqqwflY3T+4dx&X&@jd)E+U1Qwg)11 z4M9NXuuda}9fMD)I9)te-8EX+%T1?+TKg;+ryxHtw(XJt3>=8AcRr8;@8?&Zk0WV1 z#n8}IszsXOK}a~OHg`9w`S`A|;f%!?%xHE->ZSUA>Z*!@mpeEEtar0g53Lye_Q32@ z#OCh=Uje&+pGqr7pP9!OVqLKz_VvJ2Ln5i1ERHcL>ZR;2l0uc=?SKDn62mM9_c0e? z)8 z9fAhvw`pWLj|3WY-nx~a=T3iMGm9m;jpAaf_MCouJVO&NQdku5sV+Qsy?5naBD!HA zMB|kH()Xi1%&{jNFAkU4DjR8!s1Cy&^WR(a^BJlm!hGs4fcLt^=Q`VBPb=0o*N()T zab+`&vax>4r_(T5`}IxuiRBuy4=w$Fy}{8w=o1| zNMX)aAMelJ5qND=0q$1UK58&gQ+WQ#rD;B@l3)O4M9bbaqbIfOzhr!^h%rE^@{Og|J>~<2I|_G z;q%F5U%$Pw9qaw7wS9M#FcWyYv`~BU0*9F|W}L~#a>VyZ)BN-QE)*RdNs+fje zrQZ>U6&iPBLVj3Vu)$>uM@kP}>pg$M8eP}pLxLOXB-V#&5D6{4Db8DO!;DVCY`#C0 z2Kr>h^Z^hjRS)Wg)73V{0fNhb2pmU^AuqVvf2LLSUid4r5<&BWKO0Sd>5AuRr_W676u+3UPA5G`5##1-0XLer@WCB$n%3} zeXs8AXf}x=S{QLcQ3ok*)03z{=*HLpkDX!LtRd!eUzSib6Le6X0zdMjW!;oLg1+!2 z`U3rb-eiOz7^v*ZQ$v`}vz_s_0I{9%JGzv{F}k}j%o4keJm2JCAzfZywXc-@3DKY7 z@3G|B$m3Pg%zV*Z4w9Gb^*_t-bYwoOf4UF zbqsZEhyq)KxMC1n1jMD;B1o4RZWJi)FF|x6OAmP89n|cz+(@W4{ZcQZe7IhV#^!eT zNVf&{>>S|lP#!a^VlIT6EKK^r76bzZ0DrqzkKqI?{payyeOm`UZ*2iC(QIotH3pW! zt|_qk@rQm~$)Q!uCO7#u7;5Y(I0j0X5*kU!J?fBFL^W``Qzi0X0z{nlo4PK)xPJ25 z%iI^p1qm51)B`;1_NZJA=P{2CTZU3b!hC)faDcC9db8J*UDLGoq1fgA%@l9W#jIlH z={}XARPfUYbZsABjCGa8jJWwi*;=D?&IEG+>3_2X1*nW~LPA^S3l;Fc3JhKlnc&}? z-W-(Z+2UhR;=k(%W{Zqh{1t1^8DWkufh?@K{yO?W1SLy_q2n|DZ@$wO>+x>Z_K+Gh zpW{|mOlsDM>k|~C4!Dl#ra4wz=5t=3)y_+Edu#?(7b+KRfuxSVG%I33BXa~ z7Vvp&JZX7)7@<`!O^;g~M`g(G1_QiNs$&TYTM3`Z=fNM3k&I&E7GNUaeNTRrwp&Dy z@J{l@T7!{sD7qMf%!8N90HQHTvHRK4ok6W+k?T2NJUZ%*3{mQA@{qspqIlRCxJsOp zK9Xan4)LlF_pC7GszCO*IgP`hQ&L;&KzlzMlmgG7O3XEuy<^)MIXC`dtmvI=DFr}uFid^zw^7BkL) zF;ouiWYlus-x>x51>yJ?U$wZ>u&TOt&GsXa8_dj#g<^&t(KDt3-c(Gb`Xq8Qbjlb1n(Wx?`Tth2tjq=1#w;a*e4wT!wTsW$8X0)Lvt6f>Z z`7sL@&H%}9%SQeSsKr+eN5KmS=GkLb*)A{wk=2ovknwZaoe0tqrR4N#p0A=h17|!QiczV4{VBxO?%E?0T zp!8HCdQBPfTK-^A1sg5a(4-X=Kd!F0V=%=32oGwJ=<)3xJf0-TtTn$klq)g)f$p(! zcCxlLukPB4LTy9C{WTOza3gpCOS9#%@|!w}(*JgL5oA9IhN9Y1O7Z(x)$1w|wGLVz z=g@4cna@lK-T@`cb77Eb#sjBT;w^V8t;-DAZ68#*Y|ypn9vCb6dUfFJ+sJU3xhM*VKLZv3smj<_xB^0k>&H>Mi5|qZg+TkR1U+S zit)-0&!XS=MSfC{VUJ@q5Rrs6#!;1}O(Wv8N(T$72qpniqdt}b@>H2rz!P0;ADAce zxrDYb9op@XId&y7TPiN>A<#BdkEsD$B3`4yq>ODl&$Ud;S6!{;?vOV$z=z(`js(Y; zwi(2}hSD`Z@`-k)Cxmw^K~zr2VfG~_(RQXhNTHZZsm?(auJ_q<2pL>ip!|`+IeMDxY7(`W{HcmEX9`~TkEe73mX%m zaxBcUNGoCcY~%r3d|Ney959XCf#w$cPBecWeX>xW+mtA4p}KYoIl6xDC* zVubGdhLr)o=**+UP8ux#J)}p_eu^8TzlSUkB^F!=jfvi8P!u?RfyCX(1c|FNz8hX=uwwWn`h7=RjC*30 zC++IK9z(#0LIOxb#{s7kk@c;W$f2ZJ(Cv7cfZ?MTm?(|#>C#G#RA*$i zECH%u7fh>j=|Q@#(N#Unl6u8W=+EX&QRQ zS&!3MR4>^j`R{3#A=F*aP8yBuTuNMp-vKCk5hryiLHV$n; zq7t%q@i4Zkwgw1EH4Nw&0{o+h4J=6pL=S)YAX+DE&}nhlZVpmt^{kaz@yK;8Jf2ug zrsJ_v@Mg<{k!Lg?8S)D56L;yU7*eB=aXx7_8@UZfeLEq~|7%6QmmUPi|k>NcOj$1bJgNcFvvNAg<_7d)K4L)u)=PIvsb?~^L zOTU3abULy%lEYldmuc*^>*I7C-@z;u7u_4F|5Yy#%3z>)HgGKKGn9r?8>}bpgntNh z58dBHPhmtz=bNHBkVRtYzI@;fpyJ zzl3D7|ChuzVn7v&4Y;|ED$8eqklJ=Vn_`oi?`lUhZR-48{9yMtSmbQY>BZi!{L|4# zBWc#a$UnIq%br)p@b1%$A*$M(5h*pzg)7#Dz0<>pThvTQ09;U_RxZw8QS72T6ex-Q z^3oJ7ywPO%TL}Y_W*H0WC{VsYUc!tb!ylrC2@(3&WYL>zw!s}71+k_CudyF za{OQayNj5@h+GIUn&M$6mIB}GKT=y#A8V__Z!j&$mBk%j*~T)-WL$O z&e{lZm8G}p61a}LoIN~%sP!%H=bXrrPs`wIsp$Xaw2g|OP|9}5=XQErDLM0O*7fs` zR=j^6p*Hx9@C#J(*)PPYp*QBxF()K4dr=gHg`y!g>Ahb&&iHB?>pOkeKK7y~nc_+B z=dROHm%92F#jb>Ul$Anlu0F?*`EN_^!c|&j?D1O6ep4ph;f{w=JcTsAvj>yfjpel1 zTe#*xG%C8e3yOv8&jfjPA z!}?9!Th|HtF3}&w@u=EzN={X^!>z2h6BJ%QM(N7<1mpacY5QfiZz>C{t#NMdL$FNf zdLZ*)=4Fl~s!1inZEOt)`_Hoa)rhtB4d&YBzqCE6RKQO^*B>5lMet-|GaZ(hh7;3Y zXt&U4jt@dc8z0MgpNbo<(&l}g5kc!Kht-%P%B}yGKenJZM?vt?>8Ytg zW%~WIffkohT?kYU%U3~hL>3zEg?^Cb_dt++e~T-1h)q^&6r$?V@dU(SF~nx)1HxzG z#_7?rc?Z~V#MEU6iP{{R1K`nKu6M9CCgO3a6>FcL?5v9dQW~VYy9ETKyGt7B4ml66d%yeL-?={*XM9(Tu@-ZUIiLCD|5qpL z63y<4aMY-DvYj$WNH~JO>jI`~1#frAfLlZmH6lhu$&4>l?lTviZrR|hbZ(*F731+V zTK-7kxN-D)d?m)w3F2&}8?N`)zp9{cH6Y*J-`#A>+qg7uC-tnbU@H{pHC8RcQNKqa z;Ec2Ohk`RhSU)5pStf-3_TD6v{iA;K{h|C+Q44HEps@cp8Dp)ReYbb5Mj`B5r1X(m zyCp!dXZ~?%TJ;`|*sEg@b)_Osq4|fx^GqZ2?;k}lHN;H~^r%PiDr**e`>HmMiO1&< z%ZkD2rV1ZF;f&*1cw6-c^iw`0uCqD2C%1I%-67;|M5`RhC{|Q6-BKObxx-n4e@E~^lQiXE3v66r_I=e%0;SFsuVe=g6r;_&`N{iKW6oG!`Vb6)1@M4^Fi6IkM1H;z|wuGL58 z5zeU5Q1b6Ww8>%BZNx^|+Z>0ruHHQP6!PfiIq^faRuo*(@u5NA7h}oUSC`^pInOkf3_`bTZ(=s!T0Ch|#Uw$phe7R(kG|Z(| zQ24}p6oN5XMH(ku#mZgpjgl>4*0G93#V)@-*^mVu<2|8Ruj#;14n#fN9k5j%zhdx$ z2M1;uP@t}=%HAi|Z!S5Iei$42Ap-|e6~D`DSXqFkukpNid-6V*0J6k&8U7x18x+bj z{uIiqQO(}ihkv4Y10;|mvYV%;rt}q@qAiVZhq&(DN($c|mzp-~!_`~dl|fm&OYsa~ z5>=Co2@B7N?LMM44J?IG(S5b$Z#r;1s$ftn_^1`L6#ol%27nZezPfcbq#B4lS9l9R zS*Z*vvV@-pD{ZeqvX&X%DoA*Fy$CF0atDQgXEkHuGDn7WJ_t-T30vJ9Oq5Lq!gY%4 z<=$vQUHWnJ@e1Q^rBrU^Ye9a_z*M_pwG(>;w=YW2f3H0-0m7jgI-uxnM^jQagUwouPzP5X*u9^Fntj--2oZLh{wn!H$97OFUoX}!HxQ6X;Vf++78W^^{8Pf`IT{F7 z+h>WI6JKj+w{U!3KpU+aq#tb z+KKv+#3F|OdW)lr7;A>xa+JirYOn;k4q#gSm-#uBcN3!uSH27O zD>nk0bYvnw(+Z9stA)PEL ziQ|>{;R2-)i&j5}7E2{xOmW~`%S$@lfXzkzGrzHVd&5AXa~0xiywg=09(xd6`E4Zu z3o8&V3Xi{IfcBHrTUjk2Xzn?BG1IX$iavr^e7#nsK4Qd$5Ew6BxILOBR(jvr*d3lg z&ovlcd)z1BaK7#AhT3Ah8lCm4HciNP0iL*xt=jX~J7hzP^ovfv@`~NN!GVI#X^p^q zU-U$mH8B1>yX;iaW3)y?koxr2e9ekND6+Fc6)AZd9OGN4Y~xhdv0)5m>yHh^Y? zoi5YW>SQs~fZsOJrrBkm^2D9UyqWNf4&N)6R z0FeJr`Li6MqKi)A!=9O*2O2_W)dxnc`#Hp>Jyb$o@&sBrt4+BIY6wZi9No$3m@#b~ z3*!n;LP0MrujjU<-sU>a9Np;18Je11ZX$bOvHK-Pa}aEFJG zMcZNiR3Ek|d;n_(Bx?}s&bF`4VUDMCW|>6X!9PE4_R+n1ari7xHiXSGNdTG=E!t-S zhjDRj`;Kb&bfx*q+Ctj{J5<(2fT+8@N8unFW6261MeVP?gr)^GI+n>4jA8@X8Gl6T zR^86;YY^@`0G({N^+RM<^kq!<$^B;<{K~iQ$D1-R_SWdVRSuVsOF0syU+`vj|?SW zSM#2qwj-QS2{ovOFEpoX;`#0+M@T;Z#duVSc4VJw{_XrN(fVMs*A0=P_oXL( zC7R)yTV{ZRw2}2bp96&_!XHQ!O$VW3nob?n5xO$_q+pMkpY`!~VhhY>WHM%$lwRLD z<0Tw0yd&$=ou#d#7n3ZSYIyO`zp&I&q#~HI;mxl;eSU(mBXVS5|5Y%0hBy}PskMNejOnE(OXBej9-LI%8s;z=YN?SPi+$LSvV8UME*-n#C)x8 z$C*K!0g?bWU6I*8Xb*VQmUbAZUlY8xI)v#Jk2H!R&ZiH#`I=?_J&l5qa4ZQAGe3^_ z7yyvYQ$zv&qXs1Z{SJ!^`Fx*;>4w)?o&N(O16-|x&0mtA11Fu5iKHwRO)$Vv+w6bT(zb|N^L?h4T z5c_cLluhib_sa>}ANZ#$r}Ou>lzpW9yUYKFf1?By{RCzIJ{vI$2fPbH{%aJ82<)*+ z8(!bv&lz-_lb#bc?J+4p|F^30rw7$PU3B{C3nqmO%s?tn*2EbKZbd?oTC$wmA-^kr zx&Qz1oCHuJDmnsbMkniJ0B$y*RUxm<|B7G!@4MD}s(>|FjI@IQ;Ab+QzTJ2p-(Bi| z648N%({S)`iUJMx4{=BdY8egwd>u;QeOV@0=f`%&rqa@>3|b0m9E{0il9-z&-2Xec z|9aBpr{CxGz6I%F9dunan#1H0;j=+PSpTiT{pZ)w)S&z|bWFd6VotuZ_WAdBt62WR zcQu~sO_cvsLjTh;f+U$T#i%52U;OJ1J7tCAr&l+NbN|(iuKoOIO9lN3B>q7+z*R6z zkm@>tiRB6Z>QAfC;Anpfk&T`8zfFbK0yt1825mY`f7ccMbDOB}1><|rp*lhT`>F6T zx>7EO`_0?kf8E;uz2Uz%5ztVAsyb3O_-l2%>FZ18)35*cmHS_P@l%YOj41;hU7Tpi7# z9aJ*bkF^hl*8OFS$?oB9$tq{#JZ`4uT7c>KtERyrszxfy5f3op2$f4 zQal=shg_}OjPO-(jJWbEaZqS{@3J=h%-0cU7jt!ZtovIpaPa&f_zAi>pTGN(;~BkI zb2KjKdB0vcam|Id6Frtv60*@)MK!EowVs!ihU3aBxm)?CpSPI8birMCUjA-QEE8yu zG-FM0)QQW#*6gK2By^gv-*F+nig^5zmnyS*PAPs`akuaiN4Hl)7G0gLf<3qw&S>Ntczg2ID*Lwus zU^KRiHER5}jsq$5<@er??MF{ye>F-~ye|(UHgDtFV_k~MaeU-yO!6~nT=HJeMul({ z>=b05gV-9nsCvHiGM0i9Bz(qWEiAWf2b}FnBPMh&8 zz<%#|s(U#5R~M9On?9uHUsIrcyzs~PX~=z8rI{FgUG21PHiTe$czI7)WEU?|94FjIiYC9AP3JE}|4} zoO_>l%{BQX)e1q^2QpUYgIg9IuQx0xE9`n*aGJREn;V&jkxkAghZ3GAr_XHds?QJ}D zwYk5N8l73GxI7|zR>GN%jtm`Up-cYs7+@%Wk){DUEB5RGctqmqW?jTGF-~Ms>fzZC zjvC#5J2fVI0h$R6Q);IQ*pXSTz*j+0!V)Stq*}zr6h!K_af`(We3YY;0;;=n5f)C5 zh2*>Z(&35M^(=wUoZR8f!0{88E=4(IeMce8Zye>z?*y$WC&^P%ytLc|he# zu}QZlOSWzAXHm`7xIqy|DYirmrvCaUM3*<~v#4kM){lC>rQ#I%yO(L*8|vDhKTyb= zjGM~les54-AHV-_HnhGATyWSOmV|XYoeTN5SNY3&xd&NaVoHK6kCgm^sTK|A<2{kJ z<*jbcCzX~5=i}RRoU|5?af%#b&4F)|2JQ1hQ`l|l-I;wjy3RRd4be1nSF9Bab>f`cpL@Oi1XL6&?QV}8<0!8!jzdJF z8)c_0dFpdP#yKnceq$16eZKY=3? zWRBJocy(8RN~f#Q)Nz2U#n(a2))onQ`Eg?=hJfe8&x%8Ts@?-Co5?f-&7^8{+X@qk ztDpz#2lWsFlHL`m-k|rr|+*%P0^vRpq#c(2y=ej z*GYCKqioJj__|ZFq5L54*J5F7a3r}a7%cIwKB(Fd{S}p#EDk{u zL_&R9nFDH6lvF0$$C}@J8{seL%3#weRF6}JxS4a}LMk!^EzBDa>)xUM;7~%f`-!Iw zYsONSzVMdf>cfj=4vad*iqlo??jzzW)xQpZ%Yu;8!MW&Vcbl!F32sH|tn|Fz=1-0Ac+^9{z-cj^ZgpHvfBdG~f7)-hcS$7lDXGI5`jt$-S zq%wU|u_5qX??n(z+}=&e@4<)DX#aJ7rWq_$T#v23z-oJ?&4Y<_N&NmXQ)C}5%$|~d zzJV*R!SqKs)CJv)Vi{7xseObm-65j6fqk7Zb!3B52O!P8s^B(k*xwdHq+`>g<4m0+ zxgeNyx*U>erY0w9XTB zbe{V@v88`c9lxO$xrjUTRZs*;q>+b^+g<8U6k0M6MIjX?k8;Klw~a9UxSabQ<6g5B z>z+|-4TFyP)F=V%+&yW;LMemIexJqZ?Dbog`e&uqjnPK>Ts;Jm0)o3D?wVPBumLM# z6R2Nq&-}RtC;zG{wGcurUr_KB5;RS7r7jDb7R5NC%Z^_h(h?EFITOqydI^xaWh~}~ zu94l|Ib`2GUur1(mbOw{%wN`(C7!h~qhTl1B)`*y5-Qy32MouY5HmESc7L}YvcG$j zJBiT)wj)>%cf%`Ba!u#0X*`5Gk{-CA7mE)Aml!LWk-b+xQEjlc-oQn!O;|fgp*L8P zN5{@ezilVc?Nw5<7i_W8C%$JL>p7zHABT2>p6u6HCEg!~o9DD0o0PDc>R10Z?Ydhf zRnMg-m6`f1QTPR_LM4MxL1eaMB&{9iqF3#GZ)1Iz3U_PcbLadGo}M!uAwzFRhS?RL z_IQqhk!1CcA%xcfec>-7n&^LtV!TzTNPl6EOA(eFRAg2xspLiQ(^~kLN$7Y864heT z^3UAmKJlb;?IK2R^Ujm#sa!u?U+W9Sdj_kHOFr=Ov?~BsNK}ivnqq*GtcVZuh(xgb zSU#=J0>9L%viF3&(gcH&rLkfDY6~^Bhxa&m1{=XWt^N;t`ixQK2HnDxGiWST9u!D6%?U~WLMB#~z z?Z4W_)=j#K=vLNsK@BW*IG>Hl+gEwU=K&clyU7{63~-;w)#}!Kq2a)8&{7H8wWsZ9 zB2g4yoS-!<6G=mg{l1*`*f3j5*<@^P!J^av@{kuhB9(7bkYuwj5>s`6>uhy7qs>L9 zWYs*W*j5S8OyQ$8KH)E%+I*%69~w4YXT!0yRW?Gjo4i@B^LEF_oIAy%35!Od>NB3_ zd+)Yv1)M1RX$0pC8ScXcGk)_tsmWVXTFfSIHip%V#36YdHX&*sDoU3)+;!pP^Z%S= zI%P?eWavshBB3&T6(fAkQ<|3V4sdQ6>fIRWLh&YalVAQ*M}4e9G9IdL6|+Y2d?^!p zCLL7<`<#Ic%fv-&hWXBO03sPk_`=kKAE3;bQ3bjA=6_{ABwnhXH4g3G|2oV5`7QLN z7(G)2KEo?5^^ZhL{%AiOzqq*@%-P44$9lD}1V3NInuUv>T~TWK%z}oTAYl&!9rxtx zvFS^v$hJQm*GUg@;qu`|h|tR55N|Kf7HA$r?`yvHqdNw6bdYMfXJU2=K!uM}Z3t$W z4JEu-L}sQ&4jf>1fYVTz%)hvwj`M!)+66~2WAMrrAvw7tg*TgzIB<>`1?RN$7DY42 zAosoWRWnsD15#~Vr|=5XodIlHrZw?E7EOhv25=iOz-KZWpl0Va;*rN@!(({c@)~wt z#L7HFL+7H0c3s)^gMAJ$4n^GhS$vvJ+e^7)E59LxJ@qlbB z^Yqy3?|2y(=r5PYp0=cxPKI13=6!{_GU7VU%w9XJHt>bIm^=^)ngX6pI1>i~I#E)C zP?};Qk^EAMlAYXvnECo3L|q6C_Pz1(^pj9;c&ky*cFeEaNMg}i3o*9-ZCw$2&A5_P zTt^z@*b+>wRN5@8*~w4n8BL>Nu+|lQzEt9D;bq7KPTvKI#$y&1d$7G+5|LB0;a$fctaW1dBU_Op)_0Y*^vUJ)DcpSnWDWii`Sv z_JPLLSG*90t-h9r>pHFZ(bnIb&vFhj_^(oOS8b=ePZlc_#9{-~XU8_XSW2r+scnw$ zf;Q0*y_H}Rh$Izaxbdf-It;>Y1cf zFb7(og5C|bXSgq0BJO#0M^$hPVckx+;h0VElse)0xR@_O#mkIV7Y+JqAm$=Xf979T zyM%qqbRf_Sl`bNRAEKmnS8^y;q0uFJD+nKFb^f-a7E%B@Mc00M<@(NH;{qR`Ht_0z zY|f!{2#M=>pupeLJV>CjKKcwvNM37h)HZ^L$`+0xIL@g9{Oc>UQ}`Afnf=Ch^@Z{&H_rPBM_VsYJnB!E zipPk34|2zH>f7GqHkW2aqs_-;w1!zEdm6k5({nm|06Aq|@@&LRp z^8hSlDf-)P_vn(bO91Vm1`sX=V#z|j<#?Sj0kwtm&8eAAyN>{cV!GZqzvoX2{ll4Z z;$Rel1YS2+LHXq3rUt~3csiWTp_D{m-@8pK{jpoOo{?Tv4;inD^t+iA8d^Xl>Tt@Zf3Bq>BkNli)+aWrrfUuoNANI$TFSU@GtCEufjL&+aG#m zY_;i#24-;#y+~!W3srK-y}Cc3oP#v$@dO54@_~aV3#j&xJuVOMKonS%TrRfp6B0&o zloKO}-ctumvO$>UUInj35$diUSh4wM^_yR^QG5nnH&)H9*lb z*62M_{zT4_mIox~c%5I;$)rp`6z?a63Cq7BVMq$JCIpz-abBBQ=BMmuZvg2}$BSj% zJ@H+@8$JKokMRX?BB=cV61ZKqG-QH64-!>|Qh8KQ?*VqDmMeoLy-^_EiH}gc1w4@p zd>(Gq3RNg>QusZpTm%p9pRz7FtTkwrG9fzmxGaWWHoKo0T^&YX3V4zOB~Tz9`2tWE zqG2*p#{yDF7I1K%?cpQpcpGm0q;xTjd)XX;io=*nodOVA$1gMbByolg!MRgvT7(n! z3w82w>R%(U=n}-mSRRkNQLRA#GpQtEF@fov$JbM-eXCBZ@)h3Y*ZdT359kJkAVwfDOgTf=E7ucV^o05A13qodZn z>)|2|wj{M`o;ss;)te{X*ci}{?QUoI)`{WKsE~i*vQLj=aaa|W`7-&4C|+S?H(wK$ zS<(}U_qoiVl{VZCP#DBL>KM=v>Qz%?$4fD0XS-O%?z-F>oDcZLxG9~)rvXw#O$ z{}KEwYr@GUGGg@r26))`N8v^Qr6owhVO}8Pv4&d1#BG5dud#>p495<|(xF2F8mgG3 zWUN9a2u5AH z2H-jtT`~i{)?MZ*WlC+mjIPGypZiV%wdiY{d%%070T4dB%br^EE5jCd ziK|0_O-9eh0eo2hECb-KoY~;GfgUY@fcXtXAT`Qu;i8&30qtvc%f;bRN@=T487w1` zL#P%AhSnp)hph`wO{RYmp)rjrb-Xl>hT2lX9E*Q^qNlUWQ}s3AI2c1nX|>6BdWRS? zmqA8Ff)^F>cnZKh@_|h097NGU-80m+8ws1x9F194@!++bdzgLi4ER1%#svXcegyK4leXDC4o2$8{=d zKpX|35+}qrCD~jwTM@|RE2N=sVl0hQDO>~5w1^y^>p>=};B^OM>90;8qx&km!1=>< zg~g}C4|071asM22D}|j`Qmw7=_H8$k^tlxY*#t|K#(tezShQ+rvXq#rlaIjK*A
lvjzu9>%I-}6A&Rs(5juj^x&L9WAy()*J>VxN7u>Q7N3 z?hR!iCMj)og&(-}SEeuI3BB7&c$p`?!4*2p352K*S4ZvNpnt79ZcxPHmHb2MT_t>l z#*DJrjwCf>x6fCCRb%X-T}*rQ|O_STrCVSAgRd? z5=-u+w8fee*|3?*32zTC8Jitme18Bb;$iWq*rI2s2})Wh`UqY&K$2#E!sL?BtIuE3 z1!l_lPW|CiPYl=ynnjA#utMB)pbk_>=ij9d8?4}SBdV_A@`L*tMX8ksO$&07sIIfS z2JSZ#4Z}slS>-4Q7|FH-0&?-#aA$Rj*NXXo)VC78-eyjP{v>LOTOf@q=qt7^JXPe30w5eVuEIg3u_C#+V8zgs8@ZG!=X{vNngP(ekMcp5WjP?##qn21 zN9$e>WeeiQ!M1B4=LOtB!^W(6c0T)Esq}LU#U2qhPQ)=tm?daE)T(=R0J8U(s;72t z;0s6ow}JVbKB`5AqlXO$H$NcN2yJH==x#LfhZED%V_`-O=f%gc9PQX^dw*re0}HL% zfyPLAY|EK}#dbKBvkh|K8S60nR<}D`W*&hCx`D{UgGB2sTe02WG#hGvw@6`Jjc8=* zm^%Gt(oKAnZnRvKaMLF?vO3ZLc^r$I{wgXFzbRKh8~=qWj7Cj!6$MjjyVLTvUjoB+ z<)j(KaNXO*5lbd|c|BT%V_ zV9jJlD^EKDu9FARjAT)Tj<~bbU(AAzW@!>}T^;YRmw_(MGUSiqAOUdKS%&$M#+#tZ zdWO+)Lb%XUppyaGXS@zd=*^EYe7?FBD1M>{|B}zLX|0FJ&O1CFQELdB7CPDfCY_UOM3nV3&NxUSWv{G zfZ|_24a4>X3*uE^|MM_U@4@-W8X+w!;jdr(v#mq>@dOK+cj&bI3jiENC>Y0g{-6`^ zuTPmV04$gUX?^nFE#Z^1!2jQGcqDH6MT%rYX;i*@eKLp2-{h62mRW73T*b(B*+`CJ zKU(EaBoXLo{;?AnN{HjCluwaX(7pcr{H!-)ce6NAqj38uHUCE-t8QQ0A`5ch4ebe|Z6}oh)_y{AS z(C7H2lGS|uRiBr!n5Y|L7o0$AE%0Z=1NV3MqX^GS!-b5dgoC{*YQ+;qHdq#@0jGs$ zwuOUz`qVN()IYCDgS&93WKv1edweg)bfqS)2{)`Bb040ft!P0^3`!aItjzU*yF`y9 zR!$m@o66<4xl)G%z4s1LjIPbEUr$_*^wykpDTL#25J?~shYc?YN>TAiyw z+5uo?9Ji#2=kYn@rfhmlQ~beJIe&8(wBu^@Sb!MP&W}>I$u_O`z7(1x%4uKj=YojZ z-Q`$r42@v6f4d*_)s$`W`p%tE4$7ts+hs#*K34+vM)y7K{Sa=E%WnikyBdq$6pJ!! z*L`hPJXBdMa1;}buX+BbKORS;!B>qpU9GfcTgLZm_0pg#o+u4>eQ>lr4i-hDl*7$8 zBOBGf&+d*WuqaGd6L{~Ef1}zXEup($=Ynqm+eEh_x6xp$-hj2n1VFi(?P7uGF{jp) z1)IE2_-QeePMrUs!c8GoqW(bu2{Pu!8w0iA&F0720;c zFRL?Q67}_D%J&dg;Qs*Opt@sir<@;my^E0-(#TmpEA_(QNf=8tH~Y*csdftSC}C>^TrjU z@=I?hW?&|!kjII5T>W&gE)qQTyV}PB`MxsZoE|Cci6?_uFNfgHpi@#8i^<#wH>UB3 zL?(E|uQ?q{S%WM3@JnZZL2?nV!hX&4w7JR=ecf1yv5&yQn$cu<&q1@lnD*{mc|NAZ zsZuC&5n_MV6;8%`vn0L;1#0Ppg{-;WCR?_3VkM1QbQYeye2rfQQy|uPLyYFbr`cv_ z`=X@WY+XshMCY#n(vko;XAW?KmvLE_43j-07_Kk6+?%4qZ?Gd_5NJ|6RF0jLu+)ok zG`XllZ=Aik+gX|PmG`~fJ{3A2OPYDHkJ6phsX$B)QMI>c#spu0QT_34 z@tEPKsi}7`bj*t?$2JKAO5r}Vj~EXPdr|=|ye18ylMkN{)fiQI@T-jBqvm;K($BuX zcok9GVzBI~!b!j9U_}EEL;k*)bb;&u8l>?cMXJ}{i&IOH3vO1#F8cH8>E)I$a$aB_ zJo)mDu#LN${G=fokxjX=4PwF1aQDe*_q0nl_^;E1msBQ@I7)&O@~jfN1CQ&kq*z-A z&cJx3{AB%}EA6Si0>Q>6$J1Wv{yxDJ$tK9%NPx)=I=13nARn5rmo2uNRMd_cZGL|} zO_l5j(DpD3iHXeUH<9zKGSNqZZPs7+5(gT7uQt6*d34cR9Mf|23ijA>PTAh(|J_xe zwqX91_~Y^_YTGwkp5~s=QHp?*?g8TaTo;^=)|QNJW3YD<_#m~JeOB~sjqd0$~II@Vej^Y5ZB(lavx(J-9SluI!!}q9{BVOWgI4mZLih>klv{U< zSPp!{@_B3kgRW;j+`8`!C4wy|UQsmdarQ1m9orM@_^#k%Za`2c${Y9iwFDP@!5mz@ zol^FJmDlv8#R?_pz7{{3QmZQ7Tz!L2uyR8_qZp)0n19~G7fL}ey#wrV$3gU7YiWAk z-*y$N98YAt9i0^iPw1#Z!QS2e#h#o&cF%7+t~aby=L9`5kS||!OU3z1)gfedboEWd zH=Mn9iSJYAhP4%mt--1re$mt(_zK{r6wYIuG^66-ovTH_RZ@nF1=CK~n2z!Z5?sVv z9Ur~yu2VA?#lv%l@l}S`!&;p{O!T{D+n3dR*B8S(kG31?C z;k|!rqzg%HWG}ON3-JsqJh60yeNpa|d}yN*Uzto23kTC~UR!X=ra%AK82a+_?!BXh z^4GOj5Sn2M6ujEoz3-wAJ?JV`?(LRz1ooN9OKb-o#O}50#dblpP*TU#E)-b=B@h zZarNa;7fd4TG7BY7hF9wJ;|?udR*|&^|;-h2y3p|U5yWn9#e=h-W2rwMP16%_@U{s zoUgL@gO<%1`B}+m@-tCmp7(bp9@JO+-=Z+49hKZNe|A}nU-I~86gKxpcqnMmvr&Dg zZ%eH(7j^6=HjfbQ{{ET7eqffS@FDxs`F7f>^4tv|TeDLgsv6DLqBKbTa%h%8MtOlU z+O*mymMtZMjXGY5L$}5nLB&_)I#vNyfg(;kAGR{7MlwQ8kc(O9C%CD;VUU5HNkvve zS3Mrov&R{R_5lbHgnvf*^+#dc>l#6?>yNO&{nDkfLKOQxmxOT7;Wex>kNG(!YOeo- zhSh#!DXabqOjfN_ANn&gikNsXo}1+|le zEtmk&QF9Rj8fg8!q_;&ki(AgWFFll1OvIx}r|Tr5uwO`~kqPMGkSHz6$!g|!MePh` z8_ICUwI2>P%U)48y)*vRa&w%AoEZya_nR%hrHX-|-%%fW0F@;2cOOUQzL2g-yNK9l zYemY5fbg1|58I!4O_g-QwP->g-WPUD5yA&L+vK<0GEarD=l_2BCf3gKk~CMkmP&a} z&Wz&6l5cI^6gtIqVo^KVmU@pL#k)&Ldt75-{eDLZGhrNjg7-|na*O7Q#z$qudeV%$ zq7lYj+Ut6Bc%6R1SB{iYdjoy|`68b^OjL^Yoq7LiVa`m9%2$V2OXH2;n!9zoWK_&* z#mEv~6<7!?V*S**v=vJ1okEwq0pVs?HiGYd{0(BlyHYrpzyz}gYJc}0HC-T+Xz=~$ z>BitDk2qQB;5g%SBfFod+Yh?_w=r?gFnOILkNbQ z!xX%H0_FMcY#4D31Tl6>m*R83nsA5QiQFY{wNZ0VZQXcGg1G24cA|Yh2ZZe#FNtxv zy~dh+fhc=>y{oUY9H`<(9Ya-?@$pn%XxlF?WE&X-mcroOH|D~8P2EQ=SB;lex0Fp` zfb8F5VQ5;*QtD=ah$>n|(~2-2<9aA099avC&39*K&IyWnB~xrV_g`)?3H1}{ciF>B z3RY2Rlaz$bnvhI}o72%3+q^ETpB{39sldm>SNIPgMFySU#_+rJ zNFkHrA5OL%LXwPihh+S{Cb^_~Glt^H;pvAsZY?eknOD1A5;Ip_l1S>tC^TH#DAT6B zZFn`0r#^TeVG>~*Tnqj=AKRx3XU0u)84hvzO8y{$5K@i5N`bf!RsVaHrS`8^BpI!S zYk4TLM)~iDPYmW|9B_bcV{+3eSN)$eU{+Gc`>YyJGE14SNmc|^PQ;#inc^~-Wq$u0 zsdD9JS0vzzH-q%P0@6SPP)tmfSBYqkIgHj3jj0L2wH31PR;J7>b&&(fK%RW(|09J0|&MSr%l8)gElK3k5kI1myN+~k3MrLg0<<2f8P(G ze?2c6on#~~=2NIUB8at5;Q}o3Tjc;4gdds0wxHxFSFDki>9onJI$z^6SOIcH6M+%j zYaB+skL@03Tgr1)ioMjv_R?jV!NThsx=gvu{xi!pJeZaMy{ytxaCw36NXMzGy-<;73$_t8@uKq_>7(>$`KhIau^7 zL(n@L)b~e0$#53{Ym+rrs=Q7=;jw5`g6{9{zxVV6^ST|%0c9k6@yK#7@Qt(Fn=CBy z9?1~0uwT>#hJ`QVsg(nqVS!s2Gv9U$vCueZF2X!M6Pt4V;jh8irn<;g79&Kok>D*p zDJE5s9f^CxFhZ)#hl&hf(N%g^&o5@~->z0$&;VbXpGQaKg6;RGNFeJ`*0@BQ4bE&J zF39``w;Z6VlX2D04zI@ZiweWTLz0rfh-N?-Fm?#}+-LiTnlb3qjB05GH7KX?m5+yd zTIts5A*g9C)Y;a8@Oew1D?*IpH2DV4Sl!c*5uDI#BDX>8T05fb`I%C_1_YxQw9q5g z0?QM5!a=Pp47&RSR@;r-g$k_afhLm1{SXqNR1DWp#iC% z)-+YKSfjKC^cq~n7b}|BmA$r7$b`9u?LPV&aN?%kKvg>h0KGimI5ON|zt|wK$H#6q z0J$MJh(%0nSZXyP@g;xTb&z}x8|qJcpuK`B@ln5lm`VHW>3)BGGVmF;Jj?DrM}|Hj zZe|O>ZBHf}n~g68y|{m^v}Y7)l*)jl>AYOo#G+TnPL0jY#r(i#Z?aff*3i&!yfySb zP~K&4QaQup>_>ja?M94HoLi``QK7IuREagzq7C(|ij+u3;f%dy- z36!+!66B)CmA@027RP^BSFnx@93Ux(9P?UC3`WA?Oz%e(y3cGQ?j@w8i^k=Zky78$ zP7?IyefWKM)ca+BW~6vJ=4GSlqD7C$}zI;l; z6GZcbK!OP@DGk19WK2JX7dU=M09QU1*}h)j-)9AY3uoYVHx+!extZ(p{Ui|S#OCca z%g`5&!d=GN=dnnR$laABHKgzdcrfR{<1=!T{!Aw?5VdKDl$vx{KG@G}Zq-JNU4;5o zB*fAqwguJs^?AUjbga^}uK*yYFpi){c~^InNS*@3vM6e&Dx|=Cq)eMgm*B}j zRj<)Wx!QcFz@#UfRt~aHILW_|R1Rt;PM{rlpHhl`4=Ci3;Tno?k?l>`&1$ucsboVI z?ZVw22MA@6ZhhIU4=E;0B*T&8Q`gmHr33K$nutRE`7Xu@hjUi3rmfH{7Gr9{bcvPN zcREU#Ait-UC838;qC=*Nff(~RS{4YX#}Eodvp8NSp&Xw)-hlIun6d99c`Mcp}Hv<58= z+6EI*0NbZgOxzWma2a%t?pF)UTulQ_(0D4Bz0}FU{NXx?3Ln-u9FpxNmo%8bIg7DP zT0j@tOF9mH#qV$GmfV9v^f5tuGx$1<-RhH0A2ft0(&`JBtuG?00eCPkU@!&I%8s>i zqMD2|QDG~yP?&=fSJz;PJd$#pl=*sn_=L?tE==Q(0d2)C)1G-GsQ+#y*#+UrWs~KQ}kUf)StbNA6hNo(>b* z`|NmhTxqBbe5T4QNoSM=E5Uy{7AXaq05{gE)a1m3>O*Lq)x-z)kFoeP#Hmd-@gm%i zlFj=cVkf{Vq>{38yWHm89L^HV+zoen z{=z#?mU$>cs6Cl#ntjQM&}9QR?*m*i;5j56vX<>vmK|g3q%`#_^U_xJxQ}IjrcaPO z7HC{@Zy7bH?By;%4j907>~|ma`#6n4qs~AmjYXaX;Nqt5EAaBc0{%sD- z`0zyX@D#Y0yMYe3m%oae_|~LFEg?;G*OtT*TMxqy#&eNQc6>M}KG)aR@3r+h%N)~+ zzFF_X5=Bu}7b!9Mk`HkIsaaU>o+SaUh%{>u22P5tjpg1pEi^T$4zDk)$=!573gfO; zx3|@MVm)0_I3HkV`>j9wH(-DiGWx`I#%Ws?mpaQyz{HT`#fa)UE{95e!_>n4Sm&~@ zF;!b$Kjwr`3AL7~=n>55g_{=K^opN|PfwdLH%hI50 zN=2$uWIP`KKs#`W?pF<0XIZj!6JW<(Fh#?U9Lw=jLR#cY`hpzT=}`K@73$r$QDxpe zzZn8mgEhgfhhXdA#?SKaU%F32yvd!fMOk*LH9oQE{tz+QaT3d*0uR$S5fq zit3Iqzv!Ykd!XC){mq#Sx2T#n{x@X6fu|WL#^E(>EVonFDpx?u6957>d%R3{lwXP-;hvCZ`{UIf+sAuo&|Bm zynshHhoXOUigRSD+sK1x=&m;&!!M3tcO^f{nYwvyYLLY!x&l~x8&IG zcs)?kq=?cw0LwO%fU69D(M-1DmE!$%v3FqN}iTrX@7Zml^?B2ggvb z?plvXo#7jX4XZs&zQ^0jms{16Bn&O|C3qcoVf~NYx)lK{%dK2Dn;04H9R{{3+@C3< z2ASHA(K_FL{z(3x?OTwrC0gic`t&HbNb7TC%-CIbXMDrq(+w$K4tP4T58n)jr6n@i zIzRt4>oJn}18@-ib(%m&~ zC1#0Af}2S&-m79U?VXi*KJx(nu5#~ur_v%rGQF1~4dBqTx8h0q^O|N!(9HNtIfo5h zks$w`Pe40~8$mVYbBOlUNE-Q!v;WT*@cs_uB-tDB0ahVR7r@25FlA z`@jF6wgcL$vD@DQV_QSw&~WHrmsMjX%U!qlQ>os*J+n+-bK-2P(sR*2mufC71Kbgzl{Mqt7y~8#+C{pxN;HWlLAj19zevGLdZK_BDhLm7= zy6piGTPWoF!ovNkfq{Vg#t=V% z4WBo=`477g4>7htvJd5kcPiV%#XBda#3>+l0tQ2mr6yWrSVI%dvTAYaEaI3W9YZZVe+|NkMnuo zlG-k~#`nB?4z$BzbDcR75t6&(xoivD=e@R<5O#!eYWA$k$#&vr1Zb^iu1yWqco^ib zg~KqY>QcP#F0IuvJKS%7-?`M-%vm*ii1CfR5IfG`{Dnry|DDSZC2%xdNT_1xXgn9w z>Wd^=?WN7d-aEBcPgkARrrK7UPk(RlgyFq9Ve|st*$F+$0du`9ay~?>8>eio%24wW z&V#cvwjgAZ5#S7=UW|URFGb&iyj!aCB27pUlMHsu4^rIw7EQoghJGN_=YhkL;fvtI zN800!m`x}wx!70FN`WP^&Ev)Xh~?=|=z|x(E$fI0&@2i05`MboQO*m65e!Gk#*ZBL ztEcQ7AZD-sf{sV(2VT`*X6bzZ1@HD|8|B9pdt-w*RqDoS=alUhY6OfmvV6?O(WA7j z&+XUbLrz^OBI{iWnXmaOxvZm2E;u`D-I{T{E~3>=x3DcgfZ3}0jMNI%e|H_CK${Go zVCj9Iw6iG-O-(Q4MG{V&K^S`!Dqtaw2G&~io(QQpu5yvp!+D7y#dLmH()YpdBi6Ej zAK~|@cxn%)K);7IxaoZXF$1o@l zrnsOP)=Jg0FIf5%eb`t@1az^oQ|_SZ#2Q>5e|ztNl^g7}!09xeyCqC>!c4`Y%*?qiP=BA)vpM~Z!ls`gg>u@lf2&|LkfXJ_{|xC_%BVv7ZE=)5j0+2oNiLdz&xwzsNi&57q3;p zvNOQ2UTO+Oq!<+lqLe;haLxKEEkw7a_**<|9FCl7__g!yir+7^vRwHT4G;hF3eOB? zFbV!#d-Q7s%(A}LP-6cbp4Bai= z-5_0qbk4r;zMtp!?7v{Y*>C0g;IL+0E6(G49!EPT$WX^bWhOZ~;ddz%@EjV@oy+23 zHzYC!y!N9>`3Fc31P{;q>D=AsU^iwjrmzVrgkUlNa3JsPdyY^^ zvd`0PJ}UUXkzzo#R{hGE3~HHUT&oE9OPQIAd;M`-o@70oGt>T?Qk{b_g%7FHCUt?>X(PX`PDuT_X6W3YOV2%^E)rnXOB2wcQdva7G z*tzW^yVLmn&ZNTK2Gb!_SSAf$-ny6UVS(Rg#Ks-%4%(3wjJaIi4Z1u;0$Ik2a|Zoq zKlGy&A)gXw-~%(GPx-3{QRw2WKU+$(Ho-1y)aQ`0vxf&|0(Z=>SVwF$QsnIGct^_~ zait!bMo?c?TTz{=mpJ@wU*g}!8Qk^SIE)_bPziWkCI+2(Y~{!#Gdni>QA>eMy_e0m zhp2!=g*5IF=X}j9)_l^4$x+|3be6^yCX7k(^>iH_9TY=0c61#e`?7Mm$(D9}e_bIS zuy~z|8AZa0z_PkL%u}w^CnRLqNE4rO~J|)jRpp4EC`LCPOr5p5KhnV zf4(|ueH2FYjT@t0-_e#6b{pbxmsOmLL-SDUGVK&vW67@el21{gJs1k>ox#`B+rwc^ z?qS}yVf9*Ru6EHmRw0;t)l(31&JBml!}&OdoUo##bQae;-Bw}|0f9E;TRtCw| zx$q^#`?v5_91RhY65JqI28sL2oIJ@;X7KH;E+4Qw}wBq6mPU{6I zd6nvjir%G%jW&krBwm;&cPqQCmq5d)|D^*4)Ub0>y~cv`lHL?lzB1Zy`!iL7m>x-C zxy2)?XAh6#Bk5T!U@F(4uC~z)N>=moW~R+C!4+}^TpjzKPW{9i(9EWdYDJ)eGA;BT zeSok}4o7gkXsz1d{S-II@XsLz-#12-ZAMYYJ1WdM`$m>Y(XIZtaQmHYnm1@3cb8Ov zTTh;H5iZoT(VR)qSm~cK6ka z%t?-V$Yy!Jq%mGJ-%$B^&%^!4E2TafNqvF_TTQNQxiU>BBocw8jPTd60d_i(Qlr$O zc)Aem#$$w%rMajfSTD9`Zm!)PBzNplAAZ!16|v_^vHll4f@?#dlAQGh^*ON$ml9E|Jbr| z@?_Dc;9%6%1c&9Hs|*U)bG<+_9ann_l_AC0iAIgAgGtrfl{AlOEZrPPP$3X^8qe(9 z$qbi8D@$piP>5K@-PE~v>vBd^-+TB*R51R|uXpy19Cjggd82hRB=+`kG0B_u4*sHa zERL_v1NV~05_<<@n=6}gFMMocJAw|B-u=63sZ0HS`c6&RKogNZrS+|hEq8`ZOTGB= zj3XO(QR~ZEs_()pYf*;La!Ar}GCr?~)n?<~3vgXwsGB`i7@oA!H^tK_vjVd8=(kLm z8f*u@%SE{|SF>NA=+2cDg8X`aD@+A&>OArlRWC0IOAV#be=y#tn;~iOU^hbyNG8IH zOejzTo86zJrLT^ug|$*f!NO4Cp2kDy8YlD|zDmG6%WUYF1p*!>ESWmYuV|~xL{cUC zjPZxFQWKw-BE7VQROQ2(bfc8xYkGiRy6u=8-Zl$ieZCI}h37HT#slQvk$F+bnEprUS$UKNzJj;PJQ z>{%?~%WHij?$*1VV``hFFj4;{YOAy?`*`AwWSmr_@8TdSjn*t?Zo9@wd5trIaaPME zj}q2EL1fl<`+^}EmzEPGdR)SbklzC6Q{)W1{tLa@boL72*L0B_^YnV;PH+h{tqh|s zR()0pvk_}e#Qdo@4xG_hY~r$wYfi$W_=ou|o14N2Sk!_ESgs%md8{9^6(7nH>XL%* zarl=Pc=`qYj+Ge>iacJ9iV^p0_5Kq5KoUKfu~g#ler#EO9&dGj?z_NakSjCIaa!R( zbP-2zxR;7_Sr^*kL6+`0bXv4C#@Nf7Y6hCxMWX7VidsxLviDIxD>)1-O6@5s-alBRq?lV!}t@+LDA zSw>Z+^g=b_fD&S@vZRmj@#XQgx_6Qt`^Y~|-CW3|4Z>+5VUtR((LGlC8R+Uxg)yVd z5|l%7mXX5WP=Rr8eX?$}!r+!f&5*lM(J62uIiNI&q5$fMKecLNLSI@s`)?Y-8@K~i| z$bjWsHvva_qJ$+&7sD&UV`ppfIo+>y7{A|;_NXF$GL6c+r!OgNcRysjG|Q zSJsSH;9=hvUuZl#C^a!=De-!koh9tMC!Cx=`*9j$n~mi%NqCk$5`0Evan*cc&L~}T z)3;;!Cd7D3J)Pi8KZw73p*MnP_|hOilmOEC@&)q3$q;6Ik6Q=#rMwYb$qc9z*dmIY z@HI6H5;EBFsR+?tSRdWgXfCWyS{%};>|Mjx+B__?V=9f=@HOQuwC?nhCJ4B}z8*38pIDRA2rZH!JBJv(SxV-8K8Q9F#CunG zxKLZN2$8!FuX7<=H76#sxqnajG9<^$j7!k7@Pq&jq%xBtNNii4e7*r2BZAo&nVCAO*)a(!T@GGU0!7QwYYfk?~U_#fKn38u4yMQC%rTzux` z(o&wl9M%Z}p9Nc6#+T@c^<`_-%9QYGaSd03JGDJI(K@UilW(O`MenB?zMA^e1R;_i z?bdgd@-?$%s5<3R4<})7-1%ETF?IKy!q%!RYwJ_~=yzxp5H&YnY|rF2`LncJaE9Gr6=CbhljS#J zRlOqLx>u)AU7D9I{R#PkBA1H}-s|SuC5wGsa8s3AsN2fzvT7c3jW*bx-g@QKjlFtY zdLWrQZ^3zVv%I`X7kdQ)Mod$T(%>jm4ptlpxRh)1QRe%R**E^@q3??QZr6%K9%Co) zTEX@H+!A4wr1@(-e*L4FXwi8-v5IA4>)ox*0>}&5pq-p=qe1C6r&L`X+^BvL$xC*DenqI5Jsub*dJeXQ6pg3ZA~sx&=a>}0STfA0#|$44_0q5u3H z^2v$ORRM&x7d+>1-4H(jS7J|)rDJl$2* zJNlZOg$LaD2=pS)r57Wa@d7Q{iI*j!CvXg(!$rDYkK}(W@yPOsjg;wWu($Pfm+zBh zhI3gae()*SiOc`{s+OX1UkQ4s*HIE&94NgtXpiA=h_@+=Y^^Y84R*X=0d0}^k+&m* zq6El1r?5iv97B1!v-g!gQ>ex?MTRx)or`j7ci#EA$}ZRHEz0(9(r{t=6E;}Bm092l zb9P=be^vTxvoyooRkNpRUvLPzww~OG{7T7hI$b61U85ZPiy2WDOgg#r>OLW2@D8RN zfL45RC98K5a!X4uf6NU#Pd#FE_$iwz+_e1u) zUpehHAHm*?bnQyzvHV!9nLj(ICCAUcCP9i6iyBjJGdRkqM){Hw?X(dpL>Y~3l~LtY zcUgoC9~yPoU(N8LWY3q1m}|A(b08q3<8x^BL)B8u??bP(hZU_bS69wc{?2$%s1ABK z$OpqK%W- zzSA+z!Ugi;q=HQMXF|kmeEW?~43jd$=>EEC5iuX=YhAf#hJjbgT|nc-(-FKgRp`eB zq%-0LRyI?05~lF&^1w-`5!y7Cs{b5ne3DU1pSxrRa}8k>U)`y8F<%~QzJpYOz^;IV z3(X^Zh)`t`<_GLgcROTRdO`2A8Jm-mH*qq{qK-HhENVf5&F`W1olQt5dVgH|r^(;( zcV#mL&>DS@EI!a!MaD7uNafqQx_}$tOwP#%T;u%M5#z~4xIQ$8Goxp^|Je>Bj07j` zs7rpFJIV%;C$z5Ghmr9OwY-qyK>BG+km<+zc0j1E#dh*%;$S?vZ(sGyq2>Tu*!U%O z1k|QLCOL7YPN$+cFr>k{s!B_>)`jBr)x~yFP<28isDHeNBSXry>5Vp_1WkkQbk0@7;=i-`3ip1 zu5Dwb&nrwmJE067Y+1sZ7w-Nn$WFldB)J%M#n!akXi{8e?{`2PJ*3bR{AXVnN7~Ld zyNT2Qi(B;|zigUs#C1&MGa9L*30G!WbdX2?iq~&i5-h2s#5$!_Ezc0_Pa78t5d+e6 zq8=Yl6kuEw-Ou1LK(MvUc6Q@No?Dpg=s#kBkowEe_zKXL-v^6xSnTl(ziRYEM$il4 zc6eH4=TO{x_0-fnN5=pvU5rz!+fsP&R)$8~)t^jUq0nUvv;dlyao_{0+pY})?6%OH z00~r`9AOUE`U-)DVgxb-PctGhHUtW9psp!c82nQoCDvx4r(`zZ4&e%}Ie#}Cqqw69 z-5|HGN|PbxMiCTauuzswsEY);+FI7vBO}Jbl7>Dvl}+W5cWGlg@(aPUg>tTQV)KVsXNsPDgZJ_Sq8v+>s8Une4cVYsYmf?OOg6q9LeUTBo=ro9QN18 zS&2Ir8VtOD2MyyFBRlhxIL+O`OZ289?#mcsgxu}(W|1*dls*cY4eC7yk$1*mUTbdh6hxl z0!$J~`TZ%>42!K;Dwn-1pZ9+pEeW?qvr=0hX72Ioliu@#>69?Xe#O=RalYAD-yMo^ zzJK|*Y$!yF9XgSz`r*R|z%}ACtv*DrN6&{O$Dqp@8$nDN!s~MUEhZ*rSgFs+6}sj( zd|vrEncW=sDO36>`>y&H2u4u=G6Pjw0g!HS==D+{!0?qc*4eu7wH@z{H|<@m*1haL zC{ps%0L%(wqR9kF3+V=vvcMFgwM9P}RMRoyy^j{yQu%J42`XE^h=0fXpBkdr+vf_J z5JPrNK-IC*#X|A>M%Y}s*?W{TSEW;^(`4AY4`dWbsg$VZ1Ic7Mgh6-3B?73sMv}M14{4T z4PJMg>r23=6amZ*@&V4SC?xdiWK{_W2Brf%4)zHEq$MASsZg9L)u01(RtNwaK45p~ za#~D<0)G0^6?$#88WKPlauG0=%1zGJ3w5?x!=4>7w19mG-h1t2@m;!tVzc?5%q?CA zUpOqc(c{TV4SUfK=}6eXoHutdkiC?$|6@>xB3F@%)tvvCMZmmdMnJ+OF1!G0_%#X1 z)NuI9iB0~^Ee<;zQH4pD%PtJh6O2J%JSI(LB4&NxTB~{1>hpuy3Wt=F$UDHGrWlBe zkOn3}`oa#aS5G(|VT82N4KUD_0CmY?!&}{HIGr5T1E!DJ+;={hVJZV`Yi_~we}ECv z1oC-nfMf{5tI;$rIinNs!l#dDnnwc(487-UrXyyI&FuK!EyyCYqk{4^1?|>+d!Nf0 zty>R~P2fQ?f~vbL)IFa-R+r=t+;2E-mI|ZZu=N^@zx{$_QKrcRN*+}Wo+=8lh$8v` zNgcvc*(cp%hAY{d+uPhM;jnJ}e0IKM!xr-|!r_aJg7%y-Ri!3&WYQfF68(3uS3cO< z+EPERrO!bl^HU0XIb*L{9(?K(dUU!+aUNowB7sG)4q44aH~pif6({ zGx@bL)BvzBs{e_Z1X59o<$VD`9#HK(MFGW++}z#iLE$g`#)O;c13P!e^AZSr zg@ivpL*GCNKDLz-RpS!?DBpLEHChV*F01Uq+jv0HIJU(P`14F-JaLlMhu2jh#-o`_ zR)U;>)r^$SeMbfMaB^&u-2-Y>77AXaF>q!|&u6NZ4$(KYExcvagnV{tYievsvI+o60c& za_kH{o$}21CzR2p28WUm+(72+5)!OW(N+Mj9mpI5EImbIh}vxcvC1$W1%>DPEo3zc zgoK3608}*S&`i)BNP)~Qeu@invZlBk$2UC!Le;lAR91^UV8CKHg3mUXB;ZA9@8kqP zs9C8;T+6iD+)NG@U00@*|HD&|FQJHi9Dl(I{RCi!YAq8b04N#HYAksX5c2@W2f70^ zTiiJ)IB}3{jm+kN*NYA?4T89xnOq2Ggu=vz3i8do9`DOOex3LM8pe$BlK_??)lQ@h zq>a~7}%a~JK~TCGzt6@uzz7}t-gtw#3kye|0 z4NZ8IgUO*8IAs9Ct2m3crSH1tOG7-1or_n>$Hy11FYXTDrsiYW!o@Vt78`R&UH5Pn zQ<-xds-N!~iyFP*cV}<)*&G7`R1Q^lj!En5+HK&z{JpI{mCC{qD0N()jgY|m0;)oN zGPA*RCiP0+wXo_}W!N%}`WWISd`I6R2(b}BV4pP-QIB&d{DbQows#68T($zUE=AOQ z-2~E37yBv~!Z&~y781R!CW-+T_HOMEYYX7FvXsI5C5qnX>X<~B7_p}va~%z;+6DjO zgd?zFizjuazbb3MGP2w|P(z)tlMCDAS!}eW$tZdIc!F-Vi3~93;w-xQV#wg}PA@Ku zD6Z4HF#V6sySsvm8X6j6YmAb&$7eg(zu)@Jk17I;+_FW(HGtGBS!1W6$_Q z6LUmEQcW=GE?iCoz9%Kc5Pma0$aXn)txkG)o*{T*%n)(-UoaPpK$&kQ1LW_7M|H;w z1G9wMah8nVUAZSQ_#Klg!n*Mk#`4jevx|#bRYs^$scuW-EwC)fxJ^i(K()l5BXxJnifK*HXl&qI$ z4*F!f&;P7RR9oOkp{eRe%)dC~f0c}fo*(6~Lt12HGHO$=R;ChBRJ*ji10rtlvMsIo z`BUyc2OWUpi(iN1J_W4S=qsoYUNtLYdo(8d?>GUetbxK>?nCs=TJBfe&Cb{i%-y>C zZp`{;Sq=)mcXqZw z&M*z57{-1VZVnRgOO=lN3PsVG7GmIdfd&i}>n;#W22Nw*1vav)fOUQz=IxwWW`cCj}Z!YqUl974fY z-wq#T8MN1a_(n`%Lj%Vl@&M@XDI|RcK|ajjSW2s0sBxHQ{Ati7Zewb2Jp9Y-e>ZBW z=i|&C&faaqYMYPVFoItQe76@;4-{Mg2-x33BotahFbvsfw_;y!kOmBqzYln+ z^x`!1?im&v_G%(h41cE(HZBfVxtmqBv98wQmpIG~`S`DlYf{zq!=k9T^$Pj-M0#@nnMACyX?$QB{ARotJiO>C8L0lB6jN z*yVK$T1!0WdcT^C@E2Z^V_kSHB>vO5Ta3x7u!6ceaR}Qj6VAz)iB9~KBI#Tt; zKL*n8W0mWRlT?a^9NWpYD_&@-TD7geVY_$heV+WGPgHO)OOEN{$i?Te|7r#E68Zsv z_@2x41$%m2t$dX`qQR6ajFKq{77jd^aSPS2k(6-$TgM0#a>c=xv*eETKHud)tE=b3 zruoE8!eT+Z{RF~3V-Q6;gHzF4n5R0PTGiWRMtO}#6BXjeMJ#yGWWv!yCg9(EFhfy? zh_+{3lGtnf-KcL*wAZS)s1%nvTqZFc`r94$$bbn#J*0S?AQ-s$_XhO)ZMKIdm9@0x z*RD)@6@Qe;uiZ@x9!zvd$$KnqIN)(kLR>bJNFkA-Pcxrecx_jd{T9ehlJsZ^M^)|{ zC0y*ae#UF_yqk&IjP|;{Qd)e^M}^qBhWO$Krn2g)9}GpTa-aPx-9M)noD^SIO{zcB zv9q+wOm}wz$J2SMk;p4f?q| zGpqD999 zk)k`T<7)EGgu}m|#_kChnF*qKt=PkeM^~n$S#n*PU%}HB+6C=JF*DST|cRX(b)aJLWC2u3tA zmBTDOabI=f^avcf>VUzkWa_5mp0?TAdyDE(--cgc!o*1fY1bAZX zP6a5PkDO$5iQXq&rF8XvLHg%aAy4`>vKDt4)_X`QYPp~eTdz(yKG>1E4xF+gQLA{J zIRs#xdug&vn|PSXu4fn;DH%uoYSpQ&Y5u*D3}J0&CUJ#O?=-hWAd3uzp- zFd~Vi7)YHd#?#@gXls^-+fLOjQfFu(rIJ2Z_=#rczkFB{h8v_#1XWYNT@~gWeV0rMhH+ z78y%Ug*sKvQ|2l(Y~vq~*4U?Q0Mc@ZfF(gb7~8oQ3LkZB^1JV2vvQltW0WSoO;LEj zFu(>C0)Z)z^$jHVyY(_d_Or#5S6n9A34J(J9+4pH)b|#W;*)s!n^)13lXY^ahCZ^J zJn@1~-YRWLF|Qw*A59m$jOyI&+MUwWT`0F?Qx@0hD7AA}n%y1$bbPUBu4L(PiK-Z2 z|F!7bu2J`|p09GnHgW*y`SN!gM;Bkyq?UZTTrdlsVnSk@B&M7KLc1;qFNSHI_~i+% zfcjWS1t~6R`~FUuT$d##5aqs6)JU>iprhuZ;*`zM+6j44EM1mfQ|QvOV)1a)S9iV$ zUY!!fD5q+bsL!IXH-K($`k~C?V2M3&UQoq%@Do~3SpCNfAoA`e;e^5c@JyZmd@aXp zJuK)IjR~>lv^$=$${_qTyjMKAF}U{mA>p$lx@s=3S&pJ)L}(q)|}DgqmR;HZ1FA&iiV;oCn&?z*Rftw;)8J2kIw348&&X=a>J?FtI!V#>IK2!S*c{42 zIwLfj&D5<<#k;^GP!r;HzDkxvK2VI4GIxj>u1Q_B-zlyxc|slhH__PfEf=b}Mj`J@ z8qHvLcRut7HxfHFQ=&?i`iN)VJ7rE<%QBYLkf?5uEh(c_s1t12eBPC4PA{{Sx75F>!E><(f za*m}YvpBZx&4WCGHO)@lR*$0c@w2+oY0h%0VTT&9f8v}L4v4`1GH(!OOE8j8Fa|K z=HJt&A2zOjQHe$9ROZaE8mCe*LQ$d6{&Us*raZ@zAVz1*B7s2n_@kW5?Jp^Pl+(A{ z`wk^4buBCJ9sN4*aFwM9cmZ>d7>x9yGibUXa z()lZ;nsISf)Hc=8H2PDZW>8G)=^wp z1$qs8yf|UE8x*ntU?in!wL#V4-HcC>G|h$c62@w};;sXeG?H>2HpdEN$|!Q15Yb5# zQp==WIK9=ir2>H8y>qQTk&#?W6{@MTIc|iUPJD9mYE0U*;R_KlM;o6xsU?ij0BpYS zS``mV4C6V>Ro!8y{0YPAs$OKEQPMV_CH0x{7yA~Pl|=)=9<2aWSbW?K!Yw)I!}`Py zyY_VA??BNtT3(wLpC_!gD3phHorIZR#e^?TpON#KIv$OGI^k%Z4|Q;wIb7~TDfse= zCic`l5BaOzMaS=|p|1IT>a2g%0`4#5YigtY&vED$D@nShVw%f1c_iypMjQpZb>%Sx zf9(EY=eRt^5yAF11pGkHIN0&uG+GyeboBQ0@&%drTa+L4^N6(TcqDe@m-(Fbw$IWm zUNxbnEth<0hdHkXNz zV|o`k(x*=!dEMu%POyPqFvAri+SAZ3#nn3Eisun}E$T^cS|)w)pkHP^FFDYzJ8vWUs`;l>7dM3e43Lnj~H%QAZa9qHXe1^g^ zouiK1#^egsWt@oL%QYLibX+8cwl7M|2v)ZMA}Fe-8DduD4tx}^NH^3P4pKiR?Ef&d zQxM#6TJN7)jG0p$dT&5SpCOR_|)@jd+1QcWB z0s#+2x*-8|SjEYjE#iQxUO;N;QK+uiop1$!t59FC$N7b)K}|P5k}z!ZmTa&0jA%e= z*+)H_GLT&cNK9?8BK$E`OBsH8mi zu14(`-UKYr@XhVQhww{_Vk$?Pu0_O?p+AX(2@+`Vau&no`OUn^n5Y4U-)O8mA&}NG z;a9ns(FaN^(&+a>T=ad6im>8`GLBiR)o4rJ!lOU6PvpzKP7YTM(~PQ&c+h(plsCjM zXhzq-U|6|4`Y*ytV_!mMc!eN1S^{GK4)(MK+uR?ZxMG_#x4s=Z5Nln(Hudksbj@6( z8T~a}zAkQ3q{=zMDU*fIuJA0r$o|cS+u;_zXd*aBDr911FX|M{3AzKw&aFvmi)?hG zuYSz70td)MpAx!(Ll*mP*PV+DNk^lvg55lgp^Fd8(cGDesINx}GaXO1_$^u>HMoYm z_S~MoqEgZ+!VFCO7G>M*&8PtFE@l)+) z$a}^nL6!khkWVz2gwED;b`e8^9u%q*9OM)xH%^W& zA^AlVKTN-<5V%PKqZU$E^;1sHP!U)A431FMKr?*{Ru7W}G+*QwrU+Fnx25^(>jyne zulI#fKodCqc8>%pSriILc!CyX*1zBr=i^KZ=H6G88Kc&Dq1@PI9mpa#lA_cZ4fy@l zKlE6i$f;9!#FJoSz8vok;{1b~PIuG_%msgHJ^`%-Tb2kojDt;y;M+WUDioc-7$K+& z-Lkk_9aXA&B>I({m^oGRJoW>2sp}>I5?yB=)4zR+6g1fpGoN{|(K6p@`Qw=p=Z6qy zZ4!<>{F)Rg66P>tKnhOA)U?;`O3I?5XZz^7Lr&7oD@M$?orT_>Q~bHC#n?VK-$=m;4gT}0;Md9KOYW1S#Sev+v(xAIH;e6Iu<=Z( zBvPfTW6Rwmt+N|eSl;$8ga0;O!BIf7a6_kZo#t6{W2wS)+iFMb+Mnh6BZj%3S3ZcB8HZgnNq_+j z@2`@4aZuOqSXcT#P159&xWHxXVxBFxqV=cu5%^E~-PQn(hkO{R;Jc3=iG?7ev*rRh z!5`L(<$(IfL+h~JZd)n|baDcL1e4A3W>)J_q7DbxcxEC~X76y?z~4v8*=Mw?O)!B_ z3e@3bP_k|-IwLshjj{N@)#j&?kb z`_^esabV-~&wp!Oe=nznqU4I527jfM0;&=Cy za(54vwqN@o{@m<4QMkV@S1!}!tcJ9~9@7A2Z!`zF!hES7q+D-jknp%a?SWs$`)hV~ zl(DT9biS+e(Pd=NXPUi9!8-$X~tBT3AK&k#la5H8~Q7jmr_%v{#Wro zXPu`Rd4VD(IiAW!(?<2c%KOd*SEZczI+w%pQ=nY4s~FR@bprl~V)gT+4}v+R8gZ#d zUA=Xy-X#K=nVE;<7|`N(lCMI7{s@tdZ4nisgTH>x^AAKR1i#1`$X1dzm(B&HT@7 z$KFB_GaujIz|EKd%Y5dtshpks5@5F6boDNTI2ld)RY(DcZnJt|hfeLp@{&N?YkmX5 zXajD!_>#o+Uns9DT}^MBT*!EaIznFHNgjMXc>BM!2+#};uq^MjKSMmY#vks2BUp`J zj0aoHv1tcP!dpHLF%KzJ`_T0Y zfxt5;SyfO_@Un9y8vqUDCw_dP1Df&J9p1^w;SnbC@pAnNFe5J6?S2#LsrRzRw8q*{ z2}~@nRbzR^2>NU_` z5%JbK7ZQJ>|EC}X_f!@W`uYwV;DE;)p|p9b*Zf}RL1Rx|NS z_>Ac4wH9B#M3Z10y+bIj(5UtYKo%3pq!A|h)WiSt00~A^bp)ufd*ADl9`>D(;z!sc)Y`O2#?g?i zseRFEmJj@*-FTkd=%Sam^5gYZ`!CeL>#x5M`2be;i{~ZG{o!;Fzy$NvJEwz$l{VOS zJ=3P-$H%+KRt80;mgeT&jsWD?;$d)9k8dL`^<#FHx27C2<`Sz6rF-XDmGy0u8U5&N ztrPb6;Ak9H+V;nqKr=$QKF$Knaeju=sbnuhM7dAR4O!-N<+hauFpmRUTQ(DK`ygO@ z5GBHtVLQgG!4uTW{Cs1YD=3LwcHH@rme)+Kkc4|fnH}gGpqA$6OGLDos<9l6&pw*9 zRmx`nk4X(2f*1s;@>*^N_wDEQ3JV#li@DWPEgYaZ-H?NcmKSz^_U+GxYk|3B^rf zVYqzO^J*3?%xwmSfD8xmesCX1`jGWeFkKX|Qz!!Xgv05^AJN`ftI`T(njAn-1p^+V z`ZtT&av8u+&;F;e@E{Ni!w;m&Q_Eznd`so}@JjwVPH_AlNo+41n?ny+lTHG*Na6O> zo4m%z1rB81(N7M4gJsmYk-qk(>&3|wyk5XOd$_4TMlQH^>TuSDKZK1#zehZm2_*!P z^zFRN&@tDQ`&{>UWQkqOl!)6SBLev;`q>g)@dj|;EAgNLXc#^<2Zb7-XWHsfwmF}W z!=jLD9&ip)nr<-a!%T=i`8`#nG%cXD6}!7Dpo|C23PMX$TyAVI2V5X--){o$e8hlt zA#N(mCNq#UwNkIA`p-$G-T&FPxv^izq+Xaf`}4o1d)h&T8jRhLS9D0001Gz*OhAId z@>=Uf3*bYH1OM?W+Nhr5`BvQ)cXQETbe3a>_>~`DUPg)64UB%U7*jtlHhRNQS>5S? zY{}?Y!=P49;E=)N@G0UA8@Ts_kG!^eU@`k4kj8Q{eGB|;QP#hcM9diZBDb)}1WKoi zl=|wU@G`U17wbWJ^Q~hmbJaV+pQfa=8vc}S7EA0*LDaC||1en;o73PUT2<`lnuow% z%+1UqQO`nphA+x|w@On}FK=TF(oTYeoSpN*!%kRpUe*QR2NiyO-XE6Ut*JADIbbhW zqr(1^0I(Z)7Q!Vbs_F1;&><$c7N`jdZT&PJ1V5o%cTm5@%X!8V>%&@A(p5Q$s~lY# z4~?2Y)VqT;lR?ntWuZr)9<5>Rs=d+S-15CnMn#M3=?v?a+P^!Q9wS_0`TkP(qy@zW zi8U*S;kqdBOWDt4F^M=MpO_`aePz6ik50KS@aV_J^CbSB4*jQ9PQ2wG+)}3&VRW&0=nmbe}>~fJCUwAb_m;bhJ>jnS}K_=Q-kwznBvca>M~X zU;wCx$<00i{ZFU7YMGK!^ zYL?Ix-r0?EL*%^0c4o1?T%}$K8VgIVzeSr}yRctUNPPTk3l% zk9(Z&*usonx93xp2BOm**i-M;bgqTU1+UD&$ zn_!X4q_747u9^iCz=|Sec_WSpm`YMQ2Bf8ylrR9HJmK_e<+@;3yU% zF($E7fP>outmcXjNGSu4xnf3ib7tK*Y5^_Ke(9rw}6qQn*?N+42avlR6> zPkw9*WOxDQ7L{AAB=yO8-)4Mg%kCZk%#&_WECozz*gdc9 zHr`uOe`acV508XS-tA)O6O8@_uw8ihL6bQ;it>py98CI9Vnlgt1F)a>#j|nroK66I zXHp~JGm^<$3z&p>G)gP7pfCb)f)L|De97-`Q4JPjJB;>EMnwjKHNc{UU6YZn6TrS% z!mUUoKJ4tk4VUxYBe+(Jkdzqrl(?x8pfP1g$Us$QTnn4OO5I9H5~>nfePGrL_2bwQ zX>6uzm&NvU_Mr1;J2&fZ$9q@AptAd5jmk@}vV!Cf438r5H9v0ztc0Jw}b%!B>$-Q+-=+eFLP>VI|(t{VKEH77)vy|9QH2 zG6y(skecE90oWf1-Hq7&aj6@v+&&G~pT>nJXtuotle=yV|0}!a62Re*hnh62!)T}{5qPsd>C--7Wg7rvp4d~6cE35c*@G+8o~la z2~Z%P0GQfRBu)|@`|h`DYbt@fzlSY%2hh_c>4`hpNkpFM?!2UtrM0chSDV))nG31( zg-6DmlXP|$%4VsxCZS^MVnBvfYjeR3pO5Vs2Rq#iiGPktjG1DADV@(N+=|el~^(k z4i0Q-`(eo8c2^q=-b$(;J3pJDlma(rB0&P-nAi(2*uw=HU~ciRhoI7IxlR@c=(9iuMy*=uFr5c=sEKHxZWI1_@*p>80~?Dw zuMMz*kmnB8Z7bW#6{ktLLiq!*w+s=>4~S?#nZKT0)Id`Oe!stqAPQynC%ZfjGWhL1 zVvD|boz}!T{`e)>TCla&R1IgV^vyTY2@c`|S~RyQ4BmbUN^l?7VZJ__FYSza;L79u zv;T*#uZ)YL``@NPIwYh+y1PSK0SRd&q`SMjQ)#4Ix?_Q*QR(iMuB98E(L4V4@5RH* zWk0iLX3os{p6h!>pwrc}SRxa-`l~R=m2WXY3hOiNsM6_bxOzRXR@E>}(K2mhQ9Nw+ zM!e;BD(cJ~0_Kj)w;dWU*C5^*rqlEO4j*0*guoW*(e?(Rzi43)Y8?C9&x$Neqi8jm z0ButF13g70+9W?k7Ix^dSNI!uPGAy@=$^k-bB97up9&|~EcoVq@0{U2ZGDK@XQdSdVlqk$3d~d%WY~zc1c2;YY00|~N?&zYNcGwf zxI+MZsmW!#q^ZE0$Z7T-w+tXEtAm!G39R8=-RU}~)G;BD8OkM?N!2b)aeqENRPr97 zN{IXBI`mEu!5YA!qov??ugX zcUcz5lxtSA@e1KB^Eq3uk9fWMp~-Ow)4lNc5TTHwl@w+6fTRciF}JSr&Vql7vfUAH z;z{!tq?$S_F9HNZipqLAGF}hY9>7lbwC6-kc_`K*B|OsgIg_vk#5(ofx1e z9>R`R;q*l+CXy#z3Q;WIhay6KQc~x@t0{u*YF-&L5OeS9{BS(;K0U+?I~=E^Ayc4Y zgI~U?w)wD6_d;I}aSXsqN?i^Le@1!lVT-1w&Mkvh9@zLlo58&OQL`tST98_0I>_^x zjH&E2=STPUi(2a6oA8_N7oDYu!mqPtkbH9;a^;OAnKPmSbYl_Rrpr>o+B38~keTsB z62{mjVMLxobOAHO6>UHCn39)=Jv)ObkrJ|wlN)`s^Nd*$0b*hYzM;w^rW5^VH@K036=Wt@IMu) zxc`grV(!Rg1Oli)MM<_cj!EFp^aI;8?nVff*Nr}rv{zWDL7#{55P{?Ry*>m<0iki> znHoj?t5YCc;|@ZO~jOKT3J}khN_;Y#)`B!w>u>ae>cR<1D zuY`xOuH}8F|BIydhx#Rkl!|jhDO|zozD@3{{1VLm)4ukBgN8KC%6H?hTlCMK9n%BJ zJ0b6KhIvDy>ltGF-=Z2vinlwzqeP+pchA?1dLe8dhrT8YuM z?M9RCtBE>@_pb1bl(=W}8!;BG|8qVACJ_?GZwTouL%!6_&bJF4+*`~BCf@Fb-K8)Fs>r(+#0njw*_@wzcol^>_|l^xwGls;H~$n(Bs8=wb5nlXLuE1WLnSbifN ze_xqD0;fGg?bSARcdb*;8?~|GCFpjd0!l)8_qm~=$;-jC!5^6IErd5nI=iZ_?_!kEG>-68( zQ$D@Cn%0m!S|plLc&6;1POzCbqyrg1k0($`B4S`GWJm)pUcGjP9KFq1qKZ>x_y8)p z-SB4Vukz)eQx0Ygkj0-&r$SU7&y#dJAvDywXxFWn@qX;fiXXi@~#pc?p1APY*J*paUh4%InD-+M)t07QHrt(gkZ9YkSBC20icBE+-xFJT?M03L9I?v6zpS{8g`ptYE8l zWt=mL>+R7&9(_?YTM@n$63#0eh#3hdU1(X7skAhDS0Pw9XDxYtvfgVX1MWNRRx%MZ zyhs*3rdhq3fsD|svj!6dN7|{47U$OJB64Ve!Q)uqRTS$}ZST*&9fE_Cfj!O`_PNs& z+zV_aAa~T->Zp_P^+(Q`@`mAconNW!84XFrRvK9)S6Xv@2jf5@L5Sm-B^p5JF9bh1 zKD_yDXYT@vFLvDesS1ei^w5aNct&clx>=h;im25%Ja}yM`HcQ~!<6B{LHN!NB3akuX53bGcAdZ9LcU zC4^E*P`IX^>|eooWVS78QP89(5z(F^+idi&X- zYHeXnL^~u&gwJ}-|pK*%IM0*bV04SgSzeh)djh5Ay%@rv`hIW;EF z%bFo%A#2D|S7b<~fagU?niU{`WB`;yk$sWd-IS`u6i-1IB4dkIvz6S7d_a&gH_$4n zdjN9dRRP3k$j2J;&};eQGc~%y(~4HpalwXE!1Uq#eVc|bSBi=oi|{CKMVg9WvG8j4 zq*N)hsOTzh<6WVW<(*Fd%K51Nit7IHR=iIK{>Sc)`F9H6u7&PyEDL=rue_h(n{G^G zO@`CefNb)?a*L;jTg%>XtnH3-pL&BXn9Cjv(cKF`;aI}E;w}N~8YNG%1zg^pMn$!H zoENP$C2!#qH@T$0_%shWC9F2!&5P)f$*Oo^UfclU3U$VNBN1#_Th6~LK?y?FsG z?{5Gz3a4C)+iK=l2xe^BUVs05UDGbmjceP`V2Q*XbkJS_V2yDABPa#bsm`}&CVKGE zDbVMb_OVQKyA5#tW# z^TYRUj*!fXBJ&*LHkPnyl<|Y=Xq~>vp#3(-=yjdOHZD85 z2yl}=o6@{X@0;^_dU0@?P9eFvweAvChdu0`LHFJ9QOS=+?lEof%+bDbrh5lB)E7k< z0Z^aP;$@MdYzjIgYoK&-hpCZ33u8T~6rPL&kidsB^@=$|{w!=!o%E4oQZatFQ&|)j z$R(kBN%NZ^Zvh})yUx}LxQ{WWLvsp1guQ)+4ECReq>2N2R{v2WEfix1{Y|erRUlO0 z+Q)%|t}H%>K+o&rVYwguIjkKw;pbi1ikz(MnWDWBdV?Bp0>%Y$r+Zkb ztuEF9RfUb;Tv=FnXiZ6gKK&9@c^(l9Tm+{OYszb!@qEzebqsSejB$neq42Y$?)44n zjXB16F_UzD-|Gd=O%H*GPm_4w9c0{u%7?+D*N@fk&@bGu8$%aoT%R61m?iJ8j^zB# z(+6AJGfkS42%syW0Ik{dX|rO5?(^}nmfNXxX71g^DdFq)#9_xvFAAO>HJVkkDI^RZ zC)^KH@9}#mr6$JPJSr^qCR|yzsKx&(dX0aUu`R<&Md1|!n!*F>K0pPn2xJ0HJh@;N zjNp+zVD^Amq1 zZQRh^9oWk4WrL8qPuKCIT=Ruj0yFy-bY8jb5%Ff_Qp<@ym^*Db+ElTmaPWFz*k@t5 zVKLtZ?-p%QOY_$>;*^&ZbQep`f0XLL>ud1}$qApnT$ zteVf`<+~IuxIF2YZjlWKI`U4#tww%(Kx2H{$B?NIDfzc{MN_-S9-}w<)d#mXzjMu_ zssTwp4pS)s<}YFn<7&+xUvYYxF+PRz7_dcMVl1EA<5q_P%kTd3hhmuW@dbhC02u;u z*aTNV6DeG6aWGSXe*4vJ?KqxQUsj{oiSR0O5|G5pR|}i~eHxvh%!;3`F68LCy%{_< zjwy#uLQKomrYCbX5+Lq}vq4tU!90|^NkuIv?e2V;u7^C#PELZQn%=v{`DO=S5ntg< zkL%;8ER;g#-U9?)3W3@SkB+&B2$=W6tD-G4<7an5eFMymZQ2su^@q0hYaXbr-T5Hx zVEy0#<=f5x*caEZdX422TD8W%_0>LpQx!TIwChr1NX@jg3h4#RSN5!3*DOry{V|Al z0^Nj!-_|y{=Rnuk*Nu|-kM|&_IAooA=JoX+@>a*KEYf(B2-mq_#?kHʵ$j(%E8 z_189r0_XsSp1$|@f@&4?s7}1%4H@e~^70D>Y88I@OE@bW4EfwyJud~F3i9f2YGtNR zpWxc-sDD;JtszbmlEaAH{=!*4imcRl=)&HAKLrmDKW>)oQzUaP!6t}kx0hOI0MIBz zK!`a+?ts2f`;c%Ta4rBz*jMO6B&B-0i}wr&BXV^ZVB2@Q=C@g3*%nT9_m2iKk#3^k z8h-k|K2Akjwnu$jD_S3pM0JBjx`O(uCA-Y@yU5e%hYa)0@c2gqJe~V(%q`DzkGm+_ zbqXPkwx+O3m2f#W3)3TG*wHU!KohmBqXUIdMUlc&cNmr?mxVu~HJS%08a zT$g=s-0Ct|$30V7-uq{6>cPW|>xXvDb%DV7ww7M3LU)f^{5 zmcw3xWs67lz*@scDSPd$ga%f;8O)0!wS_~vso5TX^h_@Xgo}Fs*tWloH7R6Z6nf>* z*U5VG+@+1Ie842Ij;B;4Pov#e0vQ_?C+PZf#~pNLUE%B$DhbqeA7^paK~S+U7e z9AuaZC0*zB>ISP*Ws*<=X9jwho z%}owy>}(jU97MdfzgVuIzA5Dfx?26n6y(hfgWdz`%bCkHFFu1#C@YtTZp@)}3R-?) z!Ia_s_-&URsmiXppB^(%?P?$%P+;?mC_Ivl08^=(E#5B~#MpmKQQR9|NOmP^V7_+^ z($=%#!rDBfAiyBz%L%EXaIawQ>Ag>_d%3*VPtIy3(6}?QJPKRsn-8e_s|~iG1rwMI zRQV+Z;Ueos?cK9~0vO3-JlL>CEfGxB(CyqT?8S2Goxgg(uf5K&wgG@}?mLty-@jg6 z8eIiIH8S-_kM`_+Slsa#pSu?lLkg<>To>NA#w-T`482^Qf6xF{$0{a3`+On>QMm}i zhp6o%tfrk#LwXmhilPULf$wQ`u+xt1>EK3_(4-8*mGJY}=QD4dN-A82XcPQ!MRz^z zj*-903h~a@P!fn2O3s|pJe04YKhi0R6cEg9+1P6Uwh8mCX)zVbxhEj9H#QvGYmtH#fq!1<$Zz^ zekIV~eChIYSxI4CHQ|lde8A`Ym~MZ4OqG?nK|kt`IZ)`UiOBNNpVgBxg)8E3RO=F_1Zc+?U6JSC6ZiT;PW-xhvQeL+ zt~OIkKh$vx5=#Wa9q&B#^{B&H@pY$s1K=HZ6QppjPDZCvs*#8@#|%%r!0<^*i->>s z_?Y7_z9(v6JtDuo{~wG%Rwkc(zxX?ULkpA^IscC4_cz1UTM++eD3n4#FAM7l`z`GMz*Um< zKZXA~GR$8C!dwTsI5ChESwgzM7Ms7vnWuLA=Li4!^#CMAAhabIEIA=Y@!tbrRXAe& z4-_fs{#%^-K>ZovKZG7Jq;T^juYcgfKgT5R22cpXb=CwfrT?Ig;$pxhg#Dju_5Znq ztTE=gp6>J{V27n6+{@(S#+-lMR{mVshaEUQ zJzL&qf}d|hbeny;3Pm>gHy$j8%?q?P%NM0vFDLnzJ;*#NpzqmY`37{(-4{E6*AAKv zZ*=SMfi$c-dJYcn`&~+>tI_dUq}3l(v$5`F+xiM%?`sa1ugeLcRYatf186`*$x>Jo z>B_{l^)v2cPs3x0_js<9s+)@LH_;Z6b$(;Fstc_d8GWk*7d;p6q}cm|@A{;R;U`F3 z&>cap&;$27L!uX_d2zoZl3BDGvlid2#ICE*!AP~e%yZeVELEkjf`6Anm&vScx7Qfr z9UV=afuH?LmsD_c+XKcs!oOq zx`>ia8>~1Vwoa4wu9v|d4p(YQFBA(&_U%N=gry$27<@q6I>^3+^3*a(1wiKeLG$)x zhTyNhk(z^nlDY4OQ_k8SzVYM|Zr!qAm^||cu0!J_h?noy(7i{!$Ik=&acuzZFk;np$}p^%6~4Yjb|% z=!o>iBkw8o|8O^deiuGVzccnVv0R^{+jYjS4!f66ysEI>`D6J?4c(8Fw@9LgGfI&A zKmn4iJU_hUrI9Ne9s!?oE|Uius&*a-x8;Qnl`iUN?Zs+AaXswB#BmhJWvSN&hkg~} zJ>{S-qucR4PRe{Zf_tXNecAWn7rPpvMnoP2oZe!oa@V^Tp?~1gvauI+V0FQ^OOe^) z(Ql>uY#IuP8C1T&D44GVc&vNQjjkzLS<+D>bJ|}6zV;!?FlIPmjm;6^ilBwJ@kQ(T#Cp7~3wTIJZC_~cjI&}rt zR=9{)D+%<2r)qcZX<~JLg#q3W_Fp)!$Cmb$AymAj6yu+4@{8Zi3sgkL&~3*TZk;es zugH11e7t4fQp-I-DJ9u)+x2Ojp>LchdFVM4OiXKVOSXJA^GdR@=W*G5LgPHT>RDts zar40Ss~Kv>mC-1n%a&9wVXW^Wf>qqhn((#>YZ7QH1PR7gT`OxIm<8l$itY7ymG$Vf zf}9}SD>{!Iz}m^J>jS#e(Z@J&60sYQk;*#LoZMIZR`q^`Z|}UuXV_dgRQJ_WS6$Q< z|40ehXjq;o-et>IZlmjvWwo98%nD`8?c=m>xAohm#Lqcp!9LjgkDIe`W&L38nMHTK zYV)Z@Yi5=9TOm%abazVC|3%=_X*mRDeV@5UJgCw9391 zlJ6_y2E!B&=oy-XBb@|RY(=!rUi5_%25r}8NtA9B=LrV5)$>0e=Yyue+J4_ML5;@< z0a94Y=Qa5QGjA@CURTw|LbH0oVD+`;sFeG=RrnSGjVwkG36z%r7!>(Kci9f{WG|4V7JC{VR_GOwH9PvZ4jtt&%W-NCk>fGd?@W+B`rNjRkG|x7 zry3V+z%JpoH+~=!0WVYtSm$?CJ~j0CoNtDbSsZTHEVL#In%FXA7q-n)1>B=1V|=9g z_(2nU-L!6Zjgld$^ks}K+rojx8mZeg6J$%I#|d5-w^`Al1MQ4EC#U$0UR)F^(R(}c zi^es6RcDr*O&3Ni>g$(P!ZyroGskC#!+ZJBd^vj`p;m1kJW$;6@~(4^h-^yFzm6G?wa%o_g={|n`u34Jk2;84~#S4+>3e1Y{~UAi6iA{Mc!PfYbch*<05*!DJF*g zLaT4I#OO5E^e%dtv*da8@zRbVt2A5vA#nlUN^$mBKc5EJLd$LZD*AHCiHrB<;!NI7 zB-60~60;e#66Q{Wp)DNlJAW7TB>X#-A?BOtyJy$6*LoKo*Sbe1@_2LZ1P}3f(k_Q% zp@U-U&LP8Qk@-mZ1WHNhcXV;MmY3yWb^Jf4&0mKx=m=j&8YzQ#@U9Z#DF zks!Nzn5O$t7LsIe1MLihCJ??%TFjhQ5(EkzVrce~ULVE|2q|q8$U^6{DIy|bBA)&% zAs4NCKB<9{rqwwdI?Z4(3{ys1j{5+m>c05Z&!FQSFL|cnsuCwrd0xcbYn8*IoC*P^ zk?Gbi2Sd(^L?t+vT@wZm4zrm-g_qkw84I;PG31hrWYKLxsyiNQ?9v;HHu#qMipzJ- z)&nu7&+-R_xx0)ASHwTc!+0)u06(RBPI>};7O)Vps8QE3@W;w z-%$jc1i`|1!Wu-Fb`@Ov+>TEA^kVRaoNtjK`(PMlgLKt~^)2sI8b)Bt6eE4t-%c51;U~@W04Y+g8fzqa?#dIHAytTX3UVPa#?QD`E)dc?S;3G zvWqJ&)#VsFXw}PL%1x^uh}1dlcGixx*7hb(dcObT1t21^yqn~Y8wcNPc$zPg`W(<< z=iN|WtDoNOHcI&I@uPwbU&9+24iAv0-=*(Ts#;sS>&xT1i_lXLF6JdwPRe&} z#E~wfnVop7li`WT+Um8LEKQxq<|mm)pBJdeT;DEkagx=2yCA8nnMvc5J_PAIX`rCz z#!X%|I~CzaVR6zW{X*#^j=Ih3F-wZxYs68qrAee4G#DKr2~2zDIVpKjqnfz;sg~=S zBQhIYFobHJVvZd$;1hne=|(#0#n!`bc1QE2+m32s=ZLp2H$l(U{%O~T%hxaZu)3dc zREKKhCb$sS#yJ1WlWxz6*TJg_-1{m*tszCw$+xucL|IG~hv~(6K79LwOZyUU3wWs>-+d_`y2`zoUBbTG7 z5S|_Zbh%RA{*~B(i{KjUiM+W@_JM!`l#2I$YtyN#Vq=sTuxOGedjzbz_c zy7cQkC}f`ZI@In|LL6{Qq!RF2XN`9kau>P{G>4;GmwfKdnC#uMyLho?GDx>P&8;ZP z6`Q5ao2sB^Hl1Hgjz=0X);HjaB)cOdj;LyDtj8o&4LGD=w5u6t13QgO_?c3Xr2Da1 zMzE_!uZ@uRp?wA9uo}S|zsYs++v9EH*Tp=CvMXv} zo{zx_K8Mch;0yX%FR!%qoK2)67Mm;pIGh|}TvTFecECkEZ4o3acy7v$JrXoml;)JH^ z{io4)HE~wKh!m~!(NNGEQK?*KUU!n$#J-0W&>ta=>)vFV=X`cLh`r=!wlC?()cZ#+ zjYK``cZ&=fioA@1S(Mv?a|BUX5eeGrZ--3lkRRd&qZI2`3CTN%e%XjwCs8j|VG`fB zp46E-fJkP{0vyP425|$I=LP~G?NYP8<}j^VUaUtIQjDfP)vokjMlaJh=eGJf$#=Zq zhEDVG7oZ$qu}+A`Dp1*j$*do`aLew3_v=s2M3{ZQo(rDTJ(v1A@MLkj_pg~;We~3J z?gTse?)V5{jI0P9U;=>-(dYf<)@_}e_tD@cWN=}&$et9Zaj1II&_dZ`Qpa&?arc;^*gHG0da;m<{i+?3 zj}dH)y?JhUA$uYHX%q<1n{LRfP5A5=npMyrF_ntXPr|qrC6`^jmt#sQ>Mc^(hZ8?h zIF4-4li1C=Bb9H^(-^R?*&;Hx86-*ilf8n&^QBw2fxZZ18=i*7Q!xur1Jk1iD~-q%9-dLFhT0ymLu_iUlv8g10&hH^;$ur3n? z{^SyBv0aVbHM$ok?1u?LB9OX>dnG;7Ejp#+-^Za5`ElVaK)D&R)l264(&trDOfBXG z8S{f|Tu#`tdG?kM5{9%N{LM^-P>0I>{;MO#&y`5JEeCh`N5`pI$5c0rJ+-l91aLxA z{Wh;;+~*;eN3Sqg!pS9MJKavg&_tCUy)PTGFQV97-QLf*+eO>^b&j_9uH0#Ji3w@S zwC82s1axB1z;w)RHbpm)irYzCbe%NpY-DL;-tO~0=8eL%6)br;4;xu(}( zzk0WOfZ~8ip+W0La!%aN&cPY#06Qw~n$J<3*G&NB3MM~(kLD87oDCsy%9{Cha@Nsm zHdumrO#uoqe|DrAS*FbjfS5gYj9hQmA&e5WVX_LIKs5gHi#_kza={GX=`4ew|hX?Xn_yyKmcAR5Hzx8%ba-xQZA{C_jZ&7wR#u4 z@H+u%PIH;D>TcRL$TMSKsrEaEpvGvBnw!QsgS}q)_CFyLz(SM2NoW|OD6dTdK6pNa z0$c?|)U{v5jsOJ6*53Jc0p4!U-npN=JmFGipA~QDwoD*oiSC+oHUH-(gB5ge1~CSk zrVPa7VapGU=^JjTP+qu;s%-5^BAmk^zji)Dau$u(HCctA)82x0yZ;p`Nz9~)>HR79pdxe+%nW4 zD-PP>@^AQBJS1@D=ooi@j9Dc zDBGEUmC%e5)wz3h^k7gdA`cQ>9IuQ5T?FA#!V*(Z7IS6f!SmmbjW?bzUif1;D@!P? zg`p)AIJjxFzBL%{LfPdqkA45>WRktOdl7a*> z%Jss59Tj+ak`qGukz}nSpz@Hl%(pbk-4KFBjVVKr<&X`r(?lU%4ZNGf6x;}y zC5oc1bk{&gy4|3IO4!!ymq7;?>#Mh$B#&o7WF)9_-JS5x)Egl$U^k=vq2>!6OVU9-FH!rstUSBkhlh`A|jAQH2(5`5i0Sd&L(=(#3@^(ViIS&PA{Jasz| zM+jfLx6pi2Mr2BFwhJpK$t$ZXYVv4DYuDfQA&J8`^aig-!e0lEPzxMDJ15m?@F0c} z9-UrAyzz4n_0_v|;$*!4zJG$ECp$s=t_s9_D$I?*EWT@q{6;8>-2}74sGae0Ca|Qp z1lzNk`Zxl-@GNEjC4dOia}1InXGSAQaGcLgJG*Q4lA!H$frzVeoUaZowHpPNm0b*j zc+C{P2?K6P(4M!4vq-WZdtoe~@{ApAfbZfWHEG`3pj9l~rH!0$)OaaBRRndp{${xQ z5iW`~E8^`2O>T4q=fu*C(`DVyNjq$WN)NBBd9EK6`+gsuif_9uqjrd+nQq#l5FgMD zBPE=(H#@qZ`m3IvB7HTVgIuY!XMA6^C+~7E6R{a?A~9dF$0J>1dV*2ALOAU4d(KH` zM1-i;S85+0kM3GM4gLE=FGYHVgZQT@(oa#lux3o3O3EiqGqPDCYr2O1$?X2M2Lx0$Emm^$!xOv#BiTvoD6CPZ%n3j~$wg$jKii zJ70v|mzb<4qmPQCwgs=Ftmb~lh79!%QcCp)XcBa;39$tz_M@&$3`gtntlik~-u#qf z4>N9T{Fb@nW+`JA1}Az1fqj4aHBqm)?`(3tyO0o-G^kDh;bSoM$2K2jPJ{#e7Z3hp zu!wpE=%8AHPM~FdglYwGCOef2?Or3mllfGk=fDfHr9z;ehxcP|EF*HrB3SR}G~hGx z&(tM(5HEdIEn6cu;~RGQC!H$2I^n=kM@nhA|rk8)fo9668nBzAEiw(;xVDP566Itk`N2nPT!gC0>fj?f6 zxbpz!D)d(w%^=ZZiRvyfdbe5jwrsbKuYw(jtlvZrV}k;^BJOEsf|`#es4R)2td{F# zEiC#LIae4meMF;q5$yJ)MQ&fhRC{-N2GMJc4WmY(mXl%Yp~F;{8=Sc}@8`G~8>Pe) z6`pSK^?dihtETJfjWFu7?Gga9F;tlX~#lSo2t+}hAF-<6DzyZ z%?dmzl1nh9#%|T8SstSru#h7_wz#&P@ba*0?^_? zcma&%KmC&MYB<#KKkgaT#)Y5$^AAiIUx1Z5eIi>Z{yz;Izu#m~*gw>!6c%0c8_2rI zFW2F-ye(a;Xma*cA}1^iZ9=WD;rLeMSt*Kv|LHn)2LWv-vJx8k)c6&@XCLim$SrZX z$V<+;;0Et7bdueL1}jrxEL$UsD$LxUP72tgALQx;rJHHq1^wHp3P=eOE70q|Ty2H3 zhZ;#@OzBaH&gFO2925n7Ap!%5Q%ul?9hSF*9X1eR2pb|`V090qbp%})-oT?2IcNyI zCS~+FU09jg!B$4qdj=sZNi2x%!%;l8L3@613PnKwC?)Kfn2(HGtNq1T=Rch$ z5v=gUyi1_vdC`SPHnuqsX93t{Pqllw2{>(|ZjEGSNXL;@^lg1lpt1l`R$sE}31wCR zWX-8&hwqM#bbug6u+{svHVXkUd91_dUL{vNMBW@|SQi0a)u}KrFm&4W=2KPrqMXAi zXm(p}hjVYtaf;SGE_Sg@29sk#e$hn&(%luil{S8xmA14M-+P-#q7*3##CkBmAoE=O z;E~zY;|EZFipYF5Pk3aKnclWX&19H`yT6rY)>Ot(d?nTP8TB-h{la=rViO7lkGP;O z3PTy7T1wUvD*gJuP+laGfbY$e$u))&36xT*0KaiM6phGv3ZOk+(4weM0~+JLjsnl@ zzEXfmmZ;renWU_$+wM8N1Zbkm0WL{$i{J4Qe;cLx=<8APNCYUu+b?tOb!o-B-_cK83H3ksW zekWQ8d;>D4@6J>7eRBm|_QwEvt*LQgo5%SCpv5jR?28mQ1_YzQ-T+N3zu@f`%d)bB zR~=>5&Uj3kN-~9t*;#n;NrJ$_fyJDRfy1 ze{!xd0|L^?d1B(JWYFKGaTKnEXzI4PvjgEJ%FjS1Lloc_V`5C*XsEK$8!_x-EjIVN z)j=_`9Lu^jKCNFQ|H=~3wweGeEj(szNtsw;2aQ6xhp0rb`zd;bzF%aPkb`h`DtmrS zSs!!CW{c$OcQVdf{zez2E|P^H{{GXIWM;EHfQkw5ooTiSHul#(zoq>5$%Cd?3lJ;7 zt2iDh1BChufiL8&E_Nx30b2-?1+X8Or550(5(+rez4=aQy-**~T&8EYDe8(lW>^c* zpyB*9G~a}wzRG)49F`~LX-gWYVPg~n__i+0kQ$R&1pM5ubjp-~l#23qiop;*0fDS? zzVu~j8;EA6A|uRp_35W>t=;L17KKQulQf0H9_`582~tYbk$1=D%)WQ3%FC@^=-vcs z4rLb)eEv_jIe-mwO=RUe{@C#Ic81*qkbF=IsJ`#208a0AgaMK6(JKz)-<(wJUNaz@ zBEm<(g%ov3+#BGzdKf6dr}I0}=(ae95OSI4OtR;o97EaDi)o>L{+TTbZVb-*+O$^T z4Oo4b0#Cv37!)86Uif!12l+P#JwWu;t|h!3+P4Zi=_p&qU2)$;TWGW)y47AOr)%RO zjHbDJw8@KT2&b8*wY;c{^78*=@_0JX`cm*p?l$(No^zqzzc1ZRadKn?!(2h4EUECj(htQmelzD18qWc+i1kF!<)yCcKfcg9L)P8^z6oXgfvYUpAU?Y-3RQ{% zz%jr=J2>;eaMWn|H;GRMFf0e6cBJQ5dQg8Rh@mKS#=e3tz!F(n=T5D zkxT!Fdj0ze>m-7fA9x}Ba*wIvx+UZ3$9&!01L<(KA}{hUT5n3e6B(VFz=;cmrE_GYi%BPuOS}7ejR=$WKQkADmnfOS>zp0y$=;LK38gLv&HWpXBS|h zMs~=0&j(k?1XSx1p8p*UU zCr9B=R;aynXUpwYF=+FoJkjoi)$tc*UvQdD&)BzjvYQ+;)W>HkD9(HDG>59@0U!SN z0MC8HsexaMEVkbDNL$yJd(<8BF66fuu7K z=`OT5hg=$>^nWw#m{A6cunJ&m z^?V`M?kbXup~XD9i7{WbKDP#5>?2VUFY^JGsQJ~Qk7?UE0#nV-=xUL}CgHe_ z9j@#9z=|TfZHW zjfkU&6dCIMxdiv3;CT0}tHgx{D!mW}W3nivBv!K8ql0H__g`vt;ea=Qo++*z7#odP zw(Vo75t`L()~}@cO64&0K6;Nc_t=Q%4Lu--32Cr9l-mFrp`6XMoMGEaSQCmus5=lI z5#Ss5G^!c>m^19-fT(n|?FJ}_-D&8nU3ZAj=7vbpJK^A3;;G1?@vK*oN+yC6w5*zJ z3-`jvc&f>lhsu(1IW{YZs=re{q|B}^kj~@%UG-tUdI7|1;I z;Z7zX>3q*qqv^q-?a}wa5SBu>O?Ik^467>e9k&%Wd=2!`1U2OpJXk|8TU`vvkR<44`?~;ZAC)^vUko?s`qm#qR6GeGi&EtVBAK#gcw}q*)d2E!n4=0%+H;({ z1EIWHLS?{exo6EJt_G}i)8SZDLx$#cSR+}&WpNgVMA^UnRCshnfKaf?z@VUEcf7tx zscN8yzInQ7@Vk;*1m9niG1~2A?ek3HxoYh1Iy!0CdFy|7$i80jv}*0>2Odesw-Q8F zGY?Y5K=u%Oit|4_JzxbC!twWg6YiX>ZhkRQ7{Tl;2@O3*l`bOO>xs;=aoaz{OshNGg8!csZirJEDo$A^!J={RQmW24n{o8TrA7_N>EQ37=GParF;My?A> z*xV8@VKHrKcG$#@(wN+60Yc7>Vf25@Y*<+fqjOYLGtqcpE~t4TK4B3Xls-lmzaaoL z=vpaFF{{4!3OZUqW=v%rP!uNvCG5J=Ay-DL-|ZGYpaCGGR!CRX(Iw$)V z+w#$B>Wps#9z06lR7=|ugICY+(gjnBBdcHRKCynF!2w|q*Srlukzq;~YN6LTFj_3i zNKnqhf*0j+yX@b*kG*d*`?%=uA7+i^=G-;ce2U7fg}u=q&!~Z%Qu~iY4_I(+q{$~D z)n`ANXO3A$fxtPeLQ(L#1s3v4x|}9}YCF_jj@n>7{~6R$XRmQf$?Tkf z#J+n7jufEq^g*-dmtFgnV^x7;2;A?!g-mD`w5GX%z>3}u^(r4DcG&|!MrAM?;|oY} znC^D-EH6=GCq?gC1CFiX$>eoWeziBFOES%V%}s}Ag41fX$s%k z3|<_J0!qA03fK-!+Wj}0W$IX;?1k!=ua168QWb4pI$Cc&AI?iKCEZ`?&UC~{12&9E zT1exIhXwcG=f}|@&u|hqSXkHyUATIe2Dg(H0ko$=h>t=vmKiaJ>CkueT__Tb2dyB} zGu0Qgidj>89S?|PbDxAxX4krc8cIUpUy4)${wrgB_)MBPKI&+n+ASt&mRWXM*L6_z zmrF+F*C5jh-Q?@%KW(w5zNs0A-dqpd6UjBSI@qm{C@aX|7ycG6>R{)W1e=8QTf{*>UEBV#c zd5dIqu$^fBF}|L6-(rX&`Y^BeYr~~T37*}*;?&UOnJ+CywP>WUP;1w8UvA{<_&s`V zu$*9?Mndb%_;+1DKS;pA?iOcbV?-nK$0pFS#x;m*mDvls9pj;lbsZwa$L?4hnHo>y z{p_)35b0vb@KJLSVoD~64)%wB57fGr@Y)bQ>@mPEW#Ty!k41M*1#O zfE(pBGf~vf7jMI=VGA2iPTmfF9Z(j&J2LeTP$4LQrw~fhc<)bl^^%;6z3RXd;d6>2 zDl9mi*Y3U39B&@JVn#f_lj(9OAZDxSyJu4*O3pHKxXE~zNY}M|IR6)*V<` zA;0(4M+@MyOQy==dX9~X?1S0t4J*FTWif5#p3vL!x3zz`=6^`1?i2=0n}qaIWhqYg zv!7e=voz&u=aAD5xb=kYCCpg3pwPnUULDTUXH7!0Wxg!R z`I*L9e5KP+1~W4aY(8|ABxYG`;`cV8O2n925z~d); zxDDyhRy^7h2+|U=8&kdh?~QEKDpuL*W2@e+?wP>D5z`m~v{0{4PP zoc+FIdOGs-lAQy21+B{DqU$(a9-{2`GzE5-JW>P3_3!sEBj+_yS6Ec$Y;K(o4>0RI zxtsPz2Ao#n+D*|4EoTx*>jeGJPsg{igQOgk(k4g`FqpIg9Zz$Zk<@qYCeIkq9jvCE znX-8?goE{EBww7)^-)Q^$M3p>e2Txy0NmMvb-E%ye9so;zDD@94jlwCpDAw#{96i0 z{y7&){DAL#TS-~h(&*}M7ozr?Aolk$)UM$yU zbKi5%%$~XCx_&Vq>0R_}ao6KUxY4=jQZy*=GAH`pAM7;tM;}Vf?mW&nL_Imxe{P8d z4jjSq+YFBNYeu*C;Y2t42t96ldp6;3)78-tZ%?N0dbly{shO2xj zw(5gFl`N|86*r07jzk{+XeSCl9~&q&F*mcXQy zyCHMG|4^rgE%kwcW>M>{z;jOX)m0u_S3f-rb;@FJLU)s5D}H_osL?!n?W8s8rm(J4 zWr+zi%q1Hw_j?|7lCs`1=c05fwe~4T(vVtDK{Ha<(KmO(N;j6o`A42eSOd907woqZ zlBYa+UWhSj7PV`MAB5O;iPBTV zP;-)z!=P#AaH}!j%{i#$lU&Q~BTHGM0oZU?U;D#Y(!`Gs^g-$`=}R6z&ztc=l@l(4 zQK4Xb2Ht8L-S7kfj73*lR~4*(qQQd^oZy?0)M;ra1E6teuaU&bk@q0}2um;nU#O)D zMZ4i1Qt4^{?%tF!NEqI$Hd7ws+HDO#?y{ZZ*5Qiilpv4e{D@p0f4_Z5?QRhW_#bKmCD1Wp+1>|3i3c0m=z1=(`81FFH|;77pLpsEZkl)63CI^=GJ=U6YF?{EP;>Gu5LEHW~M! zen5dv4GocW<)cl@aFK4tZs2#H@!buRA9-#J`vob^d+_FmZOT5=#C2YF zT94NHEfkU45f$%cOag&89m-uqU{R~gViJacPQmNF`g(vO5bMhIzS9nO*~T(Zugtby z>4L8A3F|y{0ogjuW9?QiZ8RCG`JcFR(noGx&`bkGsInPVz3VBm__6 zvl#^#tWepLHaOA4H@W7t@?X0Al*)%vme;UW{s_4<6*JW6|%yabk86 zJn9zgTNlvk2TARf0Z#(h(16J0*Yfyi3f%;BI!*C-&eKV*{8r~mKwi1X82`>hkk;@% zIJv7?YE(Ywv2}06&((S zgG*rEzHD^;%;JA1G6x)<`Hb`#init(YaL}cI6@!Ya0}ag{8AC!C zd!U*Tx?Y1lw5%0D$}NEx{vXaQ->Rjo>`TZ8xZ2Mn zkWu92%FP#)YP;Vw+b5_k|7O}yEMxiH2xCcaqqV$j<26&Sh9=X&g{kj`A*U$-*wF?i zL2;Vb#f$xwR?eoGF<_Xw2?`}$%VSkA6$%tr%|8bDcv*`5(>za!Fpr1~<4>xVEL_no zg%emByuj(Nu%t9~%TW#uyqCB+@|qd*s@xe9$a}Fn+Xp!W@sW>Olg}S8PRVSOHza6y zV59NOf3Z}@P$X!{Vbm!AnePUA?^kaZ7xNr82B~V&8<@kmfCh-wu<=p>Q5Ijac)`3` zq8&8Rt)h_XA;D{^#ng^xz?2v7OS>&K>&P?Dj-)X6+BK+$ z;+0B|c5H?4BNMXdj3t-Lu-xe3uMr|xRZF-5)!D-(oVo5sqg7$8Q) zG-xtOyE^exibYDTFU>?FB7c_w#K-`dNcTWf{~*NP$44@rk!Y>2-C|q| z4l(v1%#T0~xb;3hhXtXLj&slye>I7nlX z9_4tgy2cz~m4FB?b9+)7DK2A{M==wN5LI{f==Yh4_MVPywQURHcP|#7YGx5nk7$Ks%S~PXx|P%u9v(==6SJ2v_XWkge#+hIq*^q42y zJMU{bnb7K*Fk;h5ACR%;qR3sU4P`rjd&^Xpyt?LE2~M)PV?RvK8sr*cky8_F=Q^I5;(RE5~9p!61S+ z>CzIcwdCn%d3)wUq4wCz_h7fth>2zPpDlX<2W;6GSBER1?N1URpP;nD#T|vHXhdSv zj1qI*MNt^H3g>Oa6AZ|aK0YuHO~O}Gfpi@KP7B9m!qI`KzxWiEBh;YX`z!I{25Opw zg;1lu=Z~DG>SRYgud76d3kMd9*o9h3BgzKrg_aT|W+EgX@tAqe zsDF=&;8pqYlAc{xTOm*dB?+1o=)}5m$^ckAS%~SC`RuJp-8m_8^MP5|)=c1fr%i#$pEqtcD_a zaw*7_h(h_xGmC2&0&U1W{iI#x*sKpqfFXKMsTcNK>n$57MnqqS8YUf1+(*AD(sF*+ z!gYD(Z7KWC>TVGQUgeMOh}KIY8Qb+BM*=TRTr2=BH1O#Iau;-fj;qNi%&+Hzmb>Tc z(~(ZTV~8aSGAPxKXdUQXS9>N})&SgwRDFcw9FGTI0}GM6 zh-MPOyesbm;J+`!E7aslHtLdJx3DC7r-VI5E0C!eI5&(eaJY{dOPNdk&QpPVFcQ zxN}-Ne9{kj9lnn0QHmC;msb^`k0=tBQW|6Wa84mh$CIunq<{3-4I@!xP7924T<*F( z3`abgvWIf~HBx=2e07vjLtYP2W9q|O7A zps`e-j`pt9(lS|g(62|bBlYVr2wExzvuDh@*S8F(UuSwY&33Z7qoI9-ttDoI*C&52 z2HoqtNQ&_ee$Qzw*1l7@m5h;*7{TOU8-`cI6m_-f68&;uYkV$OD{A9aYweM#E(Sq2 zsKK}w3(9?Ydg3bN0De*9@s0oZV0uj5wA1_HB4$Xt#-JbmZU-k6S7d^#vv7Ae2X=mB z!9!UTlbO%qdttRp_SAEmHg9TmSmc{tXgcrZ8M5m;5 z<5LNl+y@+~iWtGm59~vEexX+;xIBX}qpt#tldAgcR7a$Kf7@7*p~Vv)Pd!IsBpq%E zWg~jC;df=h%Nl92t)yYB~3AMS(OTOnOd%$?nmjg ztn0EV{q20d#Sg>cH$3jmtvCsb4LiAuOx#eEQ{+=bk2h`e%BU^M)t9#&dEdnD`l4tBSC{N zDt;aC{%JNP)(d1Y^WiPDl{Bvzu}b6JEYC~k%XivGf6kSl8Dc_kF>arW#6p%$v!S`` zpWcTiNXxGJJz!WQedd;u;4`rL?(whh7VPh#!GencxQv>r^}d)_$~r*Gpsd}iBi^OO zqrlbb*aHu!mCrha{1Bo_1tDW%AnReV{SBT% zpa&gdIDLYWM5y;zzO2LT%}N4dU~=$ZzxNmFdW2;>%xgB{v^8NxR&B=4Zjkz+>hF@M z$YKs9*823lJ1MTSy=R#~imR1_bye)Y6Ya4ttCr>yH$k(Jy5rT5H}1x^4^6*$@Ou9E zLSO6p=uheKcVdeQ+;gkMAe;P;ve5x`i zc#=7Mb3G4ED2dES-0r6YOs`z-WI&hy?~_=hO%0CaktX>w)Ld>m1BKF8bXHMMT@K6( z)oj@28&1CdzqH4VuPswNC|{)ra)+F-(V*7;Um5mSU(`{9zG$%DK$xl7CCz#9zO4pq z>?~WtnxcVY6|xEcnL7Yx!Qjiv!hEon(7!oW07J~4o3UH|jyg;lji4$cmTqM>S33Le z(e=SPh!aW_-#*^7IqZOwM;X7~3ZjWrLMGNsfAYqi)@IWh?Sd{tVMZjDSJ-^U-Y#m0lRhWE zV+ytAC&{{vWFyO7Zcsa>)hLyeQU8M0NWIoZM+wgljDf8ENpEuN6X`!DEK=%ost3t3$K5Gd<||{F5O|HEuxljYNACviCO;_3bm&vg|)@T4fl%-&x!W z-%g_E>fq07Y3z=8&m7~*E^wy&Mc(xzgMXHe+3zJ;qH80owx^vDMd&4CAzzw9tC*=U zh{voaf7ls?D7jjg~YDbbUnD?b$tUSu8FWJDEBiNEJm2YI;%LD{bg)8;LlMLc@ z3rX_WpFZp4iSkgd$wBRpK$)Siq~}RicQ&u<*4OAH(eJ8@Oxnz%%jg{Sn?|T^IAWYM z6L;zdBggBbbP}PY7jp`jVuvGC(vH_XhY>&gI2$HMbR5>#i9Ej%y*J9f#Xlzpgy6A0 zNZMb!+d)JdK;jjE;(vP&^lK26T2y}1!kP*rsTG>Ll!COf6_uS(l; zrOJ$mD#PSqg&7us^fh>ei!|+_-7%k8S>HDNT+9`~I3jI!dbuIqb<=;T0)#ZaaD~rs zOO0RU!7SwY7u{NpNCg;WVno ze7Pos_tv)`>19&cX#N)yuI+0rOq+tU^_D!%A=BL&ferY*8MPD>XVrv#9aTnR&bJQt zM(FnTCN)g#BW32kz2UnW?L>XLS`E;2VY@2m%uG@+~ zM814+@V0D&eiOjdbeEC4p z(3BE60j9`SWYT4+D&V9X=#-QqSoSVIbR_%<03j4;gIsr5{$)RDOLkyqT zg&hs5_!Emx9%1+nrgq}>>eLNexe1PKr-MhS;g8%c#JDY#3*=f%Z{=+8IX*80J0&j& zPrrN3K8txf?;f@P^QC~|j#%td6`f~nxwQklG=i+)7*<`9XS|w~JQ?npkOUeO1|!#B0Gf1)?VLf5YJx1BCF-tG=~*rv+j> z+@jw8vATU$rhFLFy(tuR50B4nX9H!ZrYUDK<)xa|0`pwG(1-WN6FI#2`(nVzbYvDf zQj;_+g;otnwpf>CN^=kF%naU#xG4~bF?10Wmj{(SoX{Q$!(bkH8#~e5C^vaHNWO1s zhlU*vt0jxdF>L?I>(!oN8k6UP;;xn~H<$;Jxc_j740M%}ppI~_UnB(phLdbiDOslR zXcG4GZOj5r|F2Aud)H2tGs6}kI-}lL!73GM8N>IVH>xM3>D!;T!IT2gn9)O$-s+EU z3BE=2TAU#pbuE_SWJxJh)O|v3qBtfb)08BS{~3)qNm{%;wX)HbQSaU>4!LBOud01Zy}HwdLZH;h8BKgm@BoP0tm{JqSm?d=#iqSFoF7zD8Og?vb3KePu}K+M)nTouY)V7t zE-=At8#DYzi|m)e6BhAZ)IIzKJx$SB`;0XnmfCUEM_1M{fHsnSw^EFCG}Z%E+uE2?gu%Eo2=2d>#vc&E4(%^+y= z4VIYANEY5qw#&4q%B<0JAkiE0+%Oye&r;wmB_y`p>T?SK$74Ctgg`8}Zg&^}-DR0H zW%2}X=PW+nR7fDg!+))|h(vq1m<${urL-Fdvm!|3TX&FGul|J9EbtwSnjjOS$H37< zx*sPam&i)l41Bx5Iay@(2RXYj`!g4;F1V7|4+54`tc6NBsMIgs`Lh}I2?0dgLo_!A z7??-)FT{jja*aY2o824%N~~m1x!gb;xF?Y^=`>9Ztk7KpN16uyt)3_JFZ0s{LDj}8 z{;Wn3z{#}{*X+E`yL15)U?3By5mQw$sTQk`)mUk6<-)uDZ zB~(wi!Tqdsa3n|i#WR*!sQz|1DvsCM=yKYx%LG;3`QUijv96Q?tl1r)x*F6j zA8s%``~aTCGQQPrC6HdLrr01Xk7&cJQ***iXTl{`x56l7Yq~OzUbQ&U;EB2F&x0jV z6<}#n?S5v>z6Yv$1CShp{vsl^id9;CrkT90}fQ!Lt zITfv1qA3oI1}13|x3a1H(__~FeU}cbA4Whu@kJcHN+v)u@~Wc(-D&A+^YKhTG*I$| zLofve*Diw~fDp5pSTZwQ>4~_*)F!l^Y)_89r=jdmb))y*8lx-Js%5^DQC2Tj1bNr$ z>O-K&I3E8jcD~{@T6+?5zy97_eMkUdc`!%OHBkD^2MCsiSKv0L9sVfB#9Zp(oA> z>R8JUvVk%!Pc{jk$V6!Q)eKR`rZ5XlDzzYtEjyiPFGzuBAQ->UbDuM zh+6K-qdXY#{?Q?)r&ObpHb+Ih$LZ#1knMfF`nX@G#b4*cret_hm3NpM!KL4L?AI0g z<^M*6Uvp^9rWf=&dtBr;CC-bliV?kKNp2kC&v>U2Q32~=@H}|<32pmX;wU#3ohC`(hm`?J0v1a%fCQ96$UI^!{5evM3GvcQ4j_2i*T%+H<5p<_?K z(>o>w=!DGCcQlx;hbYJ{rtbV=9p>(Ua13BtF|+)C@jS$=;Z8l9SX|^ld2a#^c|u(s z71U#_CXh3NnO!aPyk*|(sn+Cb)lL`qP9;p7Vu}pO4QTdj)f)CjTA`|1cmO0Q%KR?R zn^o3ZJ*H&fLFYv7vxYZeu)5vrN1{6QsyZ@!&O^#~b~k%)b7Xp(j)Xw6*UhOe8Q%d!L?zhc!ZscGPCle({KaDK~+6wGxV*)iVE>>?eMb9u1TIm1F= zjmbiMx;HOfH?iM*ma2iTLmNuSQtH|k2PZHDrseq0ML1uW?IOD}y@kbh?0H3(@FPnE zFlaxuY?^a)>9J`3q!3+DQ2ZTFVZxPqO&tliL%TmL!>WXOqG=@1b^EE5+bT0M<6kP$ z6NrT(gfF{FIr3^jPJ-W%t!Aq?(GnP7P)$4L+FnJKB!-rFg$C3LFh6gq%o$n=W&l90 zoy$UX^-aYKQ-&kxfzpGYgZBpT(3HW7O9enJ1-G@%WO_<3r^y5*zrn)83Me$j;6OuR*DEvQ;&}oh*%a>SQHH9IbNOHDd&F9&zVqVf9&`I z>aY}(bMw*oig@p{$J;C_qH#k6=KKNH#+u-7jsFM`7C&HPl>!%lG^07X$G9j zbed({`ANo$)N03)%u^BY3M*{(sxN9ZAC%D_O@NB4EIh0u0u&Gv3{r^>dblZo`((9L z+?GWg)2tw*!p|)Ic2Pr_dQxN+78NBL=GV*T7CHaIikTiWR#nDCqVntO>^uw1BLMAB zDmXqsV3mj><3x*o>H1{!JJHEo1SzntPv{-h>>EC}vai5URZ;h&Jg{>l(B?60bKrXg zzgW3N4Q1?5!|QEe%3ZP&ygERN=wsC&behj3N}mZ-yYwP&X|w(5Q;lzp7a9JbTxw-@ z@crs)ym`IN7G99YWT8rbmrJ*Sz~9Z&YesVzv}{CzLE-fsS)@)RkdQ1g^@BM&*9&mH zy}6DUAuh49j_bsS^(q;LS43vRp{=jBm>kRxDX^HokS8WC4wbvM8LBEFCuzf+>?d@d zuE0|WLB5L@w3T<7U0k<1#^a?kG z%pLB2g5nGpr1 zn9^819**7$`}Qf@`+myf>kH4^7jln3U+&w4bI<3cS&t^n)K{2~4+irR{GOzbFnnLB zXoe9mnU7?-Eqxy=c>h9aQH4-?x+mh&=o5~SVIgxI{q#~SKpas3yc@3niU@5XVCQmY zOGl8Kcf2$U*BF&s2~FxY=<`STRNMl#n8#n}B0F89VZ(&3 zkwOli=bvGYd%e)h+xblSiDduwKG~<0(_lM_mY25m5T!R z0GUjrs-Ig$(k5mil4a{(ip)OGL0>jka>}R_(id7ugvAyyu-!1nR4ET)?e2SQOD zw!T(lK0A)iDd%Ik;E)%5Ch;THsd6j{XP6M~8tjtRsYizJj_zn~jO%FD~wV!4oSd@gj@p7=6?SLC}cX`22ajZsAsolr$YHpMu#BGvoG zwKC#~`8jLlGvMrE0dSJOE1V1;Q1-}azec3+IlDdPv#ky3b^5?|%>mn8)mQqhzO!Ov z2)>`tv9{Qz2k9)Oq$P*R!p+@;<@|aVzW?F~j!ISsi}E(fO5^<=8bKaoZL#x5q%)Q` zXlL-But;KfPb&B_ecyx1HRyf12)-HG3%27m1_g4^<#0o){9f+skLp^>@G6Td zX?E;$)2fSCkCJ#g0lb-Wz&9UUpma;-K7lAA86RuT-a58COpR z8qXphG82gZd(}ClNe`{u@r25cc2`oV9_?ntpV*_>8@BvsC+&+86Cp2tI1BsNVM z%GO2UC(qd>KMi~^H&Ya*a8<{O3G)K(TfSaUSOz74y_9WGQb*FK>yWrr52_*o;7!v& z+V`_9fK!<1?IF6AlH$01YTB3Wm&9CEsBF~oWIVL(R?@R@I`$DKCug>R2a>Att4=`R z?kpPcdVUf-c^A4D$*0JfnFzo9#2GK|j5-F8Iz(s>4Hh6`1O|P9XrB)cak6>4IU7nG zbat7=KlI!?jh9Q3fs`>M;};j}th7q4Ea|9xYK%%L61#DI-x`g8%t-hC+0uinld$lWB1E3Wd+W7}OFiTp2+)h&~H3UlD z2u{tfjUyA`->AV`lN?4rxQsewtT9vW_g9;RQu0pz{PC2ib!xhj9lF=Mk~D?%dxNF% zP0T_vZIErpTL++J>l+vA`G#@YoIc7Z!BT}%j^=_7BbHw@wQRt9@`nVL6eRmq z{TnvGUzo13`j|gXXQYRKMi2|mUx@DJ2dTVIP1q~MszW=&(sbZA9d(KsyAgm!h!}}xL#Ttf2KNbXMYv>L8bG;;>L zi*?sZ8~x6D=kwjqdXi*vEW670xaJ=J+K1~L;0;tYpWxA^oExHBY=Gln#1U$my2z6ut0!-2!yHK_7-@sKYpI|@av#{J#mr>o1z9AbQWeD^pUeo(dmvDnRF{tI z$bf(%-Bzy;^d->5j!BLr|4C64AA`4czW4Ahi;)>izB5qyvb?Ta9ZJ&$AvhVW4#;(Z-JvqUmtD!*f!uZ64cTJ}wehAN^LqRUH2Fh9TP6QDJ?vqh1+|bBYT9wT&TBR<5gDJLZkr zt!Y-i09S|S6jx+=lmt2y%al)!F}sg{3;`6ao}>Tr<`uc!_h`+_EXfd@0F{ihns*XB z{@uTqExSipJiW;QgXKO*%&>_){>wpT`&1H`)r~BSJ19{vq3&n;)8#AC z9|Rn0zgRX3`8E{>JC1i-|5PEGC7dQj%Q4$>$Adt6?wU zu-~Cg);gEbD?dTq0~V)YIe^HzE?E~vG5e7UdSm#R{7m;+TlUGEF{y7O%wEhzLsEhJ z9J{(TB{XTpnSj}45P0*E>+IBHb`!QL(w0QVJ&f0^UF3g2rBfP;M`w`QoFkopn54(A zRbz=gP}s$&7LV49^j$AVNBQswTl@7kPgurn1j*S}nE31FK_~2up6j5O#V#shDar>Y zlZ}ptGmirY?R^eD-fh=!5`}6&uy6mEhUVk=20-VrdPmPIrykZJo{!aEpWg99Rh6|0 ze00fg@;iE~fJxN)J?YGZ6QGtbQpiXaZ`kX{aF+4XVgH+U=Nv!odD}=*j8d)TG+TQW z)75p;qb#@LWpaS+KBPP5a#ryba34j^LVozZkFEJez&s zu&okq7(oq|p?DNS)n0qi1R~1)n#i*=1xb;1u2o)pam_W@P`|fdMM~=HnKPgqC{-HE z+Fh!#J6b4oep4!KE-`KJ7zXe)0#||n#Z`?0)3f)fn zh|o!kwy%zJ4Bt6yRtV{Kpr!}^J{&}M!dI-3`2@%(4q7g>G?zOVXkzQfk+%C`svGMK zJKUUS3>>z1`r)59EJx8?2|S^`AI6xwlM=uLq8hF+g~eIGO4Vm~GwTql=&uT;(fnM9NCm3DXl~R|E^d?pUzt3El2Feu9TYI|G2}8bY7!5(B(N z^~dvJdN6UU@e{ca(Rvf`nc)xQyO!x`&ko-14rc|`llimxtzmw~{k%lr9fagdecN^-FB_6)eUP;%keWA9Q74TxFA_1p`>%!6SF~9;&l$3F>X?*>>s|2etydTOeQ=bez!cFDc6ErQ z+&8XQMhzpsI!xzY#&oL_`rzLYR^aK;w0eG6N!-gZO-s$^eEM?IkNf9{YswBzEahid zJZ~8)zLv;@MGnQrtILIre3eTYmGFiFZ|fTL9~TCO41A+1b_Hrf?rUyiuw7Zp{?7y3 zGK-Z8y8^{&d=N@xKHM}!7pC4I?nU-aFMmVwLUI{g>P64t$U{)Q1$se=gejJ3Bq%US z-Z*p`8uLP^5)SsmLmH2-`9Xn=}JlvA%iA{K_=&-(X`T^m&&`jAF2*N7) zt!Y8~NL1mnBbIn8gD)rHWFiSFgOKN8_fUgmiE9nM>hW-;iLy$Q_xl8$3*<%~7D*9> z1~>nXP+!X#hc+3K)?=krNMSO0{u$AsVL;dmYue%in{VZYK_LXo&l(uxAuq(HHB(U; z-0Hq>FuuJxT)vnx+?NhOrOr0&y$yN5YHXlzHfMhyvX$%yQtPI8!Ge5^SHDAoJ_I-v zE3*h1OvWQxU4gm^fmF}SdKTJ`I+UFT&Ff=hxR0q6b2G{ecF!TRc{wTUXwaMUUL3;d z_uiO6%UkC=I)z2yllBBw9=A7V*q%R`asdQGjKjC}vfh4!^XPdt0(a&UG_X?NE!N3C zhGxx{$(1wA61QeUdii$Q8xsyjm2 zd%%uB+N`Zq`s&ySMV(gicS=Fh11=$AB#E{bPCp0=4ftQq0%R_t9pO-RN0Uza^`!ro z3IYES#7!UB+Gy;zp!#1<0yYf`y!W2uQ~$pylmGL%Up?{veZPRD(0GNIT*?uOxDMjK z2|JLsYon&dsvL3KNP(z*S3F<+!k|=<-A5G_>~Udcxw11ZovqV66{&CW*FgIAzZV*i z+P*#ui)CU^s>+uP!hqC%qi1ukL5`h1*{;N}+t3e3=Mb4h3O4VSzK#IM#!wiewz>UmtM00eH!hvF=4xS)*j|g)>gE0}z)Xsz zpD)*CNr+oF4d2W;yzeVifjo1cxjdUUsU;V9m2IuvXt}G|h`tdJN6;HdLi1+78JOw@ zU#+n~v}%GmEQ}|s&8d}|>Ld%4AoGo3ZPb(mJfW~ke;Nk98!6zKir5Wk3l3gfA4uzFGLoQhzw2^V0yFY)0Zm? z5xmknbNn9NSE>ym;hK_Smck?_n6B()-X8m;npD=Ty80GVES?d2x@Epp3o4XtARZ$U zAclA!N27UWkG;}ctyn!H^q|?CN5`h6JVIVdN^0?IjN33^SG)rf9nwG6zo@vX?oLyK z1CVP#hh&0R`t0r5q^@knuvTa^|wGUn5}9 zZSj}@&z1u5djC78{Oji{ige=Q>CYP_pN(};(0mvWBr^Y(7ohLq za0pAMoEtun!l%aTyvGRKm03j#6te>Pe+;l2^IfF@nYYh?tu{tqVr=%mG%{$B4~?%S zL&>Bz4V!&#U*yA@j*F`wkf#fljrSI1n6yHHVvd`f%u*x5O^J2800c*9&XFjf$B)HA zuAim#3ZkBU(xl@6+*Wh++WkP8JfV#hm5`aS_4-sxkDMfEY$!u=O7En)y^eHsJS=yF z*e{IlpM)@2T(LwbjMFCQlr05S&+|kS#rulR7HZ?ZAdy#EyONXHrK9n{S@uljh?fG#FbCr_rv&utpfkL8kBP~^~*Wh zh3!s?QZl4G@(FG!l6~m@OjRMc4>;)~NpzVzoI+wg;Cp1euSX{SZ*k+-To$4^{Ldde zik^bcuX=oXz4ZO>)j1X>PE`Q$?<=Fo`$$=IEI=@#?;@LjUs4Qde_{(93SWO3p&ZU7 z8*C)WzwRoO6F2nN+7d0)0RoexgWBMGkN*1O^pVdde=ZC!NwI{YU?zL6)dlj8#lo$U;F>DyC}%9(8>4{ zAN_qfNeX?GRrljz30x;z(g%NCvTaRP4>%Gd3Xw^#9X)R^qWP<@Bpu+6&18@Hx(;Lh d>YKjXdst`A;fo?pm@weq3voHIQV~7B{|kFcW~cxF literal 0 HcmV?d00001 diff --git a/docs/images/HW_mbox_boot_fsm.png b/docs/images/HW_mbox_boot_fsm.png new file mode 100644 index 0000000000000000000000000000000000000000..c6c5a197cf8d93d41be2d0458ac48f5f59d925b3 GIT binary patch literal 57898 zcmYhj1yoy2)Gmw!hXTRf0tJedVx_o*5Zv9}-Q696dvSLP#hp^zr7i9Sio5^3_y4Zs ztXVV3nltOnJ~LaMXHTLO<)yIDNzvip;IO1263TFJ@Uw3v4HfzAm+=Y&*|!SbMOjJ= zu6C0A;O$GOnWnV4oE#kUTN@P)2_6WC_+OW|3l5$X4(Wfj;ozX~WdEnF4A1yKeGuT_ zBCOy5|IBmSTEe|Nw+=#ezPRcMY7Ef+XA zBH(`o-Xd@IZ3-fA(h{Pop78&=G+-uLS(G;eKFN?gt+nP`f7=A!FC8CF{w2*LYtV3B z6%&CO#iA8Cu8O4uWki)_n8aeIhrlqINzC+|Op0%FhbISLdFHx1D%)+j{nsf6T~_>=LR3ZsM9KUaOGuYKUUy3tYXhlU zg*x+H2NPw24niNyQ&8WjP48}*PAG4^6T1mdJCima^&c9-nxK^=nGyhu8Dx$pp;aYa z(k_=)Q_3Zp*4V|~ObVJ}&}W5DfUa6Y3v5YfuY)0U1zC4p_D*ep(8K;QyEU}rv9MF= zM$h*n$cX;u_s?7IK445qig;QMQdGvo_#uHq#uGY(@3Y~jzT7lsX*7C!7m~k6_e!*D zLa14BY}6q2`C?XW0<)Hz3$8&BJ>_q@NgpsGQwN!V*l3YW^!6Gu0`{G{SWeBJ?A?e) z4^Qy0at#FP8C6c^21^OCg8cglq?_HCAO5@ne}$t1Y3tchTkAQ>tvw9#m%zEfB3J+| zrgVA(Mret08nWv55^apZze#bvD$LxFoQ+=P1$rC-QG0Td;gq`L*LKF#B-MM~fcIWv zRW|6adkY|?mv^FSv_WY!EBOYdw0mh1<@7Yd^Sz4j5&4Aiq{die(#?7?FI8`ne+&x* z9{AJL9lv8mNMW;4Tvo4Av(ijUDd?UcvT4i=!`Ci{5>#t_TTyQ4OsZ=aEwqQ^u~p17 zg^6i%6+@g?*m!Xn4lde}Tc1&0*Sb@7j-0sZ{|;y|7pvu!F*U%w+-SoLvw>$mAY3#E zGj0m;nmBx(((3~vJfzN!$-RTwPX&M^6a~)VRDh+5m?;y%=H>z38bRI|#539nc+&EViV;E8aCj2aT z34>Hg;wl1eFyZNE1w*vSNX-E(Rcvjx>YTrGc4XYNCb-69K-vzi`Dt!4Sf_5~7ID#p zNp$oIbhdwh`*0|hGUfPc_id8WM8HZSW~1&W%5HJ%U5lLNNzf{%;9f}6VX6cz!?~u| zLN{U#b`2D_6%WDM*7d#XRZAi`a1Z{Pe?>XJpLG+{)r*yG>_`%l!#0va9hBy$9EP4g zB$k3KZ7MKjn`ftA*8Uhg!^2DVTz?6bK)v&k(1UTS(WVYv^&-phgv!Zy2>)!W(As8kmslpIdcAmb5myLEc0Yqhqf% z>gjY7^+^HpRsKVYytv}^B#4YN-IjE_I7(Hyw5)9WzG7~u=vfVr6C4OFmd|85-tWa3 z-gi-E!7tXV9q!kbRR5k;-+vA1-U=#S;vqLSv9tLT#O-77q0seD=wTZg!Id%?qyfaS zQrTnng4DY~O5rsh#CBsosj|K;XAVt33IrvlY>{UWm19(qgn6YTwW+%75*4PHo|>b< z6C;Gf7(yWuhEXx;E<>_aurkZtF~uR+9}c%T_zNFEldE3PUaQIpeCKV(smAMHZuC$@ zI~_tODS}IkiBS260yAEF3`RE{%x&-effXa>Lu36+%0iA*BQ+U@GC7vl$!XO7OQ85J z*Oa0khio(wDQB+b=nPs5c~*8(gX$>k@a0NYM-2eRfKP=;*$BCDeZk2WRSHvzbk@?+ z92xmhf(%kDcdDYS;1L))Oj9nul$Y?pbWIn=B||vW*CuPBV_v|mt=E+Vlr9p^cVR`n z2M!TSVyhTK!no#Pmlr3mPfUJE<+_@v8TNmNF`ZmMOvN(gYH{XiMMi`0g z-&qC@8gKsNQn?wR&1?veFm|q5?;BgHIjk@Wyw2; zp-?@mP}p!H1$oQb}dQ6u+nOxr=j;({^d?~Nw$}! z*@b=EOBm9zeFuK1IlTt=cp(DQ!fyL+$JzD(Z_6e3u1cQ=!xp)L$~c7Lg@tzfDzi*( zWowzF^9;1K0EM`}6Vbi!5+YGoaPkj~*qJmhi@ZjvO*!E@7{214D5Z&vO3-P)E#!ny z3ZsoeGQzLz1s&+U9?y*Vgl|FsqaxU`N%Je4b>6uF=bI1v@a`FU#pk$cT^N~xDTjo{ z0HQeV%gwg-aajeM$UgY38Z`>cxAlfSBtR9Z#3?g&aS^Sn#X7QOj;EYX^inW>j|Sxg zd7N^Evud}g{tzzPv)u{+Rj8iitlDzi3mi}f*T*w`D?ZI7 zGtArxwiFOBBA@`?)DI%(Op%Z*GR211-+uIJ7hZ`)N6JxZ3|BjnP>?kqBcI|EwLE(< zKQuGeMP^Ha7$v!^3Bl$UGise~xYT>p9Kr_7G&mEj5r=&``XF#(0$1Sc107WV~FbUZ@7Z~Q+#Xv{}hI!$J+}$ zjZf77IEc#iCULZur8%UWC|Vl~T7py@SAU!^W?kf{7DQ563E1~>6H%n)lnGrGwp7x{ z_<8(dM($#*@}}4wv;x)9<&o|$k2BK#xnOW-I9i_#;X*gAKFK@?9(4u@#kSE@#jf7i(pjHPU-E zNb+qp_sTz8V&>4iF7nPcN*t}Q^l#J5@cfI*pF9rm1$_qMEwmUx@?{$-ZfH97G1P0# zFeKhLVm9jhwl0t}*AI#&JXS*3^VybG4=MMx*?b+u`DT(!&2U1~VRoHqys^+d~wQ7fkA2Vh7KtVYg|9e1h< ze?W-teFyNqQ}Fwl`%p$(KZQDf#67Y{6J@`%a~O`o#-?ww;=&FZrI~?6DpL*|Qa~3S zk*!CNhj(knumEnB!JU5+gCV-0=|HL-uLR9sJIh+QmAnDUa-C|HBfrg(zRASPHti%1 zaDUEe0+cm3rd)64;4vHIcxP?RRaFaM@@`{x(OBNMgEt3Ey3hAeK#8g(cK}-?`wgb}Vo&c}SM%O7~KM zl%}Y!QpD{UuB5avI9t`wOTE{**qKQK8Rp}k)1+5YN%z#;loqZ>m2k-)xHBE0M5P(} zrlcA&(w=Lojx-r4UUw&gMZWdKUR}lSZEy~6IUgKG=jhmvI;VY>SVosilCS&0D0Ywi z>rk83tGyMxaxKzZ2qR>m!G+TWe$EYgFC}P+O~@QXTgYSo`rnf zG34oe_p-->#vlOVwTa0`#ERR3&xv?a7WAKo6oa+#;U)9&-b~(?ndzy4IJn4yaF-d` zT1K+p%*Ok)oY=7@5Jta5q+h|e;kW`cNHHsEYDhtgyDm6f3#}xepEt?~KWvCm=f9GF zQhyTvS}mennk`50V1U7I(Ne{f~vmm*%-|}_;te0rX!u}#M zos(`oMC`+JrH30h1QAtyb38;4QNpxLoqvz3!$ttsvUe&M0(I+R&PEqUp1n!uidyO| z))#yC;kue(*o?Z`!LTdF^J}Qs^G(^(<1hA`gtRVIL6wEahc<@lC>u_~>W!6o&h3u$ zmt>AiRtpMvHgz5-1ONkH(MA6KZ{{2P2+-oCf@$o5c(@`WJG0rwR(&yKJgOD>6(jcvj<;@bS{n(HZTsE z1`b=%mnbl@;X}j!QZTzv6;avsMl$8rK^rnOXjhjq7l$Hn;nj}cZC6|7*^35(vPz)5 zDydwmaY%PDNdgZv0vBk~uAJKGoUTO}M{b`x<~c$04!JF^o(VnmCGw`5$*UKd;rvmA4 z7zxoEzc+*#!egeQtb?o1c)YIo;qWR@t*06kB`4VycNf0d714eTyAdDn`W_%$XYqPa zB4)6pA#68Nw!~s0LU5^5-+e3&lC+R6txj*PGhfY!zyzt>!CSrUZ1|8sV1*EByhy$> zlMGveVxSjqu@XR^I`QV0%h$3boOg7?!3NA14;F;J^w|ubVw7%gG}r6(<#qYK@^en; z>e_9s%m?czsKpmsBe&>JrD83Xr?l4Xt~92yLMv2*9X<>i{AU#Mhrkb*n7jzO)t4|Z z?>93EqTpA{FfRe0_18p!4D1wSzTq;2s`Yl?&S{Ck{O@M=J+sI4g+I>~`@yKjkP=p{ zG3swJRSamcd3x8Gcr za_>K!GdX|?k#GZ>-_NCisuVA~{R)`&^M}61 zq)(XlcbBGnKrdronzC-kny;g)YaCGbrDA9ur{9#I;9rGaSVE6_6$!U-=`Rufk98wM zvl!>UnU_^t+5CCwm1JsdxttcIl>h1p3hX$p&I(p~6>NqY1A1ZgPy1{32 zY6jq5HpDi$9c6#kJlmpHe-l^Wf8y#*7XByBKA_B-%`Tey7mO+pitx{9&COmfO#ot@Z^Y^#mxrg*q#EJ)@m-I%v0_kIY; zn_t;fzF3G#Zu*~}c<~SW{+CU2WeN^Q(78UV=HZRFf77fSz?!4Us-K{kRc^*|kD3sA zl`_a)hp~mm3v*~T2hHg>YzR0CK_P^__Z5}aEgGoT54dmMwCf;)D}MMuHGL`+$-)V9 z@SO!gXn9eyWlPvBBb9BtS14}Z@KHD*&2ALgIXVgOK(k}NOu2XT*^+LgfbE>Pyz zx=|_P>$sc3)<=fRMmnwC<#o9F@jmIV7Mqub;De6^tAkfOe^I*Xz5H6%Jee_Hv zG!b_S>~sBCR+)qh-sUK;SUf}(TZhRugh>Wq^PdJXL=F(1@g=bEa%@*|(XvOiUe>P_$h4SVG&SZ`yl1LXB_5JRNG(^MftY0FT@<80Cos`;qfm zzauE>vX7rnxGgEHTEOhQ4nOgUO!O1+!4^;0t=C7~fY@6UmLHqC~Hq4h4hu?NPY`vDH=S~B&NDzZr&y7~zEGK8CZM#cxh5K-;9`iRt@6x5_)W`^N-4%jW1A})mjZ# zV?sgYGfFI@N^6AJOz0KLC1+30`qUyWyqtrAD5 zIR%no1qmn-l`IiMxc_>HTf31}aAO9Sczd$!p^RMcVh=Cd>34>+r&@dDeR#fkq0X1^ z4scx1ew4_Ep9zhzo(LYrR)(?zhHb3;pmcN?V6GG}&C1rlnyJayJG;RuIN$Fgr7MAe zKnkpQZ#Q1r+i(Q7&)ifn@lQ@9GNmFgN1cB2y}em;EA&d$1NRH=o^5dSx>m-c?u=OM zF8|iOcGBd6Q<_cREb+ZbI&_G&^4-GgthW|3_4fTp{#wXad+BSKpnjCc{A;LAb%SH(8RgoAUrMZChvBk+@qe(%3?z_^K=|p@t ztYZubXd6%`p+N>gf8cf~kKOUaBjZllhKYlV0R`c9M32um$LlBQ=b`J6+7x~1LNgq5 ztSKb4@3ESFD~?LRtbvw&BYQciJ${}vA5QZkBC#e*xn!ChnNN7@(B?x3CkDepyB+XfJX(eRl?eC9uLen$Ce@?waZ*;}#vZA_nG<^sFzJ@t zu}p11>yJqMB}IEqzoXVPD!Z))`VYQtE`42W`tvWZ6FtXwM-Rw`kJ@B0N8+2;r9F22 zCXXy%PHh4@p4n?1va;=c#~vfEt&xYM9D|~QAy$s6Kkr>Va6O~yF!q1fZ`6obSI^T2 z$!9pNS(er*H2vF+k?<^Q?2OWFCi6|*J!ICmG1FSEBsHnzi*#im-~t|wdn%frL;Lch z_N!H~Rp|ePz^4d$cvwpXr~vFE-muYQ*v#oIQV_qcK_zG|{3pvakErV$NfPCvB$EjD zu;rHp^iSPQ`OItGWK|_(G3IdL%y$9V5oZpFU^am|lj5&O5~DUof~14SF|RFkNTQ0s z%3j_D*tgZs3$zt%dE((LBDt60Pxy&jyAr!o_Ppq~R57bduw zm_e|5)Q;X^?u>8t8-H37Kbj-%;3Iq>(1Fj)Kp$J8iW=s#zuDGCzOJENSbi|p_wPU$ zNY9KExCR{d-wLtp#qOUV*pMQ)@tR&*%h&3%4V&#;vIG%G&*qls-^y_ArlU|^%Uo+q zFS4nd$sut1anV}Hhnbr0;15PK;%&p( zZ9L&^pNE-x3t?`dj%vdB@g5t0Q)HCJ>SIr%mL_Zvg-CpSIez%^=vFobQij&MRfXR# zKjvemuyj#sU$3pmjAxnR{I;gk3CpvQTO6w5#GqvrQfW{EcO!&Q&LQ7t$!riLoDr=F*q>P674ncn8D@8VrciH zROCrg_0*Fh$~Hl&q(?f9Pey7y>nvl=l*Y^~qI&1$?7ht-l)Sa`tE@@(R-@hVT5%w7O5GiXD@l z2O zT#GbP)QJkvU+$FE{Sz+dr|Jmez(HVWLT=>tpYo6Th0Pq&S)Pucj2+vQ;{25XwRCSf zo&x>3ejPQ#g;kQe&6kSdHEGFA=kMutq+yPmW>8Xp}T8 zrnsof>c0%N3izzhjR^~W==oDUWA(-;3}x+v$6KSeVn*Nc}q1BOg-+OpBn3 z9bW3DNUPzUb3XCVY9kI@H!r1aLwI5n6;c)VP^%Aq%&u3Qs2f{UAJbJVT((`CjB5Sowwz^K~6rEENI^tI}u^Lo`qE4IvR zQcYnz46EU5P69D+w%<_`kHGv}r19?hioAOA{NE@e>p7U|=hJWc#0z;SW^AxToDJ3o zpEn*XOvdVd!l7jc_@v@8m+ZV(r38Ao8O~VxfU)i5WjsWe@BqEY6Iy|-v#IX7jJ=Q3 z7LCSp0s4CC%F7~~+SJlpRDJZa9%9Azj=o5~bvkyIV_Wo_tt2Yubb~^XqtDXfsd^#B6iAdm-|IR9mpBZV*1VZ>-Y4(HhxElfQ-wAtDdhe=H%>ZeopP9j zdVSEVwJgU+BamIs{1^CAy|iIGz#@^Z0R>-wvBOLc9;$2p$#8vv`xaBVhQ43265qZj zU1jt<`z2Jdy`QV<@~D&;O8hMqqod)$ZF>dtg}9;tE_nSBwn1i!7+oM9 z;}|XB#?pGsm~b4*&fm@a&{;(VUJ_Y2Af@F9wmW*r-Bt?ijMSrW`-#-hNAYIVbAiwo zi6VciK)?WuZAr*x_?0qhEPw!gR7Qbzg{pX5FM&zgvAhULw!fZ{6otY5Dxp+#!<|kk zSSEkVw#wb-IJ=D!uh+vG|F6_xu~<4WG}pHw#jxDns*Ta2Zf|$43hDmG7fHOQ>fZ z`&kn_XIlmqc!V-ZTsVZAfI0MV+WJ#eNBB})h(pX>oPDx;*U%xsVmpU_MY!_CzjWn` zm8kXW?IH(^*3>(V?02v;N3 z!f?{u>^k)?0PJj`M!_Tx_CcSaZ(1&uE|Aj9Q!Cao_Ie)s7xnd3?c(^#lL;iPMT!=$=kS%MS8?PXt!u7b3IL zL8OYxjFjQh)?Tk1F?c=9avGyK5b_pa`)NMFu+g3Tv&yfB7m)MZw7&f7`ou^K4gjSs z;rg8h^*JS%4&Ck+6-}kx~7;z9MnHWkdnZp0a6>;k**v#VzDj(@Utjp6=e9o z(yECk1DGg z?z)r7zS!&V^18ODUkpp(dKvB%xbIiGUrSCCfJ3`55N#i3AWI4!ZIUZGCWSBx@a7C` z4PTB=D2o%)#HkM9)c5Mz@Xj+(RoYqKCS1eJiMXAm`<)hJn5z+vRxgaIfC|^Q8*wP(qAwo>S^PqA}{I8d)rXzSm%yu5yLxItyy0(*oWC$kM6$J=);^_#&k|Bb2xV z38+={1r5;-7`sxGZCQ1I^E!)2*@W2ipucTz$5oZmr<~>!AD!#ey;-H2#9ePYOWo37 z930lziS&p(%FYLi3t+7J&aD}Mt9(F=Xi12ibyPAZ`KwNO%oqI>$DbJXaBwXdWqZCCBE&(sIH;TdNaqLH*dL~x3^#Xus1Qg$6}ql1Ig1itHE)s^=K>Mr zcPv}+DU+oV!gm`)MArs?AOGNPk?p^JpNT$#o3lzFS{#-Z=CYBd3pO~D)(y%ZrwVSq z7_c8fpu@1%#Ex7#+KMT7`P;MHA!4Q4pYh3+4DfA&=biVB_c3$coEP%w&zLavu2T{I zXShC!zhcP);F!u+W?%KplephD_UUB{s`;;cr1130QVQ#+?vy(IyoAQWoUL5AleOvn z-_($G%2bcAMYLt*hl#rR=mO60K~T-ahZ5QaS@6rMLiEMxPsuxP)m`Dvz0{Ka;-@KL zPt9)pP(Z|Wf`p=`W@?PEAp7gOq>K=lki^48?&X13sO@I3^-1A%L+KtJ(}#F2$d6TD zE6wF~H~Q&$H#|o?9|_7jpENtVn4lm8VOzD9V^X^mqI$47p#$8_20N*;aI*!RE0j7d z#^87on^3E!{=m?=$PQ>`2?#(d`}%%pm)=A7t3M}`L4cut<=<@f-sapBt+Ev#d;Fpc9&3RE7o3$&Gzm4RWScq|NS@Y%O7Vk=4V~2LO>0w zEX)ynT3V&+j#P)dlI{y3%;h!RWQ$4AuFjMk&HhpRv<%%xX9~a@%(Ad=XoTaQI$B;9QOvrWgpCop z%Sj3aNly&~X=vsAR)#y_pcXfJ)^wqo;Z%X@02;s0L$?uqxiKk4qSsc!V0LCS6hFX0 z3A@7xl5Pi_pfyqo30T;-JF9r9@#4rpqu8;HMTU9}`6uE*Jpxl0TD`+w)s2BVMq=!u z%SE=qVzGAt%Tj(J7)MO2GbgRbrP<=gk~`{DtMu24K{Xsu>Jz9z;j{Xw-z3!?vBwI-ddRnzdsQ8d=JLSIB*~ z9r?5@jzT!}d_&VnA#KS5Mpx*@9p`z$F~~A7kkxM+UIzmmlfj7iErfFwyCpAHo1$}T z#KD6?iRg3;zboT0 z?gZ4^gc&XFPD*Rfp5ia335N*F1XsDj$s9EIn-mWJw?u)(bet(hBnuLWuXfbxjsQakTi0L2hmPZ%jLzUj)x^4 z1}ny*K~a3yKq}&Wlrn3Z19OmzVR`PS&)AV~0E!1z6TJg+N7*t)vZ>8)3V2e``Spbg zo>;|I&D8fDw;hNd`s`ccS;CYgSH{4}G}dzqv{+nN5~V66R z?N+YDx`Vl6va@=CsWC^AcRt4iQekj_jY(Tx?+zQsX-s!9u*-JfL8MDtEP$&Dk44`& zUk5+`%3j}kYF4ss3aIQV<*%9NFt3kCa;@=!G{8g#%rs)5I$q<}0O}BGD*-M5sld0c zUSZsfQ-*@Y5+^mR){oSPYKN;pru_)8dSnQ;jTKdOs-NDv&#NQlOBRVdtj;8I|0oP! zf%}vh;Y()#JkyG!y8H+?r8-MQ0K>ANs$i^&`61j@^1c*&fMTKMYFq1E`aH{>UVJW) z1KGzkE?%{kAIc?Vx+ zjhL7en9U>@I4L7|5)R-n0L{YDzPCD{geN=n{PiJ$x|kYqEl=uh=vHWoTG)ETG~ElI z?Gxr@xtZsGNd8*e=XybmyXWD}gP}qMsQ_%1s^4Q1xik%R%zYR0D9;C&nDK=DDJs%r z+V0|pEL%y^#1=L9)IX9T@P%>P_Djp_xJvr_KcA>o>(G9kMriBHyrLFwSUfz5`x}w3 zQ_%$u^lSgNRQ@~Uh7b-JXPK)R?RwS!oBK?izntT*IOlWn0l^+-$VeX4J{|XsSNrs) zG^=J_4_3dT=?UmV-dJzdNc(b2klLr_p9|RhLK*}|GE{}$QV<<>Ib)wKN-rQ2cXtHD z==f-$q)C6&-KleT;<}2@iT3=%BYyZ+KZmE{@#WbeZO1`hD~T3JXh|af2IEDz=)ZHV z6L2hwluT6peE4xD+B=oW%5`w#+RM~AO4Q8V8QG!^C++WIK}j6qIp4=POGQ+Fg>;&& z1sO`sKdLV0kx1EmQ#TMU((sva98IOTUcj7nc3PJOPSa)^%Fi89&GS9j`F>r1m)u(T zm@KYPxpw#)T_g5x$=A~1jlJT8L6Q>-B@oq|2pAK^)DLGRCn}mWD`Acd*ZN+5K0X zeCG6fxdhpWot87+=X)I+hHOkao7|j)EV(T~?tETsOn5zYWaJWcE=GU=WnCy8ZaD>R z2`ZQ+mU~&IV3)~cxHQJ`XYZE3DZ-<Hs}YWimF(#_n?FvWB)<0tX!rX8?z6w%J>4}E+#^BU-lpw-aNL9Q$mU0d zkMOXAjV@hdk&ik}HG{qHFWkR~w1KQr7G-t&-g5|26z(G2?gr=*D)(T8Ns6a^I;Jnv zm?i$ZaZ|w=j%b=GfnRiw&ywv_s@0soh$L@szHIfnve1LMNqojL(SKq>jhsB*QKfTT zNQrCsWcrYDkt)^ip2z#XN=MCW-xdsA2+sin&-M3ef9_phu;D1u9wUIdOapMv`n`Ga zuLcZJNtAG~B4hkpl9H4@{L0nm z0ezir41`TZ$Pmy7k1J4_3C&TbynQV9I8VCNO!I8^Z)8RP5bp3-^-9bbk7)$1U!c+R zse}$w+Ren8Mr^A+;?RN*d=rv`d3Dr#Awegfg*S1S7aA>4r}wXH6y!!RhA9Wy9;*y= zlQo1_R=(Xd7wEig@Gb(pXLAS+YM5vt8ZkxK#@VS^e*>lZ)A2`+8dAM4&ICCfvbSS=H%|VH*6rBD;$@2LjqR6$pHW2b|m`Q z6TU)*4+cJxYc=hB0(l0U`hr=#Z_P>Vtt6wa2q9N7Mxwn5jxJ7`Aq*SXq&K{BlVkc7 zDHZ`KLxkU-BAv)!`wHHsJR`j~1DvB>2PjS^;GBJV(bS`xv1ZKqhTgD)DQ~9gh^Wqi z?ow&Y-g~PEq<XRc6GfFm-mAUBQV+Y7XpOLGx2A)jM~cih};G%i*y_Y|Z~{29Lp`_@F?(=)G5 z^vPwUJdI!XUB#&XR=JG)lqk^dOgClL(&zn58^<~pqV~;$6AwRL9bI|50mD$y*3Zx1 z)1Jix3lI|~LXA+SIW0=+{Og%CaB&Zor{uPY#h<-zth`TOTyRGY3nU_JsW?v= zr~NT++GLMV+)~kSOGxZ#h=?^=#;BUNAOx+pSWZNU0o_Hv(f)zuVYi;THo|;rK`I@X2QBhP zFs1Y_7I?rod59X%6ia=hf@i{+?Mz}bV?PD?Qjo7Y`l*k$G3PD+@+=CwmRjEn&RU@q zuZXmX%K60BL|8bP%FfLmy;f)iG+xrV1+EVjo3OZuBgORoOuR~oa~d;{=Yb470qxO{ zE6c1t=Nx@$78J%!q4ISa3dIp`x34_KuO&za+3%ljzMJc z5=Z_1?jX|i5BB-F5b5$;;@S@+nKc!T{`=VwRC?4`DCz!{hkq*y^-2jEv_Neq_^Y_s zCA+`|m_<(_B6#<5L3TK{C2s;{B$I|n+)=o2*Of1@nqTq2+tIz|&9pn3>|aV5>M02iN2=`b8_$ZV--)UsrDj(b<^f}|8j+E% zS;Q2f-%E{n=VdrE1`9ao|20?5gK(iMsbNhdYm0&ff?>^3#{J?qiF{5vJX4=D*BleF5(x3 zJ*GMYD+UeO=b7DLExc%>EYh>5Q&r-PP zXJ>r+Z}fCth9V*z!Zs|aKm1U^;A=!frj-AqllGf8R-#IRYZ6G4g-Dd+5#g3gOq+9dBR^QuuD-h}5?LNnq;oH)IyQ#O7 zE6(%ZkOwgko4MJ^)w1pHTrks8nsp74-s+>qheFrR`wg50bkn0Ca=!L5ggjXnCncpU z4$YXEYcgcF==jp{)+<(;Q$l|ItP$@swuk7*6u0fud|_e@G`}dNdh8PGtu(ptT43}; zAEYesIKO;BR6YqweLN0b<8=hw{lgzid%|p!U4O8Z@xNR6>_vX=jp+YxylDmREd*Y} z^Yy;;r|A`eOXjPy5eu$P(SZF39TFG1Y>|uXx{2fJ^I{TE-0!Y>k3Kxpr*?ArK_CDp$nW_*q_|b zkdxBWXQqH4XXwJefS$11tT@2@$~mHy>!(vF!JDygaZV{#Y*}tz{I*!voA#NtE zuBHs=srCq>2Yp-GVC0~pZ$`;5KdT~^bR&zimwl~d@|@p^O;-_tmF|xNa;K!UfWzx{ z-EL>KNxg}Y`)e4Di2JEN#BBfUuZ*;tg@9sugCbVNuPnX@+YxT!FM7+iBgXAaG6y_u zH)6&3G+)|MOvC^BpcV(ZC;;*dPZYs;7v6t1vA(GZEBDhRSf*O^hmaMlqQsw%OK44! zOApT!No^b!`W)v@^)o(A%-h&N(B&(x;uK~t6uSL1zXlgqmIwo`dXioo=Q_es;UBms zsl{axk$JAo7U(u6uG=p5&#x@gh` z&^c0ByOvIZcA^0ChhpJ|`@=tsXQ$-8EOL1*=PgHN^HLRPp00sA|`nx=*CgA{@Di#%+>SnR0p zv6aXAZd3m4M*QK0S98F(|70?QOv}by5`{S7TT)|e1`GAgw5X6HhClBhOOdMk2B+GR zxPg_Yrfh|2ZTj`qT*e-6Hi5A9Ga#!@3*^MrVHdSr_xf+L%_JA(1ZK-3v!PkYGT5^i zq9=`cOSONc6a~nSOB&vq_s?$?-0*-TaPS1N>o|5c#L1g(@6FX}OjD;>p0u-_)g3atg9ayzcX6tFupXCBNIx+-V$0dn|4(b1r+~LU4-Sk%5v`d~ z*xOC|uMLz+1uSOFK*G98mk+eM)jUWQkB5s(*n$;9v>9S^J5WC?GZYfh6mYZXjF5$Z zR2P4;a1lbj$w4^+#lCP8qcmjr_ojve*X@s!Kou_~S!pj-EGYt+d$A=o-+xHnxxiFM z-LFFYu+r|E2 zsyjep2(NPM*ql_2_86JIYsd)|h9BIQKQ0@exp5gJg4=P#U))6+8)n!U;sOC4QdjGr z0w(nncXA)5g>2k1FaHojTuFuMFSj|Ev!aJVVy{RDu(f7#PeA#s-_)p`De~8j|yjAqK z$Gz&bT@;)T&%{xX zO^q2{I82`v9ZfFg_&8!p{8Att_0t44?WRQDhT;^P1z#;m?R8}jy zt8Wup#UgmNH^t?cWkBO54Y!_S-8T6sy$c1R?$N82XF(*!%aujAXdYaYIk~~oBBmL| zsyYwFuS_*gOhI7WTG+vQ21)pg)w>XZ1H2nBUW)mK0AzZW;dSOFNtjWC;vPzfyhRUh=SNV0@Izg@RTRec)EYz@ zC5kLEtNr&@ze7H~L1Em(f#)D~9kJC9oW~%gaNt!i-$1u}?7GCTm?08iDq>YpfHdj; zHaWm&d_a_hY`h7IevNvub`=>KcW&JXq5Br*iCjprbx|&2Q?O&;I1<$P+x=)M>!X91 z_xtzR@?VT9ZM43x$L_LXMIfLuQb`0mF_2(;lGYDO_WGE{NlW;0hPzCGK%s`c7Ek7M zeAwKZxXWq>J<+{_bU2u;yKNCaWk;KDCoOh@29)S$Dax4>Pv9h81%9DU(}Ouw43{qnrJh#+)yiK-t=V7 zmVmx4kP)!#7yQZjr-koLopRwiLk~Rhfc0_GZBgMOj;v^K(U2!Ijv^ZTuvHs2>h!v| zKP^c0vfD!_=C72bw9%!zr#2$@FUKnDC9v2!K@bIMlUg?)!bs2TS!jQ)V zlC88By!##TRBQyv6#{gP-0S~$nV{SZdv9jNXmf9 z=($<}jjqUodr4qirdc-pT{jS+8JykL!hQQ&jh|<+(G1^k(m^>jNy$QUFJy?K39vKJ~0m>^Nu?hcP#|PF!;V@LS-wrp+ zzz8wEtyXy#OSBpee{Q4j_t`vvd}{cuW?klw4i1Be(p0SHUW~tC+a(*a1bJuk@fw=& zF|Fs`mWyejQ5VzqlbFvz0Vtc19#sk|>0zZ1Bhtqh`+?H7M|2CFycpo+^m;~in8;mj ze^NZwa1vaYKP_Hg*2}+!0Pc%nr zfo{R{1t;5*M9BRRfSR5LCyVdlCEOg1MGgJ`zll6LV0*^RFj>mEin$JJv&_8Lw(BZm&9%9b7IpC6*p+!Bc@Ts8@GnS}_IQyW+YZm;KSX9F2$~8*yhZ**J zL3ocGyC6`q!WDJa=0qC{93*hF5}bf5yRSftoyUWol<}vmX|$tkOwfeb=lB%=P6)&k zfdMbfPBjqyR!sKl869f={R6PB!bAfEl=_2M=LKct`0RlVVt?;`7T?wa-z@wg~DT7vESumA%)hx zlb!{SS_O}(Nf~2{u>$_^Gcod$DLGy&?Id{v2-Hh$QH!tep({Eedx~P{VAa9z!rQ`4 z>)$Et?}SB`;M(usYuoQeN?)HIh@)YnB12ZcQ4AzQFGW90+77Qidg0CZkdyWeDMpT= zc6uV^xAC)8`X+zBTIBlRW+qqK5JZ?7IkJ=~NU0>qI^&Xs@*KElE&hJD=@~GgAn`ub z<^DC>9zT`pZJ+-;nRY%(;-1aG-=pe%A@#{FN$3QJr1Pq|v&6x!?0#Ms4bxfbgY7SS~leP9~Yoqy$bv^(^=tPY0-qiF>%rg0hYM@I_wL(tcGHu}Od zrmvTX5270u|9OR{#<8~c+vN#C81y)~;vJcI%R&XVdWZ`Y&I5h|>84I)1r|~ab#8TQ zz!oPzdLq<0vIw+h_l|x~?`{t&SJ|iF#LYO*7E-npj)m}jgN-%GZ4WGscuKIUA=_d9 zx2PX)JCa>G$ChDdP&3kP6;gF{G1*gkYW}5h02n!e9cdCKalKQTA*B+Qpo@>n{;C6` zJAU3#VRZ1}=Xj^EIGV8ptJ$Fm4*@gg7O~c)8g);pR{k!R8?4VgCuhAi${J&z{k^Nm z1m8iR4HY_LW{|WK4br3<-bW)J^7h{dDN}pauHMrMX0dBa*<8f;Y?xWnP*@pV#O?a%0Jui9)(O{>!2ZOFC93FbDMZNFt zxdPtv5ar|w)y^#Zrm!wda7Bz2);|1D=cv2rkDWqX<(?v~MtQ!-Jj`4=K(K9SAizyh zVR;#=sS%2-dn0U{`5x8tJ1)%XY2X5zRZ5Onn9Hs*BH$8fTW7OExns$r!St()^H%0ww=M4vU>Z=a%%f~PiVP`0EgwvfV2gYj)O_JSg*OE_K@VQ@2{)1zPWBo z)yRp4sb$_wm+1BHU+-!?U9IHIbNKY2(9eRPA#o%e%tya2aZovJk`JniOh1&=ROcHv z#gd0aJebvxX%BijIMuc4B<@;fxnDJ{BUHWMn%+18ZQCEF)zv#60`soH)F%Wi-X_|@ zg4ze;L_KhB#xHnb*OK)le}_CR%UQLw$0t}2r^e#=9;l4J5s*~k=2Foa>W%t#i(TVv zeiy0S&eT$-$-)7U@tA(UEZ8mVSdB?rztF9yz}hwsAi%O?489P4UbL#uid)K||BW=| zMo-MTn+c_vmHj1t^n)9T_~>`+n`@-~!2Hf{4tTY{V18ZH$UJV{DCDuZ6PxUNsr_WU z{TL5?kyN};g92qNnC&i%*z$Wu;c->%*?vKMCq|rT%c>ajABtsgpno z8>x;FY9^fu|1luA9a}_tl`aq;ErUil_Ed&fw*`veW|N7uUa`^Cq;!{j_zU(u-Zyzz zbw4*0`HllZ^Y8J1(f#Alr=Oy!3efL=qo-pPk`A={J_FGxXC;B-U$&bPB4PzR1ug03#%CIAwCwKZoK~Ul@bSLq`74i_d~JWgv^S7TiYUDk?sAn>BvDMA z=<^>M9Eb4*Fcb()dRTy3q^WMb=FkrDY-F21WJ|~&&7oRds6`9$5Go1tl0}K-=KXqZ zi_)4PywnBo%bZ=!?K(nuk$#G`5gu66*Bjr~_Z2)D#VQjk ziMe^hJ?>J=!S>Bpq9~X4ojU4+uiMVce;yf7$m81Hr-9Ea2OAtcu))H0qUfm+bY?UI zZY{&3b2WK(y=d+yj@=^u*)YwafaDh$o2-C= zEU%mR7_^o{`%k%;eZ2#xN}b-bXjmih04gTg7UfuZ83^IWYloZ3!1QBbX3cVc)iE66 zte7ud?){86BZ^x0L~>h4m*)X(x1_JRb`3uCF<6%vGkcnJA#e*tsPF zB$KTNZ?x)ykmN|&0Xzl7rC>64lxa+BL!FKSQ{wDTlXBAI%`M8a!3WUc5&gd(OW?&r z^$zI-YbrrwX}>>~(S%bf_VT&8Ad;OUnA4JoSwDd_t9~sC`2C|e?2RVH+nWh8*G9~k z89yWea3=h^NcS}8^h3U@_&A^b2__=+s1B800HXEcGI1hhNp|>6xUsI zsY8Z-v-Uv9ZQ{moiprxYKtcV%8**w9qsR)l5Gz^SPy(M5b0*@t%~A*H^eO8bppLWqgmT869!3!C~0l?EVo+M|f2V;TwT+FfQJ< z<32z9AHU4x*y0YS!bp7pD_saK=eYCb{ee)%WnX2i)y>po3uRrg!dPXDV0fL>y5DP% zGwBrl!h<_{Y|<1<{9YfrT8-v+H-DtwEh)3j8U=fe?|3>urx9mfIF=vgl)U_YJJO+u zi8(d!mrb{b*V00WezsOdO)`U*5GSW-skVQgT`rBTUXD6a?-w8uXcJ`1Vx7f-4|9^6 zecr)vl6p2XwwnYW8WvJv-~S0}fjde8L$BMY0{d>)eCp`sef82__{EWiGl<&%RPdx7=(#Z6i#2(TRHb=!R5ial0SKMu3?VVsOD zZ*dzPxETN55|X_rb*?$V3<;v~fW~~2{R2PM=9v&1f{rd-n(T!R0T5_}y5^w6;_%?> z+SlaIOXLyOY44E7hU-5P;Q&h=b=-sQsON{vCP9`(e#qc{XxILN2fWl^xvx_ z$ltYD3@HI=_3fFB4mdUCBG+G3`TDr-JI<6|OU?F6<0N#JmKpGNGy6>ZcAb9S`ape9 zDz9prZ7mVL7hG%Zk1l2U;bdw}u6=;o={!E(t;LW4NGK3VqN^scQ_4x9;o_WFGwjRO6lj|+6z~!?XLjdDP=>yetDN)7SWHEtl|-1 zJTmtw+!x#FF@!BOTO6V?>48}x{&dYS0v2#_X(-r{F-{`6zkZ$OnG zixKAj3>dkp&L*3DgHrQlEho(`L7Mvpx35iEA7Ah%kHmTV-utT3S%XyPvtJ*1lEzb7 zBhX)z*3M;r9ts?UPU+*_42V&5FwM)1TS5)C92FHyte{$djrx1${F-yeFeUIEA-0YR z$=eNjGiK{ia3M#Xe-EGc4vzJRJ!MAS5gc~G&d!Ck6|*T z#M+ExqaVg64FK>sSQ!Ta0VH5-ww`Qpo7EKLu*@luI9*YwbFhj2J?$ZEI3@Lqqq+cAO@JVSIXG7bCctzk-?34PNf^FuREV|3)h%Uu7 zc|7_}t0d)71lXrKD22Ybm`XkFhklK92SX}lF^RGq=TEuiv4Al^i&eemWm)= zYP8J@EOcJjZa5X9iZSfyFba;40EQf0t8Sn%uhO8PZjC2A{G>O;uU5u4@zQe^*B+1R zRZU3Dy_GiGHvbjId$NZ|FqJ_^Hv<=nT_JFC7VjARHa11bjt`-GuWG#OrY;i)M!Q`5 zlK>)?RU<9jTOxhf=#*xv-D6Ph)m>_G!p{r!f~JvD$Ux4IAu)%0fJ5{MG+^LTOn*dw zMB^jj^jW|xKXM(=IDX?%;Bqg@9ckuz*;*L=EOpJDc+x2IIvR;C!!h!3NFMQ2Cw+CZ z!oJd7h~IxARG-&X$jzpygU%}JO31PIGdC;U>!8qVc1SEome+<;Tdzfet-T^|m#4Q5 z?@+z-L1FP`?y}+Y{|@y4@QiJ|vjT(=UqnuvZ>67pS7mvU|9;C7GVh(fa>MVD_W`zS zxiJd}e9NCVR}X9s>IcGyAX4DqGh6GGw;aZD7Axk(Aa~KosB{buJlh4v4*kAn*<_`B z6@GnE3mR_zNs<|g*Gno>r;GsFI%4yC;0v&2*W-g}iLPzJ1_c5WP?=yW>2 zd(Y`T3>gaA4WD{xZ@yQ3e@c8ESyCL$`u-5!5@jltB@-DI09?XjKF$a{2#jCTW|_h~ zl9Tb|hmSRtqo8Geq(plk>{N-6ADP++t~NjtXJ*+S|2fXZYQ0nFvjQPoQXgB$hI&Oa zQ&VjqyF|zjpF}_g`0(AlEuPm(a?DBbo8puFr9e!O+C1fS_3@$n+SX*t3(oH$g2Jlb zuOz}7nq?-LN8A!JOM?kP@|*(X+@9vR+@G5Uga9;?;KjC?9pUXV?Pqr)!zS%|u zeU2WlQ8DRYT&s48T=rbv6?Xf6{HMGKUQ>yY*dlO;5D@|?8AM*27~l)*=Z$Gji^ zl{UvQ<|JPBbVIRQDOzH9^YleVThHBwe2c$e3ha2dSIP~;U}{Q$pEF2ATizq8V>mYd zCv%0-QEm4?Y;4ll9tWZ!pobXP&##Z88sek#3b~3NpiNRiufy{)#I_nJApwyGHHbr{ z#+=H@NzN8~m}vhl^IHN?r$1QpsX8LPdFz5w#bD?{20z{%$lB-|uMfNFK{6#!G>syR zLE~EW=AD<-p7?zt2h{|Z1U|(5r^Q127Oya&_<=s99sWm^Ys!uG!AuO#z zGz>fW;*AGzj&BAbWLaUn>K&A(d4tlMZj<2fX#tb(tdB55&Ty3!j6|1dT_JOrgNh9H z_c2od#{syXNvOY z>NF1P$R7dSXZg?TaOt+Eno1U{ZR^sG1*@=GsEOR(ZR6l@oaY^QBx$$&tJT6zLi|}| zu`L}lJNqFLqX*%XqX&K$o{Gns-8LvT39b4hn_rfAUhsu5Y~o@$cueE{mQjVggUXv$ zi*>x~KGhVwW?-MYdcC!S3g;yAx5Sd&WN^x6gG78dUF+B-qA31|6blyWbQ`)`^nNa> zh=e%o%Iky3!Au)hwLx#)dx&*Qt!Vak}`21Kp>ulO^1`_PYm@Y}uy4H(OM; zUY+s=J{W%@c_f{5f00t5fHUHhZ<=F7`BFJW!C z=+0-V@^7M{77uAUxVC1cj;5g?T>iaBXHx6K%f?5ur?>N%#wt*<7E;uw?e}Eu&Bf&A z?M;uU(U3`v1!U2;*@C^?*V_nk-yjod*rc?g*!?57ll0(Q88plb+q#U`M1IJjgtINY@U@h{JQ zTt9sSsdf|Gz6dcDa-u+;dA7f=-2#K^6^%+yMkbKE9%G<_dcj4GQ4g61N6m6o`I}Hz z5%DS2m(8!=>Szv#cUWa+RU}CRl+0Nuzx)$S91Vn%x8XL3&5&}3(U$Q)T1Q9tk))-Jpt377WPTBTUT2MCs#kaMwbSj%CGa6q!Z`tdJ$)~`~n7g2Gpf9f2VzWzUahUOw2`@xV;QcB?o4%IQ^ff;;G zD_{N&NEq&e`U&EIMtlb%hPg6H!J4ZGMLJ}@grn$9^RLN!;M|+2RB@7>y}|$`PHLr~ z*2PEB`AQJiqYwF(I#m1gQ6XA9I7CVQjY|z-`mc|mXO(j9L4D7lr(r3!0q<+&PPt;c)_$G5atrxQZMq7ckIAQrR zmc|+1lgxbQzaf>O4)0@wzbagCJf(8tTig7aE}6IcdkI9yO#|Wl{gdN`R9#EfdUH{u z26+d~~=mz`&%&qQ8$CI z4#Av+x3UF`&WtIg60J*05sgLvtZz@`>$AxelPx{k5agl%yGN8H9!SKgv!d}=t5V3| znNiO%@P**Naf*WA)yat(id2X4{yyrDX5aTSIK{}ae$}~nAO=w4Pt1E(`qwS;f<$nG zq-v^_LhXI&;+dpTltSiX<_a_ZTEd7E?XvGD?XQRKpT(b$BGY;4sZ?nuQ}1>DS{Yr` z2OMwBC>Q$`sL{Yr9#`7;pCkU{hdO)*_S4H$}^z zV3)fR;m3=HI7<*q5e5AfMNz^h2K?jv2|F^9Aqt~xK1w?0S?zy9`iOs+m-h!86$?i2 z5&!45f*@j2XQl1M!T)pz(f|mko2Eh(_4lFB?#w@J&_(v2P5!fT9*9irsCc3{Qu;rK zPy)5eud6jTu>JQ&l|Qs*pmW!MY9p@(X+GwhZfzy`-y20}AgECwAYPev792q)G}nFOD< zdjt3X3@_bn&1vQpHYmlEf{z%CYBis(o4)E)L|*wXB#EG-$>x_)swSV&#~efE{9BKp z`f@N>LquEb-Oc*k3nShC<3oKEo>AEn~l@)c^VXZh5T2(|D7sf zP&c*T!kn=iLeFu^)4IV-l+34E+=e0VZ2!2Gy_ALMo5qVfB<|62cl4duX#x7v+AE6Km`4# z6qtZ@aJ==JVMiUaO90fbl*3aA;I;ktlmO1JE)A5-TG|43N$|Q;>G_KCmlYDFQU5B* zq1|5MqzJ+@P_y}ap&*v#L_Hlk@=iNB_Q2NHFW+4ZXbXt5B8Ep=s?&uq6Y@B=Ulx;I zG_HVXR(UgM=ia)Y|4~R&h*R*nB6w=C(=q;ie)H6Sa%T)n>E3eX7&5l*J;bv!Xz`b# z6ONb2*SyXbI_5JuvKM}^MVJeE)>VSG%UF3BA;pqF)sVklww>obeW;uF;PdcjK|53& zIfE6q5GcXH;^1wtvIdYHc%~DLys(#jgpYQl2DC2~$v2p()M&7!(Mvqg-2FQAdAs%; zCFvJR$s~nH7jzZyNtF~ekzv=LAW&MGzDG&+0m1@AGntDC+r^CUo??^}O+&S4aXB|@ zh_C^+2W?N{AR={;HjRL2)l2j*8J{&lJfDsQ#(q6&$;I1Wye&TI=ik}nX!}|>*aR;| z-LViN09k$RrV+)U9bh2fNH1>ickW;xKW;ti0)73*ayz(PA;r-KCFV+e^3i>KC9KQr zMrKsfV`_!?6Ljg%FA7I<+6LJqR;rIx>5~ey8D$$(6V3a21DesRYRqrX)^xDOxMVCw zmC4jaGqH)YgUWX=#7Y$EF-$(m42Zj9>H?y=ztf?|x^t3xlaP?IZC=#{7EN5B`e};; zV6Lv$$M2^VvRr{6cS2!?V5-B`tR}_|quOp8TIklH9Ih3MNKbYe@ob@6HioobXpB## zkuX3Z`Tu3Xq3{A$Y73$&tIkV>RT<*tBrGY{d{SH z6KKb>OA734g70F=p5`iyOm1TlijBSK;$=c536sH{EyEa72GD8LBO?N+TvgOnqB(B@ zYs+o-O+QgFr5y}x2Co^=QXw4F@K4=xo=I9Mg7JYh6vNYF+DRG3wih88P4!U8X zoCFTTc6fES&(((GLo4Qz#3{go8qJ31CB*iNhA0!ljhC=FO^@%~ufRNV5b$IV(;tzn z|LmaIh*0?2uyi`AyNiCUjj9zU9c9DE<}!=3R6tKO*6vKoS=seD&D|XiQ)IFf%~IP1 zyOLu7W1Jq$z=iGG@6!&QXuV|*>U%Tuy-sya^TkdRTgG)T{Pokod|;@lvWW`uXS@h= zsZSn+-dFeg9+z=6uZM8L;U;3}_X6_6pO&}rnBp6h$>~&#<2u~(Y9Ns@eO8*v$II6q zZXYtrp-QXGv8PQR8a=Q_4!E)!YLuk~*?czSJhesn@CMV7M# z)$^57g}%}&E6^Xmu^ZCN&C7ozy6Cd>n%2_>l!|tRO=}ns5+iK)yN^qx1bQ+fC_^y9 z`Ugw&UcS5yBDLdT4Eb~DR52oGV^-5n6GP_@XwaMeIxLjUXCF4LEsjT|jVI6^S!QLM z0?TwK3M+we#EhL69mXh$Y+Ug&c*HG~j%<##b+z#xn{0&DQ|nz+gkf;9p;R7FrVN|O zB!_9WIYi;!a{jh;^-OC72?qmt`L#oxo!dIW&bkHNZ%!_{QGS}w?n@Hv@6%OrUN2hb z?7-sUrY}%d%t?~maXHhBCv3oL}t0zMtP0A;KB}Y7UL0QP31peUcr+ zB5DtE8tQ#qY_TIG$Z5FnNp+g|wfKHoLYi=|Q*cqITDf(83vsT%LSBIzC^3qL`dhrKh<(k%1jKRN>MBRF^5xn-_|aWN+<=(4!1SH*$>}d0P@--V>L)S zJE&8FXb$sSa9%l2G}Q;Mos&dy6%^i7I{ zKq%JJL06LB-Vj6ADzt89`)D%47VEdKOVN${Na6j9o87Xh*;q|kPMbq~zjJWZ9y2w8 zAlbl5_fen{=DAIlHz_nvQ&*Bk|C4?UbHEWo+;yHc%kTL8H79BQh|OEcmhLuY;NFG$ ze8uT&6Lpfq+aw@Mt9Y+~O}fa#&qI>yd=&GN0$CkG1IOqgacod-m9GlhE`fTJ8mx|d zC)M0Y5=Mz7-@(!zuPE|%=g<-bvg0-f#_#h?XS&bAzeRfqg^=8xBQVjljKt*NfiMkW zH}R`vPoqX2oSuXl0}wtaqiN}$oB6T*2>!?VOw`8%O;c9LFA+vb(Md)X*OI=K%_V#E zgI`a0x#j0rL~#rV8g}O`p$?+l*g_u$rX@Z~G^%h=;<oW^7S6}+($3_kKCajcDfgiVARy{r7TDJsCzt=@t4U)HFR!$ zC_1>?*^T^!F5@JN>_p`PiM3DEokjN=y@!ayFXrAaR9(LM$OA*-C3L)1AD~ z$CPY;1A@p~x2*(G*hu7N*6Ga%d#wOADp(Ak9ImOwo5)nx6C~=<8Pk|;zZPc`7!rfj z{#VBhs7rZv%OWY;$)2-B-y%MH^4lKrq(?2NE9*uCsiL`|vezX(yN!=MKSgLdzjg*) zZ5#OUL&4o%8Z)LZLeyq5{aKxa(4!KDV>99WBiRE=U&`4L8fC#(Zn*&bgO*vd`{BZl zPCqtyIzILq573m(9qrP zQjiF01N5YmcLV%&G((ZqBC|<;*LZR)T(3Nt!0?ABe&ZDlUC^Jt016K@?f34}KOC5OKbjtF^PtUH9GxaRR=w`7^z^(t(n zM*k5fs=&u_51O6hLt5`Qt(#_c1ygddjnVkA4sI3Sdv2e@8vl(!)B&8Q%}dF`L}Oa> zA^s|Nd(YvpoDI^i-@c(Tg3!vJ^RcA%m>Da!jw`J6IywU6ns%s@f%}T64#lqQ$v~VV z@6-5=!w%xlRc3aqLO;2r%QIb#aq@3?AEgfxT=@p{=D(Ww9@uR)n0!d*Rn0AFQv8H3 za7m3k^V1p|nh`-D1wtWsj&MIo)8X@1tfcc__Exo03hH-!X#z50w~}9&-WgoeN9Sal zy1~@=!QHj1ZfWbd6qnABrjamy1^wKIEw?QrO`zrzZ1Wl8p}t~s5)(F2p6Pfh2UoeQ zZS7WULiUUKa@+Z2v7X|Awe;(_71eFuOfH*`*DbK&_rMelo;E3(S1$pe0>mGP-k&>@ z@u9^4UH$zb>wmE&N+8|()Z50{1&W~{BFa6sp?=wY3*$)62h-P~vP-a9l|xJU zaaXLe_qjuJxB={lf~<*+fFG|ve^!JHJK>H!R&(VOpOPBFOsIN^evjxZHb(!Z#(cFX zY))3)osFYblf%zhPnXFu`8%09zSlL!NQj0|4w}(msW$ks2HT_^A4b8?$=J{aHVCxM z)SdOgCl9gB_Gv9S{ry<%F#(6*vFQA6F~f!fNpUSOl^D+C85SC;o)8zT8jjV5Jt?p> zbK5N~P4Xpyo{^W{h>wRmP~P`XND3`7Y7UZGA}u*ntIjC!vVvikfN&wPdzrC?I@#aA zOAjk|R5U#UF*#*FW&|3Nvi9ah>ytfrsT7tHgAxQE3}s&iU8+U(rg)N+W42Q2I36rB z?Z{vfdPl{f&q9qt7$&p(J+Uc(p>El$08(`?kp(5Mw|Zp3@ef$EoquCK?;~b0fW|H5 zeL9RM$>B;d^H8FjP;^hH+6+17aBJ$y!-7;$a!0g1$uhX`_G5zqrMWlq!3j|~%_m9b ztgQBjC$r(3$UB{`?{=c4%IC{1(62jntB-kIX{>vm>L!XREvrlMMzffOeCC{|JvqM7 z4$4ueP;6)>UIGYOzPwdvKXrN=Wh?5GRa!{-ajKxmrg7}5Co@m4ClHHEp=PoNN8omm z7-(6k4p7h&18O-tl1+-aO=h4g@);_JxH%!+hOZ`=%_gpJ0FoFB_s?bJLDQ>E$QIQo zpH#YYyA9Ng%mRHAc@D1PR>V9!Uw+oADnn~_>_oYn1Pg$i3i&)N&Mylt- z3J&FXJnD=h&P2B2{Fd0ne(!iGVq95jP{fM9|4OEW9Y=~zZ@s#i4w(Td7msM1#*LzG zA@Qtkhg}=^*F|@tMzrT|>rm=&wXN;P>7@|zb)Ku7&{_3BtASEVmY!Dug@Hb`dBrZu z*10I*)cll{$fl_kvHHv_4|z*Z!VDKfVb29Or(~ z%UNl^ZJ7YKZBZsB1yL;uo=3h;EM`n=OSy8?BjN^T;*Y*>8Z`a_Wqa%tLLGf$0|Dhs z{YpA^0|aZr9LBD&Ew5OL_1J%W?laGPEK=lv*dveO@p-q0JvseQ(o$=08w`<@fQ79S zC?(n9#aPf7PmBB8Jcc*+dMfqB7%^@^33GwLP$t$zQ@EwoRDM47f-dRD2aSvgQQhx< z_9$LuOznwZ_7;4T!)uI{6P()0ZFKw}b(efo$C*(VFD0*yul7SEXD2kp=1Gdi!bT^2 zoD7pn*J4Srq<;0jLI`zuq6)t`Cz_8E2KtS+FvT=9Fp!ebcbl+yrFk)yzug}Rg)3vY zI5)FOSKP$4xvtC}_2uu=-LSP)&2f`BO%5Rs0jGaF*JgR*%rUs2+4QS>JJc;^27G4R z8pKrznIwu*EoQgLNHAnx)1@}Xm_WrWB${_5aZCL%dHJ*HI!(4uqkAsm8=dtABGl*0 zokL!<+JGYyD|0VP6{9Xy(uUSi$7lz=G<72tWW?K$QXR4SH->wkq!pm>) zF3f2qyoTko?S!Vv7!yd+Lqzk@9fRQ&x&>-sI&~pGTdD1KKb(X+PEMP)`b;O~Mc=lJvWce#d%=C~~D=NEs4g_FFKtI)!zn25HHZ#6Ilo#g(d#rM2~{3Nk3 z#V}QbakhnpgOHmEI2Z7y7H}R&fOrd8ZWObxC^?S6;Z|4CV)(fi`netA8|uy-#vAT= zp4HrWubHMuvx4-SW2+rh0d@hLyxtGnFMO4S)x=jSLYfI|v3NQMgeR_BzwB`>e(>wq z2>kH*J|J;Vogg;n*l*`(%2x$ZrwVo1)x+vxOU~ynBt_abGlx-E?ZG3XRMsZxyI$x6 z!CasR4Bkj)#?7Q6GlIspeUk->#yZAP5b0ROE#pc;wU1FFQuaOzMZu=1!EVT1MuQh{JOyjK);`^a7 zVe|OPcO?O1rflsZ0`DwXX5$9GA6L~Ac2l`X1#k&rEBCqyW{=7jEV zSp!~3t=9KMaZkH)TS+@@M(-EjVgT`c|ZByg{Al8ful>~ zefF&ma&9&{HI=MeK~WYF^Mx>t^=Qdjqi08R z3VHg??Hu&*qpO}tRF|~-xmOi{)1^1)DKHg}x)*AvxHgi`fN^Gos?d_BqNZ4G_W<}d z+moKtD$~CnLYhm|oWCE<JO^PFYmfHb{^C zCe*-Cv4VWDA)JCj-xEd0&$)=X&_yo{A}wDeMWYfhf@wFAII^}x{$^EEyE3UP@~SB` zXQm7O1(5Whtc2a6(&g}N8Jf6y=&XbydS7Q}k|B+SRL0qRFN}U+04_)YMbA$(SY#Ag z(5ao|sWhszHG_KHT;g05kb6?Z3|>-0Q|t0NF@SGAXAq8jx23R1LUdMy?AKOY2-Eeh zrS#p_-eXykj;)Cr14zw5i~SKAEc5;2$;UqjTh~+wWGCT5O{aM&25LAJ3me-?x93xq zldFNxh-QUi?8uG|3DDBGO0i?+xal&s=25F^T(k6$;rqdPFYkdeudiXy)>;}E5_KtT zV+f4)kHF~&aq*193-~4;a=8VR^wW!6t0rqBu-*18 z*{EekBj^WW>W)M4#C)gbc3zo0w|KK9?$5|Sr#R~d%~iHYRYcNu`|vzJXqo6M zT(LU3mz^O%*XyWEA0(9EJHWCdX*ZYzJl(sBjhvf(E0s#MXdM&y1P0ws+Le{7k|A+z z)zqL@(%VFIgt&zX88(VcLalYmdBiPmKS`}0e3toj>E#GQjzfQh8&1_$Ja)QPF7*%zOB|F9^sD8#oD#NAGVFpDq-R)AO1mQH`E!bz>KV8pQ!?e~L^Rum?F zhkY?HrxVVO$#r^=>Fjhs&j-O&vt#a|a;aJuWvfC9mUR$;i~gZ7B5+x+zU3UsF~-Dx z@hnAS=XGCuzd}NdpCjIk)gNGZS9?bj|WjRUG$JF@kr^@vILfz6Z|iZfb7-2 zdu>sAf%WE|IsJZ%B^>x4TAb7J0KggN2m&;YBpkhcviHnP4lV+hF!P2<3J1hw*Wt8I zZrpVAeyj_O8n}K8oFZC-6{*y#(Mqjknrm9Us-(Qm-2V$y{MYhS5aZRyp0{R*?12qn&$ReoQ?5&qkP4yBq-;<{<=5pjbwREa0p z^?*Vx(&+jVr>15Ig&b7gM6&bedT@px*K0L!op<}RcFepEE`^E;XQDE7f~gmk8H<*M zoPlFM98-GhjS+YF4e_`&Y$c{#(+(>G>(UvM{dU7RP4Z`TFcdnLEw@~!tnTV)jZ};% zpsg259m-5^%&f&+{Ot-~YW4SwcW27oRz<<-Z2Y1#l$L{ov>O4`J7B2$$ai_Y$wV4irK9*&!A=t()+DA!ZEw4lqq!TL73jLR&zTNp=9>s;I2&g- z%{_*hoolX!Cur%GBlvEn${Je>iRRM!!p zh*5L(BLc0D8lye;Om|11`5n=~{i)S~kcHly(Plsd1OC5`bYTr@`?sx8z*~YslkqIC9^c zO8cZjP`%1b={j{i1^g_YpK*Uksv)*WpSk*qtCHzIn?ORFnyCdQJtaVR<;kw@Qa_y5G;{jKn$y7tA+iC@4Wo0oXFpc{#QrNTd zV~JN;h3s@UjHb6Q(9XwB9Zx-`fc8gU6)Ff%iH*IzG>Z0!(@zdv%|@Wp6p^3HXL3?* z%of{bcXDG*{a_kU;@Wn`4X+RFu!nFvXP*~0g_E+RlzcvgkjS98~(~6$uvC`S8Y}#=2P2*Ga z!SI@j)YfkERk*>BS#WF0k3cy;8cf=xFc_at+|0b)4dswwS!Z!&bA&2X=(d&htEQdjmJaDN7x@(o0J#XRe zOk5j6L(Gq{EGfo$%qqdP&%BdbA9l}{Dhual>4H9wv8isTV3@0eN=~0e?F5WJMJ-p? zWA%)k6DF>HxZ}~PZ7w3@#c-SjZe%sCNXq| zR@kBYG3Y9Br|EoU3BGjHo|O20_KV+}J%k;en@-=Q0DUbzEHc)vPo7$<%e)!T3~F9sLVnIYL8gs^k1+xi?yE+n ztDIjcfMwybV+YqTf;1z=(-R^;tq8fyX&Cm*JhL(OTEjd9C#p@)Fv}ZbARPId2zS|i zqwZnDbv!vlr8R<(t?MXmzD257)3e*a&qX*lZs=gT8z87-no1zk7?#F~sx4>Nn$*-E z6dX^!ZfGO8Tbjcd3#o8<&#psRu==TQ_m%}@yu?c8TO`)%F>;dGi6O{-yHkrMCPvC$ zu?LvADo`|Nu2YmrnKH3fX>wk_c&&M&y@mK>q>0j5TUW~$eNsGHQ(dv~o*~{M<+ZX9w$dtJmor>3 z@4LELTm6}}Gz|SPup%zn?fOCEgz-g^BwIeox+0IB-8k8?jV6t4+uE^h+cw(RZfrG;Z8UZoV~35s<1f$se*a)$9&62OjB|`BT=@}+ zJowxo$boX}7dSG-pqE=djgB{smV^R&_}={ z-y7)^@xMz~fM_7IDII1LwXMKA-r97|7k(-y?6HZls(IUM2pC4?B5HU#)CnMcd3y#4 z?6#eD3Y_3E@?~COF40&FE5VKjvv?9KXrvZ675ulYWRcOF{F{(P7^VZ8ptw z!c^iQ-J%a?HajbTzWrRbZ{efE>T$jDM1k8e-TZZu2D_&tX04*<%1wjhjf6fnvYA)p zJ;7n~FCfO6%hrSr{m?|L7P#_H&qVm%s5f8P$SMli@u3!tuKxE!z^Qg^gB(0>G~T}Y zQv>y6zoi{VLO)^nV6QL<@gVR;F)F6Fyl#sP_wV0gV87PBPxBv}yag%#+L439_V8}n z-DLv3Fr5JcW>kw~<>JJ}F6|Q{>;h{W3qGcca%1M8UDj4*Q={GqAR|97Vmf! z_a!PRF^<6-wkkBzxxUGzMTQ#7|Ea4@k}l%;fXiE!1->OnNNa?wP8m}S`g#n|Nhexy95Sujlg#cakHIuWc8@#<5CDrMmohYe6U-I~~MM5%#Dpn1&l z)H@@{-~1Zoee~sI>2XNvktrVp-G`!5%t*lAbc|dJUSA{pVl4CxJWS3?NTnk;kJW!5 zN2D+%8sMhlwtZF~dRt+$Ut=6rc{al9LaJmG_cyHAGTouF&st4J;lY9CR1XK7d2D4c zm2NLGY$oR<@(y9VZn%d#FfR&lFMIaHgQ6jmZ6?zF#z z31WrER5P3zjAXFwx)LQhl0HXv9c!E8H<`mUK9E5Q3U#qLihqbeJ2m{4NPe=tn8c`4 zqQ34I+$G|+xw!(&&(Fs(-qB|5StwSxiRw=M&o?|T4EBzPjB}N|kpv)ZI<57!tXDB8 z?_RfGkK+qJ^XI`QB}DgXSpU|`lLyKDj)Z|_Y5$W8*k^tRGH>ziN+%=;M0F`cwodxz zV9Dzk%KbWq`6^ZFjD=N!HLhYR9xWFRK(%D7#iU{`eMI+<%V{Cj3umLG~Kk%%x^${UDx+ zWFActrB^`;&hUOA)xs@Lv= zEBuscjGocO<5EW2``}28a{Xc{%GSdYm+izGl@O#nq=eAn1Wh|rY`0*GY&)Lz12szN zZkIcV^GEUPz=TkCTAr?_KUMrAe*dePVPCcW@mYN2O4PA6ci@{=5f83M=y)-&C1+Ou zO)230F`+RNxZq^-2--gt2QSsDS{t^-^@1}Gj_a0Ws!`lgrLU%p&Ose|E}W z5`at&!|ZN)IhjW4+o2<~PFZd=co01O7A@Sp`|#FEib+E)?MK<%Bt;hdUc3p;Gm6=%NC?P@lJsDW zuZ+={{OE>C+oI`tOn7nm5nFEu#mf;5a17#$$!C?JFl-6Y5j=&qaZ5k=jTo@Y^g15I z6@Ci=XZot`KbsCL^!9@=!pLJJ^#HB(UJjH85syYSP7+@ zygGt8fYr!KfxEKiKXc9F@_O7m_ft;-iyqQjbqJ~|KeBPNT)!*m9I6=HQF8}e1{Y^X zGI}9mE=V$Lxa}Bzjm13DKjtAEuFk-5Ns_4XjJQe&3_~0;9J(i++;Ow5a+BotuR*$< z=p~W>GZOZ=c)^mE=8eILfghZtuH`rr=!5v;lq#nfF@Q5OuJ+q+K)mit_Z_{37;W&c z=jpv>l}z&9^28)52x>x@p74-i%Gdw7@W*iYlEG&heOunj#w&xhOe!J6-^>R zGi?9bKArf5MfD(1E3C@fF57(Xm|5Lm0=rSn-e&7tg<4=ZnF zFLj&C1)TDh@=BjptP{@l=%vLk?Q63;Y}_pY+`+;>lne^{tOn&fPo7v#dDmo*yTq?^ zuJ|iIh&PB{T%J?moO(E;Z{^u(ewQ3f$$h!>7mTdWb^bbx{1jyD{_kE4&g|PO&**l3 zfFGJsUfyWjiO%b&u=a3%YUN4X^L4G!^;h}E?Q>M?CAZH_4rL{+B`q=SD5(QcXPB8+ zMlyI0i2iwSD8(>wK<&ulm_345$|TouahWXa(!NElINqV9P=@A70WYgmsg-qK9H^E0 z3RjpBWSP~U24#|4((?XRO!;b(epHpNY+3tR)WUx=4&!KO{cui(CM7U@n{>gHMY(R@ zp(zDbZj!Se&lPn4x*1F6Am?VwgH;6ZRx!D-LNO37de8#?R-IHp(W+~|W(_ELSn+kP z$soY*?KyZTz(U;18Qg%|1cIVS8TQ1}F>~nKXo$j`yZC;zMu~eD_lAl64STXi+a@sG zyG_%0y?;RjN1Ghoo)O-_?Fw;6a+^VPaq>h7w`UFeX=Roz9EV@FA?Hz`=yzg`TD9J^ zi3Sy?#LGT0N`8z^4W41*C28$Mba>m~8|jxLL{P;l#HL+tNADp6&UZtI3@_`A3vq_# zAaJ)%=yuCjK;D3wjb@u}wmcmk|hPjuFJI_Rli%3~BR>W-w_k-AXpmW0u z5fGLyhxmk&?JIQcsrXd}71b6O1b$91!;KbY}O;CO|YVWP5&lB?Fs+8xb0 z%p*Zoq9;x20YkWsa}?)a3q0jwv?YF?!Mr?+}taR|PGhgKwZ|7Kpsvl~F zeW@)~R7OrIj&@z~`Rap0?oz}&iz&jT9707(IY9*2tRB#*$fYe2B9`UHVF14?3HO%II@}6^rj3cI z=i|MF|Mo1`1pO%mN-86j1h62;w#KmU9xoSY>+Mgc+ZJID7WTSOf0b)%0uZ1=bG$-Q&;e#Q1zg^ zqLcmN#1RVg7~&gNE--%M&lf4u8QlGbH}^?bJWHX3Bi838UQg~PGppG@9D+g>_Ths2 zwdOA~$r$tMl8wW|0?GT0J#GO!Goe(M^U`bV+%b>%Q8ypS`A612JXF`4d3l(6F?SQI zeVF3j@cpk;eBBm1WKyTovNvfWMsy$B;@4mC!=&t*j<`8h#(TI3`Bm3e@=X4kMX?ZB zD+jdhP9-Cn^&?qclwIMw`KY4f0;3FQsv<43fo544-sstMUU|x)*psZ!V^VN-H4_nO zk%&(moEr@1djc?jpOA_MWkbF`o3uR>uY?M;Sho0Q>VNDoS+x~8eBNNam|DuXOGn}y}$^_%74 zcxT2N;fBsj=nwjggv)s zC;hHfdz|MyJWeC`Of%=MkVj@&e7O_7jBUQN1R}wAiy7*fP(?7fZL(H&l)xP%xs8O- z-t;f?a^>#OIw=GdC#e>G-Sv!NCWvdAv^K~>Sh)t5BWW19v|$xJm}110PQl&9-kzJ!qp%J<`gLBGCp;>mp(z_EQF(*7=B*8PF{jH3ac;Vd*vlVRFuQ(WeI2YKvr$+tBR%(3_;UcRV&i3Y((KVOUSsRryuYzceVW z*W4x`yL34lRrZhd1LvTr@InURz0kw`>|++lYG#SYlfT);D-ed%|7Sm(u9nuNu9cMN zFP?Kl$hb_{3s=~aZ&0@BnVs#K!xqcuIdp5RvnL|alikVXmAl$VW>KL$N9J*Ame*Aq ziY+_Ce+C_e&a{mKt#gwaYXYHG=wq!3Z$UN1NQ5b&dyCF)lFWvJBV+X{golUnLok*S zINZ!LOHF^jn3+&sVzoEtrg>X?z%>l8xaN?suQzmbJ}Q;QtM zH6;e{;BN3gowC7SmkfhVr4B747R9~>rX>ciR1|ZNlMTcG5E15Y^2xkiT@J;V=IMcc z`YH%NFZ2v2#vNFS_zYM50tW?PjAYDGu+kMlHn+p;^gq*2u}Fj;YiFvoT1uu(?5YBnDOE@~~1mGioyh`O0EF3+6vYDK^>B-DlGh zhnLh&n^MKj8yE{6ov|$-oEYkvW}8Ns)u<+o^HT5(E&fa~;1Fil_lyXw-@7&K>I?z; zA+P2Vi(A27rL7c!0hxt;|lx8-LKr2@C za{7d$WHCyT)~vFN=eVa$%b>0-%-YlSuhK11qJmY_bcql)!48}cjlBBdZHWCByOMFs z&hKr+OF+Q17|H^JMM@cIFzsk2V3>tvzV9)9f7iS(p4|QIf^7N`>WvAOcq-AFp1}|F zVAjDNdMuL{fOu@|a&^*$o7Y1|;pc;*M}k|fGasE@w_1vZBDYqJGiiBTiVxr&>?aF0 z3?+|c=i`~$Db1}%q{d?k6cTY@8>DI10W<*uFeXvs9$C*`l_M(|x%`#gi?rc)usEmO z8X(hqX?ECdfu#=F5rxDEaG&Ln!;xY)M^7Z-d>h{DDR5zD7l^WYaAA!bhE$I1v_5UP zPTk}Fw19(TQHH(vSg3$($U5H!$S&O9(Olx1{~3>+G{zDLmsXDIl!;t_CZ}k;gShzc z1Tc|z(kLw4=di;JVTtEvvWyvI(og6gpt;xM@ig%>pOyykw6V?qv@%Uvvs5mf{!|WCKr>vk!MMgs7RT(20&T0v~L(NYwV*xpBS)j?D}c#)<6S zcF;DB?hb1qfcub8NGW39_E`7r$R)EN1r%e0`DN(@x*@vcucESK>3|Qw*aR@EGKG@D zA*;CPKm6!D_=tBj!J+tH8Nd6Y3TdQ89yiHFX|YTu1n_;~yYcW5FrY`{7@)F|_R!OE zk+=cm>kHt5LXT5a)N*?2r`i5%#7akQ-6#&#{=4sAWB#6_XVI}E7~gsf;_`oIwLI%# zGaTl|7x`EIE2k%Ch_rh&57$eoT^ax5VU*-`VWs10=6wP$Fe~84P411Z74^m5Eo%Ce zS=kiB!S7!)Up@|{9baQsOu=yx{!8Z*s0X?~T|IZc@jQ*_yn2-i_&@Q3|0wkg^}e7!vJAT5e2QHR zomnp9I`BWg#msJ`vfDCrG7!$xTekkYT#T5{>|ve?h0@(Ba+8$PD#(n^=r-n1cAL z^1y5<9Dd0zf8>O404!u}Qs^GJ5%#1_OuegA8E>8j!({!QQ{eCLexr*K?hjr!0|exW zzqD9*8vaM+k5{`(98AAxq)%=&{6TT>f%jfBI|e`X9GT&`zu^1oI58RJFqO#8(f1l#IWDLAt z3fGxrA`Z{|k;n8x=LtLn5|E;6SSJfJxvF$pXQLzSAlnuRhmeAhid2IWuKX8nX*b#> z+@QH3buPYiD+FX^8vnv_vpD)Z94hwJVb(q=Cms}oiU@9$JRAmM+<(6ct0-sXgz(>= zCO0nzF;W?hWx=|za9_W7uP3&%l9i!uav&*KcuyRTjl%(vY4xlO5ygpGte{?lxBguBP zQf-s!e*s0JLP>C`CdPv0e<&AXX1Ha_tR)=@UKFRwDo|yO8jdFX6S_llRU*iv`+Vu^ z8MH&Ho;T#Mey%c1@n7@I_fKasbwqx0$s0;jkSGpgDNKr>1#OIRXJ69oFCmaOzwL>4 z%vt}KPDI5&+i12~!=uzMYi0~|!Y9S>sm#44A7k~)Z4$#cyqj+(svEUgD^1oclf1a7 zg$*RI1boHj;@%8twnfR`rc@yF@f?)(>BkxqE{0qlzHa{(Eko}W!CNC=(?b!z$u!4F zsu=Q?=U$to7z}CZRml3QYEGt(Mfzq>@wJmwe=zO)H)aKX3McZf?Um?n%dMz|<>hc0 zmTh`PBNcKtb@%-6)4+Fe8{lb9c**1+LSSoF55^Duowe6hZ!`m_(nB#+egydjIiu2A8QvFhIHAjx%fhGHzscQMxzBTs;I0#TU64i-NJD0h z^tPvb9scJ`;m{^hv+lj_$xz0+{M%{QU37Q|4TOb~t#{8G>0#|!&HR2*e+CA3U4+a4%t?aWi~ z)t6KqfxGogR#&)Q*}Rz;9a9hCZW|vhyoWEK*_an6UFn^(r&V#%oOtq;=NSX6$f{sv zbs2#ZE1YNXfMsC!t}LSJCk@BSqC6(H29wm6{@)v_s@$N=?l=dK|W`-BC2<5C7*u(K( zAG|2=sPiAyqFsV!?b)z@BmkLq{xws+zR+t7A*3tWhnI82ADHw^ZxQ%bXW7(z1RG_} zTzGlk;XVJ8y|sBebD1I0MHS%iVV{ig|0qlmx`121%R(>7#u@Z#x7Kb5_B=>53~ zCd{Ve8ykbs(6ZRGi%vs?uQ9Pr-pWwqL!%`}?yQUv1dZjKRJ+(|nE|QhZSZI9`+v~j zhl+UH=&e{i!qB>SpO!^Z<06*k$syRRn3;bpL=Ll_6lIS?E1j+o%nSxx%v3%_c;*$g zXOtP`=Nv3(k81F1ai=VCWGurT><(@O&1|>&ml#66@%s#(j5fzQ9)11K;vyNk63ZodrxJv=Nr|cHHtA%#noCxVN7L`!jq$MvWq+E% zcA^R2EonbSdNnuFKr8)Nl9k6eb7nTs&{_kH%0BxQj4 z)A^k0Zwi7Abu-OXsEWvA3WK{U16GBZci!q3(#7w#C?1X|$Gxv&2`4R72a;O@JrZT^mrG$QSVq} z@o_I?a8UmrTO^2;2>Y)jVl30shBx_1bpy21%9+2PJ>y#3vzl9oMNffA13)3rD#T;= zpX;|P_c_yK4^@X?Vnc^TAYd?Ay9i}HL~*@8_=f(Xv(I-{K9wgsG9u8f$L_r^uz}?a z*PfqqEIyo1P&v6-!;`{DxNlmd~PU#~C!wV;a}SIeW$TTXIeV0+#>;)hC&QZAaVEPImdhfaY7kvyM+mN?W@S zIjpn#yzkGY*!HlzZ}6H~J%ur#ql-Anc}2S;ycxdHn1^l-6}m);`@}<_m5>ef)yt?x zl+XM|T5(z8BMCWErK!S#bVn~Ys#12$eY<2xfGh+X_i!A%YXYCtLQ^L*+^3@`2$Nd1 z|H#4UfdcDxp~-HQy#Ii%V_J|?+Qlb00!RHi;+fNNSfTPq;>1<`d(65!S!+KRqY&vy9NqUHfuFa6G7-qk ze;$Y4#!uw^X_1L%-_%?&05{rX_&@CIDj2dOUw|aR#mlAM_F|PwkQo)lw0n&c(8R^d zO6LNFuB#0d*a7y`NgSuGHh%{$UjxwGmSxklE!RDB!g^=+C)$ST&T52ym;ewcWN115 zhZ3m@VJC7fJBSPAkDg~WA;c%Ueds9WFi~nxWNa>!iv7Eb!+?|*a{Rxg`G)li{je_V zy#@Z1>LqK9I?_RBttEeY-9^GhIlp?EL!k_Net>S_)v@_S%AD1Vn={8bF`Jeif#ysv zss5Y;W~O57?o2hEDv{2#M!f3Bw;c45^V}oJWARlhN121NgP8DFT?-fTtvm-Eh`&nQ zu9?}#XbrT3UxlYS>`+c{LwJ^n7yxJN02%tY$Tw)+OR_M-#^24OIFG9eh8w%l)O6>i z@;St`q5I!EYxOs9OUN5jdRi`I;G?3%sLIuQ+B~x-$>`YfCTW}_v5_Ue8H2@J-A&iL>RJVN+}JzzPjaPzfVbQQV($UMEd$^vL#6-X%=s@X^H4b5x-7fHQTS9T$kI2!qCC!AT+TK$0Kx{>N;} zA?MTi&*gteyEzqE9-h9%Km2YXfwB!Rv_?=fRZK?d*cpXAJ4Q~wI%$Tny=rex&9KVx z@F13umVA6*(|sKB#%ho$Zy;~-i>nFzUq;kE9uk-ll}?)9KaBE{-S!(tc%2B@tE1Js zI=^&f#FrQ^n)UYE&bprHzta3Beu4pbyU9u+o6a%=^s2NG@6TB2XN|%J@uK2vlKMr) z=1?`E(vxCd4b{TnUU!Qx`pN~>uUu2ncE!v-N$ID0aR}-uMUp+h3;aj3AIg1R&(|7| z9@bwcEakhpQIOQ^dbn^icr~z7Op$U}UTal(Q7Wb?;a%mUZX)-EpmGdkeCHTkhNOXw zUKEw-->E1h#QJ zhU-=dQu6`ffjzc)$!VdCf$&_cdekCqRQ3N^_9}p}ZA&u;6$o~gr7f(uz^td$cnrT7 zI9yLruf%b=J~RE)oN?-nJ>HcM`!KR4+_ey1qR%o8fyqKuUCEU1^&fK!hV-1`e;e`* zjZ8Ni7=Xx_wlTVu`XS6_#Ym;3SR2ZJT`Zxs^?`ePwb&^)^S~0v7)!aFB(62Wd-COj zBc{~}yW6n?JCD}?3u03NXl8`40JHYTtbsh&?DC0@m@fGsmfv@>OB7Ubw3$VaN1dMp zeBg0{vuSbKycQo9+K6-g_@JCeI*)bz_f=du4gI2DG&rt!7E@DX=PUDa>%ww=M{Aee zy12U7E~$%~CzYmwym5Y$tr}`;%AJY@$UjPigfK>E-v0I*C+7To2-?2z`TvWgB>1Ay zm?gO1ky*+{10$3$=Ck@Qf!25kgVM%pEV8E#1=8C4k6Qc0YS;rjwP(A*@%i_iyCf1K zVidxwy(zFrt3S!Z&ICJ2CuNZh8mf z2r$LwG5@gcKu1?+%X}2?1p<3B59|Uzi#_B8s`Dj7jtYWr&fa>yJlh}>#8(1s*nQu5 zQEcAa32DeAC0{*)Up<;Ka2D&(raJs6WJr0dXUX{Z`B`T%+0d20N?mH=`PwyAbK&=P z$VThu$tZjBIop>ctfdm>Hzc-{*yKd5!m3_we$~B8=JNFopS>&P*y?1lBZJ^Jn|C0V zZZCdg6;3MmhevxKm-PKVfGDp#n2jKuBl=`V+yx zw4pCi+w@~gWEG67Ua!78&g_br_w7rX7Z(9VSEgXXus4Plm8LgTIRg)Zp{ zVrJ8~^N!SSj-3C6Q8FOMZKEYad4&E#{FZV{I2CGLyHFEh`zDgH3(p8$-0gTL8F0lO zV^Y5-MM5tlnXYVwH;Rh7Ls*ZBKDm|fqs2&1n-h`*d_Br8Q?7M2l>+GSL4ZtfcXPq@ zv+oOOO<24>NyC2~jDQjEnF33C5T|lijec)n=?Qd%H=}@}>eAcR`H!&LEEXX{8!DU= z>T=r%D}EDTzI20u&~@;lC1Wkw(a3V|4IGFtGuu2ya0EJjXMH|?T%%P zRlg3F=cbT9fMQVlqQq?J64hS%-5evz&z5JyN;)DOO?xnA8LN=qd&teqGu*&uqpLHz z(~UOF{M(wt2s53cdZLze&(KbTo8`BNJU#ljqCtbu;6jQU9!) z+{{5QD$79=gAC$;3EvcjH)%)*Bbr%LJL>U?-qIU)6;x#RFSxa7B9Hu3L zRbXX|sAp&Bm`%#8eRbOH>jjsT&`TC;j@!;JPy6-ik#}p=y2BeO${Z&Kx2W#F38;tb z@^l#i%UC!w!dH?;J4fwdYYLUOl84)-8ZUv|HrXA0_mOcSk|vF0gvF#xA9^ zo*o%d(t3rmT3?VbN~A}h@haDcX`0Ioe@CI3bhrLbP#~l&P|vKB!9_t&c->D#h8TI( z@X7ytmo&;{lquRA-k@K^PDEBB_CcfvSj`!Nj!FmPR6##@OS>C@*qoEfHPA+*k9y>VSXxcg| zA>-z};^46fwn^pPgk3zSp6Kdze1M9|x?c}?5}hVWt`f&!_Y06XzCeO{hr>n+2|m=4o2nY;E6t*^gTqMcPwm=n5L;oI>U#BFa>K0u zg1l9!)+gsr>yva+2XOvv$Q##?e{Imc1kH~8LQ&f*N4%xulcuhuDUj32n>-NWRh6z& zA076)x8xF2E9+5j`ujU1WQ zX;xPXk!wktU>>L=>buU0UXx zlH#S!qCTLi2wL7-_vVWX&xHKd?4^{E0jBLr8W|~&AgqFO%HDu0XxTQvDYi<~8jxk#(=)=oT4U9j-_@{O5 zn51ONVN~F3)kWx9kU-{(lSB*z5V~}m=wt0BbCqd z#-wSnO90Ch-i_?~uW5ESa52DjX$V?INCpDa@QX?nZiqm!$s^=*dgbgE=t>##4}B*k z(w=vzP59)G(x>lU8*v<0dq2G@pRlZor9RBb)2 z!hKG+qg3lYX_jjQ@#}anve_nbo6f=EC@Bzhn=>)Rp|@oW7++Hb&D>3BNezN)FNuav zv{1foU~kSB){y=e4Y|dNmgu}*V};|hXWtOXi2d$$H1q75Cu$RH5Xc|+)P!l35P^Pr zVM%=W1|tUouh^gK9Ds(3%CI+bsO^Y=QEXI=uP0zG^I_I6$YTD^E$_pH~Wo(ic z0t7WumqQI-al4qL5ZIaB(g^}>sRP9mAIx7^|q9Izqqf)(?(y?5t@D?cdIUdxj~3n6e+y=#t-L_^<|ly z@FZS};N5j=Gi5QPDFIcJdPWxQauA{?QQp+oz>f6bdB z#AZ2@*!i(%^E!bP`WTk2wq>&f0@Nwj*6Te*j@4HWJO+d7j}*{XB-DGAUl%iH5sJ1Y zilHQ1vZJ6B-5TTPPePRBx=$t7(D^y?^xD<4ejJH_>xz7i{;86 zA`_o_sYI{gyP|w^!|FPTL{J{b$;qz5srAWp5%7he{HCW{?kR|oD`c1h4;4i|sWeY9 zDMD^jT(RIkm0hLwX(a-WSUM-+yAN^^QrY;awkX%W*f-m#k=-hh(sy+IPXEU?Eyv4d z@PGFeCJA99Klp}xGlb!z7AH_8wzar%M=vsGJ5v@6uF4)z&<;jALS4P4TVRTMHj5oy z_1lR!JSKVTq!g_h^zIglMSf&8D4o2z6ezZ*rz%R8Mk2uKtyHYDoQ#ZM;>#)nr)wJX2*b@L82zQWA=LW?cfmsLS3UE$Wal$kDF6 zf@$qZ0t#8kHX7#NkaLMnr0yPo8=jrMx-F2|q9|1Z2y~!tFGNM|&kUms4P%&a&qh3$ zY%nCkKW7DxynO8k?_TR({x2x&%?IPY?HM7Ccf!oloFE5_9VegSf%%7Cs1f@o_>R3t zds{B@-&baxR9T|mQa}0kxOqT<79MAy77NiT-lwc|7ju8;Z3~ISg}J_M7GI4r9fZOo zY%Yu{*PZHvlbE-?3GC-@DFUe1i~&Fiagt}1H#`f$;@hZD2^`n#_a9esN2g;r{}yfW z?9-ct&kDb!=W`8;6h@>8SY~KmubSh?e;3efv`G1>cAyU(Q$c9b zn5H+mLwYMcCdnxB-0)7;6WBuP)Wi3qzrQ~q#rQSTMDM>3;1v>prn9iOexMiTx^V(6cKbS##`K6pSMByzPdd>d+7>Dm+n( zoTZ+|2D37(=c3SnVnd~bX3%nkUQtV&3$4Vp%|R?b;f`5D)^EKl(IFyi0f9JS2;GHZ zW|`Ipa+Mr3o^O$;5VGU+C_vDaE%djXC5GXA`}FI%A$(Hc=+r!V#)!0jY7MCM7$Iyd zd+SQCjW;SR69frQEmqw>KYtg>4Z25*g!N^B@2f>ye2)86ounWr6#P1nK4?}j+%d6P z8nmGvPpA+@d6P8#L?HgrmHC{Qo|@^8UyH(R3H0m!HB$)>g}B+Y^q&kfI_uS1ca}X- zJNvQItW`nF*3pteGfk@RSQajml4|T{2w|?!17J3i?nQz*wXHKP3ZT6-ALV=pJ~0f6 z;&Q6v3OGjbetza`i2&AB>`P^)#sEr&(mFa5Ni%x{`FqUYvp^2qJj!l#=IMOn{oCp{ z9ye2Xaq+cC0-&XQd`>H?eOzA$(;E` z2?Znw0)H0dj-o0IC}o5|2*1jkGyN;H<=W4RSEE~rYq1g1eW3`UjnfoWVOtf7W^)9n zk_^D>d9FWbmQm4d*U+_hx%|eZM)3d*&6$uFyD#K+2IU>E1L04DKe06o01b_swe=Tb zCT4cxJ%;+sVwPIG-b(P|gdw^LAaTQKJf25LWU*)$C6^DYA042wG$2wxzMqx@?WSaLxGp)&_tb z9>4byU^es|Sww!Ha68--2XGt{ll%bxh7_kIh(@s*oC`t8CAr_**G`;kM<3Zj%X$xP zcEBvD^?7*}jg9l&F{N_&CSh!qPVn5AzsYGsu}&$WcjPc`k0`goKU*Im=V~U#=-eF$Bf7Oi*wWmT*}d&L$ST5p*kwuu_&Y-B0ZR zHd3#OKK&&o4vb>o7%*VSr}_yb-JNMbDN48iT)?MOApcXgfS zqv!*S9V+3bmYA&2elv$65#XNd)yTugIj3fZRggDge@#C9Mz-~3IrDSd z4h!Sn8>OxGS5lM!-dn{g-k&3}f5`@~*QQ-cjhT}JMz|+>-R@e_rf@Qdg;P=q(^BJC z5cTMQ0xlqh84&)y)6wQBk!Wku5iNoqR&ZsoIsU@t( z+k8OdE=z3V&9MLN3Ed1kLp&@GJgo<+$z>mNbsw6xAQt~mqP)6M5qVXj4RZvYShFW4;8D2?*R@(9d;a4aeIt!GSB|7W(#X&v zM%~s=D>PM{6hvOA*Sdeya>6QKW<^>30EF7XF9c2;`&)dNE-hj_xEF)09PmlM%2szc|e7d|`@bkB13RG*a`pHTloiqAnXvGSu zl-rtk1yRIOIFc-Y&q+5HQAJ!xEHS0b3L7_HHJu8R=N1miefYn$N|(+)yiBc_gtNm6n5@g2^r+r4U9|E1I9{cLhKJmwGw11w4ovKqtRv{ANP=FZsstA_xy}p zK4nx6Ua1s~)R4iB_SO(>ag5^Mmrh)%Nm>5ZINjp>*WnFep^Yy5?_~Kz#fyORJZIlc z%!`@*I-km^F(HkyTY){IadWQZ(xJsYp6QRyQyEKo=k20&f_l+5E*K#^SC?L!sSCKD z6%d<8F?Pi%vbu@Y19S<{XR#w57{(!Wb$AQppDwqlV?{noJ+tmQXIzsc4@ERhEFE^b zv3#wmiw#U8qRU3M+}?QJHzo`K%kaFtE!CdJyINg#w;_*QB|4sJ96^Pr0WsElae!!- z&u=*CNc>8Lihn|Km&B!ZvPlDkp=}^lou)uEfQZ8J@s)R6SeV zbUX7%%fiahf#5q}qW0||3XvxLAKu0FUY=SktqH=PPf?2f)&=Jejj8Q$B)yu)Wb^oM z7eyKEb2!o}Z~=5>lM>YBsLLNXzGY+_+z?s2lX&-{s1sq|3*)_-`ERH{hF#d{-Bvi} zmkYAR<}B?&04V^Pc0+G#%n-1Ex?>XNz$$Kmy!sECD!od-Na!-k*-rsxQLRSQ6J5bXqhZm=gluF{t?S2+P+9QcG zp!vt*{e6t6V0wOFE>%<*hoIJG3{Q*DbklHm9W47QSl!HiW_9ng{5V4pcK`O763(RA z8{g!3(!(E(gyQjHum>X|7adc(AvP*Y2Pu&R$iu)MRcN{qW8?gjF(;5Q|4t3Ot>;*x z6J`%h`wZ81iJxkVsX?mEux-jUxTu}pwG-AX)fAELC;1cK%%#e2d3q;~0pB3J1%$k{ zcO?4DnO)b_nCi?AzNDl=g`go^lm`QL&KmGsJmiOZ826s@ur-5aRq)U|xus3yULq%Z zLZ22IJw+AMZ^M^v+Y*L(N!GKe^qy>pv2uy^(_qaqW-y8xeywB{E5%E@_%}^tdb|lS z4_smAEdiULy3V!+Wl~7hfR6VJ0iPN7qZ+NPbA?S!D^zObm zuf`ga4UuV}!ZPc7Z3`5ZG4iTpU2!#x@PI_hvr;d2G&*$*-LG^aBt_UCWmgihkY1@bJI)L% zs@j=~6SmodC4*dI3<$Sk+O5wDVz|}U-9`?)Eb&A$T|5RkCK0y*mcOp36ijkH44-S< zL=i!;2=Z{^h#BpRa`Zwckh~k?=k;SfcSbL#GmFr&eRjNN?wB!&VzLBOV3c7v??qrZ zGn%wsxDy)Pgq~&#ry~nJ3?9y4qm~em-z>=)Pki7P#58s;02>|PVw@Ti;knBP1RkG5 zqZ64uJ$nQW$R5=b>v}⪼9XkwrWExof#*xoIw#MEUWnPL>Y_Ya4Q{lsaD0wnmrN| zIE@ZQSw$z`@)4cKMv;Q$l=M<}hotO^w9Pc5GzS>>b zD|mr2_U`$Lf19M}gxmbp^EAP%JCFo0RyibgMmn=o|85Sz#3oc#qi+jjjNg7ECbgU8 zyV}}@FnZJF-V!7Z|E0dSQs(mLNOav;kHNAYQGCx6`2OMlJ>LMhM`yxx8-Wr#3wd1| z;Fn*`ZYZ0Z3@koUbe>H7yDy9%Ewd-JZ;YaURn{@~=0ioBQ0T;m6OnmG73}v~CzO8g*Hwm9f?wG1q~x z4!?nJSsJbT;(1CTW!tcaPtlF%)w zWJ7#16IDu8llYy|$#ofj+3R9pE+CLomMXGdclf94v&|EHD*GnQxW}}W~egYuqyvY(;%QfJSB4$Y= zJuI5pD53w?+f{$H@kDzhKydd0!3x3M3M9B|f#U8Gv{-(~>b^GS zueKR9elX-9e$eD=4e#yey-?JVmtIWRq_d?TZSCZGiqvh<>LMp{5j0t}-(Xx{#4FZl z(Z2Ye|K^rK==P5z;PAKNQVLm8R95oK?87b5(Rl6`kasG?jTIxi;*Ia19g2Br4y=+g zZ^gcTym6(WcJ|8$OeS1U`wdNZVo?fV=jOg9<>w}4-8xU`r!sev{YC@^$oqgW(kg_( zIyJy+gU}}O`H*Ld)(2m_OGtKu6iodrtp$sd9h>2gSZCW{t+Qc~Xp~#P_p#O;lZVC< z-WQJV^|2n8LbFUL;zoW4>?ItC&S|pGodjc_%1sY6CoGglqF-^nu=k|uqRRG4T{hL& z9*H$eUpBoDMy7rq@$vUXZ6;+R=Rn%w)sE%f z5sB_I8nH&%V7ImOFOE(k0$T8H$JqmPW}0viOgKBvpGTJCp9nI0lD8Y_-ADU+AA?|e zXe99bVHU|8X37%3j$~xbOk4Jwa^d2Xk$nnLZ=al}oIVS3XV5hr6{i>1^bpkYBIgftU*^p$qNwar?j8nHeo&A*#0Ry zxf0)b)JuaECNQ53cW_mx)NeYS%ETSw@BaE&7k=bp+h<_>%AzrCmItsfs6tk2^O`9& zS%d{M-CH8@E?-NDo7OCzLzH?XE+ft?7+*ohq1jA{s(1za+Hz;7wrvfp5Sz4UsfGOf z*K`n0br}V%D8+ICYPUsneA2(8ubAes3$0YqwIIP<2iZ+klU$QzC{kAA50n?i*-qSq z(P=PRMSmr*n*_ZF7~=1omVe1yO}X2fj}f7*-^*smWA6#J?K<5G806EcBxgCiE;$|9 z5r$oRhv?${Wk?U*VvcKH<1vbKtyk{z+$g`$Ker`YPDB4`{aiUK>~9V(+3E`iS!&FYxo+NZ;2waMTD;6OS3xRFtFLsIUhMhzu#cza}Q=0zSnV8sgIqY06<$ zGlVy-6p`-MoRxU*5XTJ{3{%4;!CZoY!=i9s@j&b(<>!KdU?Sb&ga!0@RTSo061RT zzZTrmtGW6~vwoo|7{id@>?M6&kb8;rr@a1FzqOi0{J&V?pCF^`@Q8@w(JfDu6&b_9 zQ5z3H7eOJMOAh(-w3i935dF`Mv&EJLE5#@QMunt(l3oSHRgmKi9^g~rjnYc2#BX+< z{je1gJuWY(mTQNt^~Gn@wx7?*(zy4@H~L(FcHhdrulMxqIBKiMvM6mrXReEL+vTOh z`RCh#yQYeE9R~8de$rWWfbfUa>n)MlN;UY2V8O--RdcD_~_x*7w`%#bJbFY(&> z_tdF_BD0Pl)I&P$2QQVf; zP7TAM9C`iz{lrJ5e}{qJG&AIn{W{Dio}V<70%ecqbnXPGPV!i0oRoi^Zm;Se=4?W` zhw`W(*PaW&MFvRecPy$u>F;t2C7Az8r9%bGb0Rf7dS-2%5i9Hcb~fHmnAePWV^P2>J^# z>J2?$fD`!B)GuN|FZFo_+kX$U)!`q-|KSTOEm=yb$e2n~Ss^I0+->&lAfUTRt=6>x zfXSsqX>o&*8LLxDlq~+*`D-#YGEZJZ;Jh4M>;8IcT%%oIrF7J8H(!z2PT?+ET;I9q z-mtJ%pQz7%Rc+c2uTK{M5X86bu2m{DM$o5niNL-6ugAY>)wGI0&g80@7K=w+(znmG?>pw^a^^b>_vX;p_eUYq=X>u%^Oei@ zjq8m*DwYVaKg3BpttF*IVfVoxK)Nn6+4*P;(M7p>3>^jO)>(E+NlL#vc{VDhgeKLL z$jJ{ptB9@lYe*Fh0fBLV*l71+^%CNK#*v2`e$_IWziWD(^2JF=mgirDAV1|CTe#_y z3W^eA4B|DIX3KIc_bjnyNA12-NOQO)4^7$GxRf76l=;9F#eNO^>Ge{JY2(7oMn5e| znD?24z(3z0jS*UdT+#zU{PKRWz_zgn9tpE_BV6}ATT<1F$= zUcIBTW62~8F+(X9g4!_4}9;QLq7s*GHkhE~@zL=%)=9 zY$3XN9d~sWHY+Az;O?n9p;!SZ{pS@7;CY=%*p>Ta+KPCpagKvBMPyW1+TQOn^&CA- zdNko4ppy(YC!NJaxQsymam9vmHt+iG^Nq~*C@Aek%}!Mj2@HyV1d(Qz6I1_U$Ltpj z6kpMxrH}a~ONUm#9Et8V$iBE91%4styBxC7q1!J#E`r7BwXB`^LVhT1<}jcU(jKA; zc7*gwB+E45pF6;Jf34xKt;-r&yn9EmBC6=MOq%Q)0?t=c{__)3m;ooVBnQ^1eUcRu zb~tYl(^3nn3+u+ic~?yT?RMm3%1QQo@b-4GS2Atj1W?yG73~Y0ze)H8!I@lKB>a_H ztO9Rjz;a*vzE5xGcx*9Ri2T==m;DhIKlt3tO>rhara%(g`<%=x{MOiMxt0Zn5^R+3bT$g?vv6%)ihh9i`UyoXRWX%NDA!J^u8FEa&IeUPR~%MiF!EPi zXL{v3xK}cHH8F3ecXbL_`k2YQdOo_B)8xy#S3Z;(+#JM#cX`8qI`aBqzCezA8cTRd zH_H|UW&IXS%jSl-BUez@a~ry@kB%kf?tPKYL*Gc@!HWstR>m83ILi{O%IGf4Y`5`N zv^8iojL03>pb5q2Q72S!C%m-4P`FhiE6)PzSI0mujLFu6SbZ%#@?eDvB0 zEj65N`sMZAn-oQRL4&4WsAvr_0;8VYrIry_1|wdoHL&wap!n-u(7;e{BrFIO&1<-)Rg-IlcQ1xS#sUX(gRV8(zbSb;7;GKl~2J_s8o?}15rDAr@y95rE@d3-rAn-pvB@(9~v9@$OE z9lf3XG3MEu;m-WC%7%L~eFgVJ7^Tf57El5UJgfQ$5Xi>XB?TS@fNj_7QY7DNV;Xz(E~tVJ?i9ARo+X2d7&CDTKp z0?M75NY7xGi4~)*Z0&gnZ3b%_l)kBZV+=w=k`UnD9jNu0R5W^m`~}(60H|DlfS2nM zF9+t?{Zx6@q7Aq)QhGUAXlJJBsPFxaD?Vr?I+NhQ-MqKP^EmN7&ZE(Q89%vC#6Cz5 zF8=x^Qx~&%DjXo*h#}~&tpTnk@1=Pw#bh!L8Za;21!;FmG}>B^8=>5T$jG}oU;knV zw-R~&us~bNaG<5seu4KY(<7ApGwO4LP|~@;Bun2aEcQ=mnM!-aUZ|?dKp#*xFKsfi ztOLPFm7=Y|{+5f-(Dgas3|I}CX1B{)0WV4P;zU^EvhuUVHxRb!r2mae#CK|K0b?aW zPsu=?pFcu3$2TpgzdgbY)Uu6>OrsPF*-kjn2neSjX6*4M2qiYdj+{Dv%NWxMwoi&_ z=58qn^%6qJC{MCyU_B>4OnBW;{Sm^Jtgd$VU5T~4WSI`(enU8i36sXE&4fws4NJ2| z{&7^iC2;=n5jLI7B3(He>Vf=ImpUwsxGV)`gRy9jrzUSa{QbN=$9?vj0OpNrW%FlF zp%5y+RkSY@#y{T)0i&mQuBz;ti0o1Op~X+)SgI(EoYv4nhb2CMYl|))xOE;^fl3s4 zgZ$_fjuWk`Oe+{`Rtc)pJ*zZ|yE$JpnTqpy)}}bi#=1b5yiXwegkW2tM7l~MPnl__ zjSYH8BJD58nvuR#r@5hUNMuuK;`*BNf|4GLY6cykecP zftb9+FX307g#iwqYIMkh=>bL=eMIgOQm;Ju97OS-@4I2Ffv5YlpSlao76UDUO3b-dMOwTuC8%4^sQY<8CB7F!we~Z_ucGaAi zm-)L_`4fU~PA0)OdlM<-<$QMmxZ+efbt?@1tS1#M+jn`Y|xt{COdpJ|AGI#e$E6{|BkkoyNkz-%E8Pd6guh#3x17 zY)Yapu=?2d-p*&}{nrxx=7kNU=62;NkcQVWpVb@^~>gu|Ke^d~)I^>P)SiV$0D)Fyq!xvwx z3luJWY*u3=+NF*8Lnm0GL(VOMCZ6Qf>gihtWx?rQ?Kkg*kVCIBQ|kTR?^_q@IMb89 zF*s;x*PiT-fjH^%KN${>Zr+V5N_Zrx`X9lqGVOk_D@gegk29Fj2D8S`Ce6<*R8z-=JgvYo6_6) z(KjyT3%g=YGigV}3|dLEyJYG6aprDanxT9=>RO?pHb*-hwJx9q5cg6Z^AHam$B2tH zk4<>6tJ`xx8#boE^;7){q(Jq985!JMAV=-Zuy%S zv)%V6_7izA_-$IIcgR5H*GPpn6%tnG*vsuZ!4r_bc;{h7Apgf@Maf*AI?$1#2UfhDsx7Y)$8a_rgWTWuD8wJa+0W)s&)`qW8#U` zHB05BR#$g;xD2bInQq6yJWx(VSU$%rw<35X+r2wLlI_hc`;Mv+(qIWHcdiK(XE75q zJ6N4K+Be;eUi2YHvBx3rFQm606yFTm0cTdosQcQ>)_mlf<+(dc&=hBa#!t<%%b4?S zVR|L;cTiav{V8MoSMs>1i>|i|^QPdxIvZg^tKh+y>B_*Np|?;JfAX}yLk5HR!f(y0 zaji=FcI=P|zFPgNmwu8dt$~fLXas#X@0tAViF$jfAS#EqpI_snxn@gn6h-#e{bKk1 zfh0mh?8eVQx5S;L!XLgz$gaQWhybW=r`h_dU`_<^5G<<#$luBvc^lSTTNkp~zChD7 zT2$Lp2zD+M_fd?vS?~rvo+p;@+C(Y1ChPE{9U+oZ+Qfv~*un$*wXFsubx zvOF*1@;p=lsFg|R+~YYw69<#Jyh0>PqKAZF$pbst?`MS3QE4_~>sKqGJ41^D1sIQ6 z*6)lcJ$s-#U&@aqcjk4-eEFYAHuFLnye1o!U$koS!s2rlLk14MC2gxQ6wYuvw{Lil z>$=w?XrEOiMG-6*zBOaCq|!@O!sZ@D4glXl};~LXPL+FN5#K%z%m1@GS4Ju($*C~Cc&(9MflfB!J&IM$MA#c%xT9wFVJ{h}c_ueo;i zoK_Dw-Rvo}RKUYq|4XTu3;XlbD|L$kSWTQNtLeev#-c&oZ80Vwaap$ZU;d%WzZZZB zQnWvil0VzJ?A^=5s+-58Y&4YU;-vE!$Xi+6wdYO0=Ec!>e34Za5t#2$H}8}HT2$~@ z!Y3A2okB1W8vZiU*%~Bz+vC~P?)TCSkSlX+W+a0tPDjRj&Zv50%s)d=;r~YZ|LCO1 zWwUZ+CLU52F+Q$|32n#$kZGTAv3T$^pNR|M{haQ@1Y#7#m}L~bG&%>&8Vg@e;>H0mqnJPby(_X?g9`P5s(GCGV7@xo3;4^*kpwu2-GCP1cI#EC_*MF-L zzcx0Ma_$m0JCTV#oP_R9dE|_s9!^5X>6{E-2{{Xj`&N=}%e8vD?~9G^Yq!k(aBCAE zwCKFD2=@w=R~eW=^G@sepM!6Ns-To?VhWtbH6YHRHir`sU`SSiYHs?#qm)fYuibCs zCH@c%%ZnHWepPjC4pGpg6pka&8Cpt;Y&g~XqYkqp_)yjN&}wxa*| zT;{BfshL{1=473rJ5)Tbf=wd6q6`s<tSYTfeqOu4`Z@>GU`{qE#UH( zLx*b8z{r0N@+E4;V+29Bn~4xZ)z-L|XE}XJZ5u(nsvb^cln-%KEmVC=midwz5Wd1w z$q}sxc8;14Ve)dl@C6aXgqYgoeK8yFTOlN|QiK**CJFtNfL8k+Ek4*&6DBKra?WikanGb=`Bs+TEX{725Au(U^+xuVgp* zPp%z(2N{-LthlMGkqj03O8>vYfv5j@8lAH%gf`~Cviig*0z;3Peeb*lSR zb)9oQz3=x`hbzd5Bf#Rof`EV^NJ@w*fq;NdgMfgVL4W%AM8U%C;A02ss3a~7QZa#d z^l=bmtRZP4D+@yN(S`|I_8;4FZY-0{M?N2#6FY?!Vefpj7|t0|o*TY7PSN z&puio`#-OwkL}~^f9}DvLH~WlY_Pw2gHLCJ|J4RH`*Yd^=3van4#rMG(-8y&6Xnka zYMM3kF$6&nNl_scH_+1z$aJ*Z=Rtwmc25{rr$(ndzc2$LgTQe7u=TUahv!;!xSxau zn6NZ7L?lEsB*g3M-ny$i?+3rybxo32TfrH&A3Hc*TspWeF22-WKNjXD1acA+K?M1I z{tPB82n`MTuLB|q&|o~z)Ndi5V_`|-($XSNPp!}vGYy%rrm}?s!&vrqcg=t#a>pHZ z9vG33&c|~?hTfJP>H2DFNeth2i3e+!t%_Ak7m$H0EG%8d)FZ(=1%-uTLE8={CMII( zvx5h2h@s$YmJ9Hq+m3j#qnbKCKp;>;P7X12+YK+YQqgyO`jMQB%nT@O$VspWdVtMc z6x%z9N5Raj7>RiWaP+cvcX#g}0K8bt6(dI!dJ}LvLCY0g7X!{VI|&J5DNT6gc%8hT z;O|eD0|kk)1<>us7RP}J2?-<<7wfH2Gj@08Tvh3zxH%f_JT5=0T%E;z8c# z^cT%^qa>Ys;)CnQE*+_e!3OijRgvi}m)7{CBsDrrxmug`mX&t2h@qF}w+O&6@F9~8 zCpyQ>u31MyUY?Oz@Ac`{kOkj|7ZVN5%tcMd=X9~j9su1sG&#ArgFYC15<6Pb=-FV} zaH0`2`z39BrS_|@uLExf|0|a_4&J0iy~QMkH&zG#eu)4ke(VW7IXO8AyguQgz9jHr z8Ra(=19)<6zA3gq;?l$oQ0iJHA~JGz=V-Lg{pU@Tf#<%%G5(^ENSO{GtMhQXWb6KGT!X z9VQH>_V=F$0h_3=wNY90V+Bp7L`CCtzhsAiUjj~Y^4(sZ$L>Y{9Gn$2xp^UYO&(_< zzt92PbT%|9icLk$uPx__75lsgI(5_a?Rl4Dj^|4;J3^au@M4?$9L5qVOFw2Ed2tZf zL~wKelOG{w@PbDNXR4H`ee=W_-t->YTR>Q)6wWjPO?mp-fWaiR_5R1BjS2o%nbrsu07hHl*REd zZ)Ir4zvLF*=X*cjp?e}RWBN24W+8jUjB2j64;nU2Jl+%UJ`k8P^y}&?0y%l#ConK$ zFQk1Mm}cVurYM8773p}f7n&8lA4k$u1gpG7+6e%M=NHhL$^>CGR9_ErHXH=;HOA1* zncu_CD)-+i^xCzar;p}JlpmCQo{lJ^@Ojic-C?rk@T-`wr2EP=Ye{JAu6BpDc<&fT zfCwus&X!(pj|VGowp3$v=Ce#5H-{;Vj9x}}N3-H^d7Yrm>5T0+N#PMCVMS??_2Z@&x`gH;KKj`yA>9J*JXEA z_KqVeg-n-emNy3Qaw<7dAE%=AoO{jtetnxMop2DF!$xQ9g>$34apQMC-0S6Kui01% z^H$4LC-l)Z;H33xgci7D6#F5zRX!D9h%A8%cI-gPxT1vmv8Y64tpcep5LB5Zs*mF9JVb1gtz*> zuWUW%&1)aO{%y<@LO?r}-8w>E;FZ;D(m}I%O`h*or7u$W5a%KB;*W7KpM=JsCFZc* z;9dJzfbC~aC;ppZIw(ZEVm?w~;SY7vnHVJWZCiSIx;u;fSPOO?Bpnx@B;f7QS9vPN zH+a6pOt+ozs!M>IzRx51hVT0;wAwU~p=m21jK#j~{q@54;pwE(h@UhCZuR=hBrmFc zmiNcJuQ*aK)RLpcR?1&2O_+|QAREGY_%h6Dnq~wq>Uqlg;&`=7Co|CBEjw(uA8fzB zUA&X*touBlX#v#BOd&RFUwGXPb3#dWe(vja9u=m=xKRMxC!*o1@!%+Yz0ee1`W9(BPUhVtk<<-gY;u<5p{oBh^B{p%8=Q@PWgf>RDb_;V$w) zyz1pz1n(yH*0s|;^V+m(>p@cqD5cX7^Un4QLU}iBMK|9kduS`31E6Va|x!cI@#AwKh z<$t2uuMKv0XlvZ?pv@)Tj%4hZ!aC_s;1}pf+GzK*yx@4czG%Bf^mzdehJ}E)3)BMc zwHXpOfL{WA2fwkIRMDrqte9`z+@8NZUkvV#r`;Bj#Bk{;PLla-8g8qn8?}CX$8bY8 zn>9=L1=FW-$5dg68Q^)|47J*5i8>lgx$jdBMNQ+itmn0{5f2ZP!*?Yo{7 zh>c`up~8#hp?bhKJ6R}a1^`>pHbq_-2pwKFdODePCNyrlE|9$@GaW{#bIi6p!#1^> z2v!=bBR@2i;Z%JNbX8DIjC;n#Iz(|#tlgp_|HuOj1L~O7IvPUv071Vs>@b%u8*j3j zYM0Q_hYOa==W{F$8;!}RUzvWi*LhQT~LSw-<2C(dvw^om350%P5U&4v}|(IJ5-%B6SE?NQU-04=X8L z???F8dt!wbgpRzg?RXKrSz|Sli4@2{VHX7@ zpBLeZN~ng`saiTUF0RhPIf-mW%0WP~l#NEzZPb>Vj{4KdmK*pd_$e!j79ZioAz+G7 z9pF>eApxy7*8cKuRoYkTGq=mF?iK0J2f9B1Zew3ost->WEA_`bGk%G0ill$y=m3tY z(+%!X9Y0AqR-WLdiyS%j>e=7ZCD$4a#^h|RP%dgp-qWq}tcGj=+lLUmUrwX;B7Q@i zOYXV-X*O*v^WVlmYDzu=9pyHm`+<*|1JS+x(K-eu)9jOzWHvff=h%~sS5Kb)*MR51 z6aUu~*E*x5G2Xdsf-BpNHm-n%4t$|vk0m%(VJCr{cR6uu7lm+iTjDPA3hy&+mg zV)j6dvXc)_wC#}egHT}fj{rP0Hs+h6EUL0%+UU?7y+%}$Km8g7`<2ySok>5IXie7= z^YC#WVg3C82eDSi2`(tvl5-@N_oC!5^@W45n| zKdQ5FOLYY2e??+fcCY<)a8}>fc)y%+Fx+LVeRWMxQL9J^sm_9FTL1PuJVa_S8;$RG z>0xaFIobCAgDeE0(?uafMYBYI(kIjWKhR@PTu}4|2uxi1J0ST$C;q5OAd}Af0`{RW zVPX7Z!ZH8f)y4hPg${Hb`|v4<;3Dx&6p6)W5|oKk$Wi|q)nAv5Nd#e}aycqUw(uSN zH3x&PE9wNPPI*DCF{9Uju0uBb*QEaw8>x7LeYLjlkCcMqApd=rtWJ$-S4NwuE@eo| zq>MeG>tDrHx@y~rf4}A^4|zbd4D)X&_25Tf6Qrn0ak>JA@3Xz161#x#@B6;&2@V(lk2i?UUtRpxS= zN=n%5JT}vq?j~P{ri2NSCZzV2c<0K(F&NV2Eoc%}vjQRsviuN`sYjD{pu@r#VqzZt zJ_De{3KS4)Cq>%>8`1U)?Wj!7gZy|>^5Py(#HX7;)Hm0~y{3nX$*N)Pr!q8OyMD7k zA%7*TvmXt~!pv9(HOo`Kjjv}YF<`On3|~1GNizrhXvagg%L~jeLtjRYlvUe@M(s$| z0YWk}Gj@klIa~TTUvfL6@Z4Cgx9HnU4DbiC7UA#oIJVchaI48FQL)h&zI=i5oqWe? zytnm3Jm3pJ$0lY`d2mi-e(Tc9w_o`Ynq*@_;jm!cCC|7Sq5MPW!Dc-k^KqPGbL+!r z3(Nq>_(@5g$SS;=o&GLZ3dj&^JeWJfxQtzt?;cdG7)?$+!Vj-+TUnmedY${cb#@x| zZ9Dnfx<;R~o!Xb5nYXWSwz#In_u$2_B!evXmO2ST*46+F%94+R1&Dpg<}^RqhI+4O zsph0vEv7$t36f-TO$Qg_cJ^c=vejP$J~j3Z={L^W-G0AmzY$nUQ5<4%B`4tTWRQV2 z*sRR6fBgC0ucZshDp`&-y_+j98RwWz=XIY&Tx@+H_=VZ)uy?5pZZfph<*cX1crx=LEgatZx6!>uM_;O5+QIrDz9>gg+X7%p{7a$E$-^A#ds9MI;&o-T4?Z`QS~iC@^0lDBNT#K^r}St7df# zw(j&PEc{juvD^yBTRW0&Qr88Oms&3lv^+@X)f?fo6^e&imv4_Pcb_vn3>%RFP4T; zdyn4K>5Gv9KQ(CFsR4Yb&oz|J75$LSqvq$AULXs;z`CCS~<8HpZ8KD(;WO}A!ahG_x}ou=m)h5d{gqTZ`Zs8GBu_+K$LHVWp=$^p-td7o8Yw5T(GdP3b;p~-UL z+FFL*Y#bgYn=2Aos!cx0exBZ|DyJ2uu;P zfrdcBLV7<%Vm3LxI&T{|7&AFq*0OeR0*^A<4WBK2gH8T2&2~KZz{wn?J_l+uv-*JN z4sWr<}FwN_L{>sH-f>U7s=+GFpJV4;R5gpvt4Ta<0JSZdr3im*+XHfqwcv4>r zWROdQ=}zW&p1y}VGyu*PdnXau6o!Ve|GS71OL*zpI+pM4-cr2R7robfD2M%5KYLV@ zo!E=4{&`u?Z<8k?5=5Q;lpR??9Q=A9jm?lDo9=v1jZ$LcHmngpT7E{~iH@jtcXSpS zlpS0`oM-4HwSlMbKY_|@x-;0gZ<{!k9r>-x2)}KcGI>t(xb1eZvg%!uPlT77L^kCf zDr;A}I18SXuw&!qilmvnZ{5!!t{ZlDUJ{{tx?Tw3WW@X`_oP+Zo%OPW&3m#WsTZ0y zPrA_jO}og@^sWnG)C$T}sR4pC4&*!Q*vMcd-xrX*T~AsD_9&dd+fJ))+f66=|I)S0H7LXmVvyV|>8vv;qR|H_aB#{U*MO7jcb$ z<>Bu{;F+UkGQac0+USCXKLeSZkahFkXFv76CLMFP{`@KP9mED$(cMayD|>6dZ_KeX z!5?gtR)WcTsM4e%=-L6A#p}qIN@gpZ5wi<0^TXZH4uS*72^9^nHa1S(YFsn7v^V2F zK5AE+6c8TVgsToHM1AAWu&lcId^@1@`KzRmlG3yx`0(%w(IvQ@a>t{cI6SGkLAK#( zcd^fyrx)*jg+O+J=E1SJy?OCs<6-{jS=l$G&r96s1SjBCS?(CT0x}F^k+2tE;`};5 z?ZG{IYIjW`YHAEP&m{Zw6GGB|wHlf^QOMktU!E;T2qwZAmTrcKy27DZX+Rszo>w)T zAl)x)j+C8}B98Eh&)_97P$lO_?7Gc zshG7qae$I=($B_|kCinIPMl-*(%So1oeu0fzTTO|AH*Opr#*d?gqW0h7nMY#4+8~~WWt}%S+nCYG?W4+irLw?vzav$I7(6Oekzn{Eiye@2!88;+!Ce^0IK7!fV8MuwuIR z#2>!FbohM@;#FU>y<7`xHjfd}%r};C-~HuWoT~hwd+kW~;iM=oEHhk?y@)Wv-76~x z6lE|uq7MV?uW0(OP#jID>-%w74DZ^nbjLwfk$K7LNG?G(zs#jje-2|r9{+pA1jzkItTs>w79 zj}l)s^ZhlM3A4zLG7PmCHy{^8T|juWYrS#^I=RwZb=RJB2k+X|=}HeKwJf<=BVF>X zSc>7l=Rt)OjG`10Q`gbjj_B;%yv}S9Nu!F9wKIh=ALPh$!C>9y7@J$BCF4}p@b&4owVMiu(9mlYpsQ6r^!zS3m*xKyM= z{I$pSheYY{?_TsDNuaoQ=z7}4_0As!6JsIjnA*fpTb zLB7^sZM6^y>y*jr9ezSp1+(M>ya~`RB5baFWnyiGPO=d(v{{c0v7ObAT$sxg1nS~$ zubTxO1)La6EaiurX;I z`T&TZA)?q>Td$m>esLhVde_~5mvkE=FpnCbWo7LFD~O`5 zUVfX1FM%+XokDP$&SLwW`a4Ilt`_75-fng0VWT-R0I28z%Ero`0^13prwK;pD>@GL z4F{NGMW|c|VG&{;BbVXsr!kO};)!I7|#6F8Dk2)!W*91nwaxh9}&3CPq^jb1$7+Yc;<- zN``%V5}C3Qqk;ZtCp%=PEWzv|6OFa zz7rcnltx?X{eqQ#T?B3~b{cHRUwk98Jt*VCJ-)+>5)ZxoO0Qx6NE`cY8r2BcUnzY# z0IqIHymC(%=HmnDYn`*bDCd(*QT+pNZGR*q5h+%H%6wjBBOt;hZ)8-=pHg^#Mibq6 z!W%0&jbba)a&xh#dkze9;|eRYvw_}KSo!y-ISUrFyi6Wk>C9ij%ZZtJ0$OpW%R+8d zrYJ8JJik3v!IGhkcEnP(Gf{?cvBd6(LTl~bgnGg>m($?py`w{4DV7-*hHe4c zyWZ3ptPCD!!3;NW9qbb(*J(r)T@2R4DJ1;kRK?5ZQO@h9cLN{;;fg>fa_Pm;;KlWQ z&sY4({fB`=2Q8?4CHcaQW;jHH$4=V#c=-T61Mzj6*XjDqh_>=bW>Z<5)B2Mf?LkBd zdr=qLPcNEFHBM{|gd@T7ipYfGA~~KM19$od00Uu~6{pj16Et&R z7Rkyl(+w2ieI)n@!yC2WrR=9dNvj12!D`_45@u7-_}r`_4o>Wvp4DbRi|-17f#oiq z=^N$5Co?RLpuZPzYyfta}!F65DI@VTPp<$c>xPi#3U`; zi)BA_|88cW{lCE+esx+cQvY`57+ji;jw{U@o76Q-mfKt+p8j`O`;i~t>LXX+yi*(4 zBJf6+&*a69<-Ho37U%Uh3Ja^)8rj3Z`9Ca8kRaq|vl%?#EpC?$`}Um8v{5=O(Yr-v ze+)iPl^bx!!Ux@0`{kHVq1{STMZy9OgKkRn=BOx3kN8oN;=j~$mpr>?`o&>P)6E?V z)K$t7RlC=8H=7fT7on*K1BFk2*P) z*AeAgyfi-p7)fp{+~%}+!!*k2r@*LO0S^Zhk^h>Z4+(tyAnVk9@FigWa`8XlKsz^M z>qMZo?xry4yLGun$O$D`6F@Eqj(d12 zzHzCBDI)4* zUX}yjTLt>bj4I~;7I6rwQgp$QBjT`1Jkyq>uW7GWDU#0pR=y9?P>CP(s`6?`iTyDq zo=F`Z^FWB{|BL`9Qf24*OgO4Z6|ppxSLF3x{AQ7MwH3}5k8TwTepHkZ2oh8!?E-shzu)K$#?;f8k5DVwi_biS9U#ORp{M8mx0w51Q3|f6PVrN9 zdx6!xh5Fo~*rI+Tdaojt?__+TcN08-H_;jqmFT2{;~6cX(*f;&EZ%kILdwWk`GnqvCrwAvg13$F^Cp2@x^BMN^Y&QvN8`U1 zTY(QoBej?N5PQk90iw<2;XpsIjmt9dNxiyz4(PB1wE>k!nkEXZo7;;tIjEj%FbMi2 z2Sz1GvT71&JcL=*SR%QS0udnq)#0n3=k%5h9SpX15OiBuP<5~t6IE%_J$LKVhtvu# zCc?yp>tg@S{NG_kj;nO292Vp5I9x?l!js;~ULtGK|zW zu(ISazj8(XjJ%8Ual3I3$cdjP(B_7KSaR%`lY_3RJJ{W~7La}7O=3d>q+**IZI?`u z%L#8Z_v=PM$*@3UrsWfA>fpOnxXlFhcn)pT%5IIT&JPPri3R#$$aHcDy>F0t36MT4 z5tG|r;x-cRhd!{c-n(K!c%|R+`J6AjO4pV0tfHAfuU}O7{%c=`Wr(AfYm>`&LB(~Z z8?5NQ6BCm2LH;4_ACTk~jChT05Z4`x@wYFobJH?>{=jHMo@-={W5xhej&RbA(nJpu z)$`rEr-195CQ6Ts$)U$P>W3MJBOL0eVIi;<=CVNj!1nHMyKU?EZ&pPvuSwUG-3uNR zunW7w%;>O14rHW~gp8mTGKwc%Gw&uTR~@2v*MP0ba`06IQbX7_#H<3yvIAwl#mv}r zj*?8r;>=+RnO6bfp6E?*)X<*h@MCTuO&{M46?~_DY}EZh;u%CXYxC#3n07;%HJLl1 zyF#|##R`06HO-i5*0KeOY5F4j;(#Fv$uURyreQGh4kSE|#C8I=#8YRbDLnvAH~SXz zxZe8rN-1Q|Q36JUaK;bCn*T7uEdeLpV&$i8p{f6}B9w@rC>SQBXaXhL!4Ot$Naq(D zLEq{{s_Xro1?Am}^dKe)kl=xHi4qoHb#KY8(hvlNvlN-=yh1$g6?*sc3gT40O}@uIYTm*!zW% z9s4`X0>eRX`@UxiWA-%c$$Mn_rdn^D!WXyO(EVJ4eLN}?+_dlTgCY$_>*JZCq-}wu z_*-l}a{!hUui7C!--3tWJDed3&-O|;&*=Eb^bb^IPlW;3Dy6>go$h+ILCzs zY!zb)O%-K_Se!3{?nhHCS0Nx%=r~nPmrEgIxmy6|+*0BUdiM?PJ%<$sMvoIM9kn`c ziG4sthPf6wc7#NbeMHGh<|1=QL6D1vyf zm6TEC&tSzX^p7#iz#L|h8K+i|QT%hvA4rT20_~W7>J$K2y0%f+egj8E86=)vP@ttk_{qzM><{iyWs4tB2=7j>&zH+MgMYizl z+Tmdm20_l&MA7!rjd{T|atK)Jq8EI_R*@TmIPv2)Ei0$RIXh?3Yx3!@v!5P=u?u>o zRNttRK8%O>pG@L3Fk$LnzDH0I!ff7>%@eonnn3(6bz7q88kutEuS@@yAjp@^-Tju z5_RqlLQxO+1!yG!5VlyxH|XpMqm(D{Zx>Q0t@;VT2KuHDOEp5$j>xzzNoyMc`;3q9C9n{?QCTqE0>TE zw>CFEazdQ*#x4G0OX$U;-ksvXJ#H==)kYxKs3HEwx~4`yT45As?v$Adrg6zD;+ z+O1OAtX61;qQ%v_xtrbc8G2d03*31SsZN5OEmI={`umGeG=sIdoAYd#hgw;kgG=o> zbbkC0J6)*j8NbE~A_?yNDk{FX7b9$laPM#l2RCDe6>Y{TUFg-a$XyG{z>khPqK)Yl zOGWn~E@FjSn4!D2cxN&a?u1aUe@8?yNg&p6-|?@QcP39o;KIp!rku&j>Kl4$zoC|E zod$Szv!;CNfDpeRs5{iK#xe_Qyj?M_T71gDczZ$teLC0YLANm#CyQ>1?vn&h9h7p^ zJaGwrO+YfZnDPs;P>yvNGG7UO15Ajc2t}~}P^XMJO!qJ&UDHPF@tFox)tQN0SDcuc zYlX;(3cuggfn85KxrVYNO~O)kJ+m#IhBs~J8Jz+Cjh|3K;Jl=F;zaC;FMYSdG^0n0m-?LwVkAj0 zjoq5bpv$jDwl1}ZqPLm03GB7b807 z5s76sU9HMUI>-eU6PYE}Q^J2$RM2=Y5PkaooQi=#s@NA92f5J0bx!iY;Dc+g-X@70 z9>amZ(U~dyR@2VPo0fcHV(RB%0OJK&mw`T6JFyA3$v-2g_hgN5V#ck2)bqs%&0*#u z(0gjM3Olf~-QPHt#B;#|<*HjXJ4TH~2^%ujrSMq?7rhfuXX6?~*lNNwti@pi*=lTpNCr zwEk298~!KxD~AEb1<8Q-aH37dh=Y`ueAhuQFU}Y8JB@%rd|AJc?TsN=rOa3l_YaT z(gs%)nHt_5)?09qrkA!v8yapzACj$dzo7;Zwqz7$k+LT1=&D?`XlaLOac1P_npFHa z=>77kTt+fs!CFd$*P^3O{XDQn^zgkv_t-0qJ7pSAdVUVzAMfRX5_YRmoT;viAZ6W{ zdI12cvOAX)B=5 zfBGm1Q|;t_6~zSoD);}O6Og#%ZNhhj6>8~Lfx;pN`{ouc{Q#HMNh zhsV2;An*Fmzgq8<= zZ?e=E8+P>^yiSYVvM>(77X}|(8dyzeEr5ntIukMK13N}bO#36$|4WXMKq#CsmQDK7Jx$a`0J}nqe$cw_R#F=qmB6 z^ch4RIl^1uBhy^YYo22Rah!N*RA@5BLDkL&)-Se!I6TKxkB2C$`x+oKQ7N&Lko+N< zAO7+OH7G`X_?dyt3V+ig%ZY|Q!3(ZW5XY>b=T*XC$ufQt1%39CyRE4jirz|tJ9ZoH zBB`LZ9CoVSCuu-xDkhvBn>AY-Zjw@H251O{h+&PDpXn&#Rnd)-XYWi48$QnVCM))a zNSmsH>5Je=dR#6cX^w|MK^HjOCEvLMEC0vQC$xEMo2xl;PHnJrz`WT!-^kO2|H ziY&aFyvyT`&F$p|9;{^H6d?SGYSY&te?X}xn--DYKzdYPC zPza5!HpGv6dUvy0&Zyb05X{kTYXPBTzy-vG+g;wukg5x|tkF8e*mOF~CoN!=C}7ukwhWl748gNRH$BF!vW1 zy=m$o1p{7K6~0glCd%9E$&y+@9uE!}y?oc!55nygG`C<`ED@r^keA~=1Qq1cC4gAS zSjZ5qa>+RpTCPj#Mw~Xz<*Zj#o;~B44C=3sMa-udy{+xrwysu9%YDdwLaVl$YKj zq7EZQPW3Y~d8t--ydo4+WhSF;elJdI%o)cui5Lz*A+fC8hPiXGdOiFPQ|uqLb&^Zv zVo`0y)O04`9hvoT+IPF#_2FrD>wa#mRMsTSy^4GvkJe=B;;uOs#}~*_7M~!^gqhdm zXuTSmtAQ-y%EuSS6b<0u#K&DMC@Gn<`WlQjQa$V;S&$uldX_aZuvv%Bd_B?vhhMBU z0q+d5@)KjDf@DH?0J~$?j(gwez5K3F$$CqIdrVhIyf_y*9y6ory%vzCz9}e$#vtJx)3SzE4h8MHo7~oGMWI3HM3jVKGXlRonC5t0`;M{1wLEvS7|fl6 z8%HHCZg9}j!-TdV$~R0W7JWk_azvX>kO5aHWG^|?EB-jk^gSha|8j=c?7Ukg-d|7+ zBO395pufh^o`SH@TIq834u6RLk~AFRX1!O-z@SmfI9U?12+j1%c8qi?>4r^T(HEC* zCz(2J9P6Ra%Ht9w33Z95%t=GBUEQ{E_vdKE?p$4_nA3;vBKh*ve7_x2(z@1i(RH92 zMbPZlL9TKk>V+Ew+1q+5Huw4?){AoXx)69U^D4ZTIP(#6cwO8pW6#SS{`@Rj}e7Fgl%{!JI7PZ#@@qtYL51r4FsaUi46I>x&v+Q(wNhfVWM+ z)8w^zeBrVe{@}dhc$!-lvw!@R02M6wOcXyB&?A49_eQ0G^FgyE8tTwXFz-A1fsavDGV|aW=Vc~>dUU2dZFxef~6@E;}HW@VPe`Vcd-=@=OO$WP}dB2n#KXH4n zr6%w?Yrzt*Dn^j^OZ{{>1FPfH_I69rCe+?IzYgpI`@$g;Cq3!3GhCK~W%P_&5C3bB zA^(+YE=5s-!qwJLHjc>UJ?uqOB;ILRCPh9is%@CDJ6X;d6QzwTK{Xb&Fh<1SBnAFr zkgP)!P$Nri&!qUecz7i?^=V%(a?SY6ki|C86mz_k;}c|E5-rD773~Ow8-Y_!MraMf zr^5F~FALA({tcK-ITWB+riH?xTQ|2W4jVaSMrvay;%P| zN2ubE|NlkMau*&Fteq2eJsW=OAQxb;_j~qKr z4$1J{jxcE%|C&(!zT(g&UxpohH&l}=CHUv<)F&)hpDfg0?FS&d0`S5EJ%(YpZ(s>o zO`jnvHz3pr7#Y-}l&`n6?^qo8^K#nQEvtA3vK5rjQ!7`1U&%V3zSV z`N0OOFb^jUjLHXCl~#hnf?*`c%tQAi(!s&B6E61zPax&t4h)`au3=_OKYK)&^g(aw32_#^CpkpC-D_NN|BP^2VdHvV~EnU%5y zE2m?XmbU2EFsx|pMKi|7TLtoE3L3@8;D1!+QhpMx=nyOsbk zqaBRHE)}jI&maTS^+p)?e2!Rr@BQh-kKOLIE=W{bWeGZk6^fg6Zc&Cr>i}&Y<~;%` z?zY>NDU%N;T>ax&o~G6l8TUWCR22!&UaCY2@h#6~;%b0#9rR`yd>mb&sx)zemfR-aQ5rtnVc&@Y<_3;H{##zk%zFmP}t zdOO@7IMtIju!nr^y`xVn_42uDLFd zZDvw|2duSI2Giw)^3t0K(NH~y=}40{T25o>@{yc-v!89b`GwEyK6!|XAb}HT8UDID z;L9tySJc*^BWsIB#6q#YWW+^MPqsBYTR}V5S3gGO+&4e>Mj*m*FU&=VBT*nPwChKfl+}xOC2!GH=;o?ce|;c43Fs!#3B9hDbPR- zv#Z^i5a#=Dd0z&E=fbCh5NM3HLQd;j*M1c0Oc81e_N|=YV|XE4KXc+W-!s7-?AWfh z6InN;D*EZTXRTL*k_@=XeUgw>T(gSyXf@Y+jQyPPaKt^q21`A#$t>LXr3+@MYqphu z$bG)+o^NcP-AaQO#>648A}xx$EDqTdTt13VCrm#X{Hbz9FuI`zc>} z)3fnD5W+_-1Qn|!?*gExMK|(?`oD1d6!H+Y-FBD$CW-sB8&(NsqYNIBaL)>JBTbn=rWwhvK?!?l}2U$jPE%CG5Ukf^xCXKHfFpa zpYD|&?h0nvRnN@yEx^4zC83{(CYFJgwRsHI+Pbl7==yMe)M1EyX5~O-BeIO7d+Zm7yFh%&5X^F$~SZc zDiWj|td=)Cgp}b=_2B4#Yc|K8S&;up*9d2S|KQK0O;ierO(xP|{!znX9HENx7nl9v z=U9KsMk=Z*Q-Zams->f8e-sfo{4vxzRReN!-&uzFr>lWsvHuG6K1v7v@$go*6zY^L zSjo)ZkDmV^8vfxs|Iww`32X*c_ehoKf5>{vu&ll(Tv!n4Zs|rEq`SL8x)JH_lJ4&A zM!LHjB&EB%yE$9^`9J4+->=X0?6qf4&#YPZ`tW!90&q3qkoVlR@u(Jw`ST8L!Wap> z>!cl^>L97NlXb!;D^ew-W*76aOJ7sSpli0SPBqmR8qYcD9t|Y3{2LEql|_|T*sET+ zT;82gjXpiP+M0w?t5+?gi9JDJ+#=ntEY1prBoF75-Htv?qJKF(9%L$u3y^jA zduz;SqV0hxpX@d>tOi+yNWrxr%wLG`xG-BSS>U%DaS3PX2z_=r`XPY5Dcm<7U<|`3 zw8ZCS-Yxv(U$e#C@6uuPe&V>o1GCn;KYPGGSbeQ>wo4*8SyUIKrj|Ym-Ege zsb+w9Zx3I*^?dc`_7`MiW?BEOWl=qGb+2c-fo>JPZjmeEY;&vkOTv*|mYJbxneF*6 z4%|56OM45BGpedbv0{XJU_F+N{aO$z1s?H z-(zR^+VzPfJ+{3U9fyZ}{tk1QP!R2v!yS+c7uaS-)%ccIZ4lL{QWOS)Z9Yfq-}W&W zyTjX04qOv|4Q%dJARC3c>RBfm?D$3x_YwNIFi#FQ`jKhMbiG3-aJl0yN<0ct`VjI- zO}1;1I{8`clOpDu`F6)8S1ULIEL z9)EZTEEK|;@RJs{vDG=nr=(j@_hbVj6;BWi#o)K8zsioy>xO zLooMS6Gu|Otn#jT+l|3y9o2}jUD;!9`goWwg0ca=YaFDSCO7t$kQX27j+Zadz|VCZ zAJyHHuGNJ(R7?my_~C&*eF|1&?{P3g>(GK_)>JU35h`wWMK_rpm)1xmDsu=VSJ40N?n%DVoj9y* zfM_E_TyC`umNqlJHVkBy_f)Ve=4P7}9Lh-=v_C2{Rmf37vQwtbyOy^Cc@38{(1zU(^+dxiXaFJbS)#&=LouNdAK_}+nc zuV^Y=V1tffESNFo=Pyr0(68F>>iU($T3>=(uX|e9c~zrzSKr znGU;zh?@Fn#2QTyTi3Y#(i~F7wiIw@asB-QwT0JGh8{jxYWC1AfL{ybm#3ME?*a<()-!vnq~RYzVX>^j8^n0Ir8U>34rany$T541uIU2) z?kX$s`G^qM&*>f-8OSdvpdhX+k1x|n6tq%&*yhNuWDx(%$d9j%q|(+1eadcSN5}Df z@T}I=`sy6&EC?>bUZNaCziX}YZc4|-_+*E6l-OrxuVM?jPfOauT{*7yX?YI&{=`?- zT26T3iw-@kX4JvSieiY0T(6>_I84(jSE=0ac(nmlmJ^YIhJopm(BDR#8>eV}UXID~ zs;=qWQOAzFcNU%WR(Y`4Gu=gqolI1lQ=Pxb2%NsH(1CKmR#(F}W|$b)rn<)7wL|P- z5lg`>$-NiX>k%1*Ph&D)ix>2i`4oJkiw!`%bnt}x^o7k|WPQxe;TtHHX zOFzuSQs;wXCp)5bPOK=9hn!Ary_al6f05Bo@?%)Sgga2yx*qBoZo58!-OXM2i12={ z{TDm=!vnOaEr`t&JKvH=h0#In5eh4ZpEq_MSj6c8;n zGO`CeMsJUoN4@RA=mDHJp|GO2cuu5>ieW93hV7z9{1-|U0g9-K+m=1>;~G)1CP%KyeLbdCq&ialJ>V}HtMB3Zet9aK<(aTT z8%p`utP55q-E=fen-!-)NNUh6cS!Sf3J6-UOQS2Nh;0#eZ&IdvDU(lU3k_tsj#GKMWeBs~t0eAIC-HVJfeLnHtcK=l{NAk})?SW1W~`BFFGL~SJ*kkr(cm54(YbUcu9~X8 zz9J%6q5hkYoM*y=m22?_G20uoH@ zJO4gu0r&N<^cHWBF4$tIg)wXlQ&B4-+oGETOkTTfr;lI{*kXBeL9|TyU$a*CrMK1> zpHOfT;YCiNQ}%uegai`KTD>Q(?@H|JF)o&3R#%WU62#h+zRFu^SzoGW7O)d8q+J_{ zei!;hy=Y9XgPi(gE1PU0%9D7MM*A8fSf!i}E5yS1-N?aR*L3`0+?b0;6Kn<>a_xr} zq)%CM3-6?1j2EE5Id6FEDr{EK@9VAR&{8mN)ct&92PUH4HpE)@c%8WQ=5n|-@imi+?!Go`OGOjKQce|6fGeTQJ& zkAd%c=+mIra^~5&BbbgyAoN^Sxc_BB=}T>Z;jQ|6%20$481$XRY>)^D5IDDKYFqxY z&MdC{*U13my5LS_k=I-Zt10bxv{?Pmy}fA8j?U!QmhqZAFqrZc8Jp^0b1|?a*Z8no z3BvTu#5&}JPFa@V=Cil!VKZT~0P=?`T z@;^kj2#9BTwOVy}Wh(P1*Wz_LV;PE^XNm_~VDkF?`#?f-9vOa5b(Ou%xC#4qtk?I!h^thKOpBgt<31(F1H62~d)Dfbza1vCSnWd}c zVgiZVJfw<|Iz6Quz=63pd7_$W!O!>roXJV-+|0^epoD@ugt{Gb3-0avKA3v{G1ver zWh6q`RC(ELBl+#~WxHXA>;`LeR`9_+N3oUL9O_|s0p5i+lX+Tzrx}s)IL;xvly|-; zzUezLQQadFRCh;+%eRzJK3X_zbQKpcXW>&mEE;MS@CTihh_njyZubRa^{Vt;*1!fH zVnU1TvcY4Lw1>!s{KXqqP^ff0)2SHKbaUg`64XreB8{qWjz|+f@70TaNc?f90&vt& zsCH7i+;x@ep14_hl1n-3@9RVnE^IEJtf-_RAT1R8;3OVAJJ~M?t7X-t%sn}=Xgxl{ zvK$uNPU2YMFI3XtdCyo8z3mOjZ7og;7_kgjPM>7ADEorAam9bv0O9mMQsi!28V-k<4d(xZLSqggjPkYxJ1WDzTU6WVC<4y_0>NBfTTD?M&M&sRj= z{VzrA3nWE@k#3pa^0&o2;xEE2;*OHh-@}x8fQ*%e>z+-93mF%MCaKJkA!+j6>~8a# zMv1@S(k_nubbOGkWGNPYt<6u#JWjuLQ_ea3lJDOgm+>`Ra+mpjE7sJc+tT>$)w3U%HB&r8dO+A|uQ=o!RUxD@q?17Q*^YYrN= z1mSQHGE6K7zs=0YvE*CXfp|Z#{ELb5qc)b6@`!-h_`gj^ z2C&BW`=KsN^t*H6)~e1WX(%IAWcE+bwI#7WY3}5!^HY$cVayXELv*Py%u%}Z(R>6* zSxM0AuXp&pS}6ig=VLjmCWn(-+2z!_sw5KvvI!X&phu$JB~#}rWf;lNZAa_cHt&Kl zxFN61bfSXtGq_i^_k+gR$9hD33P1S^ZgSv65>>y0xl?f4SQA;YqQRA-^8Q6RR2mf| zs{7&@{bH%ZX88omJf1@n@+n2Xpe)`HAutmoA6Z2l%;%=m_{y(X+a#&h?cyw`W%J|w z8xAz9Qk>}#{6P3Gy{{J%JuN1gpSHPQFu52{y;2-lafsitKsEx8rmt>97b)laquNq% z3TaY~yr#;`h?{y-|G`W6@Rr(3_n}^zqw$8dC~Unhq&p zqPc&kJR$%!I53vZ8A;S1j2~BkJ>(n{Qo8$*(a`v*6b_f8mZ&Da^}p&j>fPI+bW+X7 ziq~3nc=f0wAtzc@>+lPwu+MK2Q@PZBL?C&dfo@Y0_vI8bIjFw0+CS;zWQ$+y5B#a> zitPMN0(vI+)o!5`T$GS4TON7BB8=dCC!IH$r~M{Q79<5vjM{vGrjuY{#LHEXYs3%E z+epU^S1Q<&yU1IZ?~yX(_S*QY%4*ko-4{8-LweU2lChaQE65ROi-#le^N`8!Gle+S zRrt~niy%RBMI-wGz&r+{v9yrEa)~{DxWPq)#o)JFxxOEIkJkqP?g1i_Rv)EE2r-yn zr?|KmFf58(S}P+jPgL4rhJBGQPEeRh&g}lNRNsqe3k`B>lI;dkt3Jd`OuFpr1V|lD||1?L)JGtR`sG@0RQC$E5$JX`O^% z;)k{Xg9^ph=1^~9?EyhPsUzoo-0zwj->-j?^xL2qFE=vW%P#+(&qAmvipnjJPVL^i zQWDdS3I6Y+Kt6$k!J5nz?J|K4QMuHg=iC#UZq^QM~m3EjeI zFo&j<%BNwQ5KJG5%_ZZq3i8Xk%c*z!-Ktw1&V#D_wnYKxh2)=n%sV#1E4rL5N)CdZ zX562j+ot~V#}u0QqDcOllb~ierPj~tPid1`omwq4)uWCQGD2Ev^fU}`uAbLwn|hy@ zBw#5)0JeYP1?V1$JVBy360Ui@5Aw1V_^waJWgG zn*YB=JE0^xjhToj)U;h?CJxK4N2=8=)_H%cdfGgg$NdJx&s0_fd;m={_CiJR69JrZx6*jr~Ve5SJl@6eE?GuEv>}h|AT1+d?}kN zaGp)6AV;84=2*8Fjysm{3xqs;^#wDcbUj!BY zljdKw1O5o~{s4o`FA;_Q|Gy0pR4LdiX#d9-Yz6fHJ@9{DQ#AGa0U+lTQCzl*CSecj=Sh%3Z`rpbVWOf~@N6r*454dI~^fBX^tqx#S zP!Cg^Kzjd>;X94|p)I(h!=t8J`utHv0^Z$jJdGXY2>^g>O37F|6Wtq2pKNoxv;MeZ z$qK+~YM66njt2r@g1K5PjvLMZ*zMx0O)Hp3>C98BHXS%TP7nYa7`XMq>$V4isTBQo zadvxb=}HQQEGBT)77F*_!%nZZtho{U4Rzt_TWgJ*YXYY%_mRsjt-g0pfS1@AH;Lbu zDYyEw_BSi->LKtcuN8w4tLtc$0uRI0rH9eyT~__8+d8wkoXSc>iEmHoYI;E@0J^B~ zTKjj6?wPr{hP*j>w&>L~cDtyAgjwYB@^Tqk9VI2Dz=z{cZD>@=d~r3om%&d)X*S>r z3JU5|C=bt33HnD_5xdcw<#;5Z<;Z68&}a*- zu6aCJ7}t^D*ssHazb}x@TqVn_tE;=e{w13|Y0Lrn!7ngyvq;wrM+tWxU>EDF9%5b`8E{*D*l06^bQ*>C5JPu3LD6hlE79 z_X}697Qc%GIO)}MEyNQO8*6jBVASF}ME%=59&itfEJAJ*qoWBM7@hc>T$`Gja4%6$ ztbpm^Bqoy>&ZK9RZN+Il(X$LlR{dT`sSK_rQp*dT5GSqQOuev3X0ss@iNsq$mT86Q zczvY0yt?9qCEr9p>y9E6RPSbHVHsWv+T7e^*$`^_Fragrm}{eg7v|e{SK)9jlt+H4 zCMP#RmPY>WA|seXOj_EaiKhDnVB9Avsw4We!9r&YA+5&rKKHS`#74prh?MAt94YEy}F7S#vQ}=c^)ynZP9P#W&5yYg( zij4afF97>0(}A?Pg0gcoSCPqyNl8h0elw@zG^pT=y3uPTAs`?i$u=pYT&}rbyRsU5 zzZgaD@Eh$S=KBaERnjVx1(FV7<1AJP78vwi(jV`Dlb`Ko_u zX({{>zq>}SRcR4~EP4B{&83A&E8lfy^LhHFbeDR!i*$5z4j5KIs!a%+x5SIrfJJ?y zJ$1`)LE7t%ye|*K=WPe%0uM#Isj;HQcJYD@K-R*%pOi(`>}_bSv09jXA-Q9fbLZrg zkiAc4HcNP>u}kD%Mp;Y9?f7=OcX}CoR(7x5lCJv3_X2!8E8TB!_J|eY zb_ZZyaTBEdP!59Y4;HMDxOyA%bDjA8P`)8q$0*tEt9P6`8D_u_c zybEHMNN+g=)bj>{XOtx%(24@FbYYXp9AV^_q=sHex+bA?u3#Pl{^w+V#A>q6d_ zV$v|S;?mON&p6EZ2f(pQW0VLFDH3D?(nEvV)PzA_NKt&?kqH{T(2$V4hpRow>#jql z<-0Y{H8PwRNbzg$qt7@N@M~mgxehT z7OUJ?MbWe^$JH`NTJFSnp3gSH9IZ4aAx_@l!p*P%qUoDP&X^%!6^>&ZN2TyRAB)F& zrlY`Lc0r0uXP1#>@)~rzK!Z8#ac6x8_f&Mg&mfDW1z9s;&Qf3?oxa?yS)oMuS&Fw3 zH}VOYYq^+Coe8u!aBjDfo=f%?0&NNTYctL1VGC zW^>7QPRq#wOQzRl#bHjI>3%v!1#3B>m$jkf`n2VYC)m#`!Fm%{z17(!aif=Y=!(@J z+p>L|&O zaThhQZtt-QH5S}lx&oZM8+hz^%cDq;^BRDL&9c$kP{Wu&#Eop2pD&qM4@G{MUBgpu zY(&%sKr-h`Hv+J>jt}Lbmy^1hsn$7}WUJpM=W;=S*deeXaNQF5x*8zRv_wT*XSYDn zD|q+e?S>oV(K|}?uC+;}HmM7-rq*n>v27&eKei^)VAwYnm5kputo(m@<7F&1JwkQ)d%>dYz8`2uC+ z14R$=mmoF}&=UZw7Wg5aKa_fldr=eU+R2b=ztX}uwbh_u!z(+Q zPLR?|4mBBqN_qWW1F;p%_=IE7L*^X)98990agzL9xbNS!`S!pl56e{R8en-(X}hwg zz)`=j|(4e*TY&b9~T|L^_C%vGGn`7nzzjmNKeAr~I#_-7CJ8 z>=Xc{uKs+p(H!oLEC?F#O8k&h%w+0LrC<2V6a-3?r_`&phtSD~|1v~sJr62~c~T#y zPtH!?Otq>EyG0q4TBxD={2^Ix1Kn*u_yyWz2{N;A;4cqcLpq%}BiG*}XE^Q6bsog5 zlQ3J7$6b095y!g>#yq+n_b)3pZQB3g2=L9$k?$-MK- z5(397{KVa>^qLydjh9=;7MH%T^8l1D5)+(-ioJbdR=-$ybZ>nd(fcx0S`%WJnhI+n z79^iUuTuwwQS2d%)@{>v!-Af(MnkL zhbGj63gJz6hW~i33o5nV2vv#reR=Si&P%&uproSx(DUOK4)6y=R4~7FYFOW3hcO*1 zithTJncB9`#ik{7K}t+H71dz5V&9(3XIR1%n97o?1&9;1Ag2H-0qq8e!jaCheKR#5 zVw^k+hW;*+9>FlggymLi_Ool8>MmCvCF0drRDZj((J;I)m-~_#WnT>t&mFauO!yy# zq6Z84AvG@pde!WUHXo%G>??YhJ$aBd6+kF04_`Iw;`13|>tK+Cf04vw13lw?K~$Rj zUrpXe19h=l^Qw7EAT8v6eGQ+#+|K)YDStbPZ@rkW^XtXqekGOa+zL6z?U&M*FIlCf z)FgAlcVteUQmOvNo~3AGD8K2?!hkX#ElX%9Sd3N)|L$K`)WET!V4}z5r&oH3Xh?Av z^DaHIYgivsk1*Z>?U3X<-ELCsUt{p*Bc^}#35|OExfXTyE~LW^VM#0qZ>|6l?tV8M z?K0iZhSBC$t<7q}3^-ooeAaeXX@4-q!oCwaLEI@|zdysCnDm1q)YSTfr~j^iUTJK7X)*itI4Pqq(_%ZwL8CK!A0lq@v}&ysY^) zh`0y%5pOR->&8~3arYYhLhj4=ueURJ=3~VI;$>)XG4LM`p_lU?pe5~PHyuY=F-2=8 zphDD}Y6xKif+HX}|H@4llw3sj6S~f4A$Zd=%D%;KywQe=B~;JnysBrQaq`iatWF@v zy~GPY13=Nt&IU@=pn@W0g#_F^^Q0Rg&O9_=uvRRNN=fg}wiu&W=+U8|Y=R=wgA@U1 znqgZf0}{SH+OGUULn1D7rVRGD$b1!95mg~%!=G-ToX|_JW5MaxUks!ernVafGg;SIcQ-Cl%JN6i{$5G?12Gq}WhyF9YU~GZs~a zH7%)G1s`{9e)Kd-yfF5EvJ;or2%BnXR?aNDyAS*8W|BIC5iuY3*DBYmKHM*A`ya!N zR1LY46);!8FIKt02p98xxpq#oi=YhkQMp1UiUPd z%LeDSdqDt6?eVWxhgsE%4TZ_j@*R1*p_(;P83L`vb5xitAMmd&yz;cCTW4MwNhGKrIwwO zVj{hmIW|@?J>32tgnu5+IkwZMZ|*&Q8_3)Sj`@5g9$d}1zCc z4he^Q7XaEbYLTrX$^A17a1@T9<_xnp z;j}k}8iq+8#o7hye2SkOOZg>8iw!rI3kHP~v!SB_W_;||)Qamkx%(K{BBCx~GaUSy zwlnl-#$LO0{8yvj&i9>L=%KEiSkM$jbT)O2Q3^R#4Slgo{{l2~@Pq(J1nyriLGPVG zOpxx#UCz|%eJ3SMB4i~1GS)p7sm!lu$B)Dcp_0CZH&4@_-A&1)FpH`h>P9AQMjq?v zD)MU}UZYC#Ry9&uhb!!A-}va5ej)$sT^i4oiJDhI6K%?Ih%q*aU&Zjqh`f$YNx2=)JQ@vXm!X>D4*V%PV!O9wE|xEK7rH#^nu`S=Q{be}WnJ*Z-SZ zbdY96_iqiCAYZJOd}FJ1;k0dkVqINOhoFM0rhu{RHL;90Rm7#l#wI*=kc6_kxJTI| zP5|4O{U$0iPcy_u-2cwR3MoW5IKC>$d9|+5u?z8&ILgS0N=#gk1Xg6bsA3iKpi@es zI{pijK}8~+PQ*-!%2qh*Dx?~?C`Ow9KCtC-zNqNGbhR8P{>Wu24_~tY)-v<+@PMaq z6zV?2>t22ayE}UZ{7tGfWj0;-7hC)M(gJM-$Q7DWMB;xo&o>8#ilsY`rjj*YGM-D~ z3B%)_3z~Z5N003bQ_kDQ3@XTk9F9~y7FV2uo~-f=kHLyfXm&GgPX;F;?8!l6r>-Z4 z7aUstViD_bmdlN|S2trSEG<2169Dag_U+rZ!Lc#;XCLP(Flr$;BtsQ>D6~`Ho()XL z7TiegTUC|S_nS?Xu&+l2-v>E1edNBIeEyD!sJ18d*_#Y>+R_2*0~rE??sX9ozpQX; zfw>;%en~RS;O`^~k^mG-2%!;l&yXvU)$a0qVG5MZymmn;b8WNv;MBxeKCLT>n+9%j z&D?va8J>026HPE-)sJCgQw?|Z{%Xa!B%1m=FAsjzhRQ~_O-E0HMY!tAhMBYZ)W`b) ztEZ8b=}ls)i#@7L@7vvmi(&r@BI|~g&Aw2Zi#`meCC^IdFEI{wHkD?iKv<*-#bYli zEAyYPTwap-33(S0E2nKXFqfKcEq*F(>q<_ZMv1~E4=OLBJC(Jb>CYq2g9DiLpA5%~ z4oay1wrjbG_+nSGr8raSNg^8Cx^HH{oQ`?pRJ79h7y9!_EZ6k2J!8M{%G77iE!!qU zyM>yv7t9~%+-qG zZ~s>)_C$~C+vVl7_I)mp!g~6*DT1saek!iwt56~w<_q1N|C<)_1tCv-y}lN$VmOk9sQwlj0z;m8$oVfP_;a;kG}JvYOI+CH*+7xR|CG1mTVvaw)uu0!uiQqj5>m zXi`N*g_xXt;3WPnfF2|x7=B}TFNg8BG+lkY_On`BI56H++wtqd9aWEIn5JflH#lC! z55phVnUen`pIL5TK%XiYf%h3P)8o&j)D#M13-If*Cqo zULoBXc*VlP0&aLRK3-{=i?kb3`wA*WFbx${H~yv;@7th)O9ZkAMJg@+cGE4N#LGiE zJ!$>$mqLPfkU=M={`_llcE2y5X@7k@lB04g+<|LTo}h^6bpIsvh|lAWssG;D@sEr= zNwdg`U99-a%;9D>V$PS9wrp;bw(-Wx>7|G{1pdcLdhc>5Az@*lR!|2r`jz1v(J^2c z2qe%KZvPt6T;_K??jRr-x|L1!Q`Wng-iWha93(lWEAJ`yNx>V<9rPdUIek_)o+%BR z$vtOh_?MXR3wZhOC?anR3=C16yJ zIPCqDrm{PV!Zn)`9GXnTWB*L?Pu(jBthJTx5aXft{hS2E)*5&TXpGlQ2xFHFmgX@Hc?^2ZqyTWd?Z1-F3#wK&3+QCW$H!wb8cIFk37pfyOcJUdXd3(` zl}{E~jzV){lDZa!l4vRL%u1HeJ zgxWJguru57YzQi?BD)r&T<&o?9*AAEoPmOntqbD@3zdTkx;I98#yEp3$ST_e5T zf-}3lF<}FPyv&`jnx5aZ7)$a&j$I&exZ4j%<@I81pA^6+Obh<5P5(Im z?*ml2TJJUo{{FU(4O+FK&edofXGOH9`j^>21)l7sn&7fMhy>ioHao&#XYVZn(9Ks; zg*a5vLoun$*4E%@9;C}tkR-84mw5M+8ysfsPhtAk3BOY=RrQ|J@x%o>IC@xwGQhKl zR_|U_-D9@sshM#^7F*&!9AuPbr?_(3N=hMiGyShj`J?#Cpg@TPbkJt832hClRONKW z>m|8LfC^F;3&eGGemKER;!LAI?rwt_S_1P2(pb6w%5+>GV_Yw`T)TkZ3J zpy#xV4^!~~tt+XD-k#|HxcNWn|F*+%6uDdXm^7mQ_s9SA0}K?Ts!l~&S((6~Zs2lI zz&{)vCb|xXwF+!4Zb7fsfa%bG4k? zc3JgRThIIR?0|TuaQ797pO!sO92s)n!oS`Iq&%oHmRxyR8C=s;Xu20A6_sH5aynX) z|Nhv%^FuQI>&>|5E6O;}6SegId00f^g{?gx-Fv@x-%C&fvx3^uQhJdau}le*59pcC zc}{sYMx+0>s=PXo8@FR5&rcfG9?fpu6X*yVg362*>X3DN^vkGSw~x;^dMX#pwPhuH zhiaCz2ZoV==ia3R_Zt*3DrU8{ymKwQM^X~ZUV!?g=+wD5-x>DLfc(I`fp{L|3pfYD z=D;N{%%O{0>ROq?9zg_dzqq(KJX$z%z=iRhqsW1jpG}^xI}M=6Gn+vsCL1dv^m z1344omny`ZvyQh{f=|Jo7+oe#-3AcXu4vPx;b&{{eN9&&Ai>kkCg|$oyx6p^Nc%DW zih|#9Rv`KvRYRbD8H83c?%+^y*Y3;Ae2P|}?cti+n)u*SY-Aztkf>ws-B&B@TomC4 zhrx2k`nFLOsjN9jF*&kvKgVND<3L$5ixkMBm?y#P&CDq65@eY_jSV1(r|~H%p;_Hb zZ%w~2@}Gh{7`0iKH%oW=x85{4-){-HcQnC{%%N=@-GtLSaJt`d{}9uiFpHOabVgT? z`RV+WPvd-#ktun!LdW$4s|U)oQz{jB%6iAoy31wE82HP;9@+j1LlDIfQjb>C{;x-Y zWCs0xWgw8(W199QoX|FDc8frBvVth@ImSY+cjU+?CMFWo(!!fM1Su7NiM&gg(e3Tq zvR)ITY}xMg{!YfmQ6ifiu$WA+JY*LPYU*G?eAerx5xLT;@Tt3U|4`mI>`av}`jfnN z#G-T-`F>erw+{eA8@(_dq?WrSOP`Q|uxl%R@8E>yxI3+X8b^|M$DCn6CIoZ#KHrpz zT2kA`cKRWT+94|K`KxP;E<4*_%K^g#shUv)rs2GH9=B`Em;k_mDCCDLP6hkP-BPufQx}PfomVTC$a^<$LKov;_8&sie#$dj%B0?8J=>+ z3Ve_8za`FRP7$et!A6MjUyq(7QTxGQ;7sxZA#vl(4BL9Q^-EYdsbn`QhtUeZyJo7_ z)2;}y(Tm=3P_WlYp?;}}eJ3Wa?-4per*(EJe@2bxP}d0dkuFjAVbPG_`Ly|a8W5#U zM9Tr$OvA56dbs8(kec7G2&873tl3InIWKXGicEU@Us%%GoJnZ+C4a8YhvzHR(s)t9 z!q7?%JeY8>)5VPVeAB@ZSjiH?(RRdyh}TPUo7AP2m=ho^mRC{@u$Z+DleN`-mj_}e!%Qja_}bJ524X0yz? z{qa54?0rXcO0yoqk8>-;@#wARYAp4JGelvv2nCU0zLb3L7WXwCPn{m-GgTr103Yi$ zG+%}+*@5?;wPFhsyX$Zh&I)_1p8}_O;2={yc+yfc0%nF6k+rVA;{Od;h}2+yHoEa4 zu$BQy8nwnqzqf}FsT}tDZeScNQ&;?XJK7=M9&YLJ9?m?Nk~q^vk6VR2UZ*##`EXY4 z^~qf4%C+cIM_0q@r8V8`j?UM19_|MU+3(GjY*LNd+>pFE>7@8}7TZ*>Ck(k-YD^rd z$u#{ReN3ODjC|9{rbtvPALpP|b7AEs=1?*l4qu|%kRV8mysuG(+X%y=L zFwz9-A<={;iZ{}SnR!LpMR82S%#4UYOT2K;Q3l;54;X#L+Dhdwn`ihKgdV138EqD@ zr?^^?Pj6abSi_+shW&2|xLw*tV5d!HZ0H51s$M)1BI?>-`*=;VgdiuBA6dfjk#Xrk zYd;mJ=Xb{GF(AH=T@Z%5mR<=|#{%PQ(xYQcQ=`k>=qr)G+x$@E`Qpk{t_6XS-}Qf! zQbFe5Uj zH;u+L={m|x(CcLHu@TOJ`M|DJG$;CX)=x|*rtUnOX^V%n5S4e9504~hJgmF5ICwB< zfRaVM1g7-C;D^e>OPPECsUb71#?wW zFJomtuNb$hw3J0FhVb=ErsuL78C>1oxT*z4ZjP>)+@rnZsyeoEU=?ge`Eh5KuJDaU zhNzR!&sfdu7{@+bh*q3q;qy6HlQx`Y34Nbh% zu{o*DEtT;ebVg$u6_gq%<#vSNfUa#^xhzthhy;^SY&EwEMx0ZnhN@B@ti)z$Rw3PVl<~WRt?9;lT z1RxzuS8B-_cZ-!RNttB_2U3Q$FSJbFU(-~wOejGAtrY)@cZ8sAWI}T+ix=Q!H=0#d zuvm-sPP+KS8LcK@yW?u3*uMbRX2}xVHDMXz% z#kw7e?ZrZ4D{m{&BJ@Oz#kGs*3hO52qX!C+Exz=u(`OF~NY?ELofPFUsJ|XhOMSqTj1#!EP4X;?v9`a#q#Gr# znzTXHM~)Lq!>8CN_YxncI1zs5L`l#$oe;+$a!-jcY%Z3s0qXZZ+nJqUJ}F-FizVLR zql$`(zRhU^ijCSxIVDG_VEYxG+(b^QvHJFnw`ojCVrTW)y%?z|xCqGjPx%L8go5HZ zbEhB3ryGwBQ<9*}x-ZaJDk_>RhseIUZ+D8Nu@i!f%q9*ttf^~zMVnx`j2c^E9y!cR zZ=|CsVJ6~Hz>L8y>{P-X1tz};-!idTn4-R3z4Dlc;04jes7rt*{({1u@oqsUiHW0T z!GMD^rvK{7lt9PsGtO+N5U;F1zWVuRqc!a3p^gb%((qd{QF22ns8#!&oNf(qhkD^v zi6h@5GQ*8B40zB&ksSI$tfz3BMw=Wwj+6{^(+fuh3M(}Q2^$S@t>wvOTAaKq>BQ}y z&SwL}pJpdYA77EFx!>(S_Un&LfQ2o`2g>pe^@ynxpCEsWzE0wy!jecSu9sJHHB!xm zk|ubeVgkb&Vq3cHk=ZY{^*dLys@^KTt64WMKIWs8H`DfKV5(x`llBx=`x1i;ZiGrX zU3HB+Od6T~Q%Vv{1Y72{h?K%eAl><0!Xo{rL9VthqI$oq{9JoD=1XtNpe{fDS6g@! zkP$qd$s7s>q&xxJHWyowyqmGIJWzExPO7Vb$TFYq36w1=vfxYTFNAU;)PoT`K1F>9 zg}1|kMJQrCs)h1SFmua6QmLH@YSRm^{^Rl%V3oaIfkz69ry! zKmiyUG_FiCBVr0BG_|G>NjaBJs5g-ykc?G;flFqk);p8m2=bz;CaMw>_x2Idq` z2s%fN~P1mxRf%A`Y*8J`_M?SCG4Dktb*H5)H&?BRW z;0V55!FNi6ZfT*8q-jDa#OPB)#_i_K3kMyj%8TGj3BnU=*F>7%dPyxmO_OF$bSg$6 zxH=B|l1ap|_HUo%ljYx2wOR-8sl_upNb+C%Dm&lSE~HZrTZvZ6+X4#VU45 zvM^3@Dfl>l;hVz{Osxq+o&k}8QnGE5&Ncd@VpTr)ecsqg-b;>`v|6MA=|>1+y=J$F zRgz-xTZc%2AGm@`b(4_pW3rXI7TzPY;K#kG{r^%Jpcq7~5ATn}$AA97{lib-YGzI( zEK*|XjLuCssNK*KYgH>6fq3*8N=dM4mW8Kz=(1)Fg)w}2cG%r+t-n&2l;-pZ*tSU5#W%mNja0@9Rk19#O5p>}AU?G&NI zwCea!>w2~ADZmN5e}%3eG*BPKvduGi1D1}FnHqq(=(@K<+B7k7%c<{HLTQOit|jY{hnH5OeacTVp$njPr*9hpROH!KYX)kSHy>E49KV>yUL~^L zWe0#6Zy@JRvwhD4%`7W4BW!qzw=e8HE+NxH{}$5nXzOsnn~sRNsuLPmfg9BEYQ$67wjsTjaifj{~zP&7vPnPl41J%b0lr`@TO-{dAYm-!^=Ew$mCbKde@E0p9zZd`ZqGobjwDI=$qt8cK zP*z$#)~!|5o_|x3KRq`v%HJaTK&=OISIz!nb8$dXt;Yc4aC_=%Lw>tmov-3)E+ydQ zad9PB+g>e@aUdf-wUyZrDZ*tTj=ql`_7csyS9}MV#?Ao3N{jifYOXq^e$DOh#kVan z8AWVCB4MS^7KZV}k)ZylIri2mlZO)E7{j(BkxWt2wSV{R2lGA>CbJv4xM(HIYKnsW zpUro{Bz=1S^yr?!dw5vlcn!D@#cojTh~jtd6bcH>|JZOfRcWnUZO*M+1kHQDt3B^u ztm)_>tSf7YoA9bYU1E+P8QQtU8b)w5NzM19L~3K$Dx&*(&C_V8O$mO7Fp%_9tfFrT z1u58~tREqw`_tm>$*%u<2B(lfkQS8TcP~<6E$f4+kS{J6f~*??`@3)ODZ}p#rq8!e zIMU=2*bx5(IcP5~K z%j(|qpTf83up3B61JiU#U9M7luaB(Z);ERz1K5YS7uhro@6a$ZT_ipTsd@am zyU&Jcd}dtb$<%U+LZ2+vcs(U~0SxZNUSxHxAUNajFe-%I|56gnBEOj^IxjdGiXdil z`vwXkPQXk-&$@*EBoLa9zG~AQb7x?@hpGEA09P4f4gb;v*|7s_d~5F8mOaTiWKI1q zkpt%5klEgzuNd5J%{zW-SbZC&^D~xrrWwtEJ)AR5Upj;3b}J6RfrsebklwTBsIpw) zU}AYJXQcWf^wb;R!nlNk0Z0LaKg&hB)`awU#sK>BXJmyJWwt|^K!r_^ajznQF_M3B ziY1t6u+sUgyL?BY580l@gOYP%h`suzOKv)VFwhh(?7V%X5XT;0TS%`aS4ChB+t8#FAXNkm+0Vsu;0hC$f%jM--9Wc9>r0?3@b9!R+7ggZ`;ouhC2ywOe*t zdyIkN{G~GN_Usi-Oac7 zD?R6Y|9HKZywASR&hF06+%t2JVbV{#m#RNhNmxw(ijf>}KwMP`FSe>Qqg}mDNz>7L z9Yy5sSF%ADH&ij6G#UBhAt>+<2)@(}{SoYQ2F@3fGfkqN-{ZXxK(>9MyF2w=7GA(l zEP>w1)Q6<7 z=vZ{u2U8Y7TR-Cz4}NbdyAb;^rIO+FGq}sOqQC;ydi4miNZu(8@V2V3!Ys->_gsk0 ziyk#%0^2=ao6$UB6xDRY{pMeN(Nq4zm0!MX$>ysdbhJGeWoejCwyQpZY^WteJ?BdD zf}q`Notg9Oc|IloWSfW0Lu+*8u(!|xLiKq7sQ7CpKtqduX5w2hqh53Bs{xCQ&VjJw z9Q>%&f{s%f`0a~^-Oz8~ghOzL59pqni>8|o?No$T)ybZ1!5}A3ul}2=Dc&LkotKw4 zG&JM^3@C!HD2~Hd>i&B)5s4u`OC$$7$bDKl*boWKiaRi%R&(+d{VJb$jMAE8qzWX? zb?(zlw;Yo}uWxa1=51(CmP2=BzCsw{`u0X!)@CMcNMHmNqE{7Rh7e!-C5Lw2XU4zN z7s;du<*S{Ye?}`EbYf{~AFuV3A=3-%9-zOhL;Yq?Zgog4ab1c;fcj*f%;2Ju2B|#p z{SUT^IJDPip^9x|(m_8dDP+>*`1D}A^dOQyHuf2HOqFV(islCKqTOPL)_QryOZdF>}xaw?>k~I!pC0C zXxQz*6GIduUu&6|8fiY3qmE>@DD7kZ#6*UN0fzDV(f*yZSAyS}r>14ciLqb}Jn>DG z#ygu)&!0&6Z(tMp*T%&W#v`t-oEhLr-f#aEOlXj35xGX$TADj&SN9(9Bo-KQHsYM7 zTCxnS7P0yGm$F%Eh6XhxFOlrUTMQX;R1MxrG{PSa*A2X$99!vrLrp3k)DkxK0dbQc z^m_%lI1WCNsQ@+7c#hR!wDE=w`4gokS;*?f_ax@gB;h1FS3IeS5fdnZ?Fj?gbW5No zy}Y_?*CkBzE2*=J{v|ioAKBek+^Abug~bda@__R$4OSt zRY!JbuO=N@3>La891hp4FO(LtrnWPm_jfSkJ6uHlWfy%E=Y7?#bLA%O8!1Dt%8@QT zB)Rw0;6wbp^ILAol#E}APe5%fkwI^5Z4rh7YLQEkP&V8~ftDwHCo%$uD5+d2wjX9k z5mJ8T62$RZqJ2fMNsQ*VmUTGBqXh70hsFD>w)Hz8e!27vF`T`7?@gbHgPD^X1LQ*IlECg}#AB zC_xWP43ozmN^vKUC!tN<%RpWl%OQMy#p}|gH(OP!k?2VQzch6~5Erj(S~E(Qk1`1a*NHew0N zu2iL!mlJ(Le+}p@ehA{OuZW0Nq4k!ve@y@xG6IiI(y!|hb*o7kp1-S?(&m{g^$t%X z+%5r)uj(3)MGc%{jgOb%_R@YY+Z!Q0-S?Ct~Ex@@P!Ssw>JB=Rk7nW|5$kNr@v$GM?7z~X|4nhQsM{n>*;%KevbFI$`;jkgmhj%8#%R~jRNX5- zM!c<_mDFbVS$O|j(|0{14VpG%TW(i&<^G0DhFF3+Juv8~S#xTetE{2|Vbj3^-V7jLYj5JgtAfuX-f zUa6QAP5a9A&DUl+36>zivzkWgt(F{pk?RkXKE2?Y+d$M0W>dcFEMHKwEG&A*aaAnw?8}Rv9gzYedRSuWTl!R{M=4yR|ShV-;FKc3f=!3PE#{N%lJzdB& z$)%jy(oic{h_t$4h%axpQI(Q40QXc;ArUY=_JgX9w#}3)R6>hP!}8MXpyKq-EA9>8Pk<=n!Mu(?lNw_YsB~v@;tQojvlTWX)?{J zthk-<1|&6vJUTtF1I_SsD4w616gXSrY4+0V4&QM5XH1)5!Ohe`J-%?TCoa->4%aKl z$FS*c3L~f!oB^}AlleAio0bQACrEzbVV2I@Gq~(&^^xDI6 zCSNfpB|i=7ghuPi;LY2D7PWqj5~2M;<5I`Tjfr_-If4|?A$0&Rnb)A3r8^py6~G4osYuW@NaX?!GED0Ls| zW6ZlVK9wq48ArahrN17xAg7ljC1nc@mC8+(Y(PUj$3CEm;v1X;IVQ^~YmBE{-GsxMwD^RGJHJ&@#M`(% zeJLl0FMOK3db=lW2igXtdfj;XCQlDE}7PbpmG z^xrm;pdl|XK%4Mn0u67nR0 z(&nJ;2&&>t%Qxydlm#0XdhhU+Wc$&(f1LJ42|^GLZ~ydkd)Ms5@k&QW$KT(7KBz3~|g8k5dHI;@szr+@zue$Bw> z=h74R-cG|>7Fl`)!ZgcQMQlVkFtVTKPi!8KdAF4uuUkJ8Q|-W(n2I*wD`Y`NW+7b; z9Yq7_I1{d}j~12W4DoP+6B2{j-<=Nid6oJU-gEj}ioSmTOILXv1^12VJD8F z5pT=266ndA{&AHD^J9EQgf3P)MjqCZs#VWYDPw@w(u>bm9Bo6%wHAwKjXiZJ&+720 z9DS_MR`qstg+mPnL8A)_555(l)s*%dcRiS_{i#ou6vj@~3chjNPaW@@Yp0|U5aSu1 zxpR{}eRuv-x2N_t?86d@#YMvl(l2!biEN1OjXAW6Tr$v+u-OHzLHp}Ethtq@A}H3h zrxw|9AA{g3P*C`e*Ks#kGjbGJeBz#Ce-fg_4iUk&qISFZJ10L5WU#P-a^lvrkef<- zXBI6oa(>QI2RZtt8I#UVn`=Eq5jgHUE&PZT#xRj>{_Y*x)|cjpYf^!H{Ni$G*OU6o z;f10%%RBrGlpAfKc1{-#nV1ezvM(L9;JB|-aE^=i{K|U+OOyFcbF-eYFPFx#a-h+} z%(QLJCrwR}K_O&AMf=)aoW*|Z=zgy&nhv?$JQrdZ^y+ZZiJqLYsQql$iOzuXuosE4 zh!kRucj9xo8+&lJlJQ~Bj=u#QTT|Yr^z>K7mR2P4Vq4qWuDYkQN-rQOG-ZvY1@qFX zXQYMJ{1cd7Jj-Oz@UAw3x!f#|IBZ9Q%k&6gz6_~QtaFhogO`0mL0#bKqz-unk_jTp zAik9eqZHA!O8H&uZ1{he8ht7~8I{^3gUH2PJ2y|v>zA)1Eu#rMiRo$oddn9<33}t! z3$KJ-i2#e%AO`ujqLu|1xGOqls>@ThbpLm{h`Cy$Wth>b0V|C^rwkQFk|W`nFqzU- zC16HZ#$c7mhYeLp7jPqKkezeKRXaAsd;BR=H!U8A?i9D8UYQO7x>8|Y1$M&;ENJ4K z-2R>K#W7eT$ij3h(c5GhS}#Jvz*+vwE=73+t*fW^;B_oP`x@~|;2q=|KJ7Hn%Rx^P z%q!#!Dyi=Be2tBodY{JNqxZvO&DdQCFQ(YEy$}mi28j zw(SWfRRmGpE)d_EQTCf$9C)`jCZ5Pw{;@4YoME+BNvzc6J+xr>!LXWS4ouKr9!>cm z_d7wsPY)i=9JCRP<5n;+;XNVJ#OtsTs(y+nG|`Eum-ov|>eVIiRYc6>VABt#N?ups8HirwE2u<&GDzeo8b*A~XE+=PY8 zEL14bAE{}h0N3ni&Dp>^@=Twou|s-OBCA>KXC)O7PhJS#2*M7v1*p9HVmIo?UV7qP zsC;QP>N>0fFBRDJTHy!T+cRvYdw;HUENazfOg}eIy_i^ab>dYkI-OYiTbSW69B<}j z$A*_=?qd)mw6&?*V;XF!BpH_)?H-e-SACf~Y6wC%$X zQvf@W8Gh>2R7QL zb*Fe^Q;iK}+;2x9h5=8pf%np>OLCBGXlZQaM#8a>mv3$AIX}ybw*opuRcoAw8&l*B z4W&q@`k4j2A{9)p#ND)J`wx#?0(^bqhJ|OfMVqJhQ0fj!)Z}$C)(ZYoP}fCWd6=$2WIu@d}UCRE|{)p*wDh zg3dg-b%VRS9mGxI(+YXwLJ;<|D_b&03KckdHj>aQF=OY(dL{??fH9r`ZRSsnyxAK2!x8MF% zSKA*Z`58?d&Mw_3j)9Yr|3ZFAm*~xQzHWo#A=^iGski&kxjwYCZ?!~~Brv0- za%A7DCDveCm)Brm3(S9QR8Uqc5ODUv??nxd<5c9i*ud-vm)q}izLHolixMj)#Bix?YC>vp^vXl|gnsTVRZ~sV-ig z*{G05Wf4urkpsgV+0JNIN4Uj?7eE~u*?@=Dsw!4FZ-Ac^_LuamrN)9xz&Y}ulxh)N0fa61jZ?j8D( z(uXna(L7g;`5C@d9rh>j*wB$tSqGU;|FzoTEvN0mj*qX~yI{0?7YE#?tXwm$X&e;I zX?o8hSn^FZY9FH~)IH(n_}Tl{W@RadI|{4DOS!JpK}9QXrq}n220GuR?0O|HaQ?S` z@0LJ5Wy`1(Pl%V7*PbIX4i6vFGt~}&`P-`bPjvg}oxw8D5IZkP>m0;!8wFd03g@@i z(3iq~HrWaePGW>zACJ+#lp={^_{xLHZ$y7HmgdMG?)@3WQ>C7L|0zFmSK%6#XvDwP z1HI8xW+mpA2p#GMmA=emlA9nUN#I>iE7r+q3V*1HUnoN2&4i`(%ApKYCn(~9Obekn>y!`|Y5Dq=oIgk~DLUF00tzM!g5U>CGIJ41^()rOf8aJcW0bStjP-X=FZnfAqGCz{b*jV;TqeV_=i`N5@DgV1UcR$ zp%|T3biB3S^Qb5qa~*UQdxI6z);=#r`GqemC!Mf13EIRNQ_w|2Xvhgy2-V-VvVYJx zy|yr89jC8asPs#+gKe|9QIjs@E()Op@)atknrifr^Txh?%+e<%)}=$SZbFhOL*`E% zsd>IkqJNj>JVIx~hvY~?l97>6yTF&K;XTJCAb2RTFG}S$TUJ?$ zHo9)mr;|g=$5#Zg{{3_V8av&pecS@BUc?baO$NaoZTqTV!YEy$%eZvnww07^1dJrM2am*I&1*@4PoE>mLXS{C#PSvX z0R1&Qp5x&%=nJ>}Okvz{g%kxqPOs=)wFx6mVmFH;hD83l+3S``Ow$Avhy8UQJ$=YR zXdn1f1ICe(`c%wC3+lGDcM2EB-JFN90z~w5;otjyQ`I-2Eg;FA-j%~VyramMcXqQl zW_F$jb^chde#5-o;i_TO&wQ0Vnet1I>7t!&v+JT}vuUZaQDc=u$R?Zd%iS1iHEo9B zJ24P9MwO1ZbJ$!KEnqi_n3N`XD)2Qzx}^D;>Cp}qKfzPKVpl<4DhSck#g+h@dkHqZ98OkVu_n9Nv3$bN&OCO0!{# z%oKjiq^K`4KYj^;x~grzQ&m6ihXP{tGlAlB9(PY;Du3v=A)nr( zB33xPsa_l^aG_!TCw8`n21@6UdJB^)RH;v#HSK>+1M-Kn%wec?ki*WsW6!zQc)oxy z+oKkpi!Eqe(x7x1uuEgbPz80@bImnRZzqWz5VbMB9hPoysG z$x$B?>P`#LA@(M-d%(c5q5#pQd?uHfyQZ;sNnD!ucPe!mlJU6je}i#{s*gRv=e% z)JNG!llTMzhX(TB{qw7!0=#NVK6C>o$<}y*m45fTmf7;z?nsvFf292Pms%wWd3Xr& zm5PzhbgeJz-hyfs3tnB?Ki}2^&WFXbxcLreQr$+P=Pk-vYzM%mK`Bik73A4>fdnQ5 zZv&PDYHiR~=^w28@8R#nc{7FqTilfmrfUQ%D9{iU4U}3z8WUFWM;iNb+*1t*z8)%2 z8XDewuK)NC!^W>!{u+Ue9TG&S+M$r&e6S9ORxx6snrO!`VTfE&AgJMIw2yN`xazvA=ddL!fWhwI(yzsLa)hLctf@7nY7D1KFIfHE;M zIJP7jHVw2q5~4c`E&oY8o09(MQ25so9mY@OKZNu47iGgTsp46?p2#~VBK`GliEljp z{b5hGC-;94!IwPu+5K8lQu63qrDgdy({$Hw=W$a!7fVoVFOcS()|0y5tm6J!b1l^8 zDfmHLzLiJe>%lGCd5op}>`(Qg{OBa2eV6+_BFa7EdrG!*LK`&Jln0kuG2u1o{{53o zcGq||BEP!NfJ1=Q_rLbe67w(0aG0k!KPoTS(pw&iZILJEL1U1{rp{<$k)tz3-F(Ww z!1y!RL{6H=?Q-crXTzAD#p;bWF+@#LmWXm9ZmYiwFCyCI}P}rm%qmp1TEKV zb?*1bQf-wAq-a^+4tnc8{!oYu`zqP;QUPs(-EWC#ol0Eh{qmb}!4oCuB}0;t5BoEll#(XYh4J6&4XsGw4(=Mw$!Kt9AQp4XgV zIp1LVVjmy(RYgNdTeE4{UvbMvn>(clj*xuhDNM$)EwSDR51V@s#h<|l#lXKU^#`mv zvnk=kdxfR))5{CDbyVRQI)*nBSbpjv7vJge(4(i7Qy2V4qv~~xHM!;)YY`XZU!CGK z*d0GpKU^v9$%Y74xE4J;Sg-%E$PSf;mVvk9754~k$WEu`<}>;Mrvf(3rBbe05MjF1 zGnxc;SOlE&Wj{VR6MQMS6_yr(hqBb?uq7yXh?PACzm#L*BZv@DIIHj2<3ij=loA*F zeJ`jMH4!799wDCKOnxY+dMXr{juV?Vxr8{5G+lKLN8J7P4J_>G?q|!C05L6PF)v%9 zJJ9}^|Dz~D*_Q$9iYK{C9ClM0&z;X6spFfraSdW+Ow8&3tR}68l)@|U2+I-4uMatv zKaQRKG<0rXjmi;An^4?Iu&gzw2XunRIovZWtc=vuM{-%>hi*S!=sN`MXwTYRH4VRQJDSEh0!Drx&p=F+7x0TC3_Vp`d{a50} z=p?;8V@Na=eOBESX(A-EKE5i6DSG2VL#wq2Tekf#%QYWAJZH*0qZS!=+-ikV-jC8| z#3j`yFM_E^p)eKnx^IW~FPJ3S5Rz%NL4NXq(cHskVX_u0}hg{s*+j-3=%)Tkch zTd}1FCJ_LD1SKXWrc&#FEKSJUsC^ zY*Y{W;t@<4j_dRZ)8z$o{tGcyEL|-bJP?S;p*KD7WI|72Gt}n5ewmOz6WFRBMimad z1p|{ zzYAhl(itiEv-rm^E?DvTV~%{3Ale*^jGW{@kKkPdb_}_K%s2~{$`3`tSK0hzq`vzGKnf65bC^`d&AQX>@b9t!os|u-@0qxHFrXB&!B(IRj%NiDTXHpbV z=wLyj-|zGVmwn%F);J3NOZ- zy6wDPRN6u&LzVG4XB5;yp)MrMYcV>ZO1$1ciZ6_uifkJa6uF0j{u!)E^P6qv_tWC# zSC}e}s&q7{k!T_sO>>9{g`NgjAEC!^$XISwKLx5iSvvJ)Q9pV67_J9RUS_#Q*O>?L zD+@L~C(QmjQ+iSOSnZ&cz*e~I&9*Qn-{F0=1DUj}{5gL2eLMG#zXyu++sEiA%qYxo zI1m}ahMMyZ85&Pmm4V2;83t=!Fg6yabI-NaaU$tJziYM z>ML4cHCi`8SS3tL(ZJ0gg2GG43LVEUG>3FmZ-52~OBl8fS(oo{Jw;Y~b!lNH>#BF3 zu{%B0--EAq)KoZ+cCEHr_hkBu>yv3p1ECI!F!?pt%6i2et&v#B`m>nXdvSGLOScMk z`|?~@`!%cGovgbgvr9DsHzyZ^RCw9cOvRl9Y+UZjl*Pt=8a(IV{_FPP1^()VB8{_^ zxpDhb+WsPfg=u_j*SPV(#>FOwd7S#q1>~L8DOQe?{++r~skCj=moZG2cPI0eELQd! zxC{lcPv?~|uWePemKU@g5bt@^aIQi3>Z7KL6)k8myHUvC;&@C0cUVFX-f*}LL4CjK zJU!NvZL`S}uC}$t+5!By>KVo2S&Niy7?qDNFei!5x=2C2srt@*frLA{E8mWU{~{6x zi@jRzltx=?U^zv{{e~KL{rN`=C~?36yK%76@fkEX#J zBBFr8`^eJ_fysZqB>(*d>%_70n+)q<8%bo3BE$&xDvn1c$?yfrAPG@!( zd^Y3SRi}I?T~v*C(7QCW^qbA12st1mLLV6y>tuP)n zTl*egWi*@))lQjeL^_Phx(1xAh8MFH#^_c9`hJffVfiVCZim*gQW6HQ>bOu7#CdS9 zXE#6HIml#Ci%R~qACaNnO~6x&TljD>zqHy2XDf*j)<)<79wf#vT4<=X+ZublY3kb` z`}r(SiPlA`tVci%{7!XWrbuK%z0v(yb#n-tVcEM!5YS~rLj^h-^?8G+_9DgY=2APB zoCof3u1&=d5!*Uhvj^G!JB$D&U}%%Y z1%wmB6Dfg8uyg@MB|WoWkB+Qe&gUJ>L9wQj+7tND{~qC`LD*;#ykhLr73=@PTyDQR zgP~R_HxVmhE1G=cXw@BxXTKJ2I0JHtk_aAWMg8|n;9O%tLOnsM0Q{C~0xB64`iG6t zXwhcE+?)=31>r*Kt{@F~;W+zUnE~@}F9=I0ZQw~N@g>ep7z0u~os#O63J!z5|K|2& zykzIac!W4^8PD&t=1m2q7{k$U1{bFm^K}M}pLdY{6Y0YzLJEjGQVt5^qqo1w8Up%A zeCcPbR+SLG6Y-#^MtP?Jnnrok4&Lw2!t-kbqvf$|D+E)EwoD)t+W2ZGlC zWkAgEZ7JjL^T(wUG~#Hu{R&=>05E8NoPT7Klz)RllPX^O*s=ed=6&!3_or-9%Opz%1HU`%&8 z-BS3!_E(mrS45zahg5n{^=>Zv@3YH%UGnkLI)THE0)2z25#EWBbl7*UZtUsr0%Lep zqTh>#%WNVqc-AR-q~;-F>sFnjTxit#_E1Fy2Q5=6_wl@%-hvL&RG0&J8K zPIkNPiGCx^FHz0M1Dx3Iwu*uXy#xOouwT1jANmW6xyjW_g%RdX@^f``F-txFnct>ej1ps5~3CjrBcO+$C^sAw-g-;=Yg+LbIZDl*cI;)1cI@fjYxYgwn@{6H z`VvdyC$t+k5WYn5^;n|;Ou}V&yehMQ zg4rZsA(yK9V)whqJ$NPIHn!(J;xxKPeo*qdl~DHnW7|Dz^dKE7f8SpgP9%ic=!?)o zJTtD1{m1ycgec0j)?fRRI9V@v5Z;5$w)YsQY^K|=-%kgjJM`9Mv1MJnWhsg4*Lu=q zx~Rd>hmF|?7}Tc$DLg|mB_8K$<_^k#gM0EW1yUB30DdC6G(>(tJ&hYAFn8vx>?HAK zCC_*?>&*(qN)<8Zzh}vF1${|St#fiMfO#!)gFpPujXMy_4zl}&x826jOJp&raBx%q zHvSDNxIu4>^M@iP3e_fQYL>i)CY^p$I1tbbL@jzL46AX2F3XV{A1%20e!J=(L59PE ziue}5GSzAmNi;mLD;~$cZhtKe44MCarU$}PAzyJi7@N^LmH64qS#TQ5=OM9sw6tDd z0^09F04qYd=wbc7C}HHOrhB>#3lk}!TlnJtZne#&Q7O_<@TP?_8G==2(`Dj&F|NO# zd$9S97OdN!vQ8Zj@@RFyUn?cy2JKqPW|$&zUue&k8%hZt51F5xE}hR*ni|?R?;!ps z3kYbS$Ge?KEL}*4do@hVc9&YcgN{rInPK`u{}dYRV_=N?7ZxD#+#LAZ{3iZ~!BV#% zuQ*s!1o!v57Wwxr+i-pt1#jxcV{i;J z3=7TngcC`y(jAXxeC9R*SPH#)B$YAWbdg5=ZB;yIT5wL)Ag{G7%?+s0KFnDVI4(iB ztOSs)Ow``XxC!CeMFHK~s$t#?GV>c9>e@(aRNXhy{G5NR<%mATVn_|W0%da9$W+o7p8j2<}#He*%m7*7#t*B|x_AFMq}+6hu}6|9h^c^eF-NT+{XZKFnqA zfc41Jn+TFlJlmnSn(>ls%RbtXK(<4+1U>+vgxQ{Z+*xTn+GWvn`F%{mpvK{DS-aZC2UUs^wfz>AlfyX*a$pV11XG69Z)XmFzZaJ~47ufaY#~f8Gg? z0IZ1a2zMc(txfN~>%NQT^(A)R4o>~4h5HnJz3C*)=mnjAPuSAbY^k1KZsG%+5i%47blHG*L+r(s;G<)e=*-p^YYHWaWzoA7N7=y|C z5hP-=UB(T!t;lXDV^UT)tBW6x`-iT2dAr-ro9|syM!^wZ!FxvlsQ0DMmO7`r8kk{n z+_IDGRSzPz3hIZN?(eSOPJ3fD2ab-?RY>eFG2@?7kOP;^W#J%MIr?DcZ)9i0Web&(I@NsoS1NcpMix3s%|3z60$#BCeM}rpL*W zE0)KAnSU0LHANl46fvZ5xl|FX+`0j~eYck^1+ic1=j7=C@af3K}Z<4{W8*Bata@wKk7_ZnZcLnWRZVDFwauIw>(Cb(9W0fT}ZnpR)o5>H458WvxXKT<^ z8z<`QOlb$2VUMaE+$m`e9ft%KKo^cU+mWU2yh5~Y*vf2D!(OUewn~MSL$O?}phu6; z%|!U$$``>k(7cx=i6@zOhc4Bbd#Cf7UT_xA{pL`!{!^!MV^>Q@CM&sobqRt~;p7ia z`py384vzX+@*?0#?NL~&SY}oj4Zj+b)AQ-VXU*lIkTlpsyKNMl0#1s<%ae0TE^tCoyrJw9RPPg(b~`9=vv3*&IHd#wl9&SCgxu^yc~W zO3m#nwgsk(d!@N7!wCyp2w>xM6L%VaSKtxF$lgXje?D4u7Z*h#c}dc>$sygHspeKK zaZ~zT!(m)ODcl~@PnpL_!-Ksn^}8_E0x z2fI}h#fV0tzuX~SQednY%0~WSCIJKYSp~pnyeu>+{s21w1R(-!<@hmut>4Wt4_*kk z4L1TE)&;zi^#@P3a}rjd17xoJf(u zN8>i@!hZ|_yhE}U8(pl>Eh|5GP<~b z8fvhQHC=X@U5<$P}d2eX!w)%A}@!Mo+Qq`vhQ=lRzzXSSG|I@_5>7tz%B`gg^D z?Y}S;&xk3;ASWZM_Y!h@yMUYSC>ebo7;RCrhp+y}4EA87IwC~di8&l*d?J2#dLKcu z6QvHSHGg4U6OS)bL2*ax59utMhW`=r$B+HU0iGJ8;Xnl{k>C#_xN7FsXVjtp!zhNrz=jx{sXiZ_jr@f=LjY(l5O8Kkp@vMmk@SIv-d^<4_1} zUJuJ!qt_K&3YK*i;H0kx;&=Z0@~=-w@&FA&mM;lBbjI>?u+H=ETKhx1yrBR{83_NB z`uvaoegs)d3oxwnS%0)wzabf5hClqlioY<14fOnDx`biZz>~6R4k7;?#sC`;!xMm$ zl$4a;L>FqmyarH;Id-4#ACKy*q=Ktr0xSbn?5pasbyTI=ar`KlKXzabwkJpfNcay_ zG?}T$-JLEci)iS9{^OgxgyoPV*SfFE>-8;jp;;{Ej9ytzml@>sJN|)nfqml#EvhTh zse_jEE`(3!53zZwqYnAGYxU#Wd=*xq_Tvdy>(W&*hhY%D+SZohXe>sJi+0Kx1gEGk;%v@AE>>#5n*yzAE?brW{hny2^+- z5X=?xF?Uq7$;AE;$&$z8n$jrodXX7`W{)0?PAHh@U1sY>o;ekD-aTT$P;L-(?34~D;62nd3bYn7Kv2jv=40?%`#kH7nNC)u4S`D%O&ev z8Yh5NcKUfTUm+P&CW4V|o#$pDyC|=9eEzd3%V3vNB{5XwG`@tb^NL3GEHX3=oW$(l zr|k{Su2-Ac zulMg$?T1RGi!~bwB|}YkqFJd(g02A$-oUM)sEE0h>$(+Zw@;Jjmd>rAq7E8PuAFUu z7MU?DJCnm6w?yxTbw?D($Uf@r+&$lly_>?0Nm)^?w!mYx2VTTple$v0+#QY@TDLkL za_)*P4f{r__sR+du3A*eRlv%e>$mc<8>TAqfkJlH2Tr}WM3cJ4IA^(;QuGCNR=rK&)qegfR7kqk5{ZgqFkc(PwXj7J#&kzNuN zja_AZp(-KNI6SwRdXh9(crg{0A=DK4DHV;ubPa4!s z3{ORTmvxm%4vfsM59x9U@!sQT-mkOTnzRrFKX;4PooQR7#st&XDYf;@#6i{<+;8wf z!ZBkIz%Fl|;kmz*x*jifGkEZ1!-|oblDS;E@OoNg~a@yO3>a8?<@Bls=T8Ph?A_EJZ&A7(f7PPa@ANS_Bhx zXEg;O76eTv&~L*0;$V59gIqbjBo#d|SzsOi0PtG}&pU4yzCIr1%|#$$1(7_kK-*gT z)eDP)WZX~39$IS7wVVRdxmnO_a82TudmA_^&;gJ-REIKGfX113_@ky_q2qYe*>38( z-(N4T(vSkT--31Vqs`op&VDJfLpe$IPSYpux|yEJyO-az?iiRPU3Wq0ewEc~*JCWM zK$n}t?p-pTOA&SKxf|P~=9NIoolwp_SW;bzYtUl5k$YRRY>w@x0{|3f0QTn{P&i`) z;$|$)CmS^gX&r1o&l47JNOOXY#@)LjxZMys8xf=JOgfmK#Bc!ea_KItzbhyo z5WUQbr+M?x*|~VX-ij>QQP+rI)ILzoT^9FRq}wmlwbER9q|^<>NoT*tYT}q3f$YvH zY{SIxqp495t=-Jh4k>53V)y&I1%aeyn!{?EGZ6FEd+^E+MglP?8=A5ayh}3A5&(?C zs(3XE(t$cG8V&{bSH5e-UZ`Q|%rw)e-k!7}&`tp&Z=2#o9W2^9!jaCBS=zB?+nr5` zWPPhGzb|GDpufV~6vbqKbsS$AP6@aonlrPYya}bM}csIFT&j6zl_eVB^ zcmhj5AR@>DxQP`M4B|l-lzXre&yXCYJdZ=f&$av-PpBu(R(C86inYvZb&*u8lBc6q zS=Lv2*_xB=wksV0X?j6hN9_c6LwBHYXJ=*c%uN7Uvi~-Mg@l2KHdxB#=tq~-C%$OS}ne|8l{&p+r z7snL$yUQ~G+4)RJttN)P)WEuY=-0X6_=Q4J&*!RXpxW&Uh<-KXYn`SwnabVvRiV{V zw322i0H8UP8$sZFUwIN2UB{~K{pCSBGSEGDr^W8mfS$T>JEo*mAQG30X8iUM?`3|9 z@v9^%9y~*5#t*>$TCi+R4Xoct58@-c4wT~tjcnrV_U5LM88x14)eYfgdtvDzaA4Ni z?>?a1r5T|deJ&!mypv6U9^-R&M-93pTWh_=##nCTc|@pPWzc}1j$Xu(T>YkVyWKFm z;5w80+=t_lvK6KniR3rzMKpEEqo*cQQ?Ia2X_KyP@ixgqC~>srSaokEPt?Ez>bpx- z#YGNGtREKHKCJ3bW(XmJM&|1v7Y0Nc90x5VC)Q@9of4h5au=p{V73}kO&3ct*(xKq zR>_j`+d(Px>#9YP(oZ6{ReGfXV;@}Y+J@ieX9h8xd&tNcp-4rKmFDASd(UtMY->;S zk}6ktqNh z@wYt|3l?(_4|Fz@VV~EdO|mA%B^qIWpN|`BW+p<`tZy}rM>Ntq$b+dqxV09$7Z>ID zGI8K}nl)+HS4L6=GD*y)pinX%1(qtKdi~4IE28n|X*K$Kh8$KnYIz)_uX$AR3fyEb zf5e;Yj8z5bMSx3eTwXn^E7P_Mw$df53-c%0puEUs?`UH(nDPJH?bUx*NiE;#`uAwB zcY2S9nOdm1-rS9`Ri-la4Mm-lo#M8t8^(cl&oAUtC)_V_Nd@7IV*^ Z^%*g*E^W7+wx0nAJYD@<);T3K0RVV_x~2dC literal 0 HcmV?d00001 diff --git a/docs/images/QSPI_flash.png b/docs/images/QSPI_flash.png new file mode 100644 index 0000000000000000000000000000000000000000..dc04f841398d566e52ab3ddf03f96c8ad614c7bd GIT binary patch literal 112672 zcmZsD1z6PE`ZWwG-AE6iG$;rN5+jW$APNrBNJzJIH$#emG$J7(64EH$jWkGihjjSu z;hcLv&-q_{dW@83+nWkSPlK-_N`RUy*;}z(4TnzrUiTqyGOE(;@$P8f_pQ?LXf`ypS(Tl)5Sb zUvAjQYuKZpP?8}3p_-%(f+4_A6r>+McShYz!Fo?FGjgR^pUnTzh)9L#IT7(bGU8CON{i#iuCp88UsuhRs)IH1ku%XT$YM{zL^Y2ahevs_ZqqqZ(uIb!TIM} zs1So5hdK|fjnB6rn#x9XXehW}lBw%@92N~e;vCKu<VK_#3%R8h9HGF|^>3$dOPT*(#YV}j(*UpSYLHUGP-89#rZsjM^dr*~P zRQr}&qbKBw`8A~&xD@_1+tr-iX%Z3_7YBWgtig{c{<&T*k}wt#W!N$^4J*}?BtiVk zvqRjC&lNi2C2I7N=}JW2Cv^wC59Xrf=yPNC)js`mJwM$5Gj0wUHd_uEeiJS*f^A+{ zWh`K?YR9Njf&I=`NaiQgr@??f0}}@y_4EES7&lY=3d9UAs{b!fJ9)Mzipk{~V<|{G{I0n>qlK*qWU|J1> zz+}F;Km3TRhvqZ+d$r*I(?)tHGDfLHZjt?;7i0UJ1%j7*nA;A&_#eH?3j_Zd`{9}7 zKSu;b2E`|9&?==0;x||e43==|{}JOpETHoW(hfZ6{;+A{{>}rJpyyOLsDCszL=2vA zM0d|KNO^s7SB+Mi6w>1Fow{UT#@)eze3$LVM#9+yc1 zVXP$o!Tqbn|3=BV*+%CcJ5+YiTa@4G%^ zqvV1UiC_Nu(O+WJRWuh!v;6!cgV)7?{AON$VdAsub=g2suk)=6{pIci{fV!pKKm8p z#!3CzPiVSaJKo-!13L>hoJNQsMZ}SVbreC#OR)3(-0EaK|4ZY9`4_s03TpP>NUqg1AI}M3C*pW0`Yqe z-_Jy8^)C2qM7_ke+@DsKxHLR25{aRRUx|I8Gd@-M0-PF&0_GHNCQNgR24rbsH7yog zqy#(MV%5~|B6yE01Iv!H;&pJ0jEt5>boRq*lw%~8?0;&1&W%}V6YwN80lPMsGHLIa zQrDe0kbsVQqTG^(K>&3lY$tf0{xGt-FU;O_;0)KHejjuR&Ny2yqWQEvd<4B zTQGj&I;eX47&!_ z0a-Xo0vwJ9K}O#?P1gpq<_6>#1S%Hr1;^^S3W#*MvgGJp4C}d1$4$}%NIGbBv4vR5 zbn84Rj^~0H2;f?g_vf*hYd{3xV$s@oN1L|aYNj+GAU08QsCly$<}M{G7ePyv>av{B zpQpyjyaczbQi9U$>z!t66^vahi~HYX!Wjq@T`;?B#O3vml(@Q2>vgdwYha6(CSV@d zG4??!=#Jz1&>gpk<Sp3@D4QRghbqsw&m^Ry<~K zDZUsbwJAcO*?u%u{0dFoIG9`AHdt)2;>8!8I7);sw^rqyi#b9s?3%4|&70!xmG~5T zWr6Qvptimw49QNmK*KG#+wOf>rJN=n*p(rJ2>Z12?RZ+Y zyR=7f@1LUtr#n4}`{AZ9)7gQvg&>|~H;YK5=#}r!p(n+JTBF|-GSn)wwNDkY zPSsE@#*=y^Y@)W_C-Hl#@D){{`#zTN3ZXA8A<+*yr>Oyo?P_oiN^XpN4u?zHum_1k zKSvpNHjr%k*e&;_(A-u|rQNPtp=bWsj>2xjBj7ks81Jym9YZOdHe4n&?fk0m_EPk4 zg>6f#M+zT-$Z9%DgA4)dOgmabucP=uysoF|h^^KlW1rqG2u&(uygOu4C@=nnBvp`5 zjZ%e^BBm=}Q?r=j!Jg$nMnJT5L4CK&v_)x;1%_F>APbFTRSSjYP2rXv>ik_0(3adq zE2(bO!oAtTMeT1RguA}fUAf-8_gdxTN7@xxgvdG@XPRg-QQLuERB6$RAK6cOIPetO zjA%}~GK2?)LLA^_(FrIn#%|of=Gm~HCw^a_5x6{S)4EX_^F%^5TeFJsK-2nLS%>eG zj`8g(2jzbyC7%l>fFN~6Hyo{$?iIJsKMF6SiZ?I#8YRh|NuL~MB)DK)spswiB_`HxKX@a3EoqatGy>eKMk$=qR!9=Mf;Z(`Q^^Q{^9Eq zIEY~!uk??pAXUZY@T$VZ#2L(LvApc${POj5gp^h3p1DBDE1#X2y1LI3mqX8#$5GH0 z8RB=ry7lfhHugabyndh0e4fS=o%L0ENg@60U&%@`{RlvZLa_qHJQl5FWwFEOnvNoB zEl_8!sTTETQ3gj3jSKIN822*9t|Z$>RI&2&QdMkvT`VvdN4Jtwj~cZzPbxlCVvpQ_ zn=(#KnUGrs@1CxtSyPzCqw!tT%v|;^o5%2O4-+{S)Psy(Qq>=2L&4p2S?zItw7iUe z+Iovt=vU>fv4fsv`IJ7>Mbs3ra34n zPG|7=HSj?(o~Qh`fToiVzN78D71es$f6>z4Sy&*V{!uhZA-R_s?eZp$-rYj00iDi^ zpCIWhaVnX_S34VkasK|>?tD#MU;2SY2PcqpAM=4{Cn;t%U!Oh?UlYp$v$ z|3^;`DXtN@Yq_B6zvBdq!KYCMR`A(oQughQKT+jhL>1Tm!UD}kZ zZV)0piqp;Ue`%$P5qKgWFNNeE5d811dq@Ea?EO+J4zQ7HE^}{2;)~5cxr~p9box`e zxX&2@FdgC(klZ0&MCG#K)B$Sh8ZDmu_xBbeB_?$JF!37!&ZWLj^8I|frrx8jb!VsU zw|GJAe&?H%a|MX}%Y6V_(zul)v_3u_Y+3jMFK*obSP+O$^HO*!hju%9WQj$I$47*b z(?_%;XupG!maSi={c#jlw0bbBvkt#g0t>kml{4GnmSp~A_E#BVAB(cSvpAIcH|`GwV;!5O3~ z5!^4oVqcyg6L!UM<05nY$!2L^qsT@9X`+xdz3b5?mB^dT*Z#k{cqIPP5~OF##FX}qRjRHQ#2uQ z9snv0yN9E?L1iN^Iqz9c+}o^LN!14!h7d_uZ;Cj)c0L+6X%d=of0dcwYPU0G-zUK2 z{`>Mc=!K3;RLxhdA}K=?|!bB#__`3|EERR?d`xKy=aA)iCapO5}G=e)2oT@;FqtyZCO%N~o ze)xje0A7bgAH{KNV#=Q*F*V2vWJr|o{qktirj5?YA5fAOY>rHi?)P`+LOBbu)4Z;# zI*#_*7=i%jsYos`o(uz`gj(fl2BHy2A&ok2TjW5Gu(Y!*ZlRDp>0rpHLE>znc5nmK zCI*i3-`L1J!Z%axxKRYiR^k$M#tu4_xoF&SM+|FFmSQ}09FhHc?XbFL0>&8t@r04$ zXP@vemtEH0C?R za?R*n*-)o^kPU<;3I=3!#<9oBo$A@~mU#qIf^ zoce(E6$y8>uNywlA@p?9>hpUD*?o1#$8GW9tPr~UK!%JU(Lkl`E9JLG@>TFQTV3Uq z?X8fXTQz)*+AsFpi|UsSK6dT2Su7sh&s2z4<~b!EJt(j~eR}-Zu@H~4Zl`VW9Co!g zyT=!+;NF7xc(k#jWy@&9Q6R?9C#*)hO>S3PX|cAbJi_>_)_KZeAv!RM=X=-t?JM3~ zua<$OrpHKs{4IjCu{JOS_uijX~aA)oSFOJR$?j?C43Ck92Bqn zf*O3gcxt$&oEO3ch1?>=jVj`4(65*HP`a)tYpE;kv`-P402DIUa~c z@n+f1kJOP3u^eFyVnlE>=^-j>+}eIXaNW^_x!_ndPekEgJP>9It#^tvg}LXH1O7Dl z>75xPGScM%6-q&o&S?oiF(eoaGP*|vZOl~<3&gHI=QAxLJKh-Wn`+c}$4Tjnxb-H< zvb@-(KT2UgG^O+VT#jOetmj>v#1GT_&{m>M=Y8kd{J3=iHt^THrZ8`0kXXV-_aPe7|c`<{QW>% zN2@Jw6cEWIH|>M~-e=-!RV#jsdTAUdmy6#FFA_snmYlCVxA!w^wvR90TZ%^NjZ2YVNnt9I%XeG4laaE&U@nJz_ET|S-a6Z*abg;cld z#lyv_Hbr_)#LBT)O4l5CB_*%R&xP}WgM2el<(<>hfdfUSy6U~brJYeRFNy3JW-5ti zq9cU*+QcIl^$!$TCI*I|0zjeqSK=Mwc3l%!!C*0K2=u^g3C$lgyQA*rPXE{aDfNO9{GkAQvA%KWJ?Rsz&ej0;Ai6hqD4i)B5G!(1&goi3 z-%jQcoQ^9IIX|rb()HMQ(3hnj7{W3=s-N2`@S0x3uW_UhE~Xx;;f)Q;(0G{mITMIj z){EqJAp%sKn{k>}RH<&;!smXQ1|N(Bte06|5g(x_+)4v#ZC`o#{)VSNT%_Zdx#yy* z#^U@`mLjEQI$jB0uCY10yl|Xe@MaXFRCh*~*|d@f<6)GFR$qq+5CR0_&E*C2ZAvGE zc*ZS8%QgyYsBg5;(F(n3XSZ7AGL#jsG&@iI0Z(S!$eo%>sOy2D%E^l?NyT}n__!96 z2#-Duy!n1sobLfalpQ9w%@0{qJI=CKZ$;l?9h7q>k)}M(vHyF(^ynu?%~+)fIXi`G z&9WUIAjqlRyn!*Lg2CuAYVKNj{-F28^8zDF=3l;z1mCrfVT|9b3loS{s~n$szm)*Z zhnw132HuzY%2??BOXC}j0k*=S@K8O3-3d`qfiD+)%Sc$b86%Oq6k2IWPbjc&yFHeQV^51MVO;F4Y0cZRT8=U<@0%A#PZ2F5 zVX$jwR2S-OyG%UG!og#z1z9joh$TTRtC*|w?fpZ8UI9((;zLS4A40y^ODCSMnq|e_ zrH+({K;pJy1-0zLe}Y~g)rSByK7Uz4KZ<7OG_3X_0A&8YU_J_Cu70>L6}iOW(6gSO zG+i8-qz3q>w_vo4;mu7Y4_@~}lXehp6v0FeH&PTHt}%qThtAcyi60)2=uJBmZ<+fE zt%Q*Fet*F}1>>G|6TZ;Bw~>>=sK*v}KDu|J!~lqst3`l$gN=0DhM1L}C9MSBABNF& z^WLo1-wAA82qK=oK2vfQ9%#l3gq~ccBGsXeEFIgN=jK}VhmEQepEZTfk+`A9Ril2M zBJ(woq`XdEy_op+l@Du##Fb}HU)9Q}YRdw(&&i&1ZsAiN##pVreJ<5syr~Swr;6E2 zFGXjZsrUEXV@4N_PqrB8`+l5>MeLpLZCo6k8e|2M(m^2p~xMYo$&`5QDKJ+8gT^36NBHep#nakm`<$rCsZ5~fDpZA9Vn3= zbu|Cv4q7xL0lOhlTBxn|u%UzajtnK_Kl}%W0CkNYW0Zvi&@WK90w;tCEH>p2VzbT( z0qu;&z4#UYy9BkJYLv-I2^a=jqBIFam@(Z%n6;8j14-RfhOqlr@@IJ0Z@=3Gts8~_ zvJ?knPyC}Tf0!}oE&syD8t?#Mx5zXc@qNb{HH77kYc_91Tp1nRia+e`e#lK*Lxp*(Dn1k{iiKv!4L zT11!T7-%Jy*)@%r{}{*1hp=gfGDs;7DBz?=0FGL?fT^@~^t;`}{n0m0reuR)os*pVd$Ov)*; zR;Me!%$NqiyD(uD8}1*oJ46Rz>URwKhAi-P2ZfM^r4?-7M$|F?%g}%Mou3aI(o7+ z>v@@E)DynzEx8|1|7Ak=yWMkfHQ9OzQMF#!4KPRTR6fYkim?5%KOjWB_e=*fljXgT zvOg#R%mK8IV_r}!`x+Ev;ci=HT?fBcKSnnCV&FC+m1EO59&PGrfRT%OMK=5ajS?v+ z>Exg|2C145lpgpqrhtrFwBN}YztRv1z!b**%VL9omJbYLK;x0hSO3iQ8xYF=V3GaL zfGz4TgbNiylNHv&9Rbj~-9}tlo8tT6yuIVF+TVbE0N#ZNso-DJKajPPVx8BAa_9ED zc!ij*f+Q{&Ey{+~Z_fjvmJ0BECvjD`M9E|UJuw#zEp{|{cU^WCtY|57gaW~Zi#gMQC02|}h# z0ucy9;rW3iks2urJF{SlHmT!Y6*K^bhX+r(L9~Mu2DzH>JRe%=x-5~G(gHzTtQK&~ zrMUNi`}J}SfMQ*7i=w&-81!A$Fk1LWx5ITKL%QRwTZ(b$i(~phbd6RuTNHv5uRrdB zid+C7(=>BR%URcm0QLb&w4;nGbGzeuAGcG2&2TEIdWt-!9I#>Dwlz8)hr{7esfc2{ zzErUXFi*yQP#4gCJymJ@p3mqJywo9q0 zljf3JIdit&c1Xn^oDx$%QIC32+-}!=dB1AV!LmJShtGMWXqWHzd$kgwaYItg=%f{k zA5VnJT>7;9?ku!EapxN3;%ns(ZgB;aEf7O)e~z$X7nFNOnq?9kTKHa^F08@(@h(iA zrcwXQl-NEKav-N2-F*>q108xZia?#o7?qVmd3`Vo5uM=tJeUSo@b0n0mv2WnlU6mB z0b;JUIYze(3ml2)<>~7b+5}}PH|EQ0uFf_l<79}4mn#hd7OCar30W}qn}st&-7GZy zX?Raxp|V)-+l@17HT#4;z3t)!q&L}uA{9x0C@hM1-=6Q0t2~t^WwfBC>LZ5X0zr~0b+ZavzT5YHs= zS_ouxAE|O}3mA9b)QqN4)uyOY`!Q0`-(z0_3KWS+LY94TaIF=MdEq=On0RUp6~D1R zDC;z(G8+D+=aNxFre}Uw{|#=)eb&vLvO*uz4RuL~dKRD&uV>1)qfTOk(~C_UwHc8w z0^Ny=)@jOCAAnBo_z-iX>=SLy+CIf{*&fZ@1OnIEkV{bukgxP#$(dkkFfzO zeoxF?-~>c?oFH1e;OKs@%MD%G*GN%NQ*=FqH5L2fa!uKbFT)PhqNsC4=KM(^`J6Lg z+sU?K;Zqx)&O$Cu79uCjI?gvsm643pf(WJLqN47yM}9rPpQi)DO-xa8GU%WMhon6jQ84c?U%l%!! zwtHfQc_UPKw{}U*!5At2?34OZ{#GQ9%`wO)na1y$_eo^>vETpN- zk3gh7wa=hcy99826<6%^x?NU?wyR}6HNg0P8+}#_2#D(QI%%I_V=Nwlcl}zG3(qM& zA%=Fs(;3s;Hj9HaLK(^3KWXHifEt1TN#|8H5d&8r5y_M_BY)KMg0gOxfD3*6+dOgB z^Rz&=lzpw?Lp9IuvTryUNomf4z79(0LS207FvDH7#A45w)T)vpR3`ieRsuiNC@uMO zup+NVi^_L^6l)xNo78ev?vfk2ko9z} z7G^NF9|WFYs=Lp^%_kZ9iI5NW31lxp=GG|I{dTgVul&E`&i0Z}QKuS88P$ml3$`}R zyRFv)&d&u#wO;v=7I1jo=R z>2Fci?e{4x3-zO0=Z}G#;3n#u3_Pk9reMU@RFyq9jaC^uqAG_-ww;GUW*JRNuQKvh z(*5oMPvF7m!MK@Ug*9cx;JV7lTrk8*pZp6-nVC=pf? zU3}+(+PGd=!0-9?pTe4oE_v?j2LfJ%eERhV=xeP%8pN>pT1Lf?Fd4WIW@k(Qt%AL9 z0mU2@He~hak>b!RVd%$7l~;33kHW~KTymO%2rH`6xb`uMn+I`R5SkP3D?%|M-8xXl zS?JJza0j_hG1_JNsHhqP3riEI_?mCee{(%7xzK=FD1GfGQk2ifOk>GK889tycd zL=C@HCA`oU%@_rx!eT0k9Sy_xn?K`NrP(WPCCX2vjvwi55r%muaAuG1LT=Rj@yEf; z5qDJ6I6N4W>J|K%++Iy8(3UhoM3$%HEYg{v@g?o50k(}M4nv{J4ysK;i(REE4%(2}UxMY$Av zEr+T;cQ{uM5#fI0;FEEpXY+elAJu;m2|dESeADe z?{?3;QE4irQ~VATR+{GT`@^4fG0k^%4p*8TOlsHKZroPxJ?%->Z5J7ZW?t2pWu0IhW5|UEu9i z^n~5oPMi)GuKPka2bI2lk#`_Txk%>!j1upL`S9<39)dE1e|0j#c1^4vkpqONYB|o7 zONovMD26t0y$je7Jn+$2#gz;-qJUrxv{3hCynrJZ1JK)V9ehZrK-{8;H|5Gn<>l$H z;Pjv5r_k3b)aXe%ttYbEXFcJVy;xo#Z1881%wrt5Adb3G(xWaFWl4cB&}7FJHzZ?1 z+cUZ<$C`gQ2#P$bIMVM=x?7BG`P451!xm(pXx|`W!Xz7mto3xv2Q~C$5CzBmyB)&7GbeJK9@!b6l7LX^QTefvt<(OXdL&17avZj zIVCQ3#Bim?REXV};EjBqy{J8q48Dr!o32bC9Vq+yTgHrR>$I%Dh+a|46(CV@f!Dh%KEEm{&wDY(%r4Q%*aETS)-qk`Z_{g*t zNta?%9fYN@kYeR?F5Vj+Q6XA>emu`JwPyz{Sc7CzzwOh_Lz!zXQIvmKOoct$hqfsE z%)31&=^&Y%?zsNl#j{w{H95g}!p(X6Y{o%&>!~6p*FCf@6yMVJ&{_}>an z`W1ARrTS_JE&#m6>EcYg8!T;SaJS*APek5CB`sw385%^2AE!=D#S4}yD{HcZpA|;E zF8BdYx6MDUT1yzTQMOd0lB@=jDd2DT=+J?S+!@~RqvzbeY80G*qi3i$xa99jy3VD{(?^w+2-!4 z?qSmFR&9#Ack&nQY{!DQGbc-Tk)#kx#|8l?0R*zCD%>(7mUtvngrY$#_*>O&UbTi5 z2W*RSa8}t{{#qSa%C9*Wqq{zR1;V{!Rug&TPOnR1>m)#b+92_Wy_SMLF+rTsi%w`Y zYl`*gCQI(>dTfpr&n?C45{S7S;dQi}=E2|n92@@xDPv7_W)cO?+VTJztEq~gpm0{xO23v!_}N4T$fVUs8MH9!MHP7Y5GKTKr4av} zTHrO=#Uy$?m>IIQb_rZ-0f5sC9Vw)VdsJ_sO;c!fsYD zh=;7PygZ}=r~m+QOz_ZLm8``EkvqBeN9;;fwT}TqKf1>o^MKbFX$2^%x;E^-9w|uB zs@hmPNH@dvsg|ujcU!yX_>+VKNO?Yq(lA`aFiUkOQvQ^Jbw2MA!-l69%UV~jWy>Z% za9q#)R%=dRwS?3?Fwv7?Cczn+NL=po7J2EzJ2868?60I##Z>=j3ZA~wm&Sl}SgQl0 ziB_o!Qo=^+!`||U$150*jDU0oLq!^r>cg1_K!|^0z%GCnGXW<;(~)a$c`N+5?sS>v z{FOOpV=Ij{?d`|!iHv)b6D$QW=#W*3uMMb2&FV;P;y#f#?1w}LTJHS`MOEa{CjjOn zKQKx4y2i2}SYPXM&`4#HObon{7MvC)F}7MyWi&1U{dsKav7BJSQ3k0R#m#qFA<28& z`&qS$V>olt0KwgeL8(QAiFY#!@jet>-hiP(XLm$*O8(MAhL9HdG72>h!02@NRm_qNMJ@-0hD-~Lip)8=@o6mard zMy>(h&*kmZm4&6ZGcR;Kj3~B2LK6CF`lEG_Wx>ToN1V?cNDXtqVsm5Dw1?^SyCe>J zTQQ04^XXBbuHCIb&N&+i`h{4;F`5ihb@TPz1jBH!#Ya?z-KAf^&A+q6BB!2_@ml-@ zqT-iiE%nKmFdhwFSR_uvdfzg zMx7la0wtgMyz#M>seSY%{R(`z=;FheJspB-&m%RbrjjIR20kk<1?4d!N;~%qcV?q* zUbxve`PsSo5}1SvXa_xkaIt)*c;W0BXBzk0Cc(&)>l{~cpo8R|3@PM#bA@3y*RfKg zcVWj`A!EDw`jA;zd-d!*9CexNeY5BQP{eQg7$v3iXji{Sa3O@AB=FrTswB46EVnR4 za6GiiQA#m8-?yr}Jkkf4f)IHN!us1`9QSTB3t`wKMq&yjxkP6dI{c0#_*MN!hX zK;!wGl@$i?9^0?=;V#3DH&zzewLg9$IMvqXhblHKlw~w$L&Fh{f|itRY!RmH$8>wH zts7rvkf*pHBx{P7TyX!b5?H?p8b_fCO^~c*mIg3zEag=}1Pw)_L5)F=fvw3V&$VE@hYlk)~2s z;h}mzSLG*K0rU|}#hch4xc@pSTY|m6qqU5gy#@6hpFE@?o_xrR4c}k-!x51;wxmDf z02aM-x<)YLw=~bQ+|Tf^*rVPDJ9tRl%_P+&TO*Od^JMOhVLK7?Fr4@_3Ap$zsqX1F zqATK#w{~JbZEO^?Uw+(W4emvhR}O+JGzD*gRVquP(DvXqem*ydf)QiKR)|vVsE%6? z*HDyUp?+5Dt>i7QUWwoCnvZc51>Mj}6Yu8Vv#y*AqB`1u}q5DFe#8u1odMF95Q$j-&bqP#na&xW_t9mv2uSY8bgn-+R)pDKgeHBteI0C`hnxJ^YE)Z^eb$SVzkV|r+lfJaEy z=RMX_WC)%I7tc)NbdIV57N_jGxR-su0n(SyLQNv#`s;O)ZWsp53u~?^I5}f2FwwiS zadPrEqOWOHJLE44Da3W zu%V;i)(9kS_i@9@GiF?t@RCogF3*=$!$gtlr$#a30vRw1ht9(Yn1R0Re6pPi=ates*&#D zZ@^+0Bc6Y((VYX_cAlVAQd}il0g}x!$179gX#pGsjx7JDUdvDHBY$;Qy-|dfC?!k1 z_b3$1WT3RegmB>EVb6MYYwdboo>7?^M;uELiW%O|ej6^D%X?S@G{hgBu{@tih2nb_ zZhbkwG!XUWq;R#Cm>_!LIl;h-os9|0WBlQ$Vcquq=H0k%B=n+%{KDr_?Qn{0`0gwb za7;4uvT#BBBgj`^;N-}GXWdeZOeVIeFiXQq=|(}^&Ad6diE4zZD*rN=&}t+~RbIe8 z)D|@eUEifewVT$Mt0;K~>Ei^KGULb}Vc@#y9|m&SMhMUxTT=)!!yMdxt?HW^_oZ6; zPgt>BeJFw@K2^0jE)3g*wLfrJB?2`IrL$^yrZp2$P zu(Jo{yblF*ye=JSb`L#qAU#5__7^)oSWcENJ1!hQVjvz?0S~DnYZ%@y$0T?>xu64& zRLQtHh?K>z;DNRY;aKYPfn$<(HZ=k1^SydvZ1?$ z3E3E}?5#&Z-R~9E>Qvw{4$#tr2%8Z3++UPQjS|^sA#g;EeC$)gzLA08$-4uMW`E*Q zh2>e<#-H8*Z4#WcAO#5F0Zry?Rfb3H6Mh%UA^k3b_s*;X|(xcPef|R(_qhj5p3UH9jqvX+g-HEwv4R>Iy>+WjJ z3pb+7P|~_8dcKg_lQ+$^+9Cjv<65%F$7nFtFD`j~#kD<-9?WeuJA|(qkuMy#=M0m* zdW%{Wjg~KrUI^4R3c_QDVEPd|L+S14fn>Y&1-n}Z^R$m|rt4v>SE@;d?y1s)Ei$Nc zl;wJeBU#sdBdJ~6XF1)s#n;!nv$plzUEp2wHLeK-K;}iWl*u6; zD%SvOvW>4s#<*Z8p_qT5SQ29ja1-EEI@%L5DYzH3!HJ8hCH;T)Q26?EQA<<3cs>8X z2UC6iE^i{twV;;BQJ&3bxH80VvO+VPalSjUg(M=0m%?Z}#d$ttISxPi7TqJ9!n!DP z$YH%m1Z}1diU|$WHp&Q-2>K>9?kt)$?oIgugt9Qpp1-fW_Kcd)qIjSGy&pmhPGQ#% zm1nGoFeHUIB2Z@Tem8MeI;Ey}4?58)L3{Y&*xC`1`Utpi(r+R!B&4g`-%?{pnlL95 zzc3;;HNMNsH5diQ4%f7_eYi1M@r9d3Rtx)Nz+DnT^LwT#F#9y_a_ElM!LN(k;{GYb9>KyZ@S+>->t0&N-eFNz!8}Wg z^RoCM*#zKHYFGzt1r*AF8|}C?F&r$iy~Xn}K4tx8JooVBnRB=a^OgHzsLwHFW$eodGBx3^QB9DlSO3?l7@W|*jFq~8hg$VxvmqqVp*YqY;@(7CR$!g7&UG0eF0 z&KAQ^7`)oqy*lc}f=e}DU5c{|fBx2Mxik_TvauJ%eLxm z9b+rJHpa&XWm)I|t7@*6!;mZC%YW38N@BhGSZ-3PTBAwn2zUlLIPkA|Epk_I`1?b< z6p;oX1q8|>)$zAyO2RGgZh3h=yVcL1f)jh8eLavEiICDh1;2aOE{k*?V!hqi7Vcu% z(lYW?4QDtzHn=vu3Fp(F*Zi;)$DJXgmVXrH&fr2QzW1x2ZR0nvftb;WZ1oTPT8R|D zy6=8AzVe~z&7}J7kz!}MJ)?>?x~IP7aF5Iy$ibiDv1h-xiJDH$@kg(^-iYA%ORg}% zF1pXOVN;}oZAcw&g#j!*vq{$9@ppmNxu0`fkF=5<*$=A^kjaP8Vz(eXwn#TVOth(6*{C+I%S7wYAtmBY4FFbF|hf z^I%3ngPn77mM=Q!)s`uH-%@y&Y)aLNkE?SHNt&pz^76`Fz8lMLmxJZ;yb&(UwK4mY zDAD8nl5aX1qJ4c`2lf)Kt`dD@k#1aq9gqYDIf@2kWwp_M+r{RWd(7!AU=J1}1oq$# z_Y2o2Z3lL5m)xp6#ay=6-j2qs(DQn-C;=eWL&J5&)wVFyyki~DjhHx$>1T7T8`1R= zo79JBPpHQ1{-=55lexdQ)x-)ya#%Wx@oz}8S*n0Y6-`z8GdTHmQc(9s*=gD5sYntAc z0f%n_P1V1DvS*1J%(n$aA2$Qpo?*i3o0@kzC$>)~QPh#Y=BO>)I_%t_aJu zv78k9^3#VQ%aLlKSa>(}{5MB5tp(y=U{UaOT?~kOoQ-98WYUPaezjB!3WJ}(hayGi zn@4}NMYi)9b-t^oKkj~F^bL!G)v!i{tPhmL+S>7t;p1uFHgkE6bb$Rtf41JI{09nx z`#bPAmES^E;Y|=S#qXh$7Z2Zp+aTtX`^7(Ck@RAZW$zf8i;+!(D>*+$)YG?{mqc)% zJf#mk9?E@Y$?L`y-~PaA>i)A%js9Y(AcAruk`4L$xxu4%PiZtd&|Jo!_?&HmYN?>1|;{K=8X)9(eJ`b2eS0vKtk z-r8l0=Ne>A^9ubg6SF=KTtA2zPxRXkyus z^e(`28Bn5olxK-?#3UDIm3wnX4|ZaKD7{jDk)}A!5+*l z{@BBbJeyW)8da>jF{5@i9`GG1FRK7Yv1!^Ek{N@E1Vq*}Ep1LA1z=et@AeNXNzVF@KMOm6!P$_JmB4 z6*u0MBa@V?&s!T&@9iLkL^fjC9(y!0`Vi%Ti|{wsrhuvyW>fl`hed)kMAnuTcvQBQ z2waT2J^;Gje&iXH`D(9rv!siQa1nXotSM6=rg7%#NGQKc;`gaC^9LeDb$H;^Q@J7^ zsMM9l*Qm>thVT=j)!vi`nzZFY$ZN@s0Dl;$^OdhKyHjf*wksD1><=**f`tx@b)Dxh zm?uCTRj5Qs9FHD4Dt^96+6(Szn&Wn{^hm6WT8!OMM2piS&`1SRt0ghEkFmAe@@k0@ z^n9|du3}a}WWwNde@P$I%LTgbH77R^VLly0OE6hL3QfR+B7YxTQMaVVUCX0!Cb-$J zH**56qD|QpSfxJFt;X(;6a}pR$a8;lNVY!jVzX$a(Ug@CC&g(}pmujYcz37cS;q0| z%Bol@-OCCqa!fj>$EOjbQQLrC-*uSDH}|gZy|7WgKbQF|T-uuEn_|4~e*A8IlOOW{ zrHLRnbTo$zYuwYyqQdAEi8jY{74SL~{hUI- z2#IpRbTuj&P1dyJUr_D-3ja%K=Y#YqDaylMA&Xh!AJYUQZK(b?Yf z8+YH?AjY|=o^w*JoJv1FzZ3&52N1}oOv&{Ba878x5gwYD9TqeEArY?HX%fPSZ6e7VjTKD^MrzzsW_DPb{K^TAUoeURXHX8pX z0W3nscV!EKmvNlytJi6lZdm;fUPIDV$|xEP8buyEsctb?C>9~T1wssb8<}{nz(HZKB}R7 zrglM647vwLj0dIO(^?1)pxrbG{RWx;%83YK)JF(y-Hbc5c3%TKOA=OtTLv8s-h?!BEOp(oK22r!oZx zd)5#6X0-aEiK$dO-ka-$g2=m5>8I4_t!5dp!z{D{q~J-na4Sg(8MtW{<_D9=Z%v;o zX2>JN->8gzSrMO`75~F-}Mi+rB1Wg$-je=u`7Mf?(hc)*iBYX&J;KPk7r1h;vKPW~TTZygq8 z*LQ!@9Yc2v-AH%W&?O}u3P_i9gER~w-3=lQA|l->h$taBNK1qCd(L%V_w#$6<9PqX z5heEQ^Sjqx>$41OM~T(1Uy~pb$LC@tzTnr6Bk;+a<>kA1B>uT!tH@BV!t0%1?#mD%GccE#IH5WdNcf>wrE9fq;)E_s|fjqWZap+EXe zY6Pr~n85I!d{Db;34v9(JdcUq@=vR=$)RDV3P6JY4Agm^{SwR|PzPooo!WZgcC zd55~4=FOI!p_#K{yUcc0$vvD*<8(78-HPlZ|H{{;+__Q3x=_@k^k{EVo@dm|r1v#4 zX-8Qa?F9OdQJJ;y0QTpK2!~C(0RKWox>1kM(AB;zT;MEIuh%E%G29pXp#Iv_Lgmlw z+WpMaeaaW3`6B799Q#7PA6BbAX!W~0ewJg56n-E5KW1{7*gQ}NXQcC-ZOz;CNHCBT+CCeC!az3>Yo{L<)QHwiO-y_s007_TjYtXF_?LtM$blA zwDjYOJaW#33S<8Id_c?d zZ=_?*e#_V}l~U(Cx~|Nvk1_N96I*%+F?DxBWj*wz zNo+PkepyM>pWA!?3_@QYxDr`c;?5U(gdh4hGIbtE$<9L&(K!b?o zxu`o{cb-K@Bt;`vP&(mf>&hU#PlMx&UX5`8BIFPeYI>*KNe!z@xLyo%EI!g1BeEL3 zPsI9WNGuXwcPjx;2Gk{^UFPvLr4sgZ*$^tk;EHO0iXUAhmdbX`Ryb*@ApD!Rl*x$H z?^TdaM8_x+%OdFS_*p&g^+WJFMU4B2+1wvVr|4u`X-oz4c9FphUxdxk&v`f(6kTLm z0iR~vR&sTjz_S-B7cVauyCz%cJf8N|3bs8N*�>V^62Gi&n8C2~`o#CILq zVjfUA)RGX}mAu*7rE|vM11HlU=bZxahgS%b9>2a!xNc0vrS~)HE}A;83ht{@{_Hwn zo|O>2|3ub*m&$zeo;NGXNI4m+fWh1aU@74pD6P%Y-g&3o4$}3jGg>tHO8sJZ_2p`f z7svmNpWk|kN?lQa+tP*mqnLfbsW?`6D+tjX@p1Kmzc>>$Hm++dVqaLC+NyDj78ywkOQ2!6wi2u z;UJkme7v~KDQCLMs>uHKg%nudqRA{ARA3DBKY$s?S@A62YnqP}?N1imJ;$EKrofgA zwOLu$c}FJ2IT4;)mRs-R>*Y^@udG+4Itd;z;wFZcOn}*3G-V6PUPrmeZcf| znYeSdx?-ELj2d!^h-lcbUcE@Y820tBnAalsQ)tnkr*A!AwttjLoQ$+$x7`C;mIzmY?IQQ0DKm>~QWydwu`RJ;bAb&LXHn7@=6*3?t1k6>=15D%!XDeM zf&6o6BEKug&tkLp_L36xogpcA7Zk1R$oBI^*ZG1uNXOFQ{Qe?)Wd0w?9R`=Cddt!s zQVxDH2&i#gmRf3{Z4!;#1^v;dL)#yXyiUI}E)t1rPY$=!li63M{&Q1|9F>izKPq%t zrGZA!7aKrG_FjPJ)Hn?@L!EBe=PYWp5*wyq_4VtW`E{5-7uLt9a(|3vZGgsS%}*8lVIMi2*xsOwA~MkqLD$$Ap_mzs2|S&nb%Wl6W~|%`wh-ZXMy5#b zItXTJ6%U&$%D95`xZGZ(gRQDOMY$wbFl~(FZno-#R4f}QBbN+Y?BIK@;znzes&8-W zKBpyqF9=hjx&h~cwCs@epMi^s`D1(O)Tpm@jJN2NPrx$7b41#bvu4V=1~@}dEH7Jm=d_2>npACA4ej);pXT&HY|=CogKeonj3cl`~zPzisX^^DLsxxT?~Z) zv`qhSg29P~mbRmupKVZJ$SR>n{gR+8w@%=g<^gGjpVW9&rqJ?MXjLK9(%G;;Bb*hgUz&UVn|5J^rTc_3PL_kP$ty8|2-19TI(3BXAvzMR+#n zOSD@=zlYM$QlR*>TSPv&ZQ5_e!_SYAH;%jZZ7_w0@qxyZFB?-jM2c1fIPF6h@19`6 z1=!&>vSGf}*N9&ZO+xmzjSgvIT3tW71l}e52$!~Q`)-u*TiqA`sI-qiRC_m+{@cC( z`h#(YyKXk6NtjVxY~{y?YcyD=$Cf5T|LS4ZweK2j;b1c8juB6yykAJ>GI{k`nRSi3UNMt|ZZAMGgJ0hgf_G51`lS*f7^ z2^o9HG_}hnh>nv>k-&eYVD^IqTNX-&Ik8fP3>OH+?2-O``M^?`Ue0TeOurj8OE!a! zk}aNOo5@~86=~9(CFD9bY$fW+G>=pQPJXW)E*qS9}m*3b3I- zy}oSue}}m(F`Co7t~DD+p?W62(MyskgZ6>`o1g1?cS@S}vOQKCcOZ*N{5I_|+hD41 z#$)1jyl+lOB4Z>q^(krZMD$}s4G5=e_PVJNKfF8H%lGqDxYSaXOosB~CQAI(ruo&) zM7{*LGNrP}susg_W;9wul5^}$HxT#MV7IHqJQjE<%acl#z={0!q6}&RtC}_&7|Ly< zt7c3Hnk}0BxRs_Qec$ws?CiYzn>{Gz0H#k$;Ly#uQpGDTd>hhD0`jG+wkvst!P&tV z{8l!o&}|bO8YG+5U3iRr#z0nH^hlnFIVu~f;D5o|MF#b`6$sf9*0#hv-N_pg!O1x- zW(dRgu@1xHav(zr77Gnh!I(#`qj96d*%p5vdyXUfXG|hbHlW)p$Y}S8o$OFA+WUw8 z(D%06gfN-BkUC8!Kjl0Xw0Hv}^AGnlYMCm!?5@ka^P+PINN|~FQ<9A_eOw(umKXljnk!%vmLVm1uvEA(kn~Ah0aP54bt!XAM zSykSmP_nGThutamXcbvt1s6cPrTpv6G37B;-*o1e{VlN?B$1+Zr}chXC&EWXRi_vv zdYKX=ReV?yTWl5(6J;Q3aFP`xsq4;Gw8o~=5hySMn!`yg#({Z8$1v^`qVE_>xZk~v zD#K{{pqAfNmELFW0Dff4oV*^EkN7Meuh$tC@z#tjqXy}0qdzucG{TvY@rR>Xb(2M- zkXk)YlyvL{00n;4{(~M5CBvf59EZs+o!1M2qFVO!&9zI0f!o3J?evC^Br^#g!7d*_ zhyF3Lk?5kQ-PWjzVlkp}9Gl0+<~F})AT_Ntu!L?LCthT?3z`cz5U-@GpD&;tIe4kO zqECE`>SIBJcA)S1G>;b3c$HBgl&`Ie#mraellDT7GIqUA=E4MT_vbEDq1rGTXuL1p z;T{#(T9TEPJU;-y4Ak{(=74F-DQ3Wi$1(0_l3;wMOp?K-#1#>wa%Kk}NI;LDi zHKc8N)?<;=-KvM1^0o6F#0rd+UG;GW&){+Ps^=%KtvPT9v(MsGLaZf@ae_!af0ecBsU zSeGt*(TcjpP$Mo0V4tB9zW?AO{&MiO*$Ts)>0kZoV3fbffH(I| zEKcDjuHw^}70W{?-*q^^$b6El;GV@)*7S~tC6z|>Cztj|9&WoUs&3N8gU2Pt33n1{ zOEiiFKgmb8kz!+xQ>i7Pp8;bZO2(QN*1fa}@3#hzEd=+@oQyG@g@{Fp>pVu7Eu>nz;(z?E}eyj$r|DY~@z; zRdNvJ>L=3KE}puV$v)<`8^<~Z+oueEH|M~V>b*6-w=j;^JoM!soDOx-$-4+74M-ZVr*v*A7@ zLY&j8dK4HexcI5u?OOI%U&1l5pMNp$tJ7z<&XD#%qT+Hel}aqv8fY918I7|S*t(YX zzAE4g`S0$qW!<%MUQ>isYTWX}F5kFQ@YDFGoU&PiwO0KL2_*gL|F-IP@#LJ%N`XNt zVQ!MEb{BMu`>3ZEfI;^)He#Ux+Vc(Ei|~slsAG58Ma@O@D&3DvjT<%{9U1Z$|$|pixDK6f{(}a%_G@!G9kqW@l(MeBiWgk7ELOCP#-cg?gXJ4;|&oR zD%my;Dn4f{=PRqoO&mFNfjWA&A}giaaU^nqEFx4Y`M3S#M#`$%1%MCRP9bL~VtO6H zP|Uq#nr#V+TW8Dm-8GCu3-)U3~ko8um+nhYz1ZoN z$d9HMo0-QuupR%!p{GYi*Rx=H-rtwQ$ibMQ7ja!~D66_$JB*C@WM&^dY%5c)*0)cm zy^5L}Uwo?yENEG6vPjc~`fckev}pZ!`^l8cenA@H`!uUPvP zeb&0?Y2M>>4^@6(ao6*{21XfVkj4)y6_l0!8y11x1f;PrE)NHL*rj*P*}M=K0Vyc* zv{#{M>w)*ue)ulIPAVO^eS2*E>mze*+j)QAO~;v#)pfjw^^iTtY%b-Qv~%-yt#cZm zbXVpga<^(Xd$$_&8jbWOiI(`Okc2-3j(`w<47=qkDlMTdHEZM-a*c!=uGw+fbb|() zl&vsj{pGD+UKFC!_^J>GWdzrs>d97;7uZ+0ohfaoV1`>U$5HyMqeeU{_A9a4Jez_L zM`eG|(V=&rvYp8ICtE7Yo^tq02;2ke`lFZ)6_ zQ+ENPLS08bs`x1fiC2`V@K&cmEY*k32~{)<*Qeh+EjiL@Fa~Gc6H?W8nVO1}$Phc1 zsE4K-4dH{z2J4Wf5>#LvfDEa{v%P&1ClYKDkw(!;qw|C{z6+lUJ}#@^0L~&>#v|jN zd_{d}l<+GA^$fGK2Cfs0AA?@zCZD4Himt;E3DFG6|MtnFvKLRaI4*bArcW5=9gWHo zl_x~wZCw9VD->dsSv7L)2k?Om-%K(~A5${{xxguaZ`TzO^a&Pi zHmE&bFj&lgqyMWxBN_JKy<=9TT~<^+eNl3F0?k-_3Hd#6S!bmOMQXlB5a_6W_xW+1>=P<#m*-Ilb)KFaqD&xt}bV{Er>{ zGTeNG2Z|KlHw)}}{WTaQvY<@8$kPnhXlf36+BEV+x z`c5C=j6CE|ERAvM}QBn zg+E*5ElF4wCN_w%p(C80ES{Jb3?uxc&n}uV<+DE;zX{tp9Ze_TwQz2f#WO?lsEYnN zi0mj?V2Q5s+rsv=A`*ddUzUPR$@sR0j!yhU3gG(U*zRl6#6`aBe_4uWHImu^bsD#W zB4o*|@Tn5v*T6QVUw#b%naA=XV=SW0eeDK}i}_EZiQ>lN}r1;s@3LG;X2Xy{I@aw?{x#&vUso5;=%;Yea)b61RU46)<+qz-d z8rt&fJ}m<{SN9f@mOWaMD1k$&h`s1Iuw+N&L0ixSRN zJZ%5rz23_HB$+eEe9S!a#cXC`=3#dR5gEZaFNZ~Gol4QNS0oux|2DKciFS=)uLuN* zM7Tvb5Pr7XAC_2{Cn&q;S->FM16j+8Bi?!!S;ef3>b+`%3DDx^^!%aec%<@CZA23S zc9}7`DZ(h2o2X+$!Bm?gpF+Pj$+ys8?4XFdtptIa1{tlIn3z-rVQFN@47=f3;}gFr z?Yd1BH&fZ!$*zO{pm?UrywsyRlkNL0vR#f13WhOuhdQtk^-ucj%LTZH{q&LGV?uY77IBHf)A$!CRQY|Lk?>vtJ?w>R z{pnWo3D2An4WKh#(GDMPpNsfR*q_{|`CK0uaKG=|YvKOLGOn6s&-248?!aeCNVE2D zO}+mkwf-+{3tNQj8kvszZz-LeBAH}pdZ&NF%>+PshX-3P_dbH$QT_@G7*7|Ah^Iw> z*c4H|mY6(Cb5yyAq+KT=_rF^4(iZsojGKs8r~7V*P_ZsXO+&5 z%^ClEv(zl2!{;}z6kz;As;p!$U!M2Nq1_~)6O-&i>r^E1F70})v|#nY0E}Zv(!p#~ z*ITkYo$AxvnDU~Bq!h1ArTWhGUWPFOE(7kT7zcVM;8jjq)?aRoYA?P>@f;nxBn=hn zDvSdt)f?q7`&@hOZ=chS`QH_RMn#bPX!(i?#rEnVrft%3p39emzvA?7PLD=7GJL&J z?(se^3x$~`g=E}6X1RVaXt7a12!6Md!MNs0x-;k(-It6=kK;_98GlMa3b>f6QqeU^ z8bPw*_7$cXG}6yIRt=Qho!+A*N|xpGxfLX{i9vLzJip$2k}zS}ZXlN!S?X;Nf62sc z{(X!yueH_MV7yK(*BPcu4c6hpSmz$}jWn1B&uH+<=fLvh-BXxD4uTO;;m#s8OvFk{ zTCYjIcIgz;{8Nxmq(;+%0aK^3sg~ytEF_RZY$>ncus_(?JT)>^l9*>y(>zI0ARu;G z6h)dSuKwMy9{3+48ImGG3Js}mc~O*xlTH%?l_~?=vCoL{b!~%tELqskBU6u#jnJGi zMdLgF_n5ZV9pAkwnk|01d0G@~8 z0QY(`UYWn@*@GD}8;tA3{bOwcULxiLFid1RTaGB5VU!A`7~x1NvZS#{fEw%vsOKJj zKp4e2)O{&w58Tndu{ptUPY1Bn{q`!doRg5vLUZK%1u@%a85?(rP50#NZnU82%OuUs z?BNG>88a458s}CLl66IOR~p@1(y=1AH%*!}oX0KAGS@#X;>;<6PK7t|Xv78Kj_3Bq z7NpWpt`H>(=kU?1VB@mX1k@HNrpOm~pg0+U3n~=!*WJ|T9Ssu3=sggETFmt8E`7$| zIKq9(-r#evK$;~sc<9w*H%7QDl5s?^W!)Enx&eeig!%D9=HV!==5Sk26o^BjSsXh!0E6tK%#6(SmlsP(tTaFrM%sFkv|`x2MN33@Jj-0%Vl0#bsiY# z;LNeA;MLt6>p?6tnUPc7B*<&J>6t!HAYqIBLl`1*B6+%qp;W61dbY&-Z<}1&GEW zR!_R^46tK3!wGH!aBf6+FG!1!`>g=W0O&FK=RIWx|G|*868{tMgR-K~gN?t<5kyOp zlsRKzAi6mvb2axHs?gFWfi&wXK&}w?poTZGhd{dyvZ3WwjPHByJyy+JJJLS@xZ?X2 z4XwnRy6qvUv+Wdw9QX`ThqF=uo(9Sn%0Ia&*ubYeuY|YcBXKM*tqF#?Df#K}wca%0 zDg0bS1KqHrM3y8Ftl)|7s$Dk5%rOtMXEu}EZb!vP)e%pg# z1s?cLJVEWH2NrEbJ%VbQ4q_^}guO6G@N}6lp)!76fwL-Rmf|7w%9ZbKMu8R+WRJl_ zYBkE7O#>iEwhc`h=>%RSnmGCYxEbJ>Y6oAS8n@=yC%z-G(Ez5K8l)NW8R~0xbTJFR zjc9iOft5=_aUh7YitLr#F%-UrV%p4fy~Yr|hQl+x@>21er&9gD8GqS( z-)JcCgNorG_y=Ixp&DnHxPT`n{wx|ddHF9?E8J6@uZ1WY-bzpJsZdm(Jmh?;0=9#H zAY{cHaJMk3Pz3=TN#&XZ1m{}B!r6YZHG?(;rbP!x@oZ*ke;eDJ%55l`ynK2M5nL!5 zp$+8H$QPZ(5KX`=>7WGw(WKYS6Fe=bfsLMWt>8H_3qNZIfY)HyCQq@A|1zVw;KHEt zZ>i`6)&=LFF&IoaY5fH#8gbUG1jx=yrP{5G*m5O@_6pUZQwBX)B#29C!rQ-oe>nnR z`6ez+XLG|HLg$4F8vd4m1#bt-^q?u*2ryD0@r*&OrZGYKx5z7vz_sYpt7!q(shUEX zRk`}}?lao+IvT(tw*h$T`LB0?gi$?G)CIu>^Q7(DYSuNcTSTrdsQ(|^I2OE-LaD&q ziOb`VYYE10qi}xmJA5QPqgCWh1_?z!zQpE7}BVvN?iVyYw@G{nJ?=M|aSKChIE@mvjdbhcR&f zB47iX`OU;H0wSDN>tx%XoErQ<=rj+kdlzgPJ|lkDaJBD*@d@7IP>j-p4Q^!KSvOGDByKQDFc9O+ZN zeD{Jv>!11p1l=KQcrxN@dYvQ!HRn2Ks2L4#0C|<(UF_MyQm$jaSNOY`M2piJhhaC6 z{N_3@v?lreFlexZKHT+-z7sZc7j2(_-+$W0*MWwZa(t)tiAzg46s2j${92Y)GRqjw z1wV1uhG9wbzaYtzY=oraXF8EmZvCn{E}1#)lYr5?aV=gjj!vy3JYW^_EuuB#ja+-l z%(?Na1u+8$`#ZDRu4 z-^BvI8&2Ccxy#hwmnwSVR}|c>3=j?l4Ox5%NPW2!<|H;Q zgC!m>6Ge;kG$QjjYo?ycNvpH;E6wM2uZpY-i7M+$dx*d&LUJpem-$Veq^?nlJa!fN7m( z$2=5ZGbM5`Gb7NGgdxhtSufLayICLce{Ko8Mz4rw)70$ar3kSw|sT z5T}43am%@>(C!gj^>ljuHUQKy()R}3!PQXs9))3%0B&fM1sZ2DkX`G493H& zt{w?w7wx*~1M6*4RS8)$^tdLj*Ft+prc1@xrr6@x>OY|on-J?`cvgm)iW%|}2aT)9 z6$0EhKY)2W=SmZqmh73lx$jz-GhUr?{gac-i9!*vF31Ww1!)O&rQoo9fb}M^Ji(~o zKx?%&UBzHd&-G=vD30<;{&zIecVp>Y_&2aGKAgOq-dhnCRyhiYVlNV}zisE$}_22d7Kl%e`272CL62b;uD+iQD``RduhpQZ>B z#vmmf-CTMGdU}X~EU|N#!$oKhZ+G5=sDQ-8^q=WTX?vNL>s2r9U{{G5|B3Lyz+${u z2^f{B6Ki`&p(NCBNnxF45C!2#kvY$o;AN>M^6bb0Md?iNbU8s3`8hGu3QGBo!_USF zd{_)yndTPWg5S=%W4_?vH{o#`T-RHbFBgbsDFvddJ*n=I+!jN9b9c_~fP#!sFBET? zog38W=jW6_l&7mZ=ygyD!+Khl-NRN{+&3^dI7Bq=zj!~+0je$Vu_+IFTQE7#j>5_ z$-hXX6rQkUMmq!ItMC0h!`_sUChxcR=sR%w*WeRs_M{Rj#H$|o=?E_gUPPXQyqx<# z9fJo?rPDM0SeSb;Y^M1hkW)$>^gu#>w>X6t6qlZ>M$&O|6eJ{@#$WOO#n!Rm02{N{ zuJ}H3o&s4JVP3y%N*`}+e6_s5i3SdiRVtc*u`(qN=0Gwb(s0-p!SDd6C?DHpFG6k~ zXVhREF92pownE#dW<<65n#bX;Ezrm;b+uq&SbJf>PCJ=OS~`dA_!j5cx=LwGOGR2^ zd=Kya5{x0_^o^_99pSl`8$*raI98vnxeW$!6c67~<-8HCKYgkPgPMfy_qS}#GXm2- z*I|N`o|8V?lEDfAH2AAV3z=8J(+X_5RlikE0vQX3wFVzgrLFzz{K6U)6i;tw9&*S> zkqMH~pML}Iopz2=&CJ~4es&Bw_3mPY3I@W<(VDJ3Q_e3OeytZs(%w^hC=sNx3~q6i zMm*g}5VDKS2t4BYg?c9GMUT6B)xKf3fU`yEQG}IcnF4Gjy_rxrXb{m%AX+S1`3}?3 zX0=gAbjU`r+Z+^vni#ix+7)2Njf*uf}fM5 z-N;<2E;(J^tud$L+UcDaz|-_)J9tvUAMm2Gl-Q>cT?Q8&qS&(F|0f3~PyYn41g3kO zDoM#ik*fI_{QGb4+LL~gcYa}*V|z|);zX*-M<{xTmO2V_bB)E4DeP=I7{nihXsQqSA0_g)Lew<^hcA~nZ z);kfC&a>o%tmC^bvf#DlT-6@_v4KJI<0$|`x18C$^^im(nhRVS70>-4Vn6L{`-gl2 zLiz+Z$h%t7wbjQnguP~^4f5cL`o;A6o9nlcUR+Fm7?O|M75LXrB8DMlsXRJ6>PraP z5y+Ei5$Z7~Uylhn254_yMszGv92*%il(p(AHDr!LFjS;uea7-CKWuH`?7Gq{o-J2a z{ckg(Uh?uMzMh%xugetXh8hflLd&8|#35WVr=kWzX@XWRp2C}tJ_ZVAXDMEde_-BUpk>3y#NhY^^@_zP&*4vv z8b-e?@3TnV_85&B??5k~`Dw$LQ`)Vu5OUSERH+;m2SWRa(@qhaMchG`J-JRRi4?~B*~t%mU1cox~^nZ8oLuU2ENUO$ahJ$KQdZM7TU_!p68TnY7p@{DeOIQ zu6@g_)Z(2vzxA+L97~o;`LW};xoo%1TzGxIe)#fMn9s!~$T4}#am#1u0J6fWUFo>x zFT!josjcc0@M0fU7!4uvdwiz89`g$IH?^dtbgElV#&*w$4qSkXzbr2M4g7C)BauLx5epC zN3BN|KC1gM6_#HSPCq+HBw0ikNHN%gCkD$wyn}Q9eu(jzOG@44k7ytuC8=F9XPMbV zeLpz8h}(8wHE3x1!s;eoy1p$Z?7(;poFYFsW3pgGeT;zRpjaH|s6~Q)SZKPucHdsmb8&v8bzUzvu4P zl2^1_FP;8vtNrJ#h-XgswEkNseV!N$5O2^z?ejg}awj2|82%JQf&PRe{ov}1OnPM{ z2SE+z3lyAjptaL#2^Hi7;fNCdez`8Sl}-ukMqtMaQFdus9-$3#zCJiFyp%d=H6@^8wPA{+S{GNTQ5YnwtuxBexSWV zTp&L~4G<8SFrFdU(PwkO&JjcnipCjfns^@^bGf9R($)JH!F-KTp_eDTp#E9Le zXO|X)^e#?zf7b0~yP6N2T&jbSkx5PZd`I9=qjM})BffW!ni4Ssxx}u~f&>j#-B8ui zGL0euoWQrk5oYM5xuN1MmL!EEXT7>F0Wb+YiYL6&n7WhWw)gkfAszkZh_F#FL#yX- zkroDk)o1(zHs+myzZWHoiQ#P6?_U5Q$^Ypx1ODj$k;ds!LI5z+i;w?qZT}1b|MPM< z4iL1R2C*^!M-+npumAHJ@B!h6!2iF0FiQ3<@GG~+_?H~@zdz%jPmh}}36w2N^xCzl zX8&Jb(Wo_wpzGvDLRlq=B+`q`+I8M0aW<4%9wguZsbKukwcta+dTiZG#fIru~YoTQ%(6kjuQ2)Pgr8)?h7CE0Ni9gayLwJ+tlR<0#km zAwd3o16~OQudRfWol^DJqt?3t71J7^P4Y850F70s7~CknaHI2cuEP7?koXN-iFh_2s{9Ml@tIy{q-IY%lq62 zxWQgf#tI)r)B(^4micPkfmlKR!jRj&uie3coITC%Ez|$4&w~jf-73Q_wsp6#sDY({ z)YH3P2pUC2;c$w;*gFBlKFXywCo)PP_pedf1E3>LfOOwmz?{i94;V<{;4W(bjw8Zw z2N2~@AZKDb`9!b3*S>`L0d(&YplP-QC>}#&owGS6O8X7hdT{8ZS0Fb)tPF7AoX^O7 z?3B5IrGEv4$=*|VjV-)A?DqQDZ7>k%OWH8%xqa7$Pl(?X3QGml{A8Mhif^CXALh~i z_mT>K0@l=n3>ScHtuTAH3K9Yod<-N=knYwa&`XA7NA}%yzN!b1NW!p0=B%H;PZd{q z1)c|B$|~8S9yX#tj$dIaSBP6%S65ebD^Z{y*xgPA?BIETliP!a7+_6A1I1xE^Gg*! zmz`TQcUQjziUQW}fp`+m{z!aj2S*@MrJX=06Vjzx&YmTVOCvr%D#>K=U$OX~Hx0cA z0o1l>rHkkFJ0L!7A{!RR{=5}j4ce_L4B!X?^zK(ZiE40Aa~?KK>fv?zzbkSItgv=1z(XkZ1I;&G-OS$wm*mL|G|M5Cy_p`wLQHBK{tT z1S^l5&?fBo0cG6E@!i462yFd%7FxGSUH$h{+*i{$tN5Y#?s%nhDz zX2hRmahVXhvqUpx$kHBq9{gxT{WJR373?txcy!y9`J*yYWhD)(-YGdurUpZAzedaIhX;)JpYuUi4%vHQ#qxp0aAs)DK-{*RM1V zSZb#CWP1a@7ZK+eewEu+zB8F)Ytf|*$9Z;Nga`Zq?mh+xf{bagN!+OOT;PN*z_6#> z^(Ay({lP=!6@{-By!qmvYeg6Z63`c}nN1yR>+5Dl{3dA_4{&zS!5@et?<RtidT!KbM=ATjg`%4ZDmwu<+*qh~`q615QTrVnAJl1H3eB zFy$hxpM>F6crW_J zM$?wncuX8?uLpQ4IEyhuq#PGZ<5vKhbt7;O#TfK+8z5LMfp!5*SKc{iGPs*-8-?Nt zs0btn`ox_KN-~20_&-GnEARevE4s=HzpCL+qQA`{-KJN~VHaj97`!7qsmis7 z!2>sBJMgNv&#R}Zs7u-i*b6aerYw3L-L6JQd#Wl@z%E%!;Dpik-*F>cUfF7DNoZCt z?KsM%lrIP34Yu6t|C0yt#xu9X$dd=KTo?2=(J(m+cv2?)O0GQhR^FK&0g3eG7mAPy4=*ww-NX{+JkNq$vZIC{!mkIW8(#0DbygX9c#ahNCT zmcD_v>m(x8EK@3CG8J#+xz@ZMINXIBB`3scOZ~HQBDu9);$^HkA+DGN@L>EfbMbvy zNeV!g?kG`e-kE-Mb#Zy+iNG#AT~D8d&476b1ZS-6;B|y7pWcxC2I@d^TQl2|!G-gw zwMVCZOZYd+*a&TohbeuuV?5=8=3+I^RLN2d`@k{OHo>y{I13tSUscgjsS;fckcSSMgZ&uj} zah$&r+YyF5s$iFT%!ntr{cMyQ_ZZzNYG|(Q{(F`HIXFuA+ELAxyHfACN1!!XAi*2- zWJw*Od@3VGI#uqXrtbsq?dbf`y&gC{f=UR8NparfdWw<&0iK{e%S(|O{0=l!x;vyM zj>NQdWO@@O8Ej)Z+>i+OLZra0`!iH?%`*;xxf z!(W7?H4>w6eHiqGC)4)l>uuBfQ>3K_yN@;2Lx~A~J&6CIN~Q?1`zuMQpIG#aohxCO ztbC1|gVo&lC^j5!T2^KEzwx&iKfNCdP<*izrod4S16eZl{1o=$Nk?E;YEB}ilm~JmxoT7x zK_^gQujCZsBlz`e>htocL50<(m=M5v!Ntv#BfHFw?#TI5t`&g$xn7O0qg%%)>dO#% zlwU)3`WQ`$KR^m}XGU1$~rE9roKLcxbA=V7-DIp zyiI3%Z!md@gAuw= z3_9KiCPJQ8%$TcV`?bpV^-nU4v$zZ{J&|{pm@_zZ1O#htYRDN&T%&Nw@^op#Y7H`_+S-C`Zuyx-{Wwn&Vk+YT0#w@NUr4VZEs zADGFOMUQ7kV&2Z$THv$NhH5n15VNAh6F{W*xurkQfT2AYC;UTiNZ1d`Qlu$eKW&rd z4M_q?K8@VOPA^qD`uTd@b2*!&4}36B;HXdK|AvQKem*Vu5q3Z#5pLxgjpZ~i-0l$x+fh?|vpWVEGz>4?1)+k{ibmiIJsu05h zXhz1;OJR4R%}eyY@sWiBujen{;jh;X4l9kpKb_B~O8MrokmKD#jvz?^2N0`N)odEa zMWNX8en`&N>jj4?xw@x-1DXUj%=% zpTr6Lxblr@4?`$d#EEbW+_ip-INHuDCQLA<$L5}_$;gDp1Hw+N@$;sI`vX8>Ts>mpk5 zCPQC~kL1w%r9yV&$itLdi0%IORv~HR1Q-R$75pxJyi`kCG>E?O`z!T8s2U@pN~gvX zA@ZfHD|m~?@okX4$m`W=-z>Wk&Ck0B0GXK}glH-Z=k`Tj=foyyLJs;_C8@y3g^QFDrSn%CGe%~l zq)O?q(4H+r$_bMiOzaWiB9Wue(gE$uzI3u<}&=$!&j%GS1!p{%rF3&4-iOi0I61 zve_FFUDI#xQfr-ujHG})=KZQrgQwJQC2WFWb^a^CYEnkPa7W&s$|0-aA^H|2_hr1_ zqP(Q8oN^LHjD8Ig9R`Nv;5QjUNTR>T)@5$5bnOBJ58g@5*gCKD<niWLQqGL>jihdsIm|y8{8a#0*Fd7fqNM&WP@X`;O8Tbz!*x%pb}k)*^;?fqx`Ar|o>Wuv z1WKj}dcGTARn({;C_R{ao8;jZ^Z8GQP@%z{JUzU5Pu0369}@c(n6YI0F~t;v$!qwS z$eZ6{T>xkL^t0$AZdokcoakM!OSB}TR4{PIJIU!IPMEYLsLrnYwqYjmhz~}@Kklgu zdI6C-8eAkDq_#OOC*I?oumdwc4$wVX>8*8K5L8cks&sxMDpBX#l4*@ z%S<}w8-D;8#;!+^Tnh8x`D%wP4=P8AiGU_1GD6$=e(kvOt&0IT>3{|dY6L0u}D@J>Pmihn>TCcchnd)cR))3z%T{n-4?B71J^+PZa~+aqsC zYJk7Wu%_?o)sNVfZW2tn%$TbqVXm>bMZJO8pAGScZKi+P>|r>EhdRhY=fox79%(*s z3o)c@V2JZ{t()mfG#I*keipK_dvYN#6MfXaD4g#<%&r@BL|no40^=SZ5NMvPOTHi} zAh2_!*>$Nh1}jHku@Bp}@%nC?5C109Q=!C%Sz~f#x_O?diDNwDn6CCaCsgqvMK_0p z6g+3Rd6ndl@7Of7KxS`aWabgQNXCc>Z$D1jmCprtR4dZ~{+l6-X7G^)g6!+2i8q^S zcTl7j6q4{x;}QQ+D44~1IEQk(Ns#P-mk0`J2_g>e$IHb;xII9W@W9|=%9oaFPSx2e zxr6g&+Wpr?2UL`m$e#5OXwt~;LDgUC#IZo&W{Lj&w{tly&n)qruip+wV zg23TdxcK!IPJ@vf7RwVw8We#TA>Zi(Ny=o=B!}?xjkV3T+n>|*g|ET2E z^G9Ar?X4Ta>_l0eeq&-UF(eNR9denWHv01l?VF#|mWozxAogwB1MIs0kF2*2h_YG# zhba{#q&t=tkdW?g8>FO>mIf((XL%ma_nhDRr;5wo zbI)9J#V3ZaDe2-K$PO0(!3RJ5(p$n8d@N-`%_B8P8jSWyz{2F=xYnP*^I(rV#!jktHc^$x?B|Py)yqZ8Ao6^Cpu?-g*juth_lD%v9h-=FFU$EnqJxT2KikH^`!gmi5 zTfUSL*Fe&G z^N9Q(XCi`?4J_If+~v^j&}0M8;^?OyemS;%zoMpeM;lESieNq{x!1EAq4eRyqV>#A z<*@xTB#(a;pbMj8FL(M&^F0+$qs}=(=vz;I0^@xuvh7~|iB3RAbhQXQeXUd6`_(*T z*wNK`uRuN6)SW|hIpH4t$4DpYYsdEN61J{8--5ei9vp~ZQpj6=QEymc@Kjk~rExGR zjAmbSe}0Z#(<8MTbT;vS18c@!ZV!V(DdM-XbOV)H039~{ppFcV>?KQHp*!zqspNKw zHqk#UFB8|h{NzwlGpA~B79pKXRFke!p@7LpU0Q=0kRiMLLH=`wT^=cr2L{+d8x(G= zqCFNE)!8-QRKw-i>yMmds5!G?m83y@{Dt((ftx@|jCWsR@=gKyBw*sB^|xmP?#qD> zSoMPVQ2j>jp3q6M;$}t*>6-m-j~!kv^y46Ed@=-@bsP@MZLh>cQ<#rwA>rmu_f~aN z)hy>~T(-yWV6{mbFM%z9DepoG-w(-aOB0>9P#2xi0VjjWSOTCoPXpP0Ms1*ZCJ#m$ zHnfnwSM@logU6>ztb@C{YL!(1vJayxvw>>)%@YB&Ezo(K8$_)RS3aFMsl_0`4p=Ae z`FO*@(;2{udkmTqB=5Uzo*LRV$mFst-&Z5}ul6`-k3jTL#g$($<9*2I$J2c5jpD$3 z0YJKQPR9Hx8=Hu+ThOA*vxC;Jkyii_a_drHkaA;smA^K`3UFBhpaI3jF#1cbTefg<3i4lFLgYO#&Tu4_D?D3c7W1h z?GpG|TN2GAW3x}wjR`NGXP4^5ZN2=$`TAc7-`|%5eT$$VRjtUNpuhT}Wb`e1(la&v z5$^AWs|i+@^aUp;i!t$68}K>29F@;xQYGAIC^DI&>-sDR4X+)@;+W=>5d4J8ylE7~ zK|kn~2iJVjFR+bmV3|sCI-(H;Wlz35|Hx~O@?6(WfZJ4WmIUoJ0a7N=nU5aPdxeVW^Fl-eq)Biku6_HTb@7DjWq?PiKfZ%RfZ9C{25QFK%+SnZ7VZ6VKwT(b((wRWc=&$4JFFYw2-0|D^NwrX!N8h<_`Rt-sdhD87WV^k z2k~lcqx)xi_Fq1BfrdQ`+`&|~EiPWN1wd?VO46S6aDg&h?`H_2C<>8<2Jbt1zoiJ-ZaZ8S-exe=YD9t*z7R_)Dl@fGnKR=VZUhc{`9>T zbj4?ic(dr~KHWI69+sU59|7S!aIaotThuYSx2`*bCg5i2hx-4EOp*|ERLFLFExReP zoY)fyWC^KP=+(g}Yt5(!P1rBtW)70zNuwV-?=1#WuK{zFIu~d+%tlDS;t&Hd-v$*y zY#eeX>l7@qi6cjjL&|Go>6r1H2yz2E%!Q_bSN>6J&h>h~A>C&KOa?mqK>Ks_^P7Xw zB2`-2u|;+$7an3|>+hyGkEBHj(l4MHn9wOz<18SqhF@|YsjSxfeAeParb<5#ojYGu z-4qy6?q#;G699a%CpAjkmSkhZPT+qMTL1#WLF^^AZn_(Yw}Sm$hv^dDql!aE?1UB` z^Fa83W;Pgw)~a2Uh{wSfrq#rDB-UZ?Nr#*FL>j?F>&MN_^fc zxH)leE+`+pnAhX+H82<9_EOBiS7qB6%>q(Ux?d^^V@RLmlTB%plT|NZZCm+O;BC32 zY`t8eZmUhSM{FN-?h-=43y){$JTCX!UQ)cAP8YUkXMXfhG6x&yaUGEbwE^v2&?|{X z7}xOyxNx2xX>6ljrg%#zeZZL&T&#NBqPM)~B*p?ZD4xxlPf*N3CqUUNm3q_L@pOZ> zng(*q5Yt>hjR|wFyZ~&#x~pQ4dOpSR-{G~olxW#z*@P@(duxjo_*V5!iKl~LTS43A z)U@!6rKsR?eZav3m2b(rRz4{X+oS_(luPw_h0Lz;YyKo` zDV572Y3ue|X=rB*xd7*2DpwB_K1H}A1gI_7#7k<7g#ycA-k}+B_;_NP3R_jTz-67`Ricu za8!;k)qfc?3o-~!Km&366PSmsMDs18!hOvV}A z#D|puxw4)vtG=1FG%O@GOB=pUwDJV_dr6Qdf(bE|dg6asA_p`}BGjPHc3wWJ{|f9} zQ30V<&mE-ugUWOA+$aQR)|1?Ezs*S@WA21Mzg1@AXo0d;qmX)CzsUs+E?*5l6hqa- zS^j8sWZ|65^ZRojYx(DpJY>zt_3Ulxt3QN<)poOu?@8xI;BmgGHxJ&*276wUG+?O2 z<-ob@7~L29AL|LyJG)i0EA_VroYG?B%0WC40i zkD1s9suWUVU?8}lwldHiNKrSzs`|AtY?91iTZMUs&PxZdL| zniOZaRC}Zgk)}m2L#w-krsZCyPU$5G=N$5E1IpEP8o)WJU7j)1G~W6+Xyp#Zeg0dVO2%jjYS&&Fg0X7_jrE=AV2x~SRIS}FSf?=QcNW+`yySEsdl?o z%Z0{F8j`yRU3V4OK6Um-fONDcgpBE_>)0dIKX7+5+(vBLFmN(yds4qKxd@~$#Sn##+c#r68GHAY8GK@ zfqQP=aBPdNCmC8v0&WCytGiKIPpk^Q0GHImOy->XF}HQ|DvSz5?Ci51U2uBb8TMoM zbjz?4xL4%>Zw7FGD=d5$J#Ygi=b-?L9t>j6!k#6a%<%mZW~u-B9{+4c*gPsecld9R zVj{Uc0fQKw6yQ9<>a)BfZ1&~JKoTq0R1VWe(vhsN97Hml>UF1hJO!! zzf2Bf>Qmf9shl`*6QV%OM+1bW6ER%_uRa9=`a#N;}7sVBIghXrlq%HXmSQOQD_&>S%z{`gGyknj~JDfXh6p$cKKz!3#~I*W*#WUW_Il{T|MwGq^4iBoCB;MF zsWrHfNFQi$uU_hBx0GM{+)iHI$mW115PSSosdoD7GyON;&2}-eK-RMYJP=qJM#@Bf z0UlOLXX6ug$}mn>oL|d4fNb{CWlW}!_ctgRUieIY2hfHxcchU6J;n0>TiRm+#BPNn z*OaltwN1yUaANeh;h}N&xKFMAp0-|rtoM$ z%GeqXU5O4%VmG8b2dZa!l-3|rCJlcx%o1=e6mW^Y2;|8CR5yFFP^Bzk0iZ?AST$T5 zTd-=y=bC-A+~~N5G?4C@Lz%Qx0+@$6nJ1eg4OCXIzg5`YT7hY53YSGp`RZHjpbdp@ zm4;M{0GYGPXKikge&}`0>sso#R!H-~TQX-46(1$sjleEhT*@F;aHmM6!A@T)EZO(s z=l6Z7HqWtH_ic{ASx`ld=F8)i20lWtmdz7Yz63a%v>_MCA6)d~vzFSk_GoaYt$!!B z8m=ht^cB+d%R3+ibPwEkTV{dFrIG`O%a)4Jn=S(FAlg`APPjq5@p^BZEKL3cFcC?q zyQnZt@_{KBiLtqo1q#_C(O)?@JGs42*wX1dk5;?xyo;J3`%&dZWUH|UY2kQ`x9&5Nn9Up4m)TADRP_~W`6(h%ZgSEEg86~kO~^4Avc!-MTV zXBR{fEtD7i&Y*cyKZiy~@*Z*AQoF<8latvm5K?WKRD&KCL2Ef5qL8%f0x8rgP&6I_ zo9c8rq7y+>?$QE|#rNJZwG=QmlcvzTZ-9BKtBi-;uZ;X- z*U`>2?oa+^3&C!run>N9VC$P7n|*Q7GXFfD;Wq_@RczHVa$etaHvghYL|Km-W{v8w z1eXn#l?C$7>%^YJ0TR>y=fLYf_p7oVPRb0vmTaHpuVM5X&Xs^%J%2R5LJ)QRgnTI5B@@fb9 z@4KlZ6OVI!GdODRDe5|FI7n~MTwVsJr;eMV%)O6#P+%l zM7|IVu)W#b9Pm=egl2f$M-w_|t`#|fd1d)%jKR%IXn&_%Q{!BSezf~82wcz~@VZG& zk+F7fPkflaJhp4-2VoH6huvGD(D`tSk6qSWL@tW>hzpHd8RBF%`cwJ}h)-7~bK`CU zglCVzAV$$&1ilxlxIwnZ4-(oh&GsTy@$CSFW_+H^kN;NOWOXf{L@jEabTzV^ZXGe4 zZ2WZ?8{ONJ47k@;7wuOkc?x9LFo6JmC|7+>(MQRaxX~m1TykGK?VbGzE!1@yBY!fdK6E?{MV+>altBrxoq{ znf-~ZwYAW!>Tq+8ZllOYc*8volHE3T!oSex8jhUjh>$MB{C{qEH^098W*)}AbZ?IX zZna1|4ib+P%&ns*7}Xn@*8_&X$AyoMDa~{7BnQ^50UsF2EpA4&rUZGM2bFytk!PCM zc5X(T+-e%0-o=FdB8($C9zw{hslw5(GLo3FZTKFsFB<2T&@=TyCimpvcS$edX1~w` zBkZsxI!Ug^?Gx|4c)hGj0Bj;y+AR3`L}#|3FFPganAi|bi9EMCX_h+oD74}lv0ZBM ziGF-?^Qt!5YWaOA6s1A*~q0R*MwAyRQZv1Y-a9g9nvwhII~arX%k zAa81uok$FmH692n=SDW|eY%8>%BrvkF_Y3yFrm}HsB;wUgpgTrU$7o!Y?9+z9&-`D z;d!3#TI6|kfu_Vn&Y>#$RkMvLmdf=the69l;4^k1Bt-}{%JS@2QDT~*lg_6JT1RcQ z{+)znj63Gjj$U3yN$H=S`&QxY^zHM6}ej}YpfcLxcU89f3| z5=nlL(wSpl5I@5{u!w`pd2gX4n<}LVC*0xMkr~B!lslpl>p*Pf(U(F|9b{K?>NfGWvx3}2^L`94LTeKib*(x;Xqr5@>IXWgQ{dSgYi&!^<%ode}d{NbxBmP!%gt{{Sg!^ zjvk1^AlF2tq1Xfi6Q+mlXih-%M|VcL`#WZD(N`5I@+C@fEKGza6iJt%Q5PW(VswnV zvW;WEX@MlRBwE}c&XSFQ-FTXI-OLMsq-L*1p)@L=`*>)gUt`zS_+8I0*+lA-^LjKd z`}h;I-f~w(oF2eVA-mB3Yop8p(?{j4Et48d+~W&SL;P`c0x^(T4rv@jq@ zl0)DDn)ywas0o)wla3xq{LY2I2vSTlt~|F@jKB|KbNnA!^GP!Qawezn6eKJ>iXi=iXYbRZs4i?ibhomjV6_mbVX>%2p?#3_ zulB0amL$!2CERZx6`?cFUO!#KT;&7;qY}KER zbfjg*?v>YUt_-?RXfaN}g~;Vg+~KE}rxeiO##LuD#GmR}1is;4(JFfw736#enQ)Ph zu=iX7EVwGPGTCfz$Z^ejooZkeio^8Qct^ivRqnE*PMlsL-2Ldyf+&3w_Enb3=JDwV z!O*<9%$<#Gj{z_APdzw2K&*laE@IED3@R17VeFgZpRMpK43rBfNc?ASADcva^wB5d zw_e8y=dDIFV5>1Mwl>F(p}fjX^qyhJ^X+e{hP`4CrT*+#OuP-BA-$l$HXC0p!np2( z%N#U?<)=&VZdOyvlusf55QY}Rw+(4^p$**>+>t>hmx9iA-@xd}KW2L%cm|3u##=(n zr)vTBJLDWV!dj@O|M35c`C9zQUzcjwka&^~{WoIdqnu~YLi-;DGSpKNhe_lBHxfZT z7JLFoQe8g*+NTEfyOii~?}`Y*odsl((YePzp*0|S8 z9kvJK=J#KKUiuqiZc7+JmmD@ybUCjYTJH^TZ`8Iy*G{^6$0vaF@&S3=GQqbm*?G$0 zrVlHd-S^E9$Q~^a!3&`{?w|K6NUZuc&v)GSGWZ==M~P%CfZ6D|gmL zVL6s+S7O0SDwl->jJeA$+w#@@h=U3Cq^*_E1e&W3@g?LSywA^t3u#31zPqECqw!xQ z@IyLknO=V=%FX?~GpzSG_Umup31f3hPZj7}8EG=zi}Hxh2A#&@Ng3HrpzPHwFid^* zr|f4F!{dc#*US^YPq56Rb$3=$mp218 zVQm7w!g4o_2kw;Nkz$N8amMc z_>=Iq<%gm8{9Q&yAwiL|2IM*rG$_XJBO2S9cF6a1c*9Q_MqVv#WgUz*D%?g5RI(r7qKymI*>S`#0ZR zlRbnAzC%~GxDxIgwckE#eKC-5Qinn_^YO!7 z;m}u$zZ{#%&-;2V-MxiDXAc^|d_XA&x;bzD~3_Fz% z&XWFt55a9;Yf5Fq{vn^0ss(UYhM?S5#SgYA$L;cyi->aD^>vZ-lXw#+e@F_)=4F92 zG_{lHvkZe=SVs)bHZ!Hf5n(^d!(A20@lmWcN7VKB-LQ4Ma5m`_*8DV=B41IUgd=Q-|HS%0K0<$ zWJ=gNe6|->S7{PyN*3j?-g6>#`$2l3?)}^|L*idJR8%tzyF`~MTSoDSxT3%W_=Kpf zh6!di7mAW0k8mZ2U~zn;AbkEyvHr+u=J^{%j{>EH7X~$)!ov;W9=Ngcn^tRjOBbi% z^PS%0jIZRfIvd?T`3~dKU-s}Y`jklcmAJDrf4?*}W1QZwdd#k=Lz$iOZGKtr`KFm; z#?NH=CigVyA;v7cKXEa)E@xdM9-^@;+Vhv)Gc8IiHAndv25Em$Pyy7EFqC*1l83M{%eArg^mO|A=J^Ta_L2nrEfcWYYU6)Rp%jPY)nTJQq*)Gi8PCb^7hw3(BQ^|&C zvr#rH#1@=3xn@JWSQD~XlckZ%7JK!so{`#-k=^6twRy$!FN#auC+->c0-KxRk>4m{ zpC_{!Xw}@hlW$-*H~-?*@vdpqFzcM~G*l~4B08{*NG6JnOs0svxHX;EFf)r!YJO-Y z<^M+XKf?g{4sv`>_qtXqzOPP5vX?!LLqagsc9z)_DPz;hAS{#|3=mzb*pZ&Ns z--m1J=#x(*?No2Oj2ANBERu{$8{yuwDofaL*_V4A^-vVoW-qu$x@1K$4Dv;mQ!i~f zKU#Pp@b>DAtFp!NNlvOi3i0Z05Rd*Wv?Ff1rsBzlcu|}RT)2#GZowI#i)5Y#C~Ijk zTwq(RZl^@?14MiWU?teDhC5G2_Vew_-6O}1WkO!h+>GGmM2vfuIY02=c?G6)^JAJF zMSfMvaW|U*5^-MpMd3ZbL=TBea6S&SSe;%AyKtsVe!aVm+mI#tRCM;KBjv%RnypBt zJ>GN{eB2z)Ta2T}KAt=X)h+o!@ zSD1^VgCoSh##y$i08-cU#$T(ELneGXjnI3ww>1I$cZFzm$8S>2Bh&NgMF!j2NQ7^A z2YWM{SY*6UFi1E%^D}_?x6#&8tDkPXyn@P7_m2h(Q>kbZNH5>(VVFUT!b9kzmpr^# zNp7kP55`E<>`MA8RhY!s+$0|$_} z9$6B!bMT*Re2%WRxMI3q2%_djk_sy)TUso;EOn5hpt)u$IUXMdv(bgqu6g<{xRoHCBB_n6Qf>x%9^6;pe|7k+9 zsFd9REreB$=VrfQiMLN+A5rhoqzD|!l5Tkw?&&Un!RB)&-a0yM)Q-l)%e(th=qma- z;@!Y@r zCF`4O6Bp;r4hnl~-CufA7TaXT`r?H~ov&)cI$edwFLUs&N%>C}a#(GRE67tK*P=z5s0|6%p z`_()k(oLBmt-llIpOHKxw=lG!{=t85P53sDe}bXk80|6l6Raq@{mSO!2pp%3A7g%~ z!nRJ~)td3^RAyra86MTKc)ScqmRwX^lS6T`frz*k474!b9^~z|dB%9&Gg_#qO-)>5 zUOy%;|5M~p{_U7=<^M-v0}WQA8*azrcU4|%xhi$@Bie&Zwg-d4_S1Wo$F1^31ko(` zLEFz&g+R&0P17LPsWK#7ED9D*Xb z1!-V!y>S9ET$#n@UQ8K*mXZ;fht#)04z!wGcswZhPT_LabQ3T|#{Bh-%>XAzGE{NN z`$UO$58D#TK5lRSAc6Ot!Y#HNv;|KM%85dfZberEO`(p3IrXySlU z@tt7PXg?|$!qt_*v9frD%4ozE_aXC0)a&!?xN?3Av_2Io?i8zkvivo$JQf-bU`_ZT zSQM|N31h!w>m!-HrP_Bt?qe*^t|K|K?rk=)4)?oC-u{A7cpA7FE<6dkNq@Wk_>@Sy zx#54T4wPcMb*5@0b6bC{4sfhq5_QPWQ?cXfyEiBdpBF95*U`q-MH<#i0xS3q0D!2` z%;=v*L4gfRsvT`%j7g_OD6z(DRMDRMyCk~zmY1TMIHP+ouu4zL&*w-4+I)W{#vqc9 z8xOri8RoH_nigZ?#*RIMOl=3C({1s+22tHxbC)KI@8E~P@r|LVdY(- z;T)Lb!F{}kGc7}EOEs8|STen_ ztrDi{tM8ehbU%WI{2`0}>=uhcKsB6hzYe4)-EmQ z@840WD}xiy(WvC!2BiOS)7$bixebyw-+xj58BU8y1vzFs zM|MKzJvv=KYJv6m6{&jN<{K~Vm#!V1CiA+B)`wfGBpCkRSn@GB3T!&Y*Vbkoy~ty0 z{_#|G3WAIqCA@3?2@L*r1=NQCoG|5%ME-x-<@DN4a;Bn znCGY~C~*4g2u~@&?gJDu%1@dd?c@A%@+UpR_rlu;E(fGo`%CPc>DSY%>L0gnFDztz z3<(6^%(0XEzVN%RWPEka)!v{g8e9_WPd6su0& z>{D)*R6;VLN0pA!GmnJo{D8uv?pKp>A>%ece===S$d?r5+rvRqeVl!6TW#j~EU@zu zzy=~T#>MKI(&bDSxX)+^4(E8RQ>8PUAZylnwk1{GT@fm@xNh8*RSNm>!<_k;9VJtx zN>^FTv8(8Ki1NAUCru^V|C3!v<_x0dgx3SP!}}VkMC_ORik=qFes!6*a^RUl*Qp;| zVD?~8;wQ=R_Ro;}kqNw}ZxkRYnNT{P`O-*{e)}pgZ@jTy@2D>*(-uJ90iVj>VQ@@N zmaavH__#A6>D4vV`Y^$4Ai8-tO4yk_@_a(|SWwk7f9d+?1l#G)DXt8k>Fqf6+V6_- zd;4{7Y1^}v^D+Bm6Ui;ii(s_jfMpz-Pa1@#KV}?24fCBVY!gi1{a#!|()F@OVxNT^ zvJ3JxRSal8+7@ERkz$zI!FvH z@BwBN-NMKCx0l23isRmSmCdU8kqg+^{z>SVsL&S*RjrJ{0^C2J8BWg6rFs_E`IjQN znuqV7ixOn{x;4s=KefLex@@hVP|l5<`Z`f{**C!Zgew6Dq zM0wBN*b@*{s?WCrVXmgC%1Td06elD^CG3q(GZosuci=nHfE@tVmctZox5-lN$Rd}| z71IR_Btn6!ow`51VyrAzdBp;28Tb#3io7lkzA@Gq+u>eX&hqY&3%rQ#YSuxFVQ8Z-yK*vPX0pGbuz z)u;9gjlKEvls)m}B~M_XH~<`IK8j*|NtBycj*V1bt0e@*H^ zdeT-%jbI#PNu#vLs+)>Jqu?O9Q-JH&?$|T4LtiAEj9=AYu8Eh_eT*<>7L0SOUiocf z(}#?)TF<8XlK|`W@6XW#vv!|f$4z=m>B&=#tZj5QoIcn-j}^mbai8BNjq49Ov|30SO|6Do#npV?$hQ$_JIFqkc~zw3mMD-AtcvJ5v?l@j0gF z+SjU=S;79wb0ll2p@8XwZa(i~fivSs(^x(ChRr+G2lqmOGT2vrHCO0=e{jwyPLZOd zw%T|4%;(L#1xnc|OR>)7nU$C_H#%X{*$bLucl&-qT=(L zTi0o)H7?W!Uh6Vbf+)m7dGd~@A5Hz4rOW^5Nh~v>5V9MP|Nhk@76Rl{`1S}{g*Hrj zr30dR7?F~#nmWBxDZMVu%3JfDnnh-nH#Z9B%+;u@>Vf-t_H5J#X7!`XVDJD~`s{vV zzXDUGl+@LO+8C&FygU+&)EU5UzdJuxILp_99>?nr9hiJUKxuVZUoeY$;;EUY0#Q#< z^Mwx9UQu35M6=(4>Y`@x%`ff~xn3!nSqN~&%z9Ml|7&mk-Du(j5^&1oOG{Vv|K1`0{&Rnf_$L8EDIY{V z|NEGv_(H-!1Cpv8h{G6{R{*ZOa&H)*vn}&nPhWPubDmTpYq>qw8yNWnrr*4KKw3nM z#X0Yx%fGMof7V3vet;A8*plBLA0Vep)c)&etpgNIAF2Sdl`Lm>x*Q#jEs9$MQ`DN2 zmwuNU$t!THbI<~Gv7D>3at9sc4)_>nv`mL<0O$*O(thnBcUEjG@OuRyPs%C0wz^w$ zA9qIwo6+O{pL~spwg~-m7d+U$*m;I|i3!Ut%4-oe00K|vLfrtahN4Vj;e9PL=o>WCK z9@-lqL?Z=S5A6W-Ne)+gyawVmoSe~}o&Xtd{7wH24<{CN=nL8ct^cPF@z3HSAZ^fu z!$n}lo1#of!d(FTRB@k(S6qDZw{$6qaY(8;$)JC0G^4l&z zt}D8VzpfKtTWQxJsr`(&He*pc@#;zaZM zqjz}5cY)Xs|21movm1b@RLsRp-3S%o=KWr5Q*EO2@qYwc{@q8K2mxgYTYzm!7eyh& zYZMuE+5l(`D*!IUi;I~BO;j!D4oFV0L_Gnrn|x|V-F;x$zME~&$~}wnWGT2Qfi5A2*rWUq!wQX!`ia)!mhy$^qH|N!tvNcWf~wUT<=kw)-yXD`e2eaR0!Qt` zjX>$>PRzz*F62~Fc|`bR@D+g_lyiMvo(KB?pjqzZuiK+G_v2{gLg1TvC4!{_RVoDI zDbty<&_4buR)4>f)h`EXS5Y9=9#tllYyGW);x!0xNv{&`6yg7$1NIOVERZF61bA%M zJ1wl@rzP`wveBG!#xrDGT*$@UwDk$*dkLkQrS&*v(CTzepcwdN;K_u5tDi=O9?qelR=r1{W`oL~RsRKJ+MmH8DveLU!IVJF}{R z5zf__WRjHD1lKsn-0W=5|2j-MznjKmtxHMw9qwfi_d3i`ScUSe3)xJ3((kO)Q0H16 zXh5aI#lf{xOm$5~d}ZqX)$F{CL0HmiX|QRSc@?Sl+-}qB&y?>!U+}RaO3ky}KTChE z{op}}jJiuMp&0RA8_9WO$ovyX>F25uC#XDZNuwlK(t_tNMgU#vhyUJ}O&Gr!d6zN3xaw z9vqBgb!|br`2hN+gmZPf-#J?z`QM&6Hd650w!@VUu+pP#82A?Yf~I7 z-s=CYF2!4Daw=c67zljS`!{xq$Ix=P9~w>Ica)*GGQph_L2KjD&>%}sdc}{wH!U
YVvPAUXa0tsrEz@flCij<& zS1-0&dvH%K@AmrQ`OZs>2RZKoJ&1lkU-eZ##!ECR^;w1{CdzC*r#@vetPGxGh36l= zyx?}7m94|rSiyNIfr?LqL@G?Us;QYB)OH`G@Od^R5O*?R(b)rGuKth=y7;apDe?4Vo~ZZ~X!CP#th+J4@7ZW-|zlKRW9Gpw>-vDqqs9 zULP)Z2m%Ix%7jTLN2vxE^d^6m2u93}0J2;jS?nna#WAP>+0>(e4CDYb(nA2_Ec9rt zCltVog8^MJNaSK+B8-OQMXCwFzF+DX#2Vd;1ti?K^anSOkHHIMpv)9xqxEo&cFE#7L8-JBS(LDhqX z7I~1nAjjIO`xvevrts(`mOciL%s+>XQ*s#?9$>*6;_^X2krZ3dZI3tx965FEZUN%; zDmn{Y>{oOj;l?E8j7nE)v0|b|hTn4}kkvP2Gri_*8(8h|Hq`j?-@!>x&$b)O_|@+n zi01(E7IDZUDoP;Zr*8cxM(8K88-Rx-Oy| zaBfL*3NJVH7!bm8b!H%-1jJ!$xU)n%T-vQFq1%A`ql?YgIH(wq-Prg}o(Nx=do(#u zeeS*0m)8uJ*2X<7xx-1pw>&k(zv&DPp&ZxD2n7RZ?fN>rtdBr8OXov860WsP5n%Dz z@wW5NzY2fc%Tqr(1;kg1!!iU%5J>yDVy0E>QIyHE)pfeg{aL8176%>NTXiObc>JK8PG0Y z)YzXialLK^uUH-j9=BrAb!+gPW(ZyjARH` zyGWuIMFRlu+krwCr|u&`eG&L)1MWB!g-*H%TE|k)21;GRgSwBGfrUDybsXEKl+<@m zSG4`Q1UwxHjX(Y;^P#jt-{=q9ji&^f=6ji{Q_4heQp0F>`vh-HBkYye@_ZPh^)$?& z#oI&eqr)Fx&n)lngwMo|3I2FnHvqDWTi4{GBv3zWf>jh={ihH17RUeBP^J*y4G=Qn za^2&{G0mp00tsDQy(SD1%DGD)OUm<_ww9s4ZGwk)x{UEZ>M=PraILOA7VJ_n(Zetq z>%ZBb_%MzC#U3!YN-1%zIUqJ@NawXptG|6>JOyAkpdg{5Qwj}AI{}K8P)~z6$sA@H z*q%pe1b@+pWDY0j{ZwE~{*&5*Y$^ar0wI0lj(QvtAR?wwqk2FIxcn)=LF(wzREVEe z2vk%<)r4wR$G{vQf^U4YFM%=i@?$OxRW|JaO}xz`^}=dVl~J_zIl!7fZY#AmyPE{)S9xbL)V-eFnaX-S{q$b)Bzy0oP>^ zAoBt`Et24VHhq-&n{(^m>`McJq|4ZZ^i@mq@8t$0tES%=wEFtYfOsbfn0-><{k3c9 z*=jB*?WKW9cSf86?I&5YYfw_7E2VKi<#9Z=P?ME+-GZM(_@@NefDY_ye!Y)H8By4W zXTQDERT=1Ukp!Xu(w)48|8*L6FD}ycL91WmVLLkV&H}u;E;frGy!7tTYT1TRDJ(mY%vN(Cz;*M#f{`uhZ=A2&^)U`#B$O=&L`zg}P=Eu)|^G z72zK&nj9({p)M;1dS{BL>ljGCpZ2BRFNTXBn_>olq&fuD*W7>@+td3KNJ$d|2Fpg3 z)5f#XwK?lbOz=02w`!WY;G!ALlZzcKP#~fmaz6nsRW|P66)W}o01e5s{4^(+7R%rG zTBHcFCKf!Osk^idtN~;M$3Y&Qd7+FXT7%@{Pr!gnbxHe}eJSBTaj*Y<6#U}P9|jx& z!(V{p*8zgU6S4YlGrA^R`(Jmbr8ECCV*=n)uoD7mb^sZb9Fb~E%aM4}hgt=OT19vY z@JJLp)8%CKv*u(cHPJnGla+v2X@k|HvJK)wnT|=&C#`bb_aN6QydTqGHyvA;fsDo9 zmef247`zh%3EwuW(Ek8shKOYJf!*Pvqm7U@uvw7Be6$BJUlBY(;e^Yi(xxyo#F>w? z+_#weH(tz2`JZLLwKI1Xo=9{(bsH>luk}5B$E5zG!lWmLiKXkuWumqL+KhIC{nBDT zu*GrW2RQzyj9R->IQ_+-N$0p8Vhb=B#F8!O^h>i`w-8+55*kc zcX(i&_YL;pZh`eXp>K5atT^Gryt&N(%F73d6G-|OloLZN0Zg|?mU8ftm5E}kfQe?GiNU$C0j@vHB?L`($9pc>!V&zU z(ZRR~bhkh|aBQRw&u!K$c@&t&z4apG#o;u=LrQ!LF1doXif4as8T|W5P+izn^_fqM zKJM1$vz_uyd99G1L=9QdtR+yI4H`593&6r|WvVUq1iaSk?*~z3@H(5JJmw;nd;6_I z`*=ZLKE`-HOQiGmu)3I8ekuAk8%he5+2s+So|}{mC_Y~H#yb1$60@P=+_I6R*A99x z7L;q%V;A+Ryv!#v-><*)39QbjRrD}+L^&&-z66Jb_mlIS)rZ?BJ~Uc=S9|+Mg19F} z4+B12!>K&nTyQ`pSAw`6;t&?nHOMB>Q^X#FgQ6k;k`isKpCy{`lu%^@+sG)x4yL<- zz?6fP7aDqpfhC+^n!Yt8$Rsf)t`Y{u;}|6{z9qt=Q*|u z>In==oS5N&2mE!lQ5&?;EclINLBlMxE@g~i(U`xrBi*}M%u?Y{>e+t%wUI)0qd|&b zzV&Aw?yDZxuRRD>ar|qv{=Q?Pb4n?I=+Zd8SL10a~~8^e^5;_aB0~-8Pp-ha`U%G-})10+5x4M0H3VLc&DP zXG~=A`#L_Z-nM=AH<|MSYDVyON&#J5krA!L5B!x2=IqtvwO6&8^Y)3&DjFVgx<;^M4GT8Sky&d>* zHi8{Lgp3_KeoWwq>NpLhmnr^YwWh|$)Gy^%C|~NHb~l$uz9mHWJ}rp+1=A1hWP~t( zh4ZBk6R0#aLmc0qZ>$uWApzu}30q}wfcL@V&E}XH zkJ`>E-V7oTKm_jqLga-MW5fU(Ufxe2wZBn=H|f$1{s%}w&$)iBDVheu*BPRX)Q6F| z({P(5^)@lB`@C-q3q2f>AWH`X2?hF9=aJCAk6~tXZogt;M21RF4z{eh@vxU_eEM1g zA7SU8m`!LxkTv(KbF<>U0i2(=^vnmKDMK+>q}WAm!`;jQ@a3Vh92?$G9XhCeAx*lqIAG2W{wg1pR&gIeq-*w@e^eN-huf@Hsk)|9fiq z!}L|dX5RkzgIg)8bWR4g9WU0E_e(eMpGZD6Vtc-l`rrLS>C?HN-6@m9T|y7cpHjbn z`Q$7lm@BQ%14q;)SL;K!P6=t>r{V!-b$I+yRbgSK)kRAnnxg-_dn#)t~0uMY6i9~={}HZhtZ z(RjoaIkRt{UND}1+6j2oPT`v_-J+}17dd!t$D%KvBupnxUKW6|E~6@Y{3C;B{n}xc zx&q4Hl~+s;=WP`~&V ze_AOMfX6YvLSe5YD!qJVEJamj`Z|_8FhGcNe8q4=Xg(_`vbeBg0WAfNkYE^ji`8B6%ZxEcNtQ^8Vv9! zq-A3?IChaM+GS9u)a7ij5ZGFo%uS**ZSv=-n#IFp=iH}MdPC1%0Z{m~v_cDbH0tGluJB2^eMgX#AXn zpP1$H9W}|XUyR(IB(382#p5L`yVqneJ9MJdN&J#b^PdjQ-TeH-IR|dZknVe9k#$Ll z82>B`SqyzUDfyCY8>pM&(}qprYS8<9zaU)H<|nOXWVs{2`lcy+#bwgROF z6DQZ*mYlDBW9!Ple{edqDL_v|m96~)#=%t)LiB6O#GtX=F3D_U(rEne*2?ttGB=t`~3OlWBYCiVa$0WAA9^>b0}k;*JABGy@h!aC;>uNSYl+wXA#bOcYUyxMlb?mM;_aPJO@9 z?@SA=-=k`QK{VQyU`G+}Hg@>W;`;LVfVoowgF zmOszbm3ca0d?Tkab0yT-L{AsTh8}CNj!SXFoCe>pw+HziTgq5K+#cb@Cn=WE^BN@n z+ESTtYVdza069Y=~6mb|M(iTG~D5Fm~K5> z>*`41G4B=yEw8$pkwry(y>r@^Xeo?Liny;y__*lk(~&lAYY#qw>aLTG@9p#ZH6M=L z%_S3_aa8IxkHa<{g%aI@zB^wX zxxXK<$^0NYxMS(6ivj3WLGs1%OzXiYCw=a;2Yv3b+q<1>9*+bkPe@s){fQx6$5o-; z=gElhc$QID*}D7I*3OZsuF6;QR;*96wDn@53KX`VcT?VNA8ja8cSV14YOB2uni|#>ht$V5F7gyORmz#X3Uv}d+sz$v}ER% zW}T$0r9W|6UnDi<`+|4z3id|&(+tRXb_L!?4llD4TLq1SD&ozqfVT395vxwVl7(oK zf%VK;=U8Ae;>e066)@mpHu9}-wRJA}Vf=M&E2l#(iUl4FKfw0$wY@C((>#?c1@kK!7X#|2n(q+DLSc=U>Q~5apgHYWT+ht*GA2v z*EAZnm^%v9hGC=ACr(`NozoP{Z)8BCv^Q8GJz*1~j3x183MX8!Por)8@8!N`+ac`j zxt{=Ua8D7RK@WvrmUV`%?bn>z$@y$_eoG+s$+Zwrlqf> zb~o8s_@tv&p~Sl~qHL;LZSb`axx(Sy%X=QivNp(JTss&MmdjGt;%Y!ONKUnE6BWYZ zM!=Xm{{l^oh_q1C%-BEB@=AGt+G72VOpMSvzA}*h?1PA-jugcAP(NytrrKEB>Fc;C_`>tKuIcTA~W zPdU%NsU5o^703&RDY`>|B7O%0=rz0B)_Hn{S}X4z2ts@Cplc^Aj_y1E$ZF7pd{1V~=+*x&z^$npKONVsFk< z_9v}0Ens9?3b1*x+Q=H358!OMX-Dt+4nAys`Lq*05W99Sswy1+*YsuJ42Hqo*A=!{ zX1h8p_J$J-3iIfPiT2GManLWVD{CTp`IGA6v|ltvUSEvJkbhVJeE%W^phz5+o6H+i z36ef187~15(zA2iuBU-Lw zqB%A0WR8k2M6}LV7p^4~pgA(WZp06Z-C(m?Nsm|-aM=o(nVG*I?>~nqeuT1#QH7;0 z(lL}-vRdYSr(%voPGH|{$&uz~fkUpxWf>`hv!-1lH<6>iIQEaU-`g?Kb*!oqMK`k> z?tR+SGYURSx?<%mB|`y(g&4II3Fd`| zNp~49s%DTui)5|o7ysEJSH384*)hsgf=>#Rr(N;-F=aTF3Xm67DpbfFNB|P;ijBw@ za74Q$qaskT@DkYpOoaodZU5RB3Z7rC@5oAd*BNtcHls<^;&4Yq>ak176ENi0%E!zx z_h3Uzn+AJ(NSD1`QI6&E>HJ~W2JznoF}X?CzL7jmVyvpOq{oBm19B zh-B&`NYOxKjR=;S&lj zTx^TSX<^9{W~+^b4D~bKq-HwZrA?#13xlTJUY~C;q93)b9K2H?3IlgE9RQMRy5kRr zYWA#NtyY;?`rUzv!d<8wa@BTohBNKEH350Tf;+&u)^5zuZLPAbfWVj`)+{Bfi;RcmutOWQjE__#`FSH#6u=*Mz^OV_~iw~&Cgo7 z_X}&Q51F2@9A$*6Epj1`I#1}eb`ymbnOD)R(l9qyP!fks^fHpSL?zNM-!z`#SzTJX zG>#^O$6EJd)!djtPh`Y~Sdwxe6;gS#&E%%O%y6U7EZrV2MPTYZC}>cuz{Ny?I!B~o zj%_l=`aTm*Cak3T;5~dAU}&CNCkJ+NA$$%?#}|U^Oj$R}pU~s9RLn2G(gsEWxXi~rckt1V-yl&2&JE0BUidZ-MpX=mUDTeKzY z3L=@Ea!$;kM0KDv^0g?iU9pjq!rqj8$EkCS7+Q3dg0`;fa=gH)PNriX2lG_4Y$i%@9{W%eP}&$9c{t^QgSd%rU@ZI<2)LoXkWW^ z{(5@j?IpY>4H&bah8;CWpEkd~IzZAF?q-`~K3+b3-{6}U7@NBvk{uNBHv0x(*AcTC zSstrvZrnSm9zKUsjCS4BnJNf2{$~lLyvzCld@hK%tL}(U=iL{PnKrS|SS+R!tzmX3 z@-M$E7TzL2C*ZwS&?p2P{@qt3Sk9i`G}HHvmlObZc_zL2Y;t8mS=U4B7XX3=dr<#d z^&e8K_;d?|a0~P*?tj2aQGTzZ8Qr2mjZufI%cS&T;%!V+W$yzC!N zBMB2*azipvae~vHV0uzp8&hhCPJS-pCFLP~^wCF>GtvVRL|&5p%?Iq0ZecU$sU2Gv zeSr?Fa9wl4D&y>E%TaNX-z}353Ade@Tzbt;!K-lk2Wep=se}Sw$W7M|>i0Bmeaq_4 zDMd6Z%J|D6zcgsa^xSWoa>w7XGtpz&eQZ4-{6S?SYH;P|P-)HnZ>ofpoQFrygTdLh zB+8GTa^@qoUs!_LcqdO*HiOg)e0X)uy7yC&efM?pWv#cAc8O&O1u9Isl(4{Xoxf56 z*I{W?(P+RCnnMY5H2|J|(3PTXBaDetql^Fe_V}jLXL~A*tW$2+$V=8JY#1s)16G z2rrOp{f*zZB7xM2%)caMla{Xey7;*uOMG|ZWj3wYk|>UI6C5+3rP`e8U|l*NAwS$7 zM^c(Qxip&Rsr>>tvU%S=Um`)^ZW>cLyocYkzu0;C4oDhUGfQ!82B=2s*m|$%fH*%7 z`v=+K827{J!eXcO{VsG2UEB+nkmhe<_i7Ruu!{`o&7DUVsA#71xAHpJ&piI?h@gQ z*3r-lND8?9V0YfxA^kq{)j3xxZ+fSw!AlK^)KqyE8FtHG<;g)nO~1fIOHg#<*I5$O z7R?A9hV161diT1W=z!0vltNC@X-+eC-e^9OnnDS+>lN0f#H2a=Rh||9-aOT2IJ0-LAH0x%cq9mj{NK){L%h70X^t6_&Sm zZEOKijNeo?sZ1WMO+WvOdIk8(Zz^_6Q;5s%K!-0r0z9E*OJ{6T@mE38yX9ws)32}T z-*$Jvd>%Ee$WhG6jLHI_re%eE{!%gTs|qSPnkacJUX7L}QP!wOBS51{xb$pziMgir zF-ks*F=k--_0MH$S{2BTzH1Zlw_S_}cE6Xe`WO7FCmTW~FL4*gCGO&ALsnkmt~&T)H2rgo z>-6qa*K6LBk;DN3W1(7e4t9~ANMLbshLeFvo7c=_?YO_}HTI75sJ&9{8)pa2-JMwf zj1!3}JfEAzo)^$Igk`RWwyT{#*zQ+5sNVo~V%o*}d9C8Rg-@zwn#kN=_0u6KqbS2LXjgOt^Au3Z(kiDicc z=rospfcCMR*U+T0)*m$rBSVw1-!h*oe^KvS2v2Fs@l7d|0h6Xyp7zOsTDx8O12+}| zHrMZ$w^sPXE0Q#5t3}>YpJ0k-j@xU@*R>>c74By_Ji3(iX!3a|nTO*pFPxY|nCYK) z1q>;DGDf46x)dHV*MJh~uNwm$_18Vs{XT1#$(zL|c?s;9HNev}h-sgZc+35d+<(ou zWk*3&v856zTtaR3AC{*YIQ$dpH(fvc5KHGMZlq$q9x_^_ui68pJ24{U(NMv;$cl2_ zzrPLpaoj5zWoC3cMmpw|4KWLi0lHRYmy2;}8Bww@8&{`@PmH>E0Y=vj3@{=&CWj!I zZh4le&QQd*1a1#i>YXPf5ban8X`# zDzuvO4*(BVMtWIH-}q>?{$qQo&ZTj;`Kw?6pN7@3KJZCy2!R6J;IItlS@<_&=2=#+r+WcwZ=L4^X58W`7 zGpI7R{Lv(00~9j`hm7N#n*|qQIYlI`ux~(WoVQoBq`hOGgE3qCF)rW+;yUWq_r{+I zT&$*CfwvFYH!a(_BflfNZ+|(vsw4740unhGp3Q9IpGm$<@*Ap$uB~UVsHa_=agqBs zu?<9@9vfQt?lJ5AUz1I^d_oB*<$y0=9?}`Vj{s^dQDg*p|1{9|$JzM+*Yd^PiMN$1 zGJ4k1!?Wh-1ll*wSNs67f~^jHO#b2M_vz>#u;(0;xs#Rabdx+BqK8hejXvMJHarF` z>0Fqbzbd=^aEoMcO6jSC(>V|fMZ(^^&g&9zJ@GNvxLMkQ>@yc7yIfaIQkZeZm^e6n zXQsWa)y_}V(TcW%E4-%#b1T>YT_I1BAr?fYZ`{TxGHa&G)ojahqUAm|*}?2MqvAE( z^LZgczDDu7t~%&1yiwi+0&ge!$a;(wS?cVn?1&huP?D7U+CK?DNo*?C@KGyV^*4zj zoBo@ME97>jA=VCL2Z3M*yC-E%>=QQ{aq%7JaAv6d)e*!N&pEG{9bFXw-4eulMur z06YzlsoVY)@P?3RGOJI5z0|r7t{((zJy4MRPMsk=8jbg`YtXW{adm+uHT=0a?Cu6V z)MPGF;7|VQI=kf}&c9y-)MnwuSLoHFrF2Pzt+HEcj`sKyj|#;WpfAdj4}^^3rSn$J zE-EVutOm3pUSzLs9Tl1Uy3b(nsS(M6-z{o>BvG|w>ig1{7T6Pu3q-Y%&fVHHx-6Z) z@4z(nevh@gdex&21~V02kTC1TRKk6kf8d|VO<85ga&agoN>Dh9Cy{WAj;#w>8f7v2x(MgBEz}T{Z{YAH$lz4O>~LYituyowB*bogRc$$l$kj zE_81C!mxMbqx?c~UK>P1K3akEK~d8KCo&06rJvf$91sv^#`Z*7h>kV-W(--@dS`3t z#L3Q7wnXRN7*ibIPO#GZ`yileLIvayqqjWlZ&=;`%ZB!h&GF9Nxa}fe3+^SXUz`%{ zPatr}zlfe@;L|w0j~WoIV1dmUnlfWiwZrii*yWO8=7Y5cbQnQQBjzwB8RD3+a~iXT zYCri@yQtgQL&lYPxK{S!_Vt)WsDydYkwL#qs1d+`AQLZ-O5%{E;eSU30L&DmsAyEC z*5f{0y2pnU2-k4rw*R~R32UDrL;#3t%kdGl>0>fFc1T3bZ##XQaCs-rxMI1@zWb4q zs&eHdNnPVi`cOQr@GDBpku+(5eU8agkPwW7GE#Lc^M0Usx3MAczFH{JpJC<5!V>7VGR zZ;job-U;s7&ClnNoJ3Kne*E_$$P_1LrGkFtMErn&wsGi&F$FLsf4~2scV!1l36uZdlT2teM_A#wZsg zOOul}Pj7U7y9ny6Io98ni=O5^G)R#67Z|?kqLBEJhI_3swMdPTzkbX?O%3HfS?VRl z%bnM)@pUlb@{*t~M-JG%!LjH6wT5>b3QR$ee@`EDl%T%!)f*zPYu&O>{d2Tp=K%*9 z+o>32$jCnjvb$2I$h^|}U}sRF>u>4?wf&LiD3XK+B*XCNo0)EwYI06hf0J70K(6E= zs8Sv?rhp!38P^IjKh$_LS! z;TOQt+g8lr~d8^}`(lakL^r{jofe zXG^@b^1ur4g8jc&Ubk8Ye!uswx@r0?W&)7$J?lLT22|xWtlwwg;P53SR#jz%)Vv7@ z$mG$s>)?6YsAd%CS)~uyDsUe8R8EJ(END0CmCUGo)YhA6zXdZ6;LqZJeI7+rcD{~r zopwgN`XhjL-<=|~c5cwC?v3=0_BEd4AoMn#cE%WOXSM5mPn$&3VI;JBw?-r@xoqb= z#ugUln2Oqg73-Pj_$|LQiHN?f-nnD~e&?I^5f%uWe(9{zJgUQ$74&JrFwMImUd80H z4m`iC1JBzmgZ{S;08=O?Du7$`rT^|_v$yG7 zx=Ix3Y6P=qGu;XLEmUaLb>dM~uand^CuJVV2op@nP#QHJQNe{cUj1DC;Jy0aM}c)> z=mxer*(TikdLN;!3@jh^4gM7G?=XsCF4v-}Bl@_2e&IqZ)B?kVY66wzzd8m);&%AHJ9dro=<@BgHaZfUVyQwJl|m9Sr_bJ1!oGH=0aH&G`d}{^qKmgodkzKs3q1MP&+!FLOcl`7ir;5!>V?S)=r?z-{&? zV=te6iNrQo7W%pH<7ErAHJXFm#=+#=bw9*Z226z(tFAVEE}7e6TerMGTR=ByYH{H7pOe5SR^$dRF4~H@I7%;Rwk2u2BL+E`|Y*j^l;~G_ALp zN+yA+0q~Q(y!^>t&Rbgl?@uNx!eHbIPe`?P4u4unPRAW**JB6l;+dVC*U==Bsu{W6<{sJk~A!PVP7 zCZRCEd+v=J2&3b{h$XlT2gGW4f0{UFJ4hQ-L1 zJJAbYw@+&w)qK|Xp{o{Svh=v;;r0)11!orD1WA@YW+sf4-Ep(cj z7&=jLyIH{}({b1W_n`@KBAYLJH4LLL&wGA{E<;+(v=vZ0CG+lGLO!P&19K7BH}`kd z_b*3%E;f*dft$j-V~1UbouoH|zuw|%#e&x=yC&yQH<>3>^AT2Pkr&>%X1JH2$I*Kq zrafP!2i1lSEX)lnJ9>!6v}lDlS7*unykvi;-X|BmexO-fWXZiEoN?SkA7vUl8Avj= zajzn{^}$oMPf|PAU+l+w{iT2^k&Zd*7y00WdUxm2<^?uOf(;jDG!{oX=CZwAR`!AO zp7!TVy-vYL7V(qE>>p|^HA~=%aH~<%j?f7%UGj}Sr zN~6JML@?@2ol^^n>q=Y%74_;3 z$B*dRqj}7*lrn^DB<=cPH3nI{+DRvOLe{U}NjZ(@#90Xo$O|ozWMM#!03I~{&?U4 z75j_+f=0}RAL(9=Fa7^z0gO(oh~X3P>iPS~A8ksh;vn7dYir+XqxRozT;i`mzCJEc zJD?l-ilKb-kvstK$w(r?*@2JPS=v(m4`XSTbV(__e_3;0mLoo1GgJCt;l5qy%2-A8 z#cFiTZI!>DN-Fz~fPcwy=^FSNjXB7bPw$olxz|2KYuTkJn$F-;II7EYv72k9=@Kxj zXbO2AAf9_8j`z%=(r4rmn7O;vXgp`we`?zGdcZk8F;~UvGRiiXRKTxq6SE;bJJb%betZv9CBeXcov~(GD4WsRHdQeJk@- zA1Goc<}i(bXik^3OK6rN3Zj_VagL*v7h#I(aqK#1ti1=U{4fE3MfU(kXnW8Buv)ai z;#R&<|19lwvOjJn;Z}`+YAsW$H1taR2C(5x0>>Ca|9!f{Iac1A1xAu5`=+Dq|w>@Imq7<}d)}e61 z@a19(8f5?PWbz&0du*i;uHxl;f{l9kUx_cgEb)Z|TMtjk0b3bDY8fuxtmq3vO4~Yy z%?P^t06oPh(=W>+;}0~UYzz&T<0L}L7nn|>Y%#FwHjGtJ$8E^x;QI2 zRGplUxcYPGjnQi3*7w?|z$8kBEzjV-S6i#hwe|#5k!Y#0h<>5nDlfCz`Ns3^ob@i7KYD zIl@lHXRS6{G(&RH-p*k)jDxGnf8fyEvvbT-HIGczoU(Vu^ZDHi;S z+C8Rh;qLPwkM6^V)r}Lm28_0aZq3aeeJ5l++ z(DlBBHe#=oSDclekf%06NKhA1*NOBT5={x>V&S4bM^b`Y95!qr38;;>R+v#B&G!|{ zK+4*w5 z>*IE}@|3mq>_}DqISzEU*0#Pqq$?aNOg}_!*@6^P8;_X0cB4R->%7E?Xt~J-f}ww! z!shRw+kc=>YTplBe@#2F;rJDmJr}{2i}fJn423qFAr<3U=ecUQ)`Yar|I)NQ?Hz5} zLuJc+4$V~7n;(4f{|}dOnW7B5b3x$0e*@Hq1War^)Mkd5L&LA;4J+(6etmk98%o&V zZn5yk%O$hY)&bnH+FjZ4k~Sx@7>THH?6sQFh%p1hVmD>LjY#dRpNHna%QmpUqf-n) zPR_u)LC)G&peU%0D1X{#^x@FT-=LY;w-}N zXoH|!*%U$3L6$2GI_AbRytu`w|WgK7>)f z1Z7~tFQVDQtmJoX;sX~!isZ zfiKZT>+P;xwzFIFfP>{FsBoSQok^CFU~3IRp8w%?C?n2mNA_oqgYvrTh4wZ!iw?hi z6k~ruR1Ma$$3Cy3N2`rL!X?-fY`Qk%4m{LWk{i3Hg#FPol(h9eF`-%`j<>0RbV(+U zu-(>!*8Nwl&4s}uO8R*NN}p^VR)6d)8pqryyn$AvLs_fmB!$~II*%-?!t_o)39FgS zU-=*mKEeX_o-S*@R|XvBp?x<)?IY+()pxyEWHesUNifVi*3(A#D5bhJ47Kp~6FUEE zVEZnKtbSLq_t*4eG{Kw_=$?03%>=43|;u`y>7CqY>qu_`iKL zq(4jxIz6O*_AHKz9Tm;+Fa0|!{Aa%Xj{8>Rs5aXbaV{j)u2wsE#DNYAHpiuBC9MK9 zm@SY@hV{HuyfaMXWRJ2O4MBQJ6mq-vKG zCu5MY2C(UNEzmO2k!fU0S*YOg@i1>RJHz}t39na`-zq(2-`{5Rm3$+PvZy?YIwd=< zIg+5?r3-%RTz>Lm<#M$B5x{- z6GTM=*U86nnWu4(dB@o03LZ**J(G_71ZJPU78=6{wzYYnCLT}U9p+Yl91(WElgYQ% zC=@6<5TpCG^j+bd+a`%cYgaf!a#3C@hSc92`rwiPB6N0>>0`Fgv5%!hBr3k^dOG+t z!3*i9S1Sj0&@om660mEFBW zO>tC9wf|0PH%?7NrlrCCDYbqwg!8pNrnsh;yl+%1)d7JDi!oO+)VaqAOp#stz;ZiO za#|zf7l%-un7-C3nW=@sr}pu(&QOXFOaq_Z6KTyQx)B2u(_ya5r`B-uoaPf?gcQ!^ zZ-t&}bDD$UK;JMGBo?w+6ETh;1lCI^y%QzR9AF@%(O+P6dLP82u3*HJ@KJXk?-S)82y9Qg3+xzt2bNS7&D$4A=uAfb2by zg5HiRAV~>T7`zvoWPV%m^{j^)(Z=20{ADn@=*t-?i(51vNTj0a3|;UMD-j=^c$1v| zrfHo%wdU{EfwxF0*P3tbj6Ys!Wt=VC(4+Yx$lZE3rzq89o|34EnP@;LZnrEAw9`5G z{WVpyAJ+JK^`6?+ZUMv1Bg@3IU$gC=DydlqrL6*ZF%QUL?&?G>av^KMXe+$*H@QZ} zvG&bxUW0jFZUxOVKYjcQK~EYR-yg+r4;l8whXryWOgp zhUL@EqPX)8cT|8$61(IjZ(90ytsk3eX5JtwV-z!T-O=Xk>?+9WJ2uFuImQ{0!y)Dc zPDMmSEODeTGU$(gx=U}a-_AnFK?ru==5z_H>~Fxg)kK&fcU5Y%=kU(Uo59uA90fiTL?0uCRKD^={dW{|_?;!2`J3rWMU%_v9Uy8` zr&mXN(z?iNxZt!!=n|EY^Ao9xrQ8yekJ#akJ;(tD)oeaEbFhD~uv0Gr%LH$0iFn5{ zd2K#mEn2bE6Tu*Lo zH-D#?_up+|BFju*;DGXr$q`zRqZ{HKCvxlJ0794g!bf;f56veO`?q7bWg`0J?upM~ zRiyvl{Qdb-(dwE*ZV-=PX!*EJla9;+;i+>qJbk5MLg^4vQhh#W)IORGPg<|dokDM-<-G%Uu@^&kbI{=gIl~D6OkzzXTPG(<{onX>X;dA*b3j;`9(y| z-|UBPBum3{v5EMD-Uc(>v#b5yk%8*lq4GmZiZt)SuDXFzA4`?}RM5eA8vVH$x3>1R zP8W;AZaOm`k(sk&-Fd$+aprOe<6bJtm0vNR8YHS*q^D}af-G%mq2FzOBd?WF_$*MW71NS^mi6xxX@gV1y9PobYQF|8W2`Dw zqv089AK0CYGSa2`k|&r&z_4R^NKohCIy@Rjo&bGe4B6sP8^hchv|?u0wze4`0{Ds~Anera?~f59wGK&Q1q>l5;kDMi0KY$o(ay1ME5~hIFC89{X7PVwSZD1Fld>Lo_3feePOA%PF;VKl(vM1ed^l7dKq=Fg z3QMECcDLzi7g6CglO<0&&|a0uQS76@BJJ{PfJ3Gun{Z1}QTQ1*UI!iy|W%=5;p#wxmRIHg!Y?;kf3%zwuenKh`a z#s^OL%O(?bm(7I6qk8Hwk0HKm>Sfh$jDn1@aitT1K~UT@w}Q5$#MauD*LIIVr8U}wD4r8t@S~5e+SdVAuU_)8ng5C~C z0;XHqknD|{nH#ATc&qFxnzD}MCTZOGkb-rz2YmvR-P!xASiyanH91e^lYQas@oa~( zQL1rr+}{T*pJ_v0q(F-GgsVsDwsOCmjaF2C(-46E#)N+q)a3S;Acz)2`T|G%Zuyh& zMs*RE!smAjnBde9&-vUu@Ysi{K+FN&#cpdN(Tu`=P`!p0QTgTzc4SG3x6@n-Nr(wb z6Zj05k87gtjG6p*8vW0wxI0vv0Tx6Gzs8dgtgG_4xpT`)yJ0I5U?Z86gENvWZ2N+nSW`&#L?EY-Zp{g{adMRM&DM_oB=^TF1xQw8{7 z@3%?vJPd3vdxBXyBgrF!DfQ;hw}VX@*LsJGn**Q0Z{_VL)p3PP^7cseTV~X^2!uXo zv3Pn(*rt%p@LxAh)VNx@{m@)TE9M~LiB}~wf>G|}1WjGhJyJS&w%WnjZ7_Wd@~CB0 zD1^00?GFw@{p4eSbR&?6k)N#Y%dDla87T1!zD3qIt%gC6Gn|j6M`7WSSQvW_roU}L z3}U{dK$k?6(SUB{KbbZEu0%J@w&alVjqBofJQc>aXzXTu>uHgTPM1*X(zFq8p0f(d z);zS_Qr?4nPlo6@sRNQm<)B!EIuAxk5tyKaTBnABDYbrBxMa>A#7fpQze=gC(c{~a zNrTQuw^RN_XB%*ULRiY?zD7Ug59jw^0SPMJA6rQTb`2#X1Z4ZrSYEWDzv$58{Vdqy z?v}v0FwZlkPT`uIfAf%7(_y*(>0sy+XHMSxMoR|PTdX!??EyfZN45{(`tsdu*MdpC z={2ZOD~a)05>`L{a)+LeOD1H&j`-yf@ShNQ{&&arP<5wMPN!=U(NTRAaYNdej#Q~L z_{I2%=Q`|iY)+Ad6gBDcZO~eyd`l+7LI}xCEiOrQ4l<_xS(^N8I${@}tjLQ@mgREp zB~K3({-VN*Ec)2M_$9YbfWU3u3`~)mGl{vaCXolA!*8ac`p?qy2e7QPAVDviu3~y1A zJU#mo0i^OUSB;b+YOq^_kgoy_pO~Qb*yN9f+##?Pp0hK1NSk}c@UZ+ui!mvlPmbMCUOxs9CE&1pUJuX2>W*&{}9g>C6^ zuWm%{KjOdhZl))9rn(xt;>7Ak{8+vyWPNGE#TTA@s~9-^A-6DVXLg}$7M%SIV0LIs z04D9@xMyF19wD?(Pr06S{D|bUS{Vl9AFnedIS9EL`7ms68%B+CACoqM zoP_SAOc`a)RU7U4%5V14jB7G4i&dSgSl%~!eUXDH1mDyesGu#=*WtBxVZ6&YvFCon zcRx7#Fu2#`Y`pLy01WyVMV)|O@Uj^_x*TJIN9Om9N{i8rj2O}ga(%IDRp;p3W0wn1 z5-w{W<3gQr2F%QAQOPkbn)Ii)cprV{yJklU8+u;EhAT}X91byk;J!;)*DoG;QSMb)y&^zo<4j< zd5dBpA}%L|*}cw)@Aq0`T1v@(C`J045pLX{7$7mNzOZ3qL7j{ea4W8!0r{cs;u&){ zfab3qR73htplCPh&y-66{$AMv`}M@eao~GTFg1|C6-=^>e+x7v{{(;?m<BPr&*= zVv&Iq6$4FVy!WcS;2?^7ybk7GQ#RLdPtw=m)?2l%5p4TXW(pes#CR6PK)nCP;7b$U zi^rFCg#g{os0$ni5%C_!oSAl;fU7x$BRPbQRn@wvTCZQ)CWdOSe4?zix3Z&Kk2Tqox}2ho=!-;w3k`U95hY z#hSo!%k51KCgAaoe=pMB)}m^72ZU4v*&PN{MPpOUM)`n|k`W1MpTNMdpy~A)%%%Qc zmK4No1)Zh@Azxv0n2mpMc&gZ=Xl|%G*S#8E!QD(+y-BHdrYANxn#oK1w7(JI%mOt!45WT{6yOpMvh8u;t_AE5?tT@Jca4 zvDc!ovPGTGiJ5@#_V>O1qC*NxitE_k(S@avnxIp+;L#Kh657h9gVXu&e$eVidi4(I z;rsl&3zrRJFp*zeB3a;KE^eePI4OO0zXm+?izmbceUQV>yh5@b8>@q;_ul{f&h~%w z7nks8sv>j(!(y=^0>3gM=gu#c1noo3=~U*k2P$WgQ=~xf$P%BAnMoSUgGbY+> zdsPX{ihJJlBNRsmAq=)$^N;-=quSDa*h$QKGkuQhIxH;eopmh`4-4vc?W{@Ah;(-fGD>Qr1`OV7-uLq!&wIR|{OH(q?L7bgUtW*%j>d5L%)CN==@%toB@vob z@(+$maAFcf=Qnpx2_@Q;?zC(LZ>Nlc6U>v)8C+#FIV6`Hj-_=mg7*{0VbUyPNs0~M zC$?<(du;S;Ju468_>M`P`h^({IMmWq=`t@XV;;OVpO7i$iaZ+w49C_CWyg6*gwxr>LJ z_Xvyh8uSK^)OlsLL7H5AuE?CA! z?CUENi_W@#zf$CYOC6kLy<)fu*^oox8siW1&1G~!W*%LU2o1;D=9M=;eZ_D&Zq2(O zs+{@$%yJCcGbN?#t1mX^Jm@{(7yr-p7=V%A2c&sdxK7Ae8A~LI#e-RMK_BSMz77BW z`hgQH7))ZA%3u5S0Lb&)1tL0(?nnA#bm=+0qS9cFH><$xN<0ZMq%B-g2E8=0e(npT zoakb-kjHQSaP9gPKH?<5vlFkI+|l`5OI}Fn0z8x&7aEfqS1l3?#gV`fAF(fcw7^#Q zD+^a~nHVd!^QvI}KK>!|H!O0ysHfw-eIX%a^f}8oXi64}KTJIy((44q1^PIeN*EjFj)- z2X$l0D>#0+=x-=&=ZgyPimtJz_URU&Hn5I+yD3nc$lsdPSA0~o@fA6XhcF*aTXIdMBOItP03yTSol#4gQVGVM>%jhGMct96G9GH%>u07#Pp4hF2 zhZBLycn4qTx}uxXIbHuehp8G)gY+JBMYi=YX&ni2_riIDVyBnJ1Er@kkap5BHfPqF zoS%PRKlc1nv-~EcAOZYc@`uK|$7cFttanvVp9p_!(5v$>%cz2yO)UmUgt0|o&`qu= zK9S&&JO3Pa7^^(Sf$&dp1_BO`xZjemNk(Z&6-Dh8sZwH^%Sqv1u0_znW;Z2(5bL8k zuRlfvB3iINbJr}L&+{UWxA=YHaX8)pu8@{jp#lf_^Js<2Kl4snW}+)F=YWCZ!&j** zvs-RMevRCRs!wnc#u-yz2EEh(kx+*JgaZF!HkF8dEUJ&*K?L}JQ`n9-$!LQjhez&g)&>}T?+Sx5zH!B zp_F%PhhuCUgesPQaw9xjSGnDvY^`IvrfX5Ta1E095<-SxDrn)*UmY9Oe#sr_W|)4Lbh& zrZGx);J%Lo&a5JZPUtfXTp0|`!!Zt1foA=)VpBS5G~KTA-Q@ZKGHXL+7)oUEzyY9c zUJC8I$Y``}?ochgNhOm!k{y>{MC@Wg`y9sm7Sy6P~)mBF9%)Q?)MQbHcXnzq^CysW`G z2v=p3#XN6B0}7*IIZX~iC0omwELhsig6ixR&bAY?o4M4LEoX7MfI^R6io1>Wm9qwp z6%LpeCM1z}! z1vyk?M{?(cu@J4~*!l6>xDh;)@9^4a;+@f+D!Ei8>(_lCxqy`@dY3g+-|siEsUG-) z`F#t=Z*w2-brq)81|+so$k^vBxDT1IVvT=?K1C$sbqUzI8q*C>?X>zz4gA`;&JR9r zd_@25*))!!rKeJf|u+tnxte@I}o{)EFK7CA}5Id zgW~)UU$c$Y)vIXNA6qV;lFkhblM*elft>e5_n1)WT?|j-YzY;m92xObMkNm0Ea>Wh z5GCraz-~hJgv0NEQO{`MuA_I+mt$48!Dc`e8|6t+mTwCfiVKVv@=Z?l_Z~rRF^{E& zw24nTRPZ5cgutW(!kxMl=aQM{@~MLQ5V-2r{idKgbU36KjeK5{B!<+Yd<86UmcnN3 z)VBf-HlmL>`HQG>T#xn=_(d``i){Iwhr{k79E(7^`+LaEL$k{d`=d}(*on)twFJ%! zjAmR=7Zb((sNg4_?~33i6W}{7!;lsr^UV~cFl~&Qu?z^SD3TnM+5*I3l|bWs z=(;M_wlh$m@v@7vb04qsIL3v_Z15D0FLNP>h2-;}A5@fDhO_T`*6k_CTxwwTgjsc6 zV3sjs=y7l29L+*aPJ;s&(L2$UM=IJ3XpY|kN%Yp9KsqOl8Hthm1HTdXEUkSY+8ehG z`y5F6dK=kizO2sdSLOWh9r+Oj3w{HZqwkNsi=&S+l7=smPlxW}whZ@+JE-bNJjdK! z4+Lt9|D)SA268f9`L_tCkc~#+1!44m`|}JdM<0B#bGLftte6W`u|S9+p;2xY^(BzO z4yJp28nYzTyvO=X%(2LO#LA3%jn&`9y3Y$i0#mb=@StpcClIrjzYEBz%cZa8M|H}| zYZQIY98IqbJjdkl6QS(cP&dyZfj+8tEe9=oNeGbM=)}o{)g(Fo-|#% zz-GRXwg2jwag=0shS5D>gltsbx))b(&NO9+*-vdSJL=?eAqla zRtIi1KgIs5X#R7U%HR$W3*}MaycD2t=BgA8l{K(Tk;LBJ%5Yc38US4>a#g$?z;rh# zcD)|uQZinqU>Qm<@;5!nrMaihTltF3lP(*yu`qWZR`uFq|Ia05_QekbK#kD_Kw3ze znW;6i#$N>xrTswu^O!uwoXPAiLp8k^dO|WE1SfHyv0B30d0fbyMT}Rq>YhdTK`3kg zTzM&OYn^uXit*#{Dy+@5gYRM-h`%*Zmca_<3)oTWE&mGV*3vYK=LC@xO%X(Z`>!+X zzl6NCSrl%%hNc<~0@W{zyrffeC97Gsh&z(2K&%7q_9ycP`spCG$Eye9HrR6wLMO4~ zkBM)#4g(j-90rNF%GHO4_W$M>-;mC-z&BU)&6vh!$OK5bWm>cr7iTZt0yh8Um&G~K zEK<0(kS7?11@!{>g(GdbYuPb>4y}6ds~5p^(r)0nJMsKc%EYKYSjK>#s)r>mQ8IMK z?B;^PVvXPBOTr?jIjwzk%9Dw|9C@jY=}G!bk7KiL*J(QS&bFQ5kfia-S!zo#HV?@g zQ_T8uk32>ifUNwn@S*(v<97Br!xKw=@K(Wo51*OZEbZ%rJTjQyblG~{1<@g(rJ4?1 zfX$r+1223d(GhN7y5rQ1VSke?G9;3ebx&M*|cN zN*N1uSLme#mY#D53T|9a33)d5_P*euMPNW# z=)Ygnie_9)1HIVo`DOkip@=l6zPW<%l_C?pLZDy6PYS6dGUip8{k^j+u2Cs0XE4;$ zahAM^jV-$a$4(@u{{VHyZ{0X@s;JZ>xjlVb zuxS$QUYUsW{y`}}x>`M)^$7Rp2k@}~mWMqkrPUc&{cx3g@gF1P^MGN^(j#RsGI$`H zM^+gA`t9^#;Cbt#v^_49<4@glW=R*tC1l0G58c!tOGB--vbfgw2b=F1Mmx7T9yl3) zv}y>rdx(2HfMi*ovu|33qCDlpw>V#F(4VgBID`*VWOJTPUKa%W4tS(fr)9$dg{2Xk zsgO~~CR8oEj2cfA!L#wp(Cb6C}~ zc|YDaQFZNNqR}NuT0vKJ9jOM*z3}hYGd_HB^DRu4Fn4SEv#l*XRn-cG*MdSC3+Id+ z$nUF)D5s;~*e;`a%%2X=xih^=Lm_>-$aRPzmm{C~RPI9KoS#JIA47`$Plw>&GnlDr z#w%1ObW$%H%b3N)1dIh~I8AEUQIlskwMn)9bo#}yJm#dtP*e2lVc=?(^XS$o@Pi^! zdF;M~XYU!hEmxf2i&>oPH9)KuK^iQfg!i+Bhwyj=BRkq91oTtLebK?H(SraAVQ35( z$X>F_`3Kwoc4Vg|85RXWRwpnEVagU(y(|I<%rr=00bK$-$D8rbAaUgjoaTAJT7|bu z$%9L2W!Nw#KbHIPO}3Xs9ret@7D3wk-`#f<>qt{%&=cn(vqrV@VT{G=L&C09{C%lN z7tDk-NX-&zwh3|ZH%!HboAK{b&VF}#r@!xiU!gl-flJ6U)LC zmpyYC>nhpd@cpCcsLGN^DKfC;Wn6=#&C$CsGPmuHL(EDKj+wcZ3*dRG~O zKcx5jFW|J!Ks%jFRs%-wQVVyIEDzA1`GwY*bBao!EREn-5;77~_eTwYFJY_6stm|V z`2?1JT=z3ru);aT@~TPR>Mgw$3uO-*=xf_`w!N3Vn}95hiI4d)jCkElF-2MEDlgJY z)gLsbsC<|q#Aqwnl(XW6tMxPCvrhVleA5Y17J5oAAXO;o3=BUG$)7K@{^`;G!hP8) z0kk*fWv5n%Qo?%9*y(Iv3d%9`^_Ak}H{}E+@RklY^?Zsr(p2xmu>1h( zTDoD;eSV}%R|8?r7vdBW&{7;UOFO%`^56CWXg3qPga-QNn)!CmF&E_ z(t^TMmBeF@UeARtK-Kc!e($#Pv9CZ02%Y04p?>_)Bs z*9ZIO-FL#QL3zi3uj|$OFDi86xWP(`VRIt`OzB+|&vZt}O&*cDqpRq_o|8k+* z8eV3KcEIX1a&NjQ2l6$n8S&l%sjV67BOCr^mEh(EuDbgBU5aLJUSH)gql-cI?tXyr zw-ghth#3BzhW#2F7@Tlg53alj9PC@4FABKzR5t)w$TKhB`2ih$+JIe77wb^AqeW7U z!*Q=7im#)oZc$_(j1U7{zYjqj01Q!viKGm6A9aNw7^e#V$%Ou3q6}zbj2YOXH708~ z|8qOCn)3r~8}+&U5C_`zz_$}ShiCP_79|HJM`jNX;mCcY>ZS{U=K<$;}vpE0M&CRCml};_o|$nh7WVu9nM(|0_S`zSo#U=ohwmG zLBE%EStlddEVSIx(_J2c>g)mXTi#b#6lNP3U;15->I{&)-FDMUz)m%UhpQ+5F7+GZ zV>{efSTj5=ml02~A`dL~N#*;azE#<(4Iq==2;qiLaUMFB^fOgw{ytm~hhUOz(gC~8 zLfgkABL;wcTJ5rcp2Q6V1QA0ti~z8Lg$Xo9^&M>I3vz_K?eMBKSn!xsQQ{^A88vsf z9tiBi-SSC{QZXTexv4X=%E)vu=evx~jdaXmBRahO(~iG}leIt=$x5L-`kITjr~8Bw zTO=D7*YfpQwPSp<3vaYPI2TjY_vzK;KQoXJ3pzfzEg#Ine>*Hp7~s$#Hp&igf1G24 z+Y{^HK~Sl8WcnQyVdhOZJr{ogD3&|O1ih&Aa@x6oJ{$o(IAn}j6>$5p$HpI zGa@SfM!Rr(hY&jfo)wItl7-|cF|7>^p7bbA!cg8n=&NS=cCiz^nA_g4zlO2>4djR< z;e!s6QVcNJF&J{F6i^qz{?bOkcBC1caTaN&yD93$K8fU|XwsfOB* z)HwiojdCP+cY!YpkHdMo9Ai7yaQQg8P#es*KAymwPQ~M%Fup@@B6zoP`5{((3(y3x z`p=v>AM#BX3fk=TKZc8SHrv(Pr{ey&qr{eGOYgRpZ5Cs#&?zWUF9gG=&o(d!#D);{ zeEdIb3^*UzsdD75=K7>{gw=;6{R;wfM6)t?prAV}ZYSdkH00mg68S-(s^REOswZ8dEF@li@}_=m>!8}B3St4H zu(p~DPrRoo2_4oF>`~yAYy#)Lg03X>F!6HMA+3%+#9F#tb~6j81iTTsJ>1Eh;!ISP z5{fKp=uO-kN!;|s`el@y;0wSviIM{a)=X@iJ%M9JVr|R=Br0`V^~+KQUWO(-rNezM z?_@gEy|{AiPb6rkPSOww+Hw0zW*xx50qaJ0e(oG?Xw=)yPDA8go&j} zla$K@%a18onxJl*lPFgX|EYwP5)p!@C|E8PAn5elv zyQO$>y8q|T5caRLsPm~COSSm`F3H?g(UsM=axzQvt%uC40RMSX@j_iw!)Nd(LXUj@ z?|2SqoClbIi@~hn1MD`9ti1*&M}$FejTe0eEu zZO!JdD6J&~IcE!xMorXReC@7*;>G!gw4gbKFK((ap`Y^jSmE8ukYJ)ITaNCJ{nuzCwqTdQ{=oeo$qO(oaK3%}Re`P4 zo_?aKP8QJ0da+&ht1=wZXsMt9%5CFjj1=F^wr%e&iN#&l2? z$ktTJ@IZ_$BM@n1dwjx#{ad zl*5qbrSxXjIiY_~2^%+13wy8)hoMFXVO+Ob3LRpd?u*lqrjG<( z+uFaAyDo1GaVRHj_U&gXxaB^YU@&4WO;5pLCuhgJq1JD9`&WfaLSuVMUI?#a>vgHT9WJN^Ruw zJiL<^v!=LE5wq|K@2qB8ZPf3vvVHwa>4hD5k`(&#^Eq=N$ROS2XGMvK$E<{$*+wR< zl?j{5S1^QVXj)8U#>0J~g#l}(yk|zoF+yav#azX07225f!>=^@V<1wUaczHV+O0nO zf~6k`{dHR#couo;ckk5i%-a(M9MM6ZJJz~W5=7jlQfR)cnd;Zp*T5B3dFhK@HaojD z4Jr;diu>_#??Tx``GTE6<$tmOh)!|=@W*7T-~Yd__t+P3%G1T>IWgMpy#C%lpkh$^ zzD@8`4Nsu71x?X}U-fh+|Epr1;TMl|*#_fCgsRl()b2-_YjZ-6aIBi3Jq+~%rGWUe zD)QM7yufclW_mC;HE2&_d}&Zt0S_|i3$w@w;w4O0uU@XR|6m|y2FD5}zy+Ewn;d`) zz$agP6Dwes-=u)2=Z?CGc}Yye%MFPM9N3%1>E3n+n3lI^ zz0-UkB__jl&^i!|a6^%@4X}^P6alh)`wcu}|F|^y&pE@u73_yH_S-3#p4K%r4i?6v zHI`q;%TN>FOb~RwW2F2Fm9KSC)lvQfN7}P3w zoBtxfUd$Io_+_h-C+QB$^|Ph#VLVz}ot-Va__J?Eec(b4Sf;luA7l~Jo`JHc)!U8L zvgE1mk$bv6a#(Pgmb1%U+xmBtQVZLCQ@1Szrs&J;GNACKk^lzU?~`&q`PmefFmuf= zweu#<;e*IDy(+mAdRQ1mMT@?Z22Haf`!C&}Lxu}dhz1Aav4BTpPcXP9;@mw8$Z++t ze;QH^Bt+HQ`G+_!%#V?KmwhNa_`u-Iv2Z8Y=!e}VriKHzZE^j>PoG3>9nLjb^kAAXPBgQ%vC)?i!fq+dW+|5+o2jXUbD7^02GKtKT1}2cmkV!8A0A zNvZ{p*i(miTs`t{NClao^HuO{F!3}=Jl?S~Hy7Xuf!r^3hiQ(LoYs9J3mF(!bFdM} z5y-XRmPxY<)|CM9`<|4fjxpKgp{Ga*RmL`e9iRdO<$}A6Yqu&{6dMjX=~G*bF|>LV zTzued$Jw%LAET>%q$L4qQd3G0cVV#jD_IMfHGtGAkIp=1jjm4A(<9*yWKoL;Yvp%2 zwME@(!uYl_g969TZq6F(-<_l}Yp*u1wc`FVaoe#zMTNg)z5eLO^Ub~?FylC;)*)m~ zeENN-U)4(d-=y7Q%Q!wMkK6C~LE1p0@4aaivDJyjS)fVH_O$-18t16wnZW6@i`I|l z$d0Wn|1!!>H_djFo0=)W>w7uRh1NCZdoW|29MIWPDY|uYE{*a}oZe>|WHv0o8XR{0 zmdM`4W(9#QFAogtZK#D%g-74gS`+_=fYZ|iMKqAyi4l@zV4)vNuv-H;Eojki10|u& zPGYqq&-U)*hvXUKIbl}qgnbLU$m#bFzUT1U<5gv&hHR4pEY1PSrAFp2zVuN@4b2|A z^l@Y^C;ls-)plL8s3m=f6B*5!%QG|$apog&P*7e}AKP;H$;B&t|C1jG*C?}XsX;n7px$$ZyM_)@}_ zt4MXB^tY=B!~QN2 zpI(REw+hCg0$tO9X$Pc=Smi-!c*&wur~B#6d(!fxlDu@6)63a=6>faPt|tf8p87#& z`3u)ACa|p2a@)6D<$!}^Z-T4CG_1^J0iq#5V#~C`1@1MSTG*QHuz9~blD({r?q|M* zF+JNW_PyA^43G}M1kUNWkIBiKQSa91Htl}98eS(&msPoODUTs+=%Q3HYF9v{Vo%kz zG!}R%QeRQmBFnbVNoftA3+7NcVcBDZZve=XzGq+smboD58gJ({BC38)gPn(`N~uwRdodP@EDatmn%Tp5;kmdOX#tI93*_@R(JZ8I(?`(R#PQ!PmeW2~#=zsac>WXgE z=ar{91gu8zE$*zEMCRpa?p+~I%hxQ=?Ls*JCe#UOc@xo!3A6t(e_*aET^{0J)kH@= zbq7J}0ef)BhRall`E3OiZymbq)$f+51t><&t{HXLS5{v-OjA0LV^UOgOr>}JIMk|$ zmYP&uBL{~!sjpS~&%@ZSHBRUHBpWh-*3H{1^Rf)NxfNR(|7M4c7!$3!zOBsj%-xwq zXPDPQU~6s&02VM)&k3T=7}%tgVF%s6ee^{FtfWp?wUhDUdvB#C+1A2lcXA#0~L5)Lvu(Go~E8Ij9pd9kw_piXm6L#v8wHi zYo_?-Br?dr__|$5Nqp3Qq!xI#E$eXsE2z~Ho)6`^%i;cH(@8AXMbnNd?fYlhNe#)} zuP(9=NtLJ^%MVw)f!4>Gjw`&oMqWxdWiv}Vm8Z)CqkDjf$pI6<%$Xb!`L93+h(saA zEw}HY)v}|v8m|a>u`n;Ete;8%hJ}W6zNmU!Lit&n=5{&4fP@=4Xw$--Gt(VTOq@ct zVDa{%2)tVtD(lXc6I76>^TZQj+;NQ zbKQ^lEqngd1s}OtBkT)(tUHEr zURHi7;Ga&wJ;bF$3V;0j?&GvhD7&2c1P>?wJt7Z3g(6K=m~9qfORYq-r2e~1%faO? z+3jieslnyR$Junhil$l<_CSw)&(n>#5}mT;mnj|!Cx0DbXjewob=~^@b7tLE-i2yO z_w(g*9hqWWPvOa1Zs!*eYEqWQ*(STu_owvGO>JEol?%$-6SY!E<4VnvK|S8S*i5wm zZ~OJt^w-@}|6viG3RZ8Kn%F+1D=<9YmQ)L?gi@H}ifC|zt_`lrMnB7RvffK|X-;I3-0HXZG zX{wa?`Mc^%?j6Xp-NAoH2$E`E8OC>L$4@{E=96Xl3U6f#P(1wsBc>%gr7 zDPfk4bE`|72}QN~t^3t=>Nh$rRF2|c(F*1)2|=5UU0!|k;(b zO-RV)oNM88-whQ%Z`iZzF+MTkKU2X|y zmD4PJTCuP{=O6vguB?j@>*vb~t|MLF`kxc>V^i7t+s8xP!{si1DM)K(LJ|GFw&jEe zEr~Vw$oSZX}voc?Ad zx&5y-t`D=41!$`N< zW7AfZ7(?HM+~DS3-E96}Z9=eUIpEJK<`~;EX4GaJxVvG|j60a*du@A4kJvh0YM=&q z2UzM&p2;mCHgM_UP9w9fGJ5x5*YF@DV^!hu$=CEU;tqcel7!m;SAomZ8v3IJ!Kh}R zB6-%Eqg~XIap9k$S&i?kp^aq&SU0mKWu#|%F4ZCok+=yiU`HkoOf6Q@w$L{i4+vxHY()6v^ba$FAFO-eL zw#XLJ>4iFnm7CP96V*SOtxa0PdbY_tU5_)Kmur=T@wfoVmYPJL>#zygw#}(kh!qF> zx#@r9q4JFjIPuJui|+2@{YqiRPN5ZGF+hcyn=4+>AkA$aa$O4W^CCooyPYKCYp{); zuu_nKK$TF4rmloQNuf9kK@|{yVrmV3^_>MPmqMi;0fGYNaQUdYP~y*!vqb$<0N{Z? zPcCL)Bn0P+R(X@y!PTMy>;42cG~~tj+0{!a^pwX{VjqUlbVBUfpb3 zUmYz64h8lfFto0Z_MuJ9!1svaNfC~(@S|7wDuV$?ZD5SI)21~c?-mEgj)F5cb#mQ%VgmaYW+gtZ zi1k)P4@^kQ(!OgDp&_qO*|vk$=}X%zWNCDCw`n%2U7$x6DtLq%lTdLR7W=>UNsGRaV^vPmo=v?^ZS9d?Fa$` z_$()h<||2CsXWv{!UxWxNo0=+5`8&jE3GwS0#*d;C#i&$TbKZ%1ySqvSQofcBg`}? zrFYfWmNB9N?*=?rjmMI|wt@a?Q0o-rjJ0ZV8Bde!vPL4d@)oNaXl`~)Wf51-ez9P|St(9- zM4dBLuiB3UeWMzkw7Gf{j4!m^MAPSn1iF$Gf*0Wd%y0eGzTV(tPeOS|>P;EaAlk8_ z#hs}}=h!L18^wIF!)+t>e(1FR)vV-aQuq;$TA+C!QA7*ufc#Dq)A<6#c}0sfcv<=K z&YU%1-_&C}itI}e-6D~B?Vq*?9$u+Y36??|)P?~u2%0Q2NzU~rt*cPyl(ylmXPI#| z<*J)xek#}4&y^i7F_!4{SoxzXjH7WglMD-<`owf{PCziOlxJgH6l z&t5}8gwSS$rGIt%}jQQ89 ztMP%Oo~4bm^`f6$8U#j@^FhDy%*M*t3JG6)Q#w(iM3G}8N5AJjD$#j)hPzrZkmOZ(Cb=hGHi}D|^ZslMbKW(>2k~^tw#l=T^FgsJR7>iOmMz%G@IF3f-{AJ}bn# zN!Q(*gh7slO5(8k6a+XE9{xsD{|{lJOayvDr~`NYi!*0Y zGx7&&uT0wyw4r|EpIyhhIL=%GD$b)!(M>{gLIO6I$}gxY8H6+xl2WNsc!+#DA=;1h z{$L!`4gjv5%HbVjI_vL#+cCpCxqCCtEM;DOcGh2yJ9D-OF$}o*Wm#$&du7qSJf|}~ zV+hgsbqN%ZR>r>3yy}-ZbM2+y{brEPdgQriXh^oIz z;F){~*orz~;h@f96HQ`10)dca>m*eA?v3e<7D+Da>`5G8c~I;5lK6Sz$CodH#Q!59 zpv&)i8%EyYdR;&IY_EKs*+;8l-|j$h95!_EO4U!g8~bKFr4?fd?ma)mY(JiWxc=?n zwBheCmlNoYOJ`uy=-))o2$1r1AAk>p1RnORJ+dCz(F|sWyUC|vlx+%0L|E>klcdgh{h72KJv6)_izjsE{-4_*^VegX$ij0W8xfkfNOx2NHJ&~N;wcNB z_j0`xB@K(G^oeJRG!)}RkiJEY((oe?$(?O~QL)m%M6&T3iL)z5`xJs|zf%||fq8p8=Qfbf8@*tgZ96wAdA+iC>K8bA zI1jX2x19%`)ynK$8L!sADy(pAywRn;-jk{>_lEP;g62CZ&wpayY`Fj4b*vm7$Yh0@ zcLwy-rW%UE?R?ZSQ<4&S-X|q~ygKhDjx*QTrB(L$KxcD@ADoTYZ*l}6o1 zF1=5*h6RPL+k=Wea+ka!XPx1*t#E(1U1WEi7aoHC8wA$N(uWfsLjjv%-wv%&8D@fs zwjaBd;+XBmt*LMI73lPtaT+5N+tIHnsGQLYsQ)Vhp)2n}AFD`H+w|+FtS0h^H>?v4 zswAeSkgF+Y-8TtDB_6@J*Ywg4C$tKbWovW4&s7tD z?5){&@+e87tl^J-!F7zYmb{o^`Fj-7z2});%uA)5J)9@aPG0SIbvUpHPohE8AAl#y zYe1-1o8}KDQ_*uvk zKyq_%9h5&kGT2HMUx|B9l5#d=hem!%2FM=i0hCNrh!gCCkFfSLgOm(H<)xn1#FY1v zt5BphGQze(@6q|ey(Cj!hP6v|s)W!bIw{@Me5qTB=W{efl^FI;at2F|@^ zf(l#mt(hjh0vRHxZqkPyK}&bW=Usk1;et`YN8u)uKTX(Pdhit+7X9bZnI(|R@*}JI z4Sq?U6xBDo9(U}PmURPiA=b9^YquKaa&-oGXwKd6vdIn0iDg{Ze;fg8LgAdYOyd{OBwv*%U;UKkZ=jH#uoK9m z-S8U)Jm?CN`1{459MOQn_0w!%g*|Vtze>Ghi?VdIcN;*|^Mz~c=oeUs*`(S3bSf!5 zeR810&pgbu@QSMsww;rh!74aE=V86&HQMfs3hvoSAi~avhKnef_(g2C}+i|A385d z`-EgC93&6{4rjM-zCI2IT=bHk{MLz^xbN?&8&ym<>J9Js`35D3(tLbej4rc<%L^F zy#-6LuGKFIo#=3f#NM?#@mC34s&}0nW@lQ{8zC$shKbjBN-=Pzx9Q>e3V0{^2GlRH zXf0!|we{R`s8!9E>4U?}zF7;<+SI%W5 zi*TAITJp0N9@;F{s{SVbdispD3nOXRrpx(U2^FPiJuwkaHk5b+qH%uMAIB^seGN=) zq}=0PfRg&~mxf^UYDj*rr(AECAv#KDL#MC$G&{>R*X`8q9!;|6rYlf=)Rp4@Fb^6p- z&`_OOL%yQ7l}bEv{PH*}R;{n#61kWjBJiVeaep7d~ief=jE-w#V6lv)HBVS z6O$52#4S*#5wU4DU!8=*QCaHtDjnmhx{FjbekWgiFm zIwdRJV?EOzEzbQ6_%57*Lp9#xYqFpCpXZswN3iDiDLgq?5)(HNu??wn#bmG68ByN# zKJKN-R?JD{A$C0HEXtsmF>)X7y54I0#ifPU9VcsJo8@amRS9@JbnBizlG7`UPQc%j z)CdGt?W=8~g<=MA9n)CMXQk!Agt~7Ce_zxzu3s??L~U*pc1=iOK+%lFc@CPer(eSL z#b|y92fx;sbDTC_>I3zDQDCC$eQKS?#!rBAl%;1GBeE_<8b=-aNYFG5s}u9>j-)%o znFI&|n1>;6<>TPBE~}6Jb3+@m1Sj6xW1D%o#FG97W9F}$scc&Rbo{MOwow{&&gWim* zN?&{jKo`pYJl*9z=qpt3Wgz6kUz!G3?oH9}&D-gf}^9)_6;TAD;_e+tbw8Ld}W zay|QGJ1~k?4W1(!in3vewC<}?Uj?{Vb6`s)0&IBfU@n3at=;oO+VibfhZW0z$|4RP zL-yinv|I9?)|NqzVLe1GYRGX;i=3D*>rdNu;o0 zZ?Smnp^W=b^`2<=X~!z5L(mb`bo^LuNtXAf7*j%||v^XcotW%+PaDSY;~Whoqn z6Q(OW8q4lq%IXg%CCfD2;~lQzX8L;hc_r!!EqNd3=JKa$52N?WJ)5A}zmU7*K|p3v zkLT!UlZ5u!O)zhd(E5mSE+cGA`;A7Q|R2;RM(oSdSn0dFr6Djx!JY z4jCYR>yhjaM~QixSYAmfC~wpY*$kzaJV-A!d3o~o={4}ZdW6ctn1`N?vkwB-<-aeQ z8Vp#*WqOqO+Nn%@e)sbCL<@!auAX;rKV60XW}aWV=G4lHf&s|};@l0}4+Wm1h317r z#8;(k*U*QlP1nB!l@!J=45*oZM{-B30jC3<^k+uX$L-e$E_1|Jwca)FDBfqH5(ntx zDltI;RsA@b8+jjT$61+L(HTXU%_MV{-|h~-@na_%eIMmIrv@Y{tUlLuClGMv7TN#2 z5;SS@V*U-?4jyd9c={F*O;krG(hzlz3%s^?}iQPCC8s)~^XU(%O^2{qP!q$e4aw zxo?TLp!v%4#p7f z5xgsy@Gd_GlAn~+)t7)lO=bGPBrrz>M}p0D)z<#Gjt@pT3KnvpaeJ`HeN~?6oCOH1nHEayTbug8WaXZ>5!7{24!fF1_?nxrF-ZGB}EXVJEXhg zt~uv?_ujvJ)Q4fu-g~X}zQ1~HpYBK`^X{ummeL(sRf<>T|2}b6g}^)86~tJJ5;yk1 zr`VI8KCX_RC@E=TDXbZoFq(SC@xGuqg(4&Q(v&QD#~|gWhXkQOl)>9{|0)-dT^)&e zk>Ry{R=ST$Xfvn1LAb-5dLj;%=EmgRbDPM zZo0_h+9$`@J2~w<+&{18cjolRZAY@ga+^Dt{IxgxrI-BKoA9fn-=yt$t2Z(TX=`Af z=%XOK8q8UcSpTi_D!1rF4t@xVtOqHdwdsn{ia;4+Sq6`{fbHVVmeOe8u3O8tA2&;q z-w>SiiiHrkgL&)0XbnseOz*D}$v`I`@fdt>_1&7q3k)pDZ4iwW&5W#0ed!L~N)l`XJm@_O#x6`mqS@7$+B=_^9>6J45; zinKn?$#0t`xO`Dg(9gGIRgLW^dy%E1TuRr((@A*u;X8qMxG2!Gz7RJ+lSIszN21~J z`-M(q_kPeN(8@_f*`vK-ygd$6u~+nZd+1Z9X+NQ)L*tx(K!j0&>$P+^Px|&)yvNaR zo$h_4LSH-aMW|z+3}&bp;^Y_%gbA}<;MdR#{nHclg3@6?LM*Uw6Ud<)BihfJ2>Q|~sKgVPQO9{M0i?8;XY2AXU-}`V{sG255J12bv{xF2xM@y_$MGII zt0Ua!-#Jws9?!b!eVWwOu6X`SaAb3DOM4w29!Js+Uq!MQ> zygFjStBpFPmv1#?!+cs9Vd5gSzxFm*P{%;f224~_z2jj%`pA->PK8rFzr*WS;Y~{VYcnS6djon57d|1(ETI+xU!B zw{PsJzb2QU8c#*<$5rPK{*_GV-eDTVM{IB~PxS4ePi0Cx<}ULwxf;BX0t1Hxy-gu> zKTZ-O^AHPRpV_zawM=Lih})<;x51<{YR)>+@+uGLNMXeV7%M1^w)xJ3#eqKytpC}i zAAxUUJ)WiwG!zdNKS2xnBpGAwxG?ZgrYP8;_3;r5WUapYKUhP!{myzFiYGolja%TA zXrUWL+e2DB8{=O%->L`w;{rDB1#t)LMId5XHmCvN3dSi7u*E=E4wG^N5&KA~6;dC# zeZ>+!ku%)k3JAq1JGmH|Uo*7a=JJPJJ;Ud?23~Ng)o~4SOoaQ-_Apgxw5dbEO?Uv0 zsO-lb|J)3BRL&M_hP$zT2GYaxuqK5$>`_&}E!aj3N#}fd9v^!i6bZL)_+6T~3sguo zGrC^iCo`}a+RZc&XOc*tc2ku&8?gri(%u2>nXs$-N{U6;+3UW-4lp})2ZDo3$nJDb zIYVhlJ+3jIIc|~3x!E|jZ4|O4MafmaFg8!s?Zff$s5#$^Y)7|zHbA9S8qbv_J$N`@4`7c#TtOqpxeU) z5R=-}whBzf@3?M{$6`6%Fez<=&<(1hJcvaiRF{r~WIw zl5CQV!Saih43X{4;OT*Cdk{k&3D;@_MuT>c)If5J)$D+{1N&a93*t_a+lu+#Tu^7? zwT54A#~1Ol)4lvxetk4>^l(;7(LB3RRdYHF4b?PDVOcB9g;OiDI6P>7%dMRKO8nL+ zqV!Mzdh!2S2+?exw7 zu5j(!o3WwpuG*h*G@c@bRV(Xz8J!Y;fnbT+JjElCBH+OtdWQRtmv50@Gfg>+NzQ*f z7$6Fv@mAlQOLv>4Do$~?MV*|Sn4NqLX_GGZ+EVN;A^&zai;w&wz~=0mZn@*zy0gUH zrkj@*zU_CrLekwPIjq}f+xvAhPMX{@MAmde85pduT*e6VGtYwh-d%iJODDaxshDW{ z#M^;rr75glXhyd1s!uX$Hu)AA!tk?I-i^JQ-*SS^!W<`d!qf=|bCVN~(u_vJzO&U! zL2LW`b?eXisL!(!zBy+q=sa55RcU_tLR!r$`Yd0|Tw8SWPZtmXh9B`Q5C({Q>@Ts+ z5r4a+;|q)EB-Qz=#8u1WeN}(AH z;2>C)Yb^e~hvX--!keX6)$^ojb|kKYSYcO-J2&48fAE_gM6423ke)C+KCCLCiJu-D z4JXsy?wumH_-K@8zw(teiJ9_{7hbZ)-h-q&>D!O!t?cE8*~YsjbKj zNXFJhORjuLcA0>To_ePoU`u&pCc0K;v+dGU&~sXAG@EJx)?V z+_$&w>IvK6<9dZ?fUb7JXH1P0vKGQ3k1$R|ry9x>qN#-KzR{Ym;PTg`2pQ!v@5vzV zlb1zK9zH54BtehkWP4slr>>R~tj?@KL!<*fWCbydk5cd}eN9*BP`e^8Fs6q;iYhfZ z%Ws5O!3B&z9{)1v;6KrtGhbkEXOw}jcnc(iTFNS>@TZNm9d zwIfcQ%XSV=U!a#+*@yP!=JO-*?LWh66C6j2lALi%Mh;3-j5M{gG4XxyrU z{qf88g5UAU2N$h7IAv_f+Rk8T5}riHC_v;(0dx}LG$6`46LfaPFR0*e{qFl@>E_sH zhG;-zNJBTm3t>D|*u=1!wxHan=o45M3pq*D`G$Vj?4-XZC*N8rse2nmRPy$FsAri5-hVrH7c*a{a)unP ze7Eb-wffO%0^il$z$u~@{Sx4Jx-|~;-Pu)?)&dKZdx{N2zEt+hsyg0p&r% zje)ty?fYl9kM`wRf7gva7wJ5U^V>ckVhXPG=%9Z+_f$Q8`C`*TnhHX#aL-=9sElou^o%$ze(IoiWFK#yY6o}Xmg(Ptu~%C+0^N5oBbljsC59>;+PfMbZb;g= z?;49AeA$rn=Ow3-jb_g9SU#jAZwL8U+zN{dms+^CuYiIqn~K3@E-@-WeD=m_%dY#_ zsFwEg?7Az@rwG@iG3EM+@%KiegZ=i@cf0LQ_g)k=PiY}Y>y#OGQ1^9^C46Vr z%dI`iF%_?wBoZhJr+~LJJBiddRaRTSdCv~et4k8J%_SZWBCkr2b`eRn%ya=P5cfDc ztOV1sUoN~VONbYsfvH`K z>X*T~_)tY2F22?FDs9dPCfb>W6)^Tad^v;pizW7C7z0hXSer02Tv9(}qp$rz@jIN} zPdBJAE%K5|DFL5lKOBj({Vi29*9A$Ro4-^;LsXQ`-)ll#8ISE<@*~WHX)i?-2{xxd z1`K0>_5RVwd1lib%iv?)Eu&%@r$H@R>xXHxioOk)BKfHOVX}dzjG>sc#SZ!wlp?K> znah1WET=<+60|55G?w$HhVS4a+@;08`X}v#jA6Z1Kz3O7iZnk@=gDV{j5EGV1FS}- zQZ%fHspPAOwtcLfwY%L1oA;?g^J?Q}xXTzz7P7cMpVcePgJ`Te>83})4gn_No-VyA^;GT3JB`h0pHJER6BL#|;60a7hh4gT@{Asb78<4;5j46;V z+cz#W`JMmG?=~B?yn~h5np*BHnnAvrh8gBey~=-OP-3fpLh#7mwk2@~HfCJMwefJ! zcVYn8Ia4t?2Lxw1d)RuDkAL}XVsSG{I7;)~PEXNO+b)Xc8$Tv2WcGgK>LkywgjzWr&dI5BpM;SmHk z@WpK-1%@8M1@aR!I8ED!v+wLs3W7cTF0p4LT5znk4|uUh6|sIZ9+utfk>Mg2#A!(V z4)Z4csLm?Nved$kN^FOBYw-93;!GMIO)3vXVv_jq5V{qQ)mMl12Ho$7WIsg76SN04 zpd}eL!iiE-oes?T>Fh&X`$IF?_o=nxgMQNQr5k5q@jf+0=nso#bck!8srjsH1+3-u z?d3@nzN;i37>O%Mb7V2Kb{{TwWu2lf+cc?SW)?cyzSHTwyFk4>Ic@p!Yuv_%jok4N z#6+jZ+!;E92SK_nJ5gywW$Hug(^)46w`~_Wk@{lzNER z5=EhP1HvnSFppAn`s26Q=Lgay$~ z{Mf?^f6!K?*M`;e#V6%C46n77?*;pw%ySNUU-uSnmhD!Qg$X-b*q?avvNmj~5@R_; zzL`qc1u+g}(-U9g{dX=F9O;s0_&P`4*?th6*X&SPYF@1^xA)g1e~L))_Xe}@u}}%Y zPaZq3;K3ho65+$2)diLuE zV)v9sq=xX;sMVGW9eb(YKKaInQiWi0Xv`EUM`3i=oY0Gr2({EH=+YC-IwOU_7j=sx&^QL$Snw^WQUPj_Q2Vv=H&gzLVcDrT910|WyRV0 zL&ZjA%6a`o!ai!p0WIEK$6v?BUf~y$=_Lq*N6m=0jo!bH$$OQ~G|&Dz_2_uB(t7OH z&3T%6JZcby*oWqmIPgMfe%zxk?EKvj7q&cl(f9llK&r%XA3Rp(*GZ+oQVz&g%_|tO z_G%RC*|s>p#m>v&_3>=x|No{DnpjAo?8g;(0@>lUzYuhjlF2QP^}>u_{SEMoU!eUf zlxQ$jl0qV5SZof{{HQe5=Fqz`{}IpO^w%5_H^w zM`i1nT1LpfEzi?kc~>q5*6%2LEqFPCkjZyICMP_!Tt6Z4_qp(DL+tYnk?kK-{QG5+ z57@mbS6{%Rk2zYdmC$HP%NJ&Ub^6mkwl&jajNhYvFhni(JhA7X*>%sd4tbZ#oD8xc zf2QF5v5S>jV9dxHo-G>3xRA>V7pm zSFKvq>MB3eyjGZ%i1sm!}vNSj3FnGP^HxZ6d3wl9d zsHtB>$Jad^u6p% zh*=viob8`#tW-S$E-WZ^(VonY_LqCIMbB^PvaDP#R3pQAwj)qaQ?FJ018%PvG9bU@ zF0?yUImPb3n9S@cNh+DSwpxBJ&SeWoyps{t87LnrnFJZiyc?#}m}{bNA#Qh)WZ>&@ zS=<)3Q}WdTOW$cK?fO9ahF{hCQ}9v6ZyH1wv23qTOl=Q)#^Wk$)KDtrb6`jCqa38S zTfhl1l{!wg0Rw|G#CR;35hgi4w0W0dYf@rqTj!3)8cF|($vhHLpo3s!8#t4)S8N^XV&M?%4-|SQ<38N>N3|8<`+b8 zNoD4-f_x4sHQMCaP$rQG5q;A~-^t7&zqh3LAbbYBx%}qizBF7I2n)B7;w2|>Q|Jk% z?0u`w5{SD&Cwlp`85 zo`TnEcSll@mxQ2;N!|~(IkRtyrC~z=+ps6dY8Py87`O+wiYZRgp13XCB#b!IU3gz* zO!e<%K=`@I)C2zk)u21}`=FE2oAY2Z0SQ{E{lP>kH*3*-0;92K%^l@Y23n=^3elSvk zw@8A!yGX=%kn0YC8`6nfUo_u{hiSsuur5m53Bxbq?$B^mp!FW!>dMB!%(hWd=WCWn zCIZy--BTKH0j#6-f)c`RTE9!=9T?G61H`)=kkRrEX+47#T|MtMU|1rdUdIR61AJ7M zUl!$_+C%s0ttdDxt{U z$lM@xMd+0!@|E(Wl3cAy@X@B;P%5|Z1%(^k=IRo>0GYOx0xdF>-qH~L_X%kmw`4^( z*?qdTq$$4`&#eP3!CiEwndyMxE$evxn1m)L_M5DReBaUfS)HEls@9XJi&(p&AjdAe z=JekTMm0GW%Ke7+n}D;5JW&N|XD+VFpK^OrsZBst)XV4jSOz*xwSC|E=*|mhI2H5H z8XV%XZfYmxd*w);;9WF(lhcb1zt>n|xL110kUC5xH0x4W^;bNaic!uTtSo%cnXNvN zD(qy!fhB5AHK~fs2d~`@6Umbrt!8?*0RmYl-Z?v!VnH3BTpRS97QNNaWUzc5WAx%fB|X+u5*f2U8`rkKi0gPF5J@(AHD9>~rJXCl$ykFtdg~>^C|wekkx&Q5^C?$K6CL-#+#@k9b6t{nM^GeNG4J z(Y5kWNdHKCLGpm~&84ML_Yrlk@w#;Ja-m>E!rliZu25O62VW}?(V6v+{jG!WByn9) zUBq?iWc=}&#cA7zC+t^yt4~_kkY+nCBqnq0dSKrW*sDY zPF1?=H@c;-9jHwQ@SxOFGvoUVU=770Gtt_IcshT`m!;x9Y<}Yp!PWu+fu#-19Cl@v z4EqcFl16R8Y%Zz(p2m@h0d21xc3c{VPwFs7!5V*JNCBbq24>Z33JjYx+v?C)##{#= z zh~#^K+EK%QjI@uF(Ra z?l}_#NKZQ|=|0kcmcm2LRqr#TY1poaX|XsJB0r|DNSQ!c_J!M0Tg>{3V0q34XCwJi z_fPT%5#iAJgu>1xqhkKpZ(2M8)x5K5Sugw2nGN--g72pui*;8#ps)G2sH7vAJ2_tn z=Z;cRY{JJxQq@dro84?3DASH9x2W#_L94Xgc~ug;Gwbp)LuJr>G@G&C(ZH}UL8 z6$xfNvJdz)$2!h_;kYo&QS{=22-rsZJ%uIE%1SacGZEWmp`t2+yGYd}pwn^%{jJ91 z*?{npHjw{)^EKp{t|63KUwNb#ur$B?WqX3^g}GKxfjYOJCGMX|CF5MCKj=F!F93kp zC_Y{MnaYZAQ~=sABz3Jo=7;yPc;{GXo&})SU)%TnOE2Iqe5WDmCnvqCF|ByB?0QJ$wth)RVVtaC70kQ= z=d(zy?L?XczAvi$^-M#gqL4T{ILiPQ?(pj=YiF!2>^JO{3mA=uJDLQSaFQ@SKO9zz zW_TDbJIM{g1TU5Cvh&zF70#FSY*A!b9@?PM^_V+Scaljpplu zY$`!*i^4wS5*XJhqE}!5gd%Lu%D6}=#hZ)yxu(%4E^7WgRd%lEEhZ(WFlZgleUohg z{0!s^*w2Wtv9S35GPDp)U#Nh@Yt&^1)JVo&lsVA`u1C_KM=OluiDhI zRm`dw;4G1S);Hbtkk%pScVjY`CZClp(%qPhrnCOjMyX<@N-3^-)QqA3MS&9&hY#~7 z$hQ8r3#Jk%2z%fNPU4fD#ARMGH64tDE%qOR)*mMfrxH#cdaCO!I@+uA*6&+|O;ddHruZ_S1;tRx`WF|N#~MG^eBW6y zSznAY)z>4Dk+TZHOXpD zCM_5W(Mos+&bOn7EJff=;q_08t<9Hw3G-5LJ_E5jf)Zf1=R8o~qzJ{m%%k50c}Vg^ zc(4$(XltR|<$6FZ=*Qi=#B+LbG9^vt>$!f?57=f30nvnywVW~a?4BtZ`Yp?e#K1N{ z8wu{^p+`U5t2&~If;OS!s7`?aiwd||qB{h$b#E6aFNh=-$gQCx^M>^FN~AjG-U4N{ z{Zg#r`+lKGm~t}Dr)`;siA+6`Tb09Y;N+kk`?O+IR9I?iy8)V)(#r4L!zZdsMsLc% z$`#W0SWXyvhCK2>i@%lPn633#*B_4Xr6>Z`Qd)>SnJyF88=)^(Yp(T_5yqvFvcgS% z@S~EG#w}N>qPM${-Ir}yWE?!n;Edh|Qg^pZkKYfjG>XEy9%4VE!;d|b%9{Q%ZqrnQ zh#v#8SIz8LJlaAC;s~MR0fL6UqWUz;G}nz@GrRPITGOcNw|4l=Sp8dd+C;}0-R6|# z!u5xbrN z!RHw*Cee~)EUF(V^5sjZse>%cnu3E{GXMHGGx8#I^o)5_02_^6w5@iXZQ_K(#4FsJtNP_ZeHq8JZ6zix1KBcn~0hDMtq*bNNMjlGg*)xuX#g{%G``^>YVEoUeS} z+hqQ8mO4jZ1SKtYMTT$4k*a*mvSs<-4#lJ%?!P@%wRUJZTl#X#Gx)camyBVhes9rX zMS_W!{j-bgXDi&Kg@3`z^77+Ly}@5e6gs_%hfB*z22!}8^+0M;a9s9UG=b2R(~9A1 z(%l~IuLL!Bi2|JO-c^g<3`MIKhMvkmCB+8o8-dD=i6;gJ`TlzAt)I9)5PUhD6)`40WE=OEe7eb?K>f5GLfSzw`IEb$*!^FemB>G#rpkzuv_s^kmKOkx$l>8H!t+zK*C zUS&K|YOc2arf@C`&H#do#!|VYY4k~B$c8V%>dB^7kd78i^LXMDJ4bZPbw%(CUGs-L zu}~j2`>L=bXgc_xGQ0{RaP6#7AaGIzr;Aj#HhY7ggLgt7VAPyfFUc)D%^~LVZSn=t zDy`84h_DeX-jLWRtauMZV;+xUZ$I8A{^6OIVt#w+jY0<>Ia(zec?5>dP#a}3 zltuC7#HT>m>rPj93>3iAzr0WQTv9BYp%lkn^J`*h$J*fb_k&k6=WKJ*4W9rXlSUb; zhE841*_F$>e~Zq8*b>2#R;Egb|1HBAd%I@JQOO+?J=y9CBV4shAB=$5GBPm5$qiIp zU02+Vhnnt#UNZobGD}F#*U<4;7rWeTZ%^hmX#?YcT6ub56>w-HAPs_<6A{L#8`&f= zv{!42LnJ<6-&3#_@_6)0tDkooYe=foLn zoo8SKE&x?s1Ve-yd>A-~9vJL+j@4&VcmnjGZRtl`J7|}dYAF2pX-zx^Y`!3LNOWuA38$qYu&q<)= zR+vg)yO5?v`=jJB^|&Zbj+7^PRQ0Y|>2i^9_N`B*a{ zYr3;0xbAD>cbs;k8^!f@m$a=ut+&YGy@J_P1ui-Gu@M}{XaU@Go%C)1QAgbkkdXdk z=mSNHw^Z*nGbzF^0U`t~mj8P{{biz47pJ(WBEH?c& z!g9yxVB1RXF_M$W<4?(%b#rWM%uP@OC6Ub;yQ-8oc&w4fjw*jeD$g` zXP39-PjXL+we8GEDAcha%q5`hK`!%+~yg@YXckEw3>GSIn$4ErH1a`Y? z5eutB*3c8a_NML>As8d@-~mkr(5S9IsQ1mcc6AjjJs0fucY`uBm%rUC>P z*}VCRz0QWBgE%%JaEYrKzan_TL+1?iV|paD^m?_p=ZN}8`dz3;k@;e9(py)hYY1l2)Kf=8s_ zC~}p>CGY_GJwxD)CJMamImw-Y%5uBFckoUFZo*qE%+})KkLYGlX++qZf$8FWy)e>k zK%k)cFGUXFAWo!3u$SYR!Y<=wN=5oq7K4nH0)2(D$Lnj1sBCp*Rkk{ z_C_dvYWOL4=N%~GY`$41Y@F6KU)h>AjOtmd2&!vTa~-(6mk}=x8mR2k{O1J7(g3H8 zLTHKsSjFO45P?L#h0e>D!KAI(i9p8;g$6unKX(T+D}&J^73RSNvhPo%k?WCP#K6{* zvpWGQBx6xV%FBE+EQl(D-Jv54P~-O7=>(o_wlSBrNHk;Q@};GdMR@Uyg*K`m>fRhnv`lX z4*bOn-9jE;?s2w%Q^&w2!fDU3MV{qncs_bPi5S;v!|MNdgC56YwE3sZ2t;^2sJ+&r z`JV%d#4_EVq0Z&KEyt%B{pRWyrm`e!d;y->`M+1_c!-rDOjys;fd*~JjN((!B*y~S zXzsrSZCF5UF)SyZ1Z#u5`BdI{$jq0WY*Rs#L@$z>KXu=@RzAitdhrOHd!2)Q;qM

((LU}hJUVA!>>ARHQ4GK79m>4r zU2d^Rcb`w5RIu~)gU&!D_zswP$83n%eAuG5ihdE~2!AvyH zPH$OyNv{d{5d4!#gIfuDpY#I%V?0ey%AGJO*a2_ZH3O5LN@db=kfl?uOU6cm+D$1* z8xdmK5|`Q^c2^np6t|FI)gFb zBaZ!0utWphhgF0lbyHYXMyz|<)H@7(q8EedhIHzDZ0D`VS2X zMq+3!bqJ4)X&iAcn2lGHvnz$xRi|-II^2@vNQ(>mzVhkeUPOYOvXEagvIS{b=n1kJ zh;qU?ZDr!g zW7rUH^i?q@iBp&^f9gR};rSF1bh>c7&$rkpnD^^wBgy=CkL8as(fcT=+B~*Q>og(8 zEJ~i%wscy6Bqz3m8 z_qs_-z_BK-jlIJvKlU2}DIWV$v^HI6lwK)a*#Wwc8e zo*kSekTN!Mu4^l0tM9Jam1*JIKDACPRZ-+BQ%fd*TiM_qmWnuZaN@~G2|8oZ_8vuh z_K9$2ir({Je9~;gH=kkq3~PqC;)Q*{1gf@4T8u)bgE`NldcXdfJR{(;r;bf10V3ZW)Qv5+Dyy$ZHn%l1`8Juf6+bTX8R=_RYSE|1PX%-P5?U%O? zskg!+opb){JmQJbdZW)(e+JX`Z5}kMF1xr zV`YFSab=d-Fe;R;4Grjxy`2bo$yL097;u>EWKOgtz@3{2x6J2cI*%pkW|Y$uJ@dI7 zESD$X+LiWLyCZkk=x&@XaCd!faE6^xz_K2qASS;`V)i<&_ z?jY)j4C)Dn*PvMyq%82UvgHNU|mw`r!*hxj)|Z z%L%m(nA7(bKINkOT&pUUVi=-y4(uHdUrn%DXz^M~Yy7BPIgQ$T$QDPj$x!EBSA0fe zY{bfN0>tqo&EaUa&Q$xW@}FNB=6@32%+jp{;LqTvD|>VAqGG*R*+I|;8oVF@;6;*K z|3s=!OOfsualAwfxj;c1XbZv$ANfv%#b}Q)a4!i2lAjW*`qOvVrE>RF1?Psj!M-ED zK)ofr88zcJgxg3pf)PevsY$w*N;)qsXmC>a)3G@Bqz`x;LW@>@^*??jmu6@Hd*cjD zT=G!a8}~18I{FAziM_4R%kEwAG2d(ok{1DvBT8z3l};jHj4$js{gDj|nUZg!)v0;qmJ`x?2N{E{|SiZlpeCqA7Su)ZTA9D5a zv1Y|t*y<%VWKCol{vL`!Bi`Q*aZcW_( z!afw4#%@fq8nXlqs? zhox@gcX!x`X8Q2DnB)E7!0$fxz1=j|@lTh86%OI{7eqHZRg#Uqk3+qR+#^4UN#ZHA zF`htMp*}84L8cn$bQ$4IC@{t2S+=Nnb7?nIS0QucfF zb(?!ZF`$2~ts#h?>lyUNlifkN?()o?&x9Q}S5Pi@%a(cT5-9Wj z(YB4@$aJf`9CS$}Z<}5dUVYS9r;+J`28d#0EWmLj-aGb-`KJIT4T_itNuKR;Ah$;U z9$z`wOCYw&p&y>b5PYy5C{bu42;XGzMu*|A_4Gd$&h(heBh>#2m0f-6H4nM!bhH)6!PsK5X+Ug#aFu^^0;{q(DOBvQK1jVI5J33 zO#H~_>_1-`OR`~;MeU*#{UiYKS2!MJb|_>}2a7bEvqvxYHJTtfW0KJIh<& z>oze{$TDW{dXa`_jTO%;O2h+Hy!rT#u_r&B|b;+<7>gK%j-0fvT$bh$sq3_OzX^TW;V{OMnb3lYdFt{W;fJ zm4vl1Ki^U{ST#cA{pL=uxzDBvZ8$bI>~4q--jw}@xmTF4K}qx36+sNI!9ya#rntt2 zsDrJ2zyG@2QUFb#3{kGg_A+78oO{ffXRx;cBArYMY~SI~azu*mHm;6Fp&Q~8qMovV zXR;KRA=~2%i~ApRMEY8FUtK*qw5yWE_$r&L$JoaJ$MqStG)o$PvVP@{Am&sP;(auP zd#@JZd={}x%scxe+wS~5@#4GR&|V>@d*bZg#QKOm{POqri8-7Lj;cJp8K=(Kxw8|N zKU2sU$>b&k5Eb(l{)Ab-yI(gtr{JspKFb95vQuzCu*2t`n|)!0yA?4iDqcFzz3ESP zb$mXm*A8-BnkLN&_e2W1BUvSyA{yKt{r-rk;?bjW9&X!^g< z>o%w&+(IgcNSA2sI9l zoM7!AO)jEsk56G~+caLA&?3LDu{dlKXx49zv4?5CI1(lMhqVm;3gQjxL7p^}J~7*S zZR;gPWUCU$+T1vOL+Xz2rgt9n(s5m>euGkFxwVv%KG`Dph$6`sR}_`kgA!p>4K0pJ z!VRS}#o1~r2(-?4b6a1FV3)@-uyiGL`MfJ9Xye1>CaW}=eFu9633az`ha@jX>4&(G zrv2$`f+i}Y;ql&pYZS8~q7gn`q<^4XggX4CwCMJM9shOr5wae1$p0YeQed|{F0-lq z%qN$#{0wGD2cdhipB;>6nr#{9{)C%_W+Lv3Ic;FI=C|sH8vPv19df*LP~(p}V1a#k z{WIG%l!In+YY@URH3+pq?1cN+#{Hkrc&(wZ;u!}k#g0xO+2-xJ-d zWm60(VF)bTqAO2f2*^#5K)PNl0yFL%%;*^N2`nwfO4}01@Y=`V*Ou&VVXHh z+|AA7Wg4Jf7_s_vJGZDg%%pWc;mOXqF2?gd#H?9rkm1te4oo`;yCZ_xg(yjNOfHE) znE%A7v1=eM6=SRm#g55LmD!c-;GHbpULYI@uN}ZqZ9ngG#_Ql%_}Jp7uZDDnO(Wiw z1+ymEfx0C^Ja#S!VUZ#NZ~T92^&d8^RuE{sB}CsclzZ8^b+^U1% zd-@rK++~;j-MQ}NZhc2V(Sm-l`Z*Zs=<>lBw`--$r44DL= zXtrRQ&q%AwJ7%%|l62#7I#B^CD|4yBzckmy>n}3c?H1C9lm!cM`?7{odb!IMq4cz34RxgkS$7 zbGH+~-__$<=ujrC1vdRr1aqqA?~;|3s&joA%+XD3U%3s=Y#l(^790`qa#mu>4R!t! z$}yY5agUSjU@T#W#0g_g^TIJ4Rz^0;*hWAE4^m{=Ig-I6&VCa~?8?BfKlT55gG0~% zzi=*||1V95hYsh%E>+CRu?jzBL(9U&UbLUYZT(=UXNXW% z*0${6YD*RzD|=v4#FY^aUSBr5Nf0!%D6w&`Hcj+bU!y`q#q$S4Gbt?o@2E5eC9D{{ zfU4;~=u#EjXDP9gAj6?`Mtvc9z=mb9HHrN$HQ#~u(~N!ft<v7krb&p3+Pc*O=N`$ZjfLcDx~3 z+V;N;o+pMPpdbo4Xqe!@KfE9C3@f+ffu#vP989F`m4h;;LUl(qOKHNRnIO`s*h6hDmG6X|{B(Z(3v?@1Ma4=z=Rbm| z6^(&kI$08dJ`j^d{hlfIR6?;px<`MX3d^4{s3P@HZ0+~IAD@$SpQ(=abeHSG*U&U& z9`xiN>)ii7g%Ny8gOhnUpp&H-)YUAHG_*pp*Co^8%mXq*-?bVK|R)rHHfUl< z&G^ADoM*}sKef-$@j~lp&_CI-3mV#!q1vMRpYx(q-)Moah819UXWqGlO`tvc|NF34 zbaNlqxMZqt1OD+8pUEg~rr-$0hWcT!L(%gsF@NItxnEh69+)Wo%d5%edeBeR<6EQ7 zw;H|I|7zjTOZNXUZ0i4sTe6t3+F@VTCP9`rC3-qN&(+~ky4QEW=bUseN2C3d|Bxvl zIU%3RVEYbwF#?;Sa2uL{LW=--0983E86{LkJp%Uhhhv<1>1Nx!OX%>uO{#97{+crC zqU^mg?E_HxJRqIyH^+D~FY0#t|65ZrE$Sp}CvbuIS2bGTrKy3$Lv5$6l*Bhuz^`ma zr>dZHR7=5ppm?rx5WjUB&2GrR(O${iF|ifY*lRCNv@k-WGU@&oq&NH!7?&RaIRTn7AeVf&P<)|Fd0jKd*jT`nDtsG$#vOSYGXFIOn|k zy%69@l4r%A%`J~JLnMj=7l3Oc`R{6Obq0Gap%d7EpL-a%=;ZENt?HojJjQ1PUh8%} zOG=*9k>*e^Ei!GT#-8ru(4|YDQin$hxQ1=PT*KSI$&)XljKGm0;MJS3!WWnfn;rgY zSS)UC-iz=EO!|r{a35&*ifYMMJH5)*t6oDakb)LxQ-KLuJMiVjZ6@#6YXt6b(%YuC_j}-F*a3r3Cjt#l0d~pa z*w8!-%si8T;;N3N%C|u&eRbj97kL-9@xjVRsAGG9jREs>XOxlM4svV46mTx@^cTx` z4$SSI8#Q$WJ+2p3<*zj02d&Y7`Nu&XG=6x{a|0*3{RR?1>uXEqEt`Mu@uPyjjLVdUkk>+R}C)l6LvWbf3>kwZ!r z7mkB0s42>sg>VkUWnaXBvl{Yq`IRNUUp%=%6UE#H7A>HQ!y6tUmbbwDq6(}py+W$C zoc+1jmMMQLnmz(C1U$~qdfTy`KraX7czLs2+B)Z6wAPtsSW6n*O<)JPxIieU3Ejm! zY+x5JPgz@>2Xr}beTPtEiSF|6)3`n-AjjAjMv%HWEVE&5hDSv+WGF22*US5svL}`9 zy8CWM_qfUgV4|&-#Fh50_U2^X%cy$qHust$Qf&ZcJ_T0or#BlxkBCJ?RZkHx$O7va zZ`2~Y5@dshzk^`xys4JR{)Z$iaF3bw1Mr~J?}6FC)O^eRK*#5|$(f!=;k_4>D|PF$ zp(o_Qo!rO>UUD&c>DG0i?3$^~sC;g_=<-&i4EkjPFweGsSQ~|!o`8D;x`7h?=cZ2s zwuvNxtsx}qfW;jv&?8&{Ra-p;?`nU#2A%wc6S}+zit5rc>gxzyn1w*1(`tWmR+n|fSwR~%zzShR*!-GjWYSI$3Uw&QQbKa=n0P_ zz(uDHw>c#$_r3Md4M3<^;0{b+woLIWqgvfmweqCdnB3;cw{6alhv(M@7T_YOZ&omm zAxxj5vYH{MpVg}uDxK(N29DDcS0HQ=gQ*PioT)y4kceIW^3|D=9i zS_C}t|LgLr|CSX6)vum9{oD7~)i>*=oSpt_<|px;Hvj*8eh6B1=J7kY4nC<1@|(d5 zaN+Yz;4E-8MLg)Bmczgq!Rzk2*?ToTW43B7yA|s9+V+(n=iv+YCDZ2^#$8`K@Ao@_ z?cnv2umHcJW)S;r!oT&mBJbSRWy;_8Gi?WOUF>1tA;Fi{YOQr=l2tdj>|@-zbXt^_ z4sdHm)DmmI+gwN?ZRM(9%;oETv+ISQ;Ii-nd`%UlrY1QP95zQ87O z=AmhzJtv5S0AxCYR>GMqzto2+3`}#&L1Ui2H$I`K=Y$MUbMslqEkQJ+gkG2cv(9qP z=zZuZorf3LR=6<7%Nn%D9mV>&ptg-k;%SV`zYx?eofVwvjugmX=7P(>=$@;V^AkN( z3`~F$&c#}9JJ78!nFUPIms@mUZFRUK+S-6AI>T@2Xrv6^NHIZk3(Mxoq2T@0fE=q_*^fLNj61{h$8zp)WEtt`J zjm{X1Gv0IFbI$jD@B1HoTx0J&*V<+6wf5TUxqtT~;;p6<8Oak892^`n6=ek-9GrV& z*!4}K``EwqS5xNL1+IsV(rcWG5yoxoMwqRU$_EV%9B%A75e@+^CC^6n=E`@!!0zn1rY;QpT@{=oas()Y%G z-22aUoXxx4fHy++*afkhvatsa4lU)~2iFcdj;+Eg92EsQJzw0tdBRrqH_5Vxlyn~- z-h1Gn9LhHEorNVd^!qdJ_ljl{70m@AWzD2zs3T&)a z-|s1pbOr}AIPN+83#@Fh@Oc^QwQ*8#&}L>)v-RS^q|tl{TK{#4yB%K8;)zFOlg3`N|KI!m--lYI=vO)Qbv{o2 zf1lt#3;q83N;79Olr?zZzq=A&{Ew8<%#Z+%|LzjnJwX4w#q*7scsAspnEIY}Q4a}7FycuW;zNCjG}CJ(ZF z7U(h9>I&>N?^alCX8Ak>+@kur$wcQK@i#7o9(DsTFH8f^rl>@0D?AL%y!26fcCwPw zu18V8TkIOoZY(@Ta=~{sW_jrgdG@X_W1RH)Oiej>xx2RljzKq7K(~hO&geF&t@Ks) zW?O4HF8JCS)far-SJnMcGR#hDm3~GnsCFgei4beX5JRWRV62b6T@*6G>JQd#YY>fbdr{wB77+p9(LRCE9B zRbO+Td9VDe>QwIPFFtL%X88iE7nc*2!8g0h*`NM#fzo2S0pjf!Z3kq*sBXZlBL746d%!)bpMb2W^&~xt|rqF&zzGk0|^iJY?vNHf%pmUY^v|;W|RF!upBI!vyCyC$35LKS4#W;nQadpcx+`KU@4 zT`GH^+P z?-g>oJ0>C|^I@FH0*_m#)S?{upnzJ|T8vh)^Li0N<8>orb~DbD_6YRq{9oQ#yO?vLr_ zjs^Z1w$m?$MWi?xnES0;KHC%@_0yzptY|w&EXTM8SkG9;Nq0Sq;?>bS{1dT+SR0(k zKCgRKnDDRt>fa&Y_6U%lmFc&UzKmSNc&7*44#BV09?b-um0FMu@z$!(SbiZJv~G2& zVKt#qh|8(}`>wPOK)kB}CZ^<7;cY3QWMt<}JSyRx5tSRT0SkVc$y6U#chz^}3V{P)DU#31z2MO%V#&Vqd7kkJ7|4 z>;3(m6;ZU?1 zCm6dltq-0Ud?3)plMd%tLdW*-_Tcsge*ApEe*&^V{n#SlNF0>#DtJd1 z%1!k`mgF?PLn+acMlO`^(J$+Zc^`5mWARud5Cw*8_a#N&$tPUvtmgfe4htmpk9vZ>|G19IoQ?;_NOQ6 z!ZjZaI%d@{)yuDdWE#*3lFEaj`a81HNka%e1F)T8qpxTD#+&U_IdtHScnZrflm&5C- zPXnb6{6=}IpNBlh)aGYXMT(;K*e0y2Ls*1tb7E4Y0(<8ZX6g$4rZ)HayY2r1d zzgbs^miri27!fL=LRXfkG8yTTJj-gbMcb*r~y^OeTnxjVSEBjsNZdIFl_Zy9CcT>WO7 zyzH=>ARAE{v*&?rn1@mP&Gyc({U#0B^pT(jvss^5Sqw^$K4eNqjZZ)2DZtONWn7gf zxm}^FH@obSCIrYAu*M4D0!5m|$1JGV#LEM6cm^n1R&tjbwhJIBf$42Vfufx~g~nMH zzRO?LEJEVu$-iq^iLQO?S-hEFOa|Md6N2_KnYj1lLi5OXYu%Ob8A1j)WsV|rQk~Nu za6FiiwkWPlE1Ec0rW62q@L80V*`(b5QA)K4x*WHQS9%r>ZMVfMR7F8dYmjzHSzJSv zouR{3c7ggIC2G?$L&#doT_(t-&LGO3<-GJLe4bkLb5j)N`{K(!MqRW7*dozQQsklJ zUX{*=z(u1R{?nS&wz4^zPMO7XSVJ-<#zh?nsvA>W&%^n>AGU0AXzP?1ATz9uv<|%f zRcdjwQ?tJ#YIT1%jpfQY^VK0o9Gap%VUkcQta1PlbRO1~vQ)DaO4^&_!F0Bo<&7w= zWAg65I4(X5dO7{(QtfVPOBe!Ij~cz?3jUu{rThjQi*j;wG)V*MI>}+3kvj)-A5|2^Ba6;&w`y3SM4Hw36dFH zTixl|RN5_B>>Cn!4k^^Ty8eRXIGoEOdvSPR8jpzN1K4O_$11(-FGH0>3Ska~EHvJS zkE%$S3G5TSBgBFzO>k>zD1%>629TDq;~oa~@R)0BIupHE7H~+S9($U(91?y^Dc`!K z%akaMTSVg}*E$eNFZ3a)=1n>y!TRkX`RxlOfhiA90-k|%!BB@|W3S}BDjJkHZFMp} zAnxGC&}bG8UJos<~1mCS|m{j}yWXxegR+?M4?C=u+b zSxbJQ_FMh)AI`a%D2eSMgFv)ArKZYb$pmL*^C^%HE2Z()eFF|!Hq*pvWlH)R70RDM zD&KakdVvFcmmVW*A?^2ABYq@16Kk6CeG^evD(Bzj@w9#a3Lwa(Xs{p2#?>_)7USKe ze5`@_P8-a+ouGHj8X@ISSq8Ft^7qCI@6#=G-$vqFn{K659t4cAfU<4R)+*-9y39CAj*F z_ynj24{qlEvyzI_@$`WxW#?h9Yr(6HgP9tcU(6aQY0oV!yx$*9BnF=;=IE|cTiCw- zZnkZ4eZS?e>vovSWcRltk%|t^bFh(x%m?hd4I9$&{O?f6j|f*T0Y|lpHQvIxx@Qo- zOWTsapSm@Dn3md@i}DpIFMAK3mR$JBpvge}JNBYTxz;IbxtJfy$6G!jU`zQI>~E7! zRq`0??-8f`J}332Fb|~&9w8oK(v~6_ch;cYL$q_cQ!1>o&*(Rt;6apk>c`mTQrgs|g-w3ik`a+rw zdqKKVF7|Ff+x)HO{ejBQ;IbE~nW`{~z>;q5Px$?zRw_?t2{2WU=8U;ukqv{~rAcY3 z95*pJjvo!A1z!Ge<&iXe%+p{~n@D64oao?{VHqD_)Rv47o*vBkY`*dow}eCAH~y#Q z9*I_|c0~_Q%9p}lblJ}}qZ<`1UGjgQ>o>=qzYkUHP*>uRs{0C36FkKQKiP=Nu(klm^tI&vc z+m%r^Jf>cxp{djBPLVZM*-Z5(ho52tLUw18^5SEZ5p`gVBB(hEw_R88d3p^uulpBv z3$=!Xe$FG2F%G}fEhkdIGi?Kd8s4!mhTmIw~k#jQHc ze(^?G!w=s=(U*!hQV6X&3a^?T$8lA2G4W@hvA#dZgaTDnhQfAsi zXmD|>yonSD+9{Pgi@i%0UGao?pa!4tXDKClB&zvU?q?@fa;voBPvME0z}W?5csMOH zjPWs)FF;POZK$1B*0ug{D5ogDqhMG1#r2+o3SXswhS}GIOlRS*0uMMeI3zoQ=!_(3 zfWO0Az5Aaz>G7-Ag zU*6Dd>_d&(Y1xibOWtciDSG4l+7&yRw_Weq2Y-EOW=%+CBFflK%6iw9@}uS@70{W3 z^4c0_PZWC7n~mRze#N#qIeO0KFa{eSF`4chwqqNJ@Q?9Q_ly?nQZPe*TYLNQ{rVJe zW=3>UUd!hAP-J>VKkNISAoLGi6}DOSS?Awua+9@r2F6}nxs*(;><`g#d@YFt&8&cc z%TU(m%Y zAkzQ)Vsc!bdCN1)fd*x~Z%W`iR3$izu*#kE{Qv2j|+)0T(_GLaSRH-nvu;%D2zJ7XUfOCy@X=5}Bb>OlXc ztO%JF4-~mxxd38ctm)Df)49fft?nB?<_J58HMrPSDDJ;$j_Vk`$!fYNT zd|il%M@h@ME3Z&RPKL-8ljCUZ)qeTuZek*e7ko71ahnal3pD6M{77(#tJcQrw+$yMZ0&hi(!CVAfikK4oRhv71-anO1m*iA=Ze%OL9^pL)aIb$qh~&-VnP2ix|z7AH_khX!qMc8>(|BxtD*Y z>piv6|4Z_+6(51VFI_EgUNP*|}c;vP631NrJ#0Gj|K+NR3$Qj9w*LU0`X{ z-jh6#FPGCwtn_R%Kt&qC_EON;=k2m#Kb{h?D0Hbmd-f-=+JeeCQOQ472geBs)uIJKvWP$7Qa}Tr^3Tw3OQQvSzMd0 zZv6OgX7mI{P2%iK=lKoS=*1Z8!gct;pxq!=0?v3^wWLJiXds+=wK( z3!Nf@6F+!>!SllXd|)8KE<0$vUjLr0k#^50=GCAvlWzEr*DrX*!Inh7@LBNOaU;VN z4*8e#de7ezC%)oL^e4zNU~^`mwpq5LQGZ{yAC#&`f-b`lEvP?GE~+DMf@5NW}x0gR+KvZr`i{2lq2gC3S@Z3>FRIZy-e2rZu^nxT+ z%Ph|y8+EJQ+{uv{4}Y?WG}R@zY+O zIp!Z%9PGZbWCsm|$E_K^t(1w#mHnqi0iPie)qA4u1$J03|$y=?mlRTlh{BmO2+e>-y01 z4y{E)QRh8=_gIS%GU<*~=IwN*+W8{gv<{{DiU{=&n`lg(NTu?28sqdqI9`zZ%vXQg&4E!ul9 zK4yMP06{85cf$TcDE5!Ev?F*^tfLXZUsI(eb(AXvO?KA=fQ?GS7r*@kI!I!AX*c6x z;LfnDAT7>pfuH8<+hErsN}1DFK#cZB}P9 zc-f%IdX}smwx`EEd&}#24bjr##tT!rps}_257f}H_#7`(uaw3h9@MrUg>RP_;vYJ0 zc+-?!{FFr-h6b@u{|$~XvtLBd@g}TM&GF4;k`kW8!yzItrKEexW ziYnNS8un+o%V-qInIYfV{uw+kw~xYQJ8;)`&e`RVv?F*BYfw`bP|sd!1w*Lz*1PLb znpt!@56SyU>Zl9G1B~;t2G`__2R`2#Kdsvtkb%S_Y1A!^wCh8m4lek6EH511tHGE^ z)Bopf)q8}EVszyMEFk{}di^Jpx*KkLdh2hakstr)I)O9yI^e;aOM@8$#~rn3eP=*K*F}hZ?@Vj5F)!8YEGh7fJb0?XrW2N!t0!b2h7q9)y zr3R*!R3>uLR8lv-@za@+=bqC!RtYhgq-gq9kaV24l#Ewf1lIFT#cQzl(1tyYD|Ta* zC)w)%liDSij7Tl+ zJ)J=z_$;HJ4(e-?#0ubB3Kxw_G5V1V=G%FpCwTC&&XGz!>v7etJIw6be8gxY+1>z1 zPAT7XxdG{R?_-)S@uG&W#!7y>lh2R53@rk^Q1b^tw09DG@3j4*;1Dm>Iaa6d?X3KK z5HJ6bOY%&tyG#@ZDl%;!EgEcWYje}L{)5L7>MMRTIbU8GtF8JLfsq$TMSNoP@{?#owWfU zyPCG0ErKjAv80RPF;;9u7gsNC+ux~-yA>@i){IyII^__H1z>eY)iiNp)yIQ8;bvI4 z^DBK!cJ|z3uevYAWDyn)#<}%J1JIP z`1LWXBje4)da4EU;XAC>s6yW5Bf35g@l8`VyPeP4h09`&;>umj z#*`Xn-ba1pb?thNm^twq>;5^vau8^HS7MtfJ7IzZ3^9f zzwApQ)|d!W3*VT#SPZ`Hy~q0C4u4kN$=GrpR1Z9bL(-fOVj);gCbowKmtgOVnfoD9 zt@9@w#$S;(Hjf^KiNW0e6=r$Ao8^yf9*AVRS+@QG{-a(nI^#l&V|Rz0VM&DpUK~6R z3QEgi?^bb92&YOuLJM4PU8c^fA736iCM&4@VSAEzO=D>KGV-#~dXmYH41Z6lYk?y| zW~V5(YXjoSY+!7g+&3k=L)iMj?LN8y_p7&g@XeXk-!fy{4l1N{2?hn}JjwJJA-=jFi`NCM0%L3j6S%vPJQdIP3pFS~HHTZdaSyRfbm!knxOgM7H!N zZ?WC7+CdwqIT4Cc`wKK86^oq=RO)0313eLwkJ(VynGq_TYP#<|DBn+uJcYS!{R~eD ztaqR+UsTD_SlGihQp`2uHHt#U_d^sLLd+)f&p`%CT#$iPJt;lSSv3Hr(u!q*PI*#Z zk}PpHaxX>&4!ty#S$c2(IOsAhpIwdy%iPfxr|1wBTyT^7$6^)a+>ql(@3ynQq@cvD zI}C4yy?>5U-?}LQ1N0W-VbO7vUPo~QVFflHDFh@OCDJXgk2we81}x2Py)bB$K=9>| z0Wq0uA*hOBGk7OJJ^rYeBLcxT77hsV^xbN(ICwQkrG)t64$)(zw#V|=ESh&pN`absmpp;38ky`Bwxo&I1;=Tv;}^eZHL9bi zL?7d`m232~jozVp1E5`XmyvMoVJB6OtUhLi)L-PAXw0zbZQDVhfU@3pBXI(Qw^L=C z_bQ6;{(F}rRwzP2saMK<^^T5y427#8lYS0yP?3vAxI}JIy^VcI^14h@_~l~_>b;Nu zK>eRtmcaJHWE=F=#w$P@%Sjm_Ee*ni(u(GiJ$uU40_v=BAkuk)TkOSQ-BXE|Z%JQg z?DSjOS~_37cqlhs0C9Qu@Khu89*ost>-a50ELci|2oU_i0D>s;C-`K*Jo|cLDe>M7 zw&VWIGxiv)B8dH?`K_Qu=t28^C=eL_Gt7*V@0I;)1iNw=L{>~waZrUHKbIpQr(DZ3 z>o~EFA81!^C>zFkquPQQa@%Ef`;@V)2%Fo0Rf_wKjwRH^PizoF7bc?J)6jv;-1X z8Uc+1J(aXr6y|Wx3UYj15fhtaTe<=T-YCyK-*A>6?8{Q}1wP#unw|S*YW=aA%Ny~2 zeBKM>`D^2sv6}~oDraIeU_(eFa)gWOhVj;#riJ(@f8}ezexw(|OFp{*UjR%FLREp% z)LJ2k%(OKnS+w?UxUi_1Sig#=Zj3DMI^BbN_D?z--|O~F_fS+3*+53T9d&i>4A$8j z9ft}XUg)o{dN9Zg`Yg*c8wpQQFndn2f43#I`RM#wV`yqO6882saL(tr<0si0yBPx| z(4{?q2VL3TVJ@W$l3KV)x45jxd8^BRIdcz=9;yd(Hnqi5sUB1a#8fNA$* z#L_~Yg(32{ch0Z~$PNP3_j3T4YY;>EVHyw5=j+H}OmOQfBmy`KdC%x4=4he2nA^(M zObXrFL~J#1^&)5n@V&tMbHfFm@5?X69)~DJqE7ds4oE7|GwjQ!vUBtcw^OBE^5j~K zb>n_^1?Q6o{|q_1q2ljri~OT;JRMFLBfnM_;T)5T*%gaqsXm#I45;&wMIr zQ_M?BxxDD906Q~oQ7lcHzwT^l-wMT1Ez9{(!2 z-ey0EyBzyh@U6eq$BUaOe?{ov>Gky3Gvp>@k8W7yl=`%35pGTm^q&{Ef;40@EL`UE zbU~vw@BRAKWb}*JaNalA7a4r>vh~8r@GJ5l=-TlFP}wBfy0V&@M0`P=ab)P_5IM^& zrE|EA7cltB&b;Rbsmo=?B}0gFY1~eOn&s4bhTl|%&R}AqAxW!l?58I9!`pX-6+rC7 z)yt4^Lx~{^V7pVY__NXp5r+*|w5j^C{Y=ElXJCQr7Yz^lfG?YI1Nr)cx+m zJn9wdcjxr|(fO-Q!?MRIX2(aR!v=*ze2Y{E=zlX^@cp3-@6T|KqzzF*3HZRtw2hhu zLRHpS>BOaKP9Z!PWUDi4!YvaFLT#QujOw`l;3Gb=<05bZ;qz2_ztB1^e;+cZmIdC5 zMN5`8-d-A;@KHTZhrLQ`8hp0A6g*!wm%{fF_T(nK^dGV8)o{60(=$JV57fy`C)Vpq zSx`XmswYw`4rt42?(6CP&&>BtHdidj*3l%WM6hms8g{LRNKKs9L(HBcs9_|v{Ec2s z1;ul^T(n4uXkYFmw~S677@f)Lcgo)oX38s~i%+cEqnH zv@&KD+o4|5P8(3fOdBhxqZ@~<15#{QqJvrFFx)i+!Y{KIZ~e%1q~ zMrsXeO2Y?);j`YVSDLmyC%LgYzhX~JEMj0;ga336kDfGhXfceccq)Nf*V1{uwHySp z1)MMKcBRHOKIIkOSFyUxrzH_GFcsr%KE$&sfA8gAS;z-~nFbGhL|zOx$kIu5UQzH6 z#@%R`*oRahRGB#e2YLTy(%>~ih~Gq9$bx{dXHy~x789#_S$4Ed88A@EI^sdmpm#z( zSzL*fS!Lm<0^#&IMbxU$Zx@?kY*z(1NJ9$dB91E1f>%tn?a{mB`vi#xwuGQ z!IQWx9(TZBfe2d7@A|OI&-~cb?NrrsI;__VQ~}cUj;)FdwD%~lG5ZH`Gc%DfGqgjP zPQ%Rf_YnP5mAn2Lsk_fc3;JM2&lm6S&cwpLoa@=RegYwnwK@65|`F#e}yRB|crZ?*m!~e6^ZbY(8|1GC>||AZ1GCj)w$fcQ*TOQovh%a^tt;JbaRT zdneH!NQysBI}~U@3~q|YHt&pw*T<=FMq{9Nm;Isde;CS&^HUtpK0i5YJi^>LEpxB# z3-|sWk-nG{sxey)njE=vh+de2AotL2-kEw2!<~?Xl-8zk!nqVX3&~g~UF~oB~KI)To!Ks`E z2yp18M#K*Bx0Ka=?V+`hG7wolT8R?iw{`ATgUmjHMD0W4RosuUHdcZC!u`GD@`i;c zcQLCQ){`~bQ#vAg&Pon##(KXvoJLkhcF9q9UeCCRgsudOwbhmyHLP)JbthvlymnMZ z@-Dph*vTxCg1aq$rTvDrh`QOd^qM)TO=BY#3Qe))Fhxw5Y3+w$5;It6)4wELO=6z1 zsxHR#XPVW46tauUy>|8NzzzXnKRY%IHi9>F@X%iXAL*TsNFF@!T=M`soiWkzwjOqY z^<}61J|12oo?K44N70IDiB_#@A;$eSvuLp{ucqp0gUh~Zdk6^T(8B?X8}0#Wvc-9b zF8Eq6?IIFoMm7qxpyuWh6aa!~vxd2kYPs!iL8K-xzA4QcxR<0vK`#@WDw;U3u2G4j zLPe$NEcae|k3BCzzf3z)&`t5n%R7TA{iuR5ha-#hv8;0rS@9LtdnrFqIB*Yl;G|{o zhM%|Xbld~|nkooo`f0yDKeps}@+fNaItUx_C#|Z0(WSOCb1Ix!dgr;{KV@mvw_@g} zMzO{ohh=Opt27Wj7h-mHsh=`nF!?Y^+$@a^xSm|A~rQmcVLfe>cI@X#4b~3vBj- zRS%7!rz7t=n?J9x)*kVoFjdWZiV4iIUzMj^k9+>rViJBJR+3rB#BCYyN@T}{;s4;G z$6*WAxZhw@xVAusNmOPkcR8&#Ju8Yn7x8=k>P*6j;VtCQE$d@qBHdg4-6t36=2%1X za7P4ejKc51>6xZXY}_K5OQ>x?hB>GGELNZ5EID`I6nl53hLdspvIA7z^BC-sTr3C{ zCaPi=)=)NiFmopet#)d(xn*PZiTEuB^$g0s5d&mAjQ%s(0}bN1VbR4_hohRgCr)J) zu~-{&?@W_2&M35QyE5SMHGZH*1m9lWgr-JR>iP`hq8sLNQ#AXO!gS};%a;y=Z-ZaB zPbAIE{Z1D$nGNN$#zs+&;(tWzUH6w8AopFWZ#Zt)o@m^V%l4(hG+gS*0CE<($HIEY z!e^{I`q^2qYH?V{ZQWB~AB^3nHbjrwX3Ra$@TXw3b){=&UBDKLH}_b2;8@CTU+_O&W%S6T*t?o2cc2D2B8n_iLg> zjf#Rv@0SrSC;-@r;|nC7@%2^zwYC{pxg zm`f_3`Ax#nGxtd4@f&0iHW4ez9vY` zP>tW19t?*|y|4${>zz&LDINBfmV;KOV1VUr7!!n226Ua-oV-bkh<8HFc$c;Cd4tv? z0%lOjWMVZy{3)Q)Tz*WFx^sm_a7?2}G9kGw5~VwxSM&8Q5LrN= z2{7$sE^b>Zv|IfaCXNiZp49}$bxcvsThEh+mvhO7qcGu^mYK|51d4#}PXfH)eBO&U zvRX@5#zqd!ovopAQ{^OIk^UURg-RvEO8lU=8m1`}x&e?pusQPKeb~U#)VJnCZTLPN zh|p3_4$|@Y2t07~Qqq;}orw(h{>r4zv4doM*KfzSCJZZi$hVADNYmW1&hlZL%_lr$ zAm@-_&*h1pjpox_I=%XBDl=5oy~g^V`~j1r0(B}mEvHXqDnNo|Am93ZB{S!rQR=V( zn8u&x86bpJmjV9gO*Xdf!`K<6bEo55$ZqrT&s^AKfmyW+`YG)kM2FVI{`lXd#4SJU z5y-_J{^-iFZ5SNrIzc<_=aBe_Xf{%Y;alOaIsHId#M(Cn*tdz#J=?T|R(M^E*w^x~b zfQ+B%+(Lm|{WsGez~9);z=T2a;PiF=+9428UulD*%*3aZ2f{o;{-e%(im4Ei|ep2~7L|HH~g0QKO?4Bx8lM+rJ@zLI%LBGJzskl~|N1i%5!}4@ z)&a>c(ZxyN&4uRnvz)e_!uI=XQ*ib3N`;0uKr6pmmJem$IHWRuH=d5g>ItQCxqTs= z)3GFMpbqFydaYD^O0}*MVn#C8FP}n<%CBppE|n{G%gxo35$P#W!ET{N_4r}tA>~)aS{lm<#)uW-$lX0`SF}9DX*1$^Wur)r~`D~rw(rDoEf$kMhveL zMpf7NrTuOd#=;PVj(cRogmKyzbZN!6zc^)Hendi!5rtoXUJ`?lr*E>EFS}^lUzA}n z#rj42EyLF=U@+F>&TWzUc9RyVm{M>dI38szGabKsm&kCJ8nB~(ac;)23tQU$ffe;o z%1rTwmj#-7#zGMvE=^nZVaRWG(!0j%6Dgmla&*R?`~ChMv~&+ZcWGn2d9Cs`V=ydh zHh6mG{wa25*BZd>nor*r#K=BD9(4HSc)KK*uCsX`#xFFpX6I2Eu+B47ctlP!S@Xs5 z_IzG<2!1tx5PM4M&hg~$)UM(Hb8xP1P0=T;vzuJNx06)$_R;8tA7eh?EO<*Ezz0G5_i>I4F})l8)8+hX|Z0s4UrLAS+PfhDF6 zt10>hb|9xfl@4bPoV&{i0 zC^$ADhVRP7EIa@&C$}K)VdcZ)5>2hgGE1NDDYnCE9RjJ6OB)Q0+qXLIkv|Y!3^?gO znjitp*N;)O)i`;<&&plNu;?OlXoS zqgvcA-ABq7PX{dfnOg-v*dH&bSBClj#th=mO5(J69BR1wPcWX3eZE<$9bv3Y*R}sm zs5#2grShq8-8J|M*_mjMmYbUXy#&HJLS$=KN{!3Z)Dfq&fya3mS|2XmNp7emLRm3I zbn(ptr%K!+nZM9L-~F$|#DWgNY#$qYtBZq7dE|uR=@{G0*U`I`fZv#{*B`Z+>MD5` zSYt1E%#R{Jb`-&~0>(Bn9zLs}{XCH{o#%H3F+@I)Ly)!XT>Wm#9phPoVN-gJ&rDH? zxDE{Ih|{Ojon++AN3lKWZ&_(U5DS=g9zIVezT&?&QsU~c(M&d5ZzU;R4 z?ot6XhtUaXXne`AUtlzFuVFFB0~Yb7CAYLF7YN+UsxZG;7U5R{1bX_;4w?UL5VH-W zkVJo9%PPUN)@%%D1%15s8%c=mAJ=21&7gi1R&BQ$I?x5Ie3aQ8kVuZ$cpO>+wWd8h zEvZI1=KBM5K-hkDCK{Rl%I|z{))wA`wW<$R+hcPy==D7ZvHJMUPk(6ZwEJaXW!w3a zbe#A~4Zyf^^O5SyO0L8||5^^(bzQECNMJgll&(Hm_$qs;?Ob<^o$6VH$tyFZ0A&$R(f+6df1}eU<`bq9_ z`Dw?j zW^0svN2J&o+EhIKzzY^g<+nu${|Uvy7}R))EEBud!iY3UvfrAhqEy|_ML&K!>6-o;4%2@CCU8DyJ3@L`l0jyXa!1q%awEa3@L z(LaekOyN=h2GUhxv4FEaV3a+eQ1z7g>~z>Qs8;=z62Xe@`l|oE9Jvk_hi`l**C|ZX^dIx;e;FyRldI|`Ojo!(43{;%KP1mSw!mt_ z9ul>-ip{KZPcvpa2%I&olf;#1VGhL)zsB1u@jUD#4BwPK@Uvz48!ol;*A6At9E?OR z0F5YzH+H~f9O7I1cZ85$O5b)LEsh4^ZqUL}J%Tul&mszPo1wMu_vY&b{KujOKR1;= znA+VEuMiW@umqbvkjo8i6B-d?mD+dEBE>^EK9Z)vT;qL;w{O23c4g*`=*_LjMaei( zIi2B`m#RBI9J`exWYXiHrkrCP z@a7wLI&W9p@L7G1t6YSv$jh6i(ZC9IOo`ML+S#-`&b^noeFQ(>=gY-UR-lsTbtaRI zw;5T2LM`q~`#@d^ELjC(n%PqnnzsE9`%yA`D@m@wmvpb(HRHk#LzhIXan3 zu)P*pc0VsC|2E3}(M;@&+$YR|REBG>VJLFB{*xwol)A|e<*?Vjvwi#zYh9XUd7HNI ztQMIJAGpriCEw|q;n1ugC*NBh19DPo(jB+rVFE%ku(e ze7yCj&}BYoo7DBc$QCu37EqHjYKdq1`7Xw^^R~u`IgqU9SWST1yc(&xERN)-#h9w& z1>M+*e(Tn37N7IJ=(fsVnY*%p`OgGRzaU6c;akTkdooGcNmI$A>C8yUZ8wbYO|JdDAl^fa!_|C~jw2 z>QQ*VRk|&#>yK#6j!j{# z)L+LBljuRu43T=!{x4adXIbLVe<&bP-bz48e$+RVNxii0jp;@4bteAKU8b$E7vQ+) zIfo{Pi+Z+^ekmujZgS6=bA^kK0X~7f0RWxIxx(?8!tz);bXek`crdSRtlip-BdQF6 zrEv$l8q5JX7M~~d4bAlLmj^D>ApeOC#vawaNiPdLif*hH4eFbDbY=h=)su~@5hsqB z+S-ZzUVIz@vKu-&(c5M8lD^(OJ0ZO`HGQ?ErZl#pUU8J~P7t8iQgCcy#_ZLjZ&Gb; zah?wS#@v5Bq=sX1POHxJVTcv*~YqK^y!f^X(d*}-xgZr`3nJ@eL9RI>JiT9-;# zJG;K0dPqbybtJlZ#WE9j>=8I=R%uP`awgawH?p9Z%(&Fh6`VwTDo=hZ9s){d+LgN4uIYQ#F4XmtbCI(B)1HRaIf(q*qG^ELEXq3S z*C}|hg`-T!I-%+a5j8qB=z1IAotBGkwf)ck1<*8@W3}v{MKXHT@pW0tM~1pxZ}My` zbef)aj$Q^cZ@e7)OgvlU3UjyzN+CPoPTa3IFlGz5N}F9S!@?H}v1{~UTj*lDWceeR ziwmdl^6XX{-@fxYF+LWCByF7Vyu!=u|4j_X6ihA@q3 z<7WYdOSu}W{Y$?t$u!)Z2L1$03b>Vz1gK>HcD|UMoz&v!q*dba#f~OHLf?HeOX13l z{7SsDTeY>_WSafb!Uj6wEHlKWtnOi{necxRK$vTX=Sx%Vq$$By5i%Ka}P|tpVHBhoyy1a zff5dFNYPr*K;^bEXtS(7i()~01lb?rTPBqy0sCUD$G=X@;y4H?peNYe-L^UYx%!HT ziV2I-pw3NupFsTN1W}7f+8W#G$G+Y(qGQ_n%!^hIp*33Yj{958DiYhb*gWpQ#g(M9 zBjV5_B9M zOEGrPJm`+n^Mw?a$NwN({bEWL$}S=dE9nwwj-242O{^IviuaS`v1IS}66kLE@w+#t ztba42(YsAx_b2X{g)0CT)ePCRQJHDh{HO5sZccOf2cwVG1YM%R-tvtX?Mhw2aHsjI z9<*AD%D-@ndjD#P>&<7xJpTf@0vf00w1RIDRTmSfq?N%7gH}iH>m?HPw{)YX7PEjB z5}7`;(#uJUi_Gn3?PuL7Z_ErrWsiF6yK+bc9l&>$uRI~kD-KM2^AJkqOFnn}m`&X(o|HCi+& zCn%v1MK9B>b^!b?ypl{6_a^;TA~;jX2X{&_M&wM@;vdVgj*0cau_IHc(igpYIAXwR z9rsp7g@xMvq>3p-?Lqd{T5g5d&_QkIP|B-_Pf&%FEvqkad=E zP5ytlS45E(=|(_0q&t+7{?WqdMi||xDBU1k0wUcodUUriYIHZFN1vPjd7Ve+8L#nR z_qKcAed4;_mo-Je-ATTv->ac{N{^YHdWO8G@ffs+dH;X+U@HWL$9;)$LSD(V?01@e z(W_m*liZMEQHGEMf+r6yoH(MIir*OP(@lYY_}H6n#%{vT7msViZA^^zx!H#i1?VvA zzT<$$+b#f(FsB1^foaqWC7_&}-|Z)?5P3-I;%(uVPF*O&8tA9*0XI0E==HovN|94mR z|19Tn2qj63K2Qo@7xbrkHCI1Ulw9`iet?~eG27Sqx^?UkN%>H^w|!IErbm3wtGjTk zIIY_%JYgk&%ptROQ-NkcX$d_jMa-+}lkw}@o;W97W+)z`k1gG((Xk%;Ig{XGtRNix`1%#3}*S*^u;C%dQe;GS*3O3k-SXkKI^$FB!3y$ zL*>ZYaXc4LXPTeqDYt8Y8)TH>1wszu3H-#R^3-bl*Ycm53 zm^NSA%lL4nx~Zo26HAP|3%jSTXyt6#olFkVxxanCy7}nttd$=9uFvRX3f(s8?%lU~12 zt^%BzL8qR9uXG|66(nQKqCL1;2bykx>IcX9xR8*CUycfthQ%UvzRcpjf!9!ZA{csI zhRa=r2UdAQmlIaA?KfpqmUok7?F%M6x)m3%9!GmLenX^x-uRDlGwgxUbo&ZFe4G3A z_)9)NbvHg(cmf)8^%XRX4Yr8u8)#f~>?3#mvtdnILR&3(N9x8#i%K{z4|-3ru%^%? zZmwU+9Tl*wNgYvIsJEG0AdYU2_{1=;REYHv=shugrS7lMH8!#9NgfN+IWIuJoQf~{ z_IE{ZvXSW_A5!o3($`2v$Kv{2tZx49Y}2~$3G9yIUic}ZFPLWI8F@WhdDFdB{2;4D z8x{@9zFlLsG#~2!`9i`zzR)2zZ%^>3nTu9juu9c`Vk@#}O~dzw;V{I2Z|f*st~4gw zwsRX@IhXJ9qUU~WJHR%=ajH)MLy_o;9oSo+b$JAEHGlRb_FYh@Fh3hLy>)X6(QlOT z*)HJF5Nvt_y_qB*B-~_bSugVtB}n>GI*ap#zDql)OkZcWBP4w08Noo!{&B!coa3e$ zsMZL&e~!4gBESuruk=)R!$SxfnqFT`+cBo>Mx?ORIHT8z5C(pg|&73biYHv~=^^;P}s;4JrL zMj0eo_LHpMZLHa@;%%V^5D* zzFo`7Djta&o8^@jEjZkxPV1liIfh_QmHfBw;6-!SwatlZW$3Ci`==rMy5_Oc-SbLO z8?&wT?x+&x9T7sO!f|B52AGpP9axTjJX{?QV?3UYlnjN0fm=J`H?@y-2tj3IEr@c& zq|vglPA8@rsIJFUJ5#l7CE9Uuq=(Jp1ipABuD=x1vMk5R5nu8~+t7a%;o(z8@vvEE z`%~w*O8rag7rrbt`tGa6M@Qtk+f|Uru~&oL57;_&mZQt8d)IqRIyR~eHTs<$C@uPx z#~Bzmh?*E)gDdo~CHENJ%&hOM#g(pRjyPI}+{2~*iM9;0v}}0kaYe_FuN6~zqmUH% zhF48xPW@t~)c77vC{hWo^INtJEV~&XxNZm;JSYSY_$8KAnbtum5X;2RF_~Ey_7(bJ&2Gsh{|>)>2>8S>g2AuAPKb-47g)M+S{{~%|uhC*A3w2o_uAtaM zo;MSlYoa4tHvNo@#%u}r?;>XlK=>iuAf+@9=6aQ9|}6?)!&%JvJRl!j|p)KdVE3ZtC_8C@ON7Lvk! zTNmFCUtEiFA_m<_-Y2_z8WA?z=vRGQwp-Pz<)!4Fs&BUL_tvY}zkIA|-%ZP@B)h~v z;8Vg5VN#a1XsUMyqOE7}jH|7Yox*D{J21qtQQ|tkr?m@27)23E1QZqwlyxBrY9>-zEmQ{u^1%EqOfsR^VpECd_#4H>ej8M10g@>SyJwJh*Mk4IU5v94YbUU3H;q0Izy5~*H2+{6hGz}t^8$qBE-CEV`c54Mejqs56e z&So8bH{UjN*Fjo#Tor0O5=`s5#Z{WVYyRUOl}N-6Yg9C z8KCr3{HlQLr5D@`m|Nna>(T!cHcB`o1<%v%#5~XPSrlaIP+T^b9V4pwtWEW@#tBpT z&aYT*w#O&ogy6tyR%O{72Ay|DXGF8P6quJY62(@+6H&Q`RrtFZX^m;&!pr9~v}kzR z{NVb8BJ#pk%U50QuPr~(t!*`bcPcC^bV`(_S@pL*raMmW@8s;(vKP@T{o4z>gCeVp z9)2yIyp27>gwf=28orJAjPm}zpMR<0y>M3g9-jM22N#NBiu~(a2Jw%JYI1L%ebKIu zyzIaipBO6|^BHd~E&)zWKGMmM*}gZd6WXdKAy0AxXTqlAvV}cMG%aedR^^Scq{Yqe z;t?5glC|%j9ZPy880fFX6Z*A;3Rn`Fkl9}f!qVRzDZ@O92U5Za!obU80W@d}wC*}Y z$HKh@$MEnKdo*1)in?{nP_*+Co|B~aP23zkj0LJ%kFfn7A=t|oTzOTA6`{}yJ?Orb zTaQx)^dORls3$C={35!ELtJt~h(9C-ZTmvr{Eb6jO7qj*NlKk|@Xh;Eg0KSafLyP7YADB#6 zM8uzzcV8wh5EWJqHTsQTDZj^lTefvWx_?)CZNy6T-(|5?1pyB0UYgtFi`BE9;wwWq zr_Pc<>(!*rYg+<&mrTQ}g~XxDMcq=#W}Ib*0OR}0HSQjfw-KiS^;gBK(Sd!fJ$sja zJ=LeqJqg`LhAmY4-%KN#Br5jicZ(F0D~6qyA!w0@|Fx`PNL19F7MB}@pG7E=O;FSJV;jLN-(@8ADe~gXdYKvIK8~E9j*pC$3s~18Fbz7LL$P8=HiGdQh7OH8@O2?E(`YAy8_nKdo=8n?}6a7v89@X9}H7czh(UJ=h@Wr0y7K^@cqQ6ouAY zKtEHzm0n?N-yq(5DC9JRp;Z?pP<|FwuDp;x_+~U7GMkAW`tB{S`1i+1VeS)~#9*Tp zvs>DW-Vjdoq#d?$@5>%3F~E@%D$OC>)pqPdGe>cMmVJZQrgPj@MX4c_=2;wFwns)Q zAHi?McG}0EYoL^We_^|-eIel5<#2|6(8?L8d;Y=~g%Z}+F7DY8Do%Sn%3(aaZ7z52 zVje#wxQ5vV4O^a0rd>}&no}7CiGME+{8EPCmJYLT8Ef;?Ydm9sV{`$ zF3QsTy_-Cp5>i8qy~0#WdS|2`YPV zsT@4u{HMpt`PQ)RcHuje(V?xulMluvV+u7q`M`N4*XDGrHQ45vxqiO+mr!-X5U9iS zv)^|-?A2O!oY7V2<~^MCA4d>5w-kP9y(Hd^H-+8B(Vi?7d45TQA=J!?5_Gpfz;rX<3|5A!ZvRyhFS#<(4t)3w8__o-e!yhXNtQHG8bUEW>f>8Q|l#_0o6e7}5J zMh<2!U+Ft*67sTy$!}?$+)bK_f86{-IizOSDj`nG3)S={j=pIO{-OVcNCLla*PCXI zeu{e=*p?{jc8J8CNn`cIHmb!zg&d`u=CP`8`5ta|aW;<=T`CvX_;z{ztda8ksv7Rs z5^&n!b||RyM|q1$*P+a@XP?O0n1;A)I?sTK+^@qNsW%J%X~}5|t1{W&uh!pI3!O?+ zHYP@KQikvGGd`SHC9C?afmy(z{-Y#}&!ZeLQxr1u$|*OA*Vw^Nz6Du>f4lXCbRxpY zap*DyJ~<({nG6o+Kf)$)$4i;rjhGGO>WpTpm)GixfO-}d91-`oZ;9sn9JgK_1!+yi z3>M$lB@fyrc8hCLMtqeStmv||$`fR`I}DO}dx*Zcek`mqn?hDX9)8$n;eGtY%ja(^4*re(5>EA<=suz5ZfLuoM;xl1ZFOtW~>=#KUV4Q ze=FubX4xOr>Y^M=#=^(gw2$oszE*Gk(VLOZ7Gj16l>Sa7nFRzGj4Vsn6vKNUXX6lw zC9Op_%hTDQlcMD%_(7d~f>2NS-5w~jjO6z0839fsrzx7Ny<(v}Ic`5$;2vlPQ7Kc> z6x6^8HIMuJM8DbWs=6tz*NeHiZY&1RCB9*dEN;`Ou)R&iUhf)v-F=?(PCKJ^XnKzY z9jW{_jbJ<)`r2KaKxtuR;0o*GL7I56dG3!9SU^RR&8==gBr83YpwN`d+ZFA_t-?&F zmxDj0v%9lTvF%j2@vqMZqzvvpwN`ZdoP<;gnPoeTLQdYjwqFZksd7-IjNw-oo4Mez zqooe(dAL1Zt}8~b=we**#>1S)HmR-~V_!rfT+H9HemVTbi~Z3w(0jo$x65;aarGk= z)UvSPi){scEA)1N9uHLdC{B32^V#pN_)6+5A6R0zrQ@gX$Pty_(4Mw)EJ6P1wC3%7 z2jPpWA{EXHd#`|vn>yuxq95!G|73>iSSZV41)qJezK+~Om%P8axf<{kq^_{xHtw!I zX`0RQjJz{#MEcy>Uw%fcRE)tI`)4K&mI|MJ3L!Eli8cF=9KJ35J#j@6RMI9w%shzK zw%)_+)M6OJJ*A!M#M5F)B<307Qknpf^;&D3ePA-WZ+2Fb1F8_J^$S1BzOrHYe}WYa ziN&L1G{o=&{a<;897#@D(X;8xva6bHko~J0R19b!MuGr?ZYfreyA0L225dsm%hYRz zwQCDY?^SV*yF}zxdRUsWv#UeErJp8tvv1jUfJis><*=)4z>cg09U zO<@o#{?qD~UXn%4nC$&BWwcp2XS80gPg6W5P4eA~bihoO9RZH?%^m4E&Wk=tD+CT2 zd274;{aZr*D(pOMscOj+r~hE(lV{he2idm*m$khbc0hDZYCGQ)YWxaO zksSbW9s_S^2q0^gkTaOvtXFIPi7+Lm!XjO7oFx+VrP?ND`1)coblbR40{i1SguX_G z>#-0dW(-!xkrB$v+FLeDzgMAx$}aYvdn&U{&Q$rQQ%JNk9QZa=r%dHn%#QZ++yo(g zTEY1Dqhm7Rn`>9zV#pnzgv5v$)&k!I@VY7s#+85pB`7wgm4>D$k)}>fjSVD_8%9R| zO%pouI|8?B>LWb%o2Jzk!QwtYstx&e5m<|YejJ7C$LEWy*;m_n3E^$Z?mwR|pq3p8 zkg_!Vp~ErgE05QD_oJW5%UF^DY^S&pJ3LHb-6NlV2YbQs; z%*EJP>Tn`W^q$<^t2S70|IZkI`sWlZ@^rY58ejpTxrGL_zm2lg4hrTceK<$~)qfRe zyUP1%rTX2C;zW%S7lX9OnM+|C^7Q>RV)`8byK*IkSGs>fVf2v-T}#WO3VUPXF-?66 zPn;p*`cMu3t@3_)Aq8b5Swy$Xf&DL0^NE<+8s-T`FM8Ft=QpTdCcPP03&5VR1lOh< zx+W5t^|f3zi+dsq0omA~=skDwqj^Xhu)IM|#zA=%iWib}fF-|1ByGG5L86f$xNP4+QA3RJoPo|sf?ug6c!`<$ymLvpG_x z;VuXwb8cBh+UbHuQa@09&1ar}HGDp!>HpjwFal#Ntn_U~;`@%r{tW464jRcvxY$KM zlCm@w?gfIo$`8BU>!KO5HLf-Ittcu~-}2d*rE`1!zLAzo7fwsESeEK@nUAL(?F<=L_(tGs6a=_2+dvl!3)Fy(t!?G&sU3h-C zS?1>5MBcqQ#R5md_;C+25P|Sc_$776zf&1RCGrHxK$DX9n#Yu)yHjG>FGWWOx-*qJg*&_EX_g~YBrgXv2>&Q zIL!D9{l5ZNl2Dp8g%yGfSm2f~EICfd(-882UEItC&^3{^ec+9IAEcxv!Ca~MoxC;n zeURUJ0*U~4J_()rsw~y|e;5bq=kHE|$D`GwRsoNNK`}H9qgfLYrOJ}7*ui+2L*Q@X zUn6v5f}5ZQZ1_n(sUp03CC*D74veMFp1;+jlG5jg$Rsbcjvn?ShJc1L$vvtdFF z@MzETHwHt3G#ldHzjU`7T^@%3i^bq+RhETZQRd377y0cRiP>F@u#{w!X21#lgMHY6 zUoPvq2a*?EJ@WB4@Y=y;3VhK8=a?I0P1MT4pbiO#?Z2U3sS7?E=q2Dl@s2{$9DY#$ z0)97@K7KZX=+y6HsG2GRW%Uck|BgIrWNNY94eZ`6sq&q>YvM{cb6$^w5=^!q_H(FW ziMTBD?A)vu5N0lw=Hz12?Hca%SXP;KNyA}@=JUD%FYq>ASwyzL7Fg5@xhFLVXOXTv_2!eV+D(4ZINcXgsMQGm7d6>%x#n z8L!-tu$o}12z8`}zsM1^cuR2Oyj{cn*d-HKdghJ7hI)^Y!)#QgBDxZTSJHCbTOD~H zCp65k8I;4;?)ltM;&r1baphDmeE|kD->DX@GW>&DV~&XP#>gB_YN4FC6i#qlh}qz+ zhQ>~)eK6GUp9%2+gaX3wfa{*F3`TRwrAnnJoFpZK5lC>4`rT8{4^3~}?c>^qeE z$m&LrLo46Y?qyT@xDbsvJ)^FD;%_#qZJ>8Gy|BZ!rNtSOd~hq~$bq7UdeZ4WB`+B+ zU1@^tU22ceS}0xT6ULbz@W^{t-H8D}u#{)d{p>U!(^ler_qb5G-RB6lbWp3oV-;y5 z&QJKIGZd7kZTxjHk5`0wql&L1d_buxgHn3kU6E+}%>77s+yE+Zhsf>%dx%ja={k2* zjt9Ch7J4l1cZj|N6bE_7>X)h9lpSsDC@#s=J)J@@^ zs2$qgrh6oN6CV`L{eieeu{wNezZC$BQG5z!F#=r}3!FnJ8s^@AD3{WK^Cpf}*3`Kd zdN}t&<_yi^t#=M{kY36B2f>DQ&4BG-kbOW34qzdaR;u<1O1hKvo#meteYsv(&b2|K z+EY383;R-cfk49m&&X6*LKo$oBeaNj1CY8ussq!t3UcbF=e>HMA(t+8qax)`(&~>+ z8bbH&<+~iU<=EWfhytnT)%o7Bsu18#w|`Da=^!k_Ll1}mqVckh1^_jc9%mn ztjSY(CNEKzHB#zUgEi^E-r6ft%H1Ewj}?cqakG#0GMEbZ8J+e2OpCklAKw;qCpThn zRuO9=ISS!|RF?G;xiWvP?D$|{1f{)OJAiizv$DUnT)sA*wK%ir>U*>wah!l%1;d@lrq0s0{OXT^+*UQ5)1U-f=j!K8Wux=UYo6^a}~7HLP-aFybdQp#`qc?iOOBKbT1ZU@0B9S+rZuxx%kp)qwlW8C?gpY{xJBvgYNyvs z>Jo`?RF}<*5K&j<#1Oc3kumv|Q1TLGd8S{$mw=0&POC@%LqzX(nZE0&DQ2fB=1;x| zRPTI?f}nJJ)~r#TQdwemu6R44v+m{`bX>S%EW4zRp_fIfH2m+Rz#J`?bSuogI9o{> zVyaoOe>yk)w&YT35^zcAi|cw?i&xgTeYLIzv)B)jrX_>ZA?^1+b%i~y8@+zOM0bBoTA{R)G;KWCH`;8svhu;;P?k< z$sx}y{(rnp`!Wqm{2pM)s`RxFM58VEW2)7n|B&IqUH|LfRlDt`>HF&>k%#Igi;HWx z+!#(srlU($qK_WZGmgT@r=Nc{{1Gm!WMr?0JdK+P(G?JXb2)3-=y*^dfUa|Ayze;r zD9Y!12&l8jAJ3D$ie{yzhO`eCuH;;VFP)QrOmoyq}Oz&0tHY>(RsXST{JcVllcxeAgyyt zl$YUU{ybq%xdu|GNq%)3Az!uD{c2V}yo#yE9_O_32SG{3(=gZ*_hWCc=7U_z2i}uB zfU*O^2na_rluGdb`UKN?olP*C|D}Tw_aHaB216MF)ydfn%8u}5_@|+g%lOGE&_z4> z@erhw{GN&>YuxV;ur$7ifpRT)Ez@lR0+_o8K9i2MUHD{jHW9zB&q*b+6Z7XSHJhP? zmj3D(MXyv0K}Gk8!?632=GAyZUy*nABw)Mg0;E@b{m+utI=CENB)ZJ-W$>+?_6k;# z*8C~2#Q(0ms3Ex0Xsmc2B+7g6j*9unMZpBV@!g=V?FRh%Ux@n3-$N=29-!{aH~Rd2 zvCzX5=R2J)fXdN);7t@o{%Kgw9@Q}E%l=COx^Db?^_s66w+06?w8; zHD7_)*`WO>t8F-~929`ysRLeS-*n%BfDjKe9mi0?uqbKBh6>O8?zOk^M6#skON4GH zjQ3h@;&TjffeJi_`6Vv*pH6l{&W8MMj+dOm3LPQQ>Iiuo7rz@4WF&1${%ZzWpe$AR zPR=$E4_MZXlk~EHVv{)tyq=(t1-N!G>aW%0{#PygN&yvb598$w@QEWZHwx$V7vcm^ z9emSPl8(bHEi`^h5LJ{k9c`g?y|QH^(`JEy=~zeV3B%50bmoLfI$zmx5NR`IP2acw z==nhsUf?FtgksP#Y8LmhYb_!=+n^?MASp1%s0VKu-@s3Gt*VcToCAtnL+t6aHO+Wj z|Mga_Jyc>gj4;NGi01E37*;g%K9InDb6Wg2$LiKj2q+zPt_tS4Eh4PL3HvpHD}tAl zRu8Uxv}8FDW%_AQ@a6l4t$GXN{4{^XQ87+8%zwJi$}iNYl8pEKYuDXP)=rL&$Tbyc zHN+pS>hRmO*uEC59?LI)ulEeO(okFlG<P@wSe1vOIAbEbI-w(rA{{n?8w zG$VrGwK=65&yPruz=D2a)qb!+ZyCA$+}4AzBe1JbRy`xP2S5aiwZaZYiF$I3nXc*p zn+CH}(eN~${#d3Wk2+zeC9^qtSoAzoEv?nAnlpf9gr7BL&v&@}%ezzWd^L0ay2D5o zuX+2{Z!Z8s%5UF;apelNHeHTQxc(#rjC5^e(1O$AfG_5?|3WtFFzf25eT@ZbM{Gbk zlyy}CzD>q6n`z~z)@Gp8rkzA3@PVcmVC@m4m`Cj9=9PnOBS6WJ9^0ms!+Czlcn{#= zokzUGGz8y$(xG&#%GUHg4D=udOexbuv`gR{LmhGE`CvKXmVv2W2wgURgIg;D;gW$9 zoeuedI>5I=d|eM{+9`|>MK+XU+Z)XD6N)2hFozUQFjz}}7cUK{82edsQ*P2XdFXD$fbj2Ve%19&V83XXTU}J<EpK&&*QI^ArA~t=3qhO13`^X;!p-@0*ek$}wmyoe%byF} zK!t=w*wG1rY?A6JKK9Rz?-vNSI6#NUW68o4+9($5J8pbuYGy>TMyLK7I~bh2f~NO z5)boshkasn(;tD^KO_a&P+ut4n;aJ@;_28%cz0k1mY+ zVr=6(xwxC*d~|W!E&Cqdnpm-ZCa#DD+62+www&KFH&GSkVHlWP%i&(&OF~$5n)GYKvii9|NB7pkI^ z%_A(fWxUdA12j`14MjihU@O*|0LiS$bOu80wyEK8+;ZMp5=~2_Y_Z!}V6zBwE<$R0 z;am&li!ys*hw1J$HLpxhUrDDnA;M=yBlMREs?5)fKR|%qQlLQ=R_?6?c1h`KqkNtvu)vvF3|3;jUBJIkkl$N48*Q9W|? z!=%wgeomDlA5lITUF2$|;zSHk4g;n-GP-^<3NqrnUV87lyqt?zB~>PrjD%5sUMGqN z_5WO(q)x*dgm9GqS9?&(90d1bXA_*p0t2!9jRcJ5lu}$U=AOVfuJQzgGUQKTcFuL| zcW?oXA0OnSDx2kiOMP4x7%fE^G;L=>pqHT^kW0+g1m*-seh zw(~k?ViU}?vC*QX&!W;_?ta#87nzfJ_s|C>n7$|EoW&L^ ziBt`TDf|A1ksjfz5d7j1tvToR4c>VUfiFU#N}c$=bDX;<+lT}hPP}d05+o7|f?o)BCEq916T+U+|+j-G&0Yq&o;hN(}L(1x$ z|LtKHkVKbY!mzaYK5C@{Zela7oH!?)&U{aJ5oY|QTt zbm%7ai|(x1jz-?w4Nofz503GLT3WA0>+kF2-pSS+KBsvN8 z22b`G{FonJ>x>#{v>X$=0$g9M?qPk`+u77lfhKWotCN>d3C|dxuK~Xr{moq-YRj1Y zPskd?0G1&-kWZyy<1%C5VIa8qOQZ8(u4dnE@YujE+OV#y=-#zX=wV810!i_oXwg&x zNUS4KHKl*7qtpieO|ruL>pF4MtbVsLHQ>x%?DF0((^>P}%})*n=#2K}>MuoR>f%D! zD<=VD(yfiHi{po_kqjZ81E6@ye>8P@n(+wr##9^e&jjOAc1RkO+kSGS(*S{1h}U>{ zE&+Po6Sa=dWTq+d^4d0UW?Cs66Cs&*{$JM42~hX5$80?{zs*jk8Ge^@77}DZMgH3r zHUZy2Eq_N8ptiFrcN$~n8)V!k5=((Ki|+8mWQ2?96x^ZB&z$hiFCDVl!HFoy`#{#y z&G#^WL+$_Zj_$~7SJ6msaBD=OHy6m^GBayz*OlWlfknj%Sc;KW&EuP8W9lM74BZ zbenMms0P1|TMhlMH|6Z9-;bG#u3di#fVaONTo){sib+7XM@a^J{wx%3Q);W&4xUoF z=K$IdliaSdqkm?hon08|bP;`XpMw$-6fT{|EW<|2uUzgDb;`gmI1AG;5*uaU3a^zN6+UizU4))@joxM5@Q`s<110h zeL`Qx{V<}C?uCi$c^sb2^!hjH=Yco4Qmv|cS>MmDbf8gFKo*>b;{38&WdgX157+(8 z&Z{M?Av_<7KJ%lkZu@6*y#p)TQhD zr}M}%2>4wDy&VAhwDqwmIYq{zDPNNP)+UT$io{*kP|d&*MYLA}jFZT7IKrO%u_0I= ze3NDSA9?25cYEMUjMeoH%@nJU zbujKA1~AG;#enYqQV*DY%~XyF5pOj{*}KO-obW}?6%}Nqy@+;AlSC(WO0jCC@(pk16MB6a{+Vwt3KMUOc!1spUrhee0LNhVsOBF3L_ z@vgUMVDjdel(A_v3T<2{$DFs{+6~Y02Nk9@$XO{R1vUF-s^DLuj$x|c1O-H(byr!p z5)R=rXl#eo#Z1=0Td2doy#T-E=1?ZV_{>m`shSR+35dy++`oWlX|Ua}N!cn1PB;!N z0Cs3=wf>}u~fNUcuzjPRJp%_xomEI1$csM0ZgrxRB!J2DsF(_ z&~jyyK?P{1*?hVgQsIk;GI;=!t7ztIY5@A8y*{ZK7&~egfmROTo~rX*VRS(T zM*}_y)**dVE<=D4scIK_9wX{Hk-k@(FR>FQjFtP8??^81%Ku|%o>xAEWkbZVUP$(F z{+AX5vKLwBvknkp232@l`#vmuEh&4&4NzH&DIBvB-$V`75M#n{95c2%pD{B(Clu`HIb+CHh-id>{L_ ze(eG-uU$#=+867hPcu4!!=7V6@(Ic@R|N-V-qq10-IH&G4cOw-hA>!|D%hKP)4(2YLkwv2eWpY2d6<+)p!4o?LRK72X=Pe<&52~3Zr-IRi6{xn(mTZyP0P;$Rb32%g=`yIpV3# z3a=;2>K}PBFC+H<$xF0xfKO_B{sr%|W!N|6hdXOM5*6+l-Cw~cpZDpfZe{vJpZ_Ih zPv6SEdWb2|AXDz)`fY1wgh7$ZmHQAmJnPSLLwwaItI7Al0EGI*Ttz|&^_9uDp7J5u zKP?YK!>_UWC9#M2Uq+Yr?e0ua6@;rb3^1f`Y-Q#tLh9I2slth{bFJs`4w&kUWsL@c zhcjQ(MVxqFZO0~*Lb#hs`|BHWDmneWV!y+NwBg<3d zY&~CUw_2bss8I6|CM9}CcZ@~En=(25YqytwzJi+cL+^s%ZmuG)mY1ygM)r9V?EuhSyTt z-;sZMqn0auIV1e;Rds_H6wk_tOMVT%@d^)?aVa$7AWi^qta%{2E zk>>&X=*ME89XbSvz@73zkxApzPJs*dLu^6q+GwoK_|W&RmXxgqk>>o>&EvjW!> zY6lgNs8^NioL2o`_9Z`)o1>$Wf(VXQX20XewtNq$@3reStUkZ~m`9`x(s_4X?=!RP zYo+Iu>NT0QeD5OsFx*xEw{K%DYrE{UX}03b)YkQfx{inGp<+_uplCA>^2n@+b(XNI`6%mULhiTh~XlpX71qegx$AkC`_jsbG< z=19jmTOI&F*pk9^H?mM}fae!@HAj*(Jpr|v0K@;k<}K1qOu-2v1^5Pl`|oCRfN<15 zA6z8iGDa1!^Wm?z+vmUrNMRq{Gpw{Cer_j7`?3?EP-i2%8TH)Ij1VJtHIzf61ku^s z!i?A*%_fK3+$1kIdQGh{`N!qp^6bASzc)RMOS=o%5P?79MtjNAT%BhGW#EHD24<1^3Uci-^?0A7~`tJpPXl=7KbtYPJ)7j9VD1DAu?l%64>P zipgt;z`t!)tz(iDdaPWzTl0)F`@=bxF|nF1Tj5aS!E>5p4#5fJ6=)m^TrTB)3Y@C_HFS;_ERXUH;}QIH3Ks1=PC$z$@~6r z$J_I6nt!n{1M)Xrjz`5+u}EllVgH|Pc8#m|kp{7<$AYc}9!Cq;$irEg(7I?}hRdH3 zmhGD)_>lrDyN*zApHV8*<7W93GP{|SA!6WiPq}-iXs-abYcba|>v{Q44(hGzy+>C6 zN2?_Ag3=>Z>4!+iYzb>rM}BXcUbZpn6W3#SOp^PjY#3@fQ|=_{9K@`bvMc&qIL-Z4 zz@vxzSLH|m5#l#j>2b`HeXW#5@96y3ZqjSS)-Zu_%_M{}iB18lmj6vJRmd>v*+C?+ z;D_iYl!Cz|^1(^zr!?10Mn1WCC)2h48e-G1Nbfk(yn!>*tV1&<9(U5z2OvM!VWa09 z=r8+m+|ql}oiWmw-otH#h(wNCTy&hBy*TTH3d4!w0ngQGLZielS?O1#Py*bSx4i;T zE^?A1Py^z}$Is8zMW4(_t?-z6S*ssJnJ4Pl4Wb^lk!(o@&p9J;Wd?2j-1QS%#ZRi@ z2<`emAx}oQ2V(1D9lOs#wQosg?l0k-asur3;0}`K)y5{~mEjq})Gq~3B0bLfi0C6k zt(H}uaVwYizb}}MXd|(_J!*FFzx-!vIunQ$Cu0dTgd@Jl03aRJA`LFBJ0Kg0zx{nd zkK}PX;K7Q(x%wbMNYrmzWH}X^X!Ju(aR};E+;i$BY?oTg~AunA*9G6+1oCO+getU zd$CpQ)+zcU`>Z0v>HYo|>C$Wa4+H?-udB3ge}A|V3hV1vG(hOA1iQ$->V58#mNS^b zy%kvdljoG<5V}!O+YMlu0?0C>Z zCWdAi+g!2ch&?B=+@@z^9c(MtpFr7cG1Hn&RMe3P7h$UkGalb>NQxL~-v97WNL+1rlfNF%9lqrVP!=l6%#%fER{>3>u%Hg*4Tg#uYXOXDk*H(*82C>UG zfyeH9OnqB9K0><(&DbR_wyi70SiGQqvgZ;$5&B;OO6xaz1&ha^T`yF)TKlJA62eAa zhw{~{lp-M&c>JrHqNEO5X~ml%v#o$#N1)Z?QE?Ik^^_MrOr9xtvDB*IJ+FtU6>OY1 zSIF@5a5jQn6MJnC^KW8ux`cu6+z9OA*H-C6LL&t1v#3R+v@hn~QH$(!`77~wF?}GZ zqZJY;KV9D$)FPfEB=WY>GIXCCPOTsAALSJI*uRD<61|5yOQ6LS!3oTSfCt52j&8J4mr zA#*N?3^zamaaxqq_ODWOBoGcQX4P~qlzY!m^O_`02#-LK98J$iYE?K{=1t*m$GXBTpU6t5e(^bT&?FstMAoUHGAVcDNtWL`sp;sJf4+$5? z#(Qpl1B+;V^!VRHH3Atny#hkD*r8mJ+r9Cp(&Q^7@z|-B!Q50JnkWIG{1v&Jkcr{S zjXxUQRSmp-CdJD{I1%-s0%YRnIk=n2G6V5(JfqYF+7bpep`rAk9U*iIQp`;NnVvwY zk&2E}_tKo8E2L{Qj<(HUn?_iujrx8^?(A;*(F1@!%~?z4iLcgG{pb^X@@w_aS-J`S z^t3i4RUA_!6}doF6=ZOGVao#T6%A*1*+b`dO%x(k9HlGh5j8C&!L7Ek--9(fVS)_pb5-*(XMzt#Q}XSO)ON7&=O3!C<4+2EHNH3v%p0g{>MWhPmU^j)+>2*fpLG(wyd4y9ABnEB383&oqr9-lGQ_|4XT-ppL}`Omsv_w8GjS5-BA-**J&%rwxyfhOl7r=>fb<=?h! zDAn}rz}I6W#2Nd~dq^s6%S_zEt3=khK5rti_Fk)lt`cRkg_Njfzn$Y`_Ko7v|Dh{; z7nqE+&HTAMOJ*jDcd#Xy_tEFTd0#)>i#H+6o|!Lusmj~<{z@SKM@$<_tJ|33KiJH2 z)+lmqy*f|-Lu6%bK!E4U|UoN?{9ru zrhG<8@v`ns+EgBi^p$&I4>|=6)`V`2rG=S00ousgs7YPQTLl$*#`aIgE+jvHb=3er zIaWd4)|46oaFWD@ew?VK^+_Q!sp zQq~*$CT)pL&BO}&LK2&y=^FY+jey}pL5P!7sxsP*n$c$_XWl53NF%&HD{W4Y*?(N6 z(%Q^?vJC#DFPfh*am-1rqh&kgWsF-5Gl@Tc?gyxyJ$+uiC)lfefc43d9%`IAdc3@6 z6xiW<#cf0z$qnI7+DQ4)oEQY7{HQ z1@$%5rNS?`{=Jf#BZ)#mDvUyHgU*8**^X8n zIcFo)2P!-XK1km-ki3pnGgHL9CVx4MNvcQs-1ZySnsme(Ce1&S8&ytE|JZl3N#HawXpT&*`r~foGvRMX`&f}l z;Yq^3M+6fHg+I~!(=P}??cT*j_A`Yxl7OfBM9WvnDT&T1zYfVmKH_Cx($l_IB(p#o1`V=}(Y+SL=1ujUz zFRqkw#uiIXJDf4)%W@p?sH$|bNQhotTyPj8Q%lSC1AFC^|D!gP^ZLsVDiUq*Jp@O#1l# zAsqBCOYV;Ou=c`v*F~i4SLX8nVd|{In)>7RFCihNpoEmlmlA2DJEcLCPHAC|7|rMq zY3VL0rMp99G>nb`1CcQrWYiFN4$pNxzw7rOwTa&enLAK=S;zeWAXMg{7}8!zj3I#)a`{fIc1nGQJ_e^0#J&7-J! zrkkm0i+Cj%g~abBPbOG@VPn=?s8L{C!Oa(=kQ8BQtVsO*%Ia6vy%@oyz89lw{5W{D z6OT;{`rwM>aCW1|1U?3E3n(SsG#C;lS2%%&gGuyheZ3<+=Z{nCrXr9{vTn+p4>NE( z95)mr3mC4OuUV<9TIS9itb+7zkBucr{b8x@kg#3_qk^>c@>jm_?=lPyuzG!txIn}QzLEkt|1s#4J@_EN_M$+Xct#3*zO&F$*)rbD{ zkb7kGDy| zxtGvu`_Khb5?XSw{%wO%rIQPtCrwA?c4rH7ChC`Gstaekw!fDk26?JCAIo}4d>YhVb84dT-Z?jO(&O2gi4R@+fvnD(9}zxQYPHL_Sb4=fUu4dp z!da@-Kr>*q-|w-BOOuOu>5Smbvi^E6{%o7?By}~*>^@M$UALiwJNR$IW->I9b$=<6 zZ6!qWreb97vnsCHn9rPrctVxn;bJfj1P3ZW-a{q3Weg0+(V7-t9wu*^&guj^rrUJ7IQ`DjA&#TGRO^k^Zt@(MODZ)M(W zM7tJbg6QdZOq#I54D2RjxjKVPGOuKp6H_uO}!Q-!M;7n&pCHw+|g2PX(&F zmdG^jE!-S=rI_aF4`{InczeQlmy#C|Zqf6&igC81n1;s5!{ zwIa=(X+CQ}cr*F=)@j|C=Wnlijel`ht}(l(EWRJcHQsCGv>YzHC!gVG4+S4B#rErF z{x=h9CYN@0Uv2+SAqm4;+Mn&W4Po5~xGd*09?nl_ zmiY)=jPxp@OQ?H@a!yB7t+a&lwjYk-?$fL%d6k+WM_4B^k(=xzz3*nOd%D8!;g37$ zA;1We(6!igjCzmv`h0j>Lgv!M_;T7r#(v1hjcv)ssMJ>r`+mas<-RApczXzZ)7=0%wL2J)L3KGh;jMJa zXnyzg++C%ok`5kVUVF%IO7yaznZpa`RIz}Q&Lq!v+LR@q?Y^XkZGTI~YbSkA4NY9- zFHt?fTZ^-0_l~bsL)wIV^1aA1qM^L{#fNOgiNvS|!E|e9xJ^t*AQqIkcCmok%hoN= z-oqoD_|Q{8U0W);B)c+H{O>5G=!vprmT)0yF|4Q8V4}n~@uAo(uTJS$tC9}mxfpq2 zT{0=V@k(rfz9y+4IBf{o1aa;hqXB!Md0)#P*o&cZkAZB{22(&Ou2c8(hgnu7|Yrw2PPtx3%3t?g*ZPkPk*(A@57&-(leMbNswA#z%T zv?}6ZwH|7=8g%sug8senJP8A*x%_rD0tNi$DYqG=Laq%ha*3ht%+YsEj7vvM6PiP%(VxmXm z^OJjyLm6q?Lq>7UlEU~jcgY9-L&P_!tp`(7b!`W$H1TIY?Y;_m+d=g=;YJC*M}agF+x8V}(-VjJuk*7j^yrhgTJ z=g-Ap1t7xPSAY^aF~G-Gt~0nDTCTrQFLOY&gZJVdy=va&U>b@F zySHWPrWWD5@v?nP)gYc%X!N!mh+r1ImxM2>7Nlzbyf#NuamYqM zOld^nSi6uJ%_*1U3J3pPqmxTi99BrM{EAr{`i7wYWiR^gzFA=nx*!O|m}|ql_KXCo z;GFY#8^T2Q%4mX+CBbRKQX^=EfHW1mL6=ncLzB(N)YRcQ;qib=ZS1I4u&yVG#%!MI z@Zme}4^ViZkyb?LXp5O*629bg0OvD`x?)mwg0ul`w%G2lRhS5NAn!EUnq^l}gfE?) z7#&;}?w{9L%M4q!{V~HlR>^BJ*K( z?&g~^SvJ2bV0se5DL70rCsW+@lV`C=hb37cp4TC7IO=kTzIb>2ZYbf z?;APwhUAb3dY%Za=i_ZT2?ASva}(kgcG+lg5hmHKu+ceHFwlPY@xkFcv-i#F zJ|VP8FlupS)+5s(8m>nNa`#l|pZ}Oo5qrJ|dXAk9X95+eB01QR!uLaPVl;VQ*X5Ii zRAGo9L2~5Ce1OOw&gLI2J)g&qS#G7_RqQU>?^*GPnQ7*0^k7pij;uQ^4Qz6Juy9Xc zE32(9cU#fkz{s-Z3kN~GKq2SNkCPe_9&7xG3ZweJTbcQMX=|sX{)~YQBnAeVqN+F8 zR57=F@Xehw$j|ntJ_k9Xrn1p&yBBYw$pd=B599O}>rX(LcvA~()xWESBJ6!^raIny zU({dw+$zFIem)z_?mge6mF#r(yoy5ncUG&~LB|!2i@2HfAXQQ_&&C#IpMh2rQHG@(J{NtE1@z)5x?b?eB}`Alz7gi{dz6yW7_P0 z*k?`-1_n_*vc!l)5QTOWO%GiWrFV>OR1Wc;vL~O}JUg6ZZ`x|tdp7c)1}27TWs5^2 zAN1gR*oIJWRny19$5O>d4KI9g!_}trDChAF1wmBBXwGnZ;}GVs`*z+UOZh2(OjJ#a zTsMM^b_-z5kx!*4G|K6!4JaDve=27$>pY-T zyjb2gJzT{!V*9*&CHk2*Dp>@rKI1`s<35)Noc0?XW ziDd`2A$rIO4*K)_Qf<1=O~<5N+m^7X+&G0>)D@`c`36K!u_Cr6CX{8TX_4uX?SNS7 zTGmak9eq~mSJZD!y>Eo)mzBp2>E{Uyj1bGwr z7Xdr@X&q?#%i0LBJo4n|%Ac!5$l|y*?0iXFK>k3g4R4w2%^!9dv2Oo?G?4(Hs~ROT zMIlfE>Sg#9Y1ObzBe~;!`4(}*Sz6UC?2$Yz!n=?8T46MsMg&+ebF5aL?zY_|!{v?| z3ZSn(9F&`W$&I9Bs-Rb%0HC~L7$wk7`c|k7;BKus>@oqbC;!w&tdfw{};pakF)*CceMkt(=iBjwQW+6@1q=*L=0Ia7L|46jZ;ly#{+bxwIaR0 zfp~9<{3`Iqk9(~xlt)ova}01%m~pV z4^95KoHz4mf`IOO(np!40wKi9(=x%G$q>U3FIX9{{2DDQZ)W)Z$;X#+N%2PT`fxob zL>JHidesbK`nW30oE9dM$95d6>)S~jBe1a)j@&Ukj~G&DcrWsTPQPlP(@rMhx+IX+ zugg8QOH*V;=o+Yx8HJ&MVi1{zPAe#VM5H--Ne1Ilpkf5vs?b>A*V!_v3j_4OhZq>3 z3gxdE4hKzX0^;S{&(x2|a^+53lclu{>>nZr4{!(>5;nm)*KJf%=$m|}qR|3f4DM=% zLKpEq{a{z$LacyDq0-;WXyy zxq$f-1(-Gb{|*h64psr})q4zA4c~(Dz_J7M^V`Z!bSs5bBGy;$s0o(<6QHqE<=*3o zD(UM@vb8_G^k}hpAaAE8y+!I?#Rw%dhW;h)b_ZFw4Y4k|&PB9I7k}>($L_1&yQpID ztBUpaqK{ph3p_fmYJat`ALeQ*990!Pg8^|odX^L_drl@jtEl?RW)F|~eh?c*=*i@_ z<0zYg{;*xDqu3A!!SaD^!eS2Nh4az}ijS2Z+<%WH$TMI2b_#k$#}aLY6o~YKC?GrP z6B^Cl0G<@U4*Y8Ddz~>fgrmMc%N(tDo323ZKmtVy3?!iYf*s@VRtw4xwHFN!NAueH zc=~#XS6uqY*xQti*s-}kYS!+`SUtVaI_Z4A1cwX_WruFm`l`U8@DBLd;BD3|3=e^RuZFswO~n>@Ve{o zFxSb`g1Ad{l^SNaLt4DBzPZolo~#T&)*kmwwI(~#muYN1oio|GL5Bu>RIm&M-KWU% z6Hj+7EZu-ho%3cw-LX@;fm#L@UMg)x#t-K$tk*F}UL&3y*Iu%1!AOh5pOpbKQzs?2 z=N&5FjN;Qp7*FcEON+E_O?>+W)6^z>h6}fMoWR;LqFYOthSxM|C!?n|4Fit?hbs@y zGF6K2Z*|``GZF_NwUWq_dt52#{k(+N`4izY%~L@?s%EYaNI2%Z$hy#1-(m|Kngbr! zzEXg$qh5r3&ooD*Z)dHji5t&{ng=$u3D-x;XW>`J&LJ~O4* z1mUmZn-q=?$lM%bQky$$_hhq4lN!$gZ_fj#38jyM9j_LO@2%*4;1)3GE8m{#uChJu z3Nypij?xgqs&DK0JMByZ_CIb3L$d)Z!^^bJJ=^WIPzojv_a>UaLqFumNm|9i6Kj>B zGVvi=M-`XADAv?L#AndvnIEMb3y9fm@cH89<1+d=ZC`XB^Nq_A5CV~~Z^`l(l13YU zYTa~WOUHu((S<*t8HTw5sRP$V&L{t#oXTOi=MD9Wx}MqZA4{cUxt8(MGK=WhFA<)M;1lkaRR>U=(8sNsc zGezaH<%}V1SO3oZ!an;4T{|`?VWr8C0G;m@PyNVkgkYy81665mj!Pi@v&|*Zyq#j8 z?tTGB#16J^D@zcHUQ?gGUk_HRzjag3^cxqO%H-ls7;n9RVaB1r)J3b~<@I%h#8e?g zo?vD3PRMq)1v24N`$iY{BagXc0aJ|{tE7t6Jk{*;9Ke{CH1vCSK}6@?4VJ)vw=LE_ zS$drguMRA$PTWKY?UK7K+g zrEjp7!%nOFxEQwvDNF16XiZmPHv?< zi?0Qi0>fx50VcVQ@t7N>3qJ*n7NYi`jq{cPpagbSArzOP<77fcM(Q|9dvv^BW2*(r zfqHrU!o(k7`)iFx!J^rvwpGPgoMLbda#Un)FDIs*EUuO+;`hGN(!3ayiyV33ZW;(U z<~D=w;u9Cy$EQq0)=_~+yEKGLf+N|c<6MN;vZ6ROKvXy9@tRU)w6qKfoT?># zxbzYssbcKY>N8!Gnp^rb=B0f7AIZfbl)#8&b^G7GY5x;aW?Ws@&?S+F$_QIv0;Gcr z7b5QGGqV7>V&+A>1Ad(~Q9i4i7y0}*WsP3kCUvy9E7&=0&>{JEPIxIGASzxFAjZ() zl(Kn0=S4=V1hQCz7?R;65Zp`hXQ44%h(SWS5ZzC0MfL~gCz_}FKt1ldRbTZgMLAsTP(qJOt~ zqG(b;bwh01cUDM~{=1^3EzlPmFz^`7JKp0oyYz9?zw^5^!ufqhZ^@T3gxf9lk{fi6 zBizjzcWlZ7kA+hDr0s*WuVTSTlxGxOwOa(oU~zLuCwPnt=R#k;bPC4 zU2t%jr+x3M`Q@;I9pUwpYM?}18~s?eh{TzqNvd^w#%o=Kj_B%L#1pAM33y^v?o8J; z(GhV7FC=uD9(e(4033j}*8@g`7ekJ*0g9cv@%+*uhZ8>I?o#7ukRvFD(t=XJ%%;*Q8s>p0E+4*a9N z>eJ}_OO@UwUC6M01L+$qaCAFWZ=``VBG=!7mL%!z1QjKE@Yv065ecrA%q@GA|tbbN%y-EiqnP6 z!zO%dAMu8-`cCYSCEw!-VU5wFy$bs6cA;QO zyJ;Gaytp^OCl>2AS%So(cv0qz6E*JMYYq~T4c5<}7n%KG$IjWBu2Y_}DrnLwb_>|3 zTv~O|ztd(^rtF%%G#a3r-8b!tB#uk$!i|jYtx{+vP!6 zBw>w1rE;5Qf>jrM8oWY?V+mMUbndjsCX3%scPSXW&@~aIn`8j*a zN;wS-;=@K$6$obC0YjLxDR4T~Md@LSn6EiTMo?Mc+*M48h=;)PklrY>8#`@}s7(jp zcm9E7xZwq?Ym4d0x^khTq>SHUMq{>=?vvSoX}=2a*MF}Vi3EIdx|2u=uN^X4K@(HD z+B)q!(>08R>X+qf3Wn0J;l6%YZPZ{NU&fELcy|G{rfagy5#6eQcZ6MX;v=Wxidj{W zvAW&NTe>^}To%<-=Nq(}nJU&hyy&PKjrZDg0+v!cxcui!J9+tpaXe0mud^BQ%eiS; zb_0%jKPBGvih+JKzqFTlZSLM73WD5^JV>{W8=9D83bXBYlN}ng)30x5Dn96OBSdM4ct)^I;axxxE%&{ z&kFhcQyo$NGLcP2?O;%q)O^xC+fg$4xCvx}zzyH|zNKV^Mmac{ z@bwD9nS#X+S~lvc3af2$fX;(>dw-tm0S{UVBp0A(UHme|e zbb;FKdx8=aYuU5vuvw;JxRF@4ML^?h$%?pF9y$@9-ux5F;ZC8=5RxpjnZ z+9a(xa0!^@oPNl1QvP(d+QTiB$|WPYFJNYtL?*>%N6P}*LDMre6su%WGg4KkGj=GD ztTu*wC#t52zW}qH=7$H+nnypm@#rm*jI19~R~=;cfj2j8eC*XH)HIVta*Q?wF{v3p zuB-gVcXO(J*`&DT1nxS!<)oAr0#lKJx(IVgt!8iR_KJWTjj zh*W6ILXf0id9b;;Me6Nqx{<4Ak<#P8fsW}JRd$h0m9^AIXLr?~^q(MSKx8*na6*@w zC-6_sBjsEE1?NuB@e7-L{)LWZyT@?pu4y08fcHZt$q$$e-fWv)&d3Za;vKgy=V*Qu z>c2|?dyMT=5F4F%3tAp=G*sGZJ-%(9cCV~;r#gBxaWbK`N$4x#NpuZAYEMkej}O#hm58cEXGVda(5{doUiTRgj>ObH`AyALwhRd&|#7JV;AELOui z=4{6vNpOk2&6Zr$me3WQh6JbCP2z>TWoXdt6%CL1eZRvDVoTGJOA5U=q-f_U6?opRTeQ?25DaJ%^fm_9&jy02EiwM>;`LnY#>gJdS@-Ge=Jzpd@Q*W)>|Cg1W)l|c&epiu-N3%{F<%s zFb1!qXaON_;2XobC~V&z<76O-WsZ1Hv)YT-hT&%Dn4@31W0iPaUIOD^IaQcjp1&Zu4Y?*mZBt93DNP2|8_Qpj!DE~!Aq?0;b-o%N z2~2qHJVoG=e5Ct4P7Yz=M3wuy$mggVl;()LoN3rzyo;;l_E&)v3FZGoVl+6piXA}XcM%a#90OjTs#8tk}CV*A0W)7 z24-<}0vBvL`JiC1mT24gqJ17_X=f3TZ z&i7(w>J;l&BfPJ*jX&1b|t=7pSTN^*)7+fV@iF1EJPSC@Gra zAKxpNXl^f4|2bnua$9Z0FIui-(%wR!|1d7UO)=_w{9o`PW%%{HKH~O;X|d&3#QTyR zcba19_Y%`9T>b69fX*bui*=hbd<547S8Y=70Y$FSOvHAt>fZ+SvjBz;R#d``>w(%) z>????o${uc9!hkQR3NYjcjJryWyvdr3HSCUTfr`y^t+_y*8b{}y@Q(?ol}h61mo>| z;I+Ycz+nF3&HVisP5ier^IiMfF@JS&;^7-&=!w9a6R~zj_JMJU!|XihnLgtB94V9I zyHkFd0VWTw++oW2y!T(9?^jqu5aJ90&btB99PIQxW+B6U7e|L*Tdl4$ex1JcT`!0z zEvFHEQ}-Dvi=W#7%1!TUdUgmDv@X)sKQX-LJoC&DBZd*S*Go;+8%Xq&CWd=G^v=#Y z@xU(At2BQU4Elr@LXxc$-!Iw>GC4C$oqvr(B*7{fs39`4=STb$*(1TwA*7L--c>k& zk3!Q-sQBTl1n##za!BbvBW-8|3|HRxlx8#)O905A&isW;NUbMLFU#P!iap_#a_zcF zZ&c+W)8;XUT8@{T{fVeb|4fAX{40SIdcqF5tI{oGLYD<-)7*Emby{7-OtP|N)PEZc zc%TEIt#4e^-1Tu=fBkgV=cUXNS%K{4YzJ9aYls*ix{>uOMFLddj>~b#SfkfF@=H_4 z-W~4M?wgIV?e6og{}{11110_6(^6l{{V`7d4(^K&qDaW zM>2>CBdIAPbn@;|7-P*Fjx=Yu1%#lJii|q)P(m>6_dk9!dv9s5O036KPRflWUf0bdE7yP=G&NL9GHnK6Xl@T%Z3x(WQR?!6&Q8D)w;^YR8ZI?Y3 zyVO&+^?b>BTEwLoKV#I zz?83Df{wQM_W?$~A^gDXRJ_9XluEP>ZUq2ZqogUQk4t=NFf-9qhXv zn0uGm)*rrH@AW(}dG>VnKfyefp?;5hvui8UPX@N>2RwV*)zDK6DODTENo3>Mt$QmZ zNx(+k_;iw%_+ug=Hl>~^uI&_F^elvOW8B17wnwMt=&l?yAY*a6G%weu-|_6XveAcL z3TztQzTmr%M{|7fBMV*JI_2@^8fS4{9W3_wV)N#IBeY?17TeC&%FTXpnJlCtjGwNL zTI+zaM7E7uLD<5B;hkt#%zGSfXJP{j1g4%JE;HWXF;EpLr*rxo?3Hn6c(TWxuj<~8 zYdB8~RF(POMbde7##K`K9?`YCmNl7IZT`-4inmG~d3&+rSQ~yAFzwYD6NLg(l9+X_ zpv2a3pc}z`zh%BZ{}y1<)9jiePj6c6ts-})0$@|a-`0UhhZuaZD6>~DK!5DA1@5E= zu(#Fo3i>x2NA`v*Z~a5_%%6dwBc{Lvf$$I*I~_3n5{Z~!^*hYzkn*CW)50X0b)wJk1-OSSPEjjyR+1xk_7Y9E(`LrQP8B_milIFn#I-1`cH zSUnT2fWkwZIU}JPu_EKV{E)r2jm==i4(DtU<#B4JZnt{$V51Uso6GDke*y9 z(rd25NNkW@XDAA_fMpYra)fS>`bE*xeQx%3_XR440dG~RCbdq3RCdy6@R_QN$@O|I zp6c4WBL(Ch97t)G>?_vgm>_c9X*v@6QOm&h`cIos@5QAgCeO74r4y7l$ zP*+NRIeuIMj(`C!lFyd@I(?=VpBroZ1?-ZtX2uB|#VX1RwIgmcXF)sRvF4m2N?+N* zFrU?XEI;o-2_R?}#olTER_8?l3{oe9qBb-9>9#@6D>faCAeJRJ=jgz(Q0XK> z-rZv{zKE@FIOA^xM7FyqoT`TgtTtht<{{w80ZO6X$zL0bOYzV>>nJL22l)NM%5Bu@ zw~^VaGLVsxxGZcsPoviPqk-?vKDk6B*wi_uKP);rfF? zJ}=V(E6a!lz=8>t4y@EJm<=eLPVVyEF$mRqW`Fu{HQB${o$az6KYPVHhtBe%<|NTY3fRXu7yWITmJZ(d zJ^949O8eTHe3B&QGw^4>k&$@Q41_SooR=nWcJHvBf3;+_pK6Ajd!(FW-!_?dX)?Fl z?d}j*o0!`4ihq)L8R`Szu!do|ubyVuFDE9+!Bf~UWz$MclQXHQXqL_D$Oi^@t&8EQl@M({SQ^*?pn|MV@r2i?*_#EC)G$>GCKb<+6G+*63>!-AY7p5lMHRH{Si z#VCy{PKZt_2NKwc^7RGNk&n7qM&JoDq_6jo68`@`(sRjoYpSO*>m+v~U@BvH_^56+ z9~`96P>TP{UJMHhFZo<{8byKxq(h|Qj#|*5?f>bqDGA|kz15D#8D6rwH|?B}IdB#L zp{geJ!R$R>;+}R&UmT>`V0JC19ED`@T*uoEz&%uF_7GRd|7pMxD0~Ouh^_=fRijoh(OF zBMc((03n;4L=|B#n9Te{?MM@;c1(_pnNYBeQ9B-^P5IjT)?5DIZe@2Tk|O;koktah zmt0u>IQOl9!N;!DpL%bpnZ8&9tN2h-BiuhHv31S!+?sQ>SCGYhWk4nNZ3kBrp&4|f z4^n5gp0_v-dd4(pdCZ+i90DAx4r-+b>wD4XOEmol^zk>*M=78GuG9OV>5nQjF`(su z{9=40hDwUn+W;6c_pQI`m2fXp#4u|XZUSQZbk}Lf+4eN00K0-7F8r-LZ^AC6fWcc} zong&?d^jkFbSLT_l;h0cvbtR};%xsGHX5GG)hpr8RiHg&O6;pP-$|qOo8p--J zTgweMvFzlZV>b`lZvwC%;J>a9JF_ED^uwjQrJ%Au1>DR(iQkq+Gb}c3SDs)y*CXi9 zumo7Sj*K`8v%|EWN6?qyy9FiMk(2I=wrQKqd=zckU1rQ@MjfPI{XL+7m0 zgkzf4$sDK+Xp{Ccu8Jo|x+tgB5@9Dd8LE6x8SE$Ht-G$;S#sTV<0(-+Pct+3l^ExX z;(Wbjd7l=wR5=5toiV8mY|DVur=@C^#*OdP_W7cOAA+uwNwqmI>|YbB$#P~gee{kY zQ+ki9c3gGm)u7Fy>`>4qmPB&`w>nJn&uO-|aotHlltN^EuFI|iw)PM>d*96Q{HC7s z1?=-_Ra8??+1vO@kwFLM835LD%GUrb9AVec1yefcP#4{dqv^A!1jh~-@LCM5=aq@bBs z7&-cS9T-iGX(66T&12 z9q&^mAMtMiQZx*InXmCEZb*M4^FQva;dC|5x?|Z|A_%3a8Ubx^3oLV`fqDc$2C8$Z zNM{cOMqVY^8RS*`Cc65UlH(8(dq+Y$*lOL%&B{FrWB+sDWAUX2C-;ION4w^MB)Uj^ zXSeh`bgiIn=`6}5PkLk7{STl*4A^&>`5*T?p3WLfSnp3eV%JVQcj#|7Q%!i{aqOY` zPnOdUsQLu8FwZ!BgG>c=)i@MH<&7|!*qm?%A)$2(B&6}Z-NxUP4_x6t!bS^<{{!3+ zfbT}2OMpkWmO8%vfxpTl$OP1!0$~|4wbSVyC&`!L*;4BKZCHyJkRAMvG-UO8*bwsCvIvaj?mHf_xcR#(qUBz=T{877bb~@_BZf26{CdZr^bYJ~-_7DK z#0f073?|RBOI1&yIud}H13g3O_5_o>wvz7?ct<_5*K2a6?BVpo6fsLd#)|OJxRBk6 z|6qyJo_7kGy|HI%uF1#pVz$C9o0e_8e{^#O7?*%W|Gr_!I=9h79f>~)3RBa0H<&%R zo1JaBZS0+2qQdVVpszO8OJ4&Vn=D6|U+>$K#3E`F2FHI?3Ew7? zH#Gcq#U#$s_Ki)?JmC_T@wCx1V zAO{SZ+oF((>%-eU@OF#fZ7y|2;5cNqadza}ORLLa34PVA>#8rXfRir`%akYYLXRh2 zz7SUNg>6u)PWUZ-*#34>rD<;gvG(0}Pt^kO05Vg=_P0{k8>#J66)K}y<~28z+cWOf z>NMt_df0`)%5-YuwHuT7Hgu#A=Y5C8+3|iN#^9`Efz0oCFLd!ClvJEXl$`&^0`R0| z8rJ%QloyCgtd&xc7Zpv)baNEeBwG^W)+at$wv0Tfg9b{^rh)>hZtAL!Mcn z-cYZ6@TzOcXil57)a9R~Y2efUZ@XsYo9oH=0HJ*#RDWCOSICd}91n=n9Z8DJx#t~Q z#rA+Kox>FRxryO;oLTA|P%8x_>d?Ids@sMpeW3V&sifTG<6qga0Sk7}f5!v%SzqyP z@9+wQbH4-TS)|yHSQmyOlDCV!@aIKKAfaL)lE%GiF-xaL?gfDX28Vxe3zMIVdIGSE zeI|ATMBOrOP@;!$sVFSX+}ANcGEy+Md5(;{!Pk4;RE)fSz*}?K@g`(^H!739v2oH@T_CkOR!#DYd4(skego?bpC> zPf|13&Ac5?r6wf^!N<>SSV;{<)z*5)yK*!|IY=-cMcgdD7~=>;SIIW>JHZ>T zj}q`s%D}YWv~8(u1Yw({ulnNyFo2`N(uVju-`^nQfnb#uz**|he23ZqP&99VJA;<+ zFIrpDzN&}UsdBx2cTM+;wWzXqJO)}5W;#Cs{uo2pk9({SC2cI-vZeImgd+rsJf}59 z#?EaM+vW8J79+6#wq#`J+bn?9s$E#5!5`Qz+TN~ZJ@ZZqRG{PgO}3v_QxBYq!1+No%SK-0z4{p?=dUfOU57Y?yB7nfDG;%Tvr z;|_kaUaQS)H-^cJU<&_dggtPZEI}!L@?RHlR|u&Y*A*9^V>X)g-wdzqKHqondg?1v zaObI;%r;NPM^9eH(MQy#YqIL^F1Tx~vMb2yCW8qrV&gRgRv&~s5OPlj?dwmpN@oY& zT#C_i=R2s2G3@jzls2ip15zO-YMLnksqK39)NwzB`i-`Q(>i3K>IVw6P|ro^TN{qj zn(+O-g4ofA{`t4)Qt}mgpfuQEHR#erCv7XAMbyrZKBtXY8L9NhXrS zCj$mR!0!Fs7cbWA5?Yo?WLI$TpK35)BzBdbQYd+O+)Vx;6FYMP$~F@xwonNNLw8LU z7aJIl0G(gsPSza12L&Le!;9?76KOoM=Mp5Y z@z4{FE;{Zy@8ksmmXcNqxhoFQBYOs>#{R|R)+RtYU?C~q`%39#t|~SEhki@N&h@J$ z$=|!X9wtiL|HUGmtvdg}ux`*7J=@Y4kK7J~uM~Nh^u`*a&!5D_p+A^7LHk0PkPuvF z2K`<+iH|nkV?LNWrlybm7Y*r5{OM$R!k(f7A;B%c;EG^ZcjO&KnE|5n))T;0K`kz=pLk&HjlEJLOD|D$U5{j?sC)oo z>~M&yjN-Ye4*9RJ{}gXB)wXhf<59d&T+(GqN&*S;~xPCI-`{c&! ztlkL$Ns_Cv7F@F!{L{6a57~O6f8>yv*Talu*EH8NBB$~Jzp;>0&VRniP{T`KzdHUS z{KJncblzX6uURBOyJ*5iJOBAj$g_|oC0P5O2|^tGLIz9Q@#D4Ep<_+}&16wLXj@kW zlNqDcXq_K5U>g2ovDr>+f4=uj;Sp+kSc%aaFhl>jc^;-FVh!Ks>t)++EmWj+JjweK|I26TB0ZixA)A2iOR}%nW&W_Sa}mP_i>2R zZq1Dw-%jw5)#gsi_I+$urF3z9428qam2dml30wxU0`dPG5Xq3Purs){^qyb!XaO%E z$wEs&WjfVe!pYqj>pg)sS=S%n2kvh>~Bqa5!6D-Yz!CXMTQ|WWU{lf_qmR>)?EzdD65c|%zq>%e=tY6ARu;&p1x3^E)RS7{QQ@BIYAEa z;cg!e7~RNuzw2JfsQBAZHB?{Bs(@>K@&XZ`j}gZFqqRO?`AS$hSl!*%+2hAdWPM!-sWWn7q{E1(^NQk3F|18> zKjmLHOvARtM}J2`7jV?L#*^LzVyQy@Xjk~KEM)vrXluO?^R`SPxD>#-%JB@WnAuDH zKZr$a5T&H=}G*7XvRQ^$%@1_QJ% zsyTmmivI-uxylFGAkVk^(mSE7fn)c}Dv=9@en`c|wVn=WV7-L=Cj>1iwyKCdh@cOK z_ZaEO-p~5YEAO*5!S^2}V|%DpL*HwWj^^eB9z~;tBVQ4}lBOz7=_)y?S9Hh_r|k?+ zw#yIsv)j+Q1hm9BN`Im8EJSM$0Vzdo0mx z5*c&!)vXrIXekrx7e`4(HQYo4O_oQ?;Ot`QT1Qeu`$eb9VA2ii9cRlxM6Ls9bc5v*C*+@is7Wqgw^OP|_44Wb zucJHD)bH6?LB<+eZbPDd%*0!*LK%)MhEyNFM<6L8k?@`UDO}PT|1;GU@m7c=WT`S^ zGn&jpYGE&UsK`u27ProW;d|ZZ^qS$&C$qY_`>1{EMdIBTjhX+Xfv3o|6-f9T$UgRo zhXU~F;FxTOVIcM7MOL$xPw2lTccPmP{`!#$Y^S$P?WjCM3hO+<=r9NZN>{m=q22+4 zw6>)5o(#UL9xhDv^k)@Oc5@zKVJ5fp>i2IL3)2T`ki3tj*CRaM;>wa$Eth{R=hdq9 z!d!`UHq^zp-%Cs-?&uB1^8mBitbE^7W{eu<$3wNGDR!l#dM0LoPY;AAv0gM+2ZIIC zQ;k>qc_WQ=AsTiqB(-B&>k31^IL`ePkjN>Y%2hE0y}Jx#1o4A?j!KoW*d|SCulxgr zU+wB#>MfoL=0uK)4hp_gn~|MrTY?=M zLp4(dBBnZ2Td|YLuG-X@PeTV&e2G&JDVooM4Yh~s7X_IHwN^ehup7;#jLJLC+4)3A z?@i_BG-0Ry!WpBC$%;y!Pab~<&kpwt&C=|!``Z(lfxrzxJFRK@Y*|GuYi^3$Z<7(t zemslB*Nfs##H@cGcD9h3Hk9IWC+Vy;yz9D?=GW&@9JpgZ9eb5D?4cn`xtcS}tK8DLG)R2hpC-)x3 zoM~>yMdpc#o|sJkYFc1CX#Vp>N;hqZndLdvrPa7UuCH4N=|$GtA@`d)xH-gW#14}} z8KiSih-j{Y5Un0=cGfzb4%9RaX>oVe4c(P@yu$nOz+lW)w>Yrlx~_676FEZ{rlymj zD;PI%-HXi2*B$WtvWc-JIcmcFw$yseEdTCBBKH99*eUmP=%cb4QBzh7O*fx{rmS19 z7NJh8GBcrFq)Sd*&)vsR4b#-h%62sG<;X1Cw0s!uWrjs8($1jm>{B1pjWw627PVwM znfJwS*CK?dHuhz=3%$MNMPc@3r!u&v=$WjLC+{oxYQ5__2_M8%y5+Em%u3L9!HmGU+LrCsa~!x9?AlO$UFMAQ&chSAtbcA&)ulA zJY95;QsE-=q#N740;B0&s1WL6L*f|g>R?ZRF(zsG{Y~UakA3d2FYxHb7an>IsAq?E zHiFwVt!(w%KbL6(l(&)k)L8?-*!>((!KknL6G-uLoT$60-H_pj%f?=+tjMD7aZ$~2 zZCE%i@2;v7a-%YqLI4f;!z|PDnYHpo0&~{2SK`K>a+}3|KcMKP7dvDm>zY*5o}XV7zJy&e z!R%O6VaRfc4o5_S29unAuuj?7p_EI^2%%O6xIevr zY;~zkh%h&Ef`-{X@RCs*CMcsgA8GDRy@7=oBO5@;!&Tw?i8o4Y$>*F9gm6|PC$pgO zy+rTuL+VWiZ&{o1e{YIHx|4+G)d?);2;v7>3;5=;!Y_7ULN4kDHaUUBy8>-;I|C4N zp8B{3n{o{mkt5!$;4`B8oUY?CjA^ycRL} zj+jM21LDkm#(Zm5KCH?zkybE#(BLx(7qx58%)9HVhlNTSA+Nq`<`T%r;yr|Bis!z_ z9gv_kRi()8UwU3fe}*cGjGq)8a$Z=(ougvA~qI; ztsv7>-Ypnr(odx!Akxt29>|fP|Ahn2E;48yq+xE7mGT6#g^+$;;Pqb$PD4rx^g9J6 zp4xL07!&@g%WaQuR3?DG5Bf6(V+1LTkQ+wKAdJHJQH>(Id63odeeAteNakvb!JUC$ zw-9WXZWe98GMxW3P!S_dY@z2#4V(3O`t90}X3W!jr44wdX)>3)=^f^_T0(P)V(}OF zb0u?D0t@y+><5D$$ZT%^%7JJ+yJ>snypeB2VvbO^RVF~yroMvr^1iUahnmiihU0wdV*v6L5ZD#1(N(=l0Co~n0varc}E zMe|Q&o$DvuzI3uA4`kw>LWemf5K_)Xn@r;7A@2t#Y5ysS+gWw&5?Q4iiU#Fe`;VEt zxg#smr460JeOue3kt18LXDeTzP^3Oxt(^1GL;*HxH_)SEMO~fwJ`$L6YXn+(PHj~o zxv6c?P|~7Hw%;Sh8WQ-mn}RXh84lFndBdX&UrTsY*gjGcGQWW~47*>;bGF-7T}4&Y zU{)x{*c;xil1LOQpU%g>X|XXev_b&PpFGP`4Nq*+-Ursmc7P?$@^w@F@f8#L*W_`L z48L~JNxA!BxiH+=DHu@e3BFjWhLUJm#kafCR(Y@Pd6E(kS*k*>=>_YplmD>|T>s$? zQpa#56RrOZ?LN%@h2`#_W}kPz`lOKl|KTG3_p8&Gby41lM-iOM7$Y5RBT1Z%uaClP zFNSSG$ynHr)pTR1pY8i$??A@Dep@uUGj{sggjFJ+*e1YCPO%6GumTh3fQc*q&;uYR z)I7j|$-J!NYn}2_Zefsq&RefbIduJc&Z~URMoUB6<0m0;5xA!!9ziB%mUK_EFDO35aDk6hIQk0C=}XenSCDut*> zeX2rCoe=U{gc{dFkYR0HMWxULe6m2-%~tfiLatt(Q3EgopYWPfn}9EjbXFUUSwDNT zuillv005E7XxgWZ%4p;$)Wj}c3}1DVCLK+p^y%PcbAguyTtv}NnuBO&0OH073Jx{H2P)%ErYGaMagiww$Pv)p(0Lj?Lb)=@9CZ zfX8`Ac^Rp^g`-hX=(-v@H~#UQoMJ|`pXC(x;0xgZHhXAt!5hFHue(xp^i@0i1+2bd6?m7w0SStTn8FF=> z0lK9L2(tBF7gND^$>oHtXYQus(O)VmpH`gUx%G%o@IWJEPHj@yGp17#W30U+rAlqv z48a81z^CK|zwl)awo0$`p2G2Hu_4a=gB8O?aH(A)yYb#}HWYYU&M0ixtdL3c7j~v7 z-2_l|c{)ha&-u%h9IZ(-m=}m#L||{X)*H^BR>h)|S?;{T&(0^?Z*9KUWWjDDvtVss zZ}SySnQyTle;OxTcxve!D{6vCFLNvbr0@8s&;nQ;BssR;VLOF~z*H8>!qrow)*4<{ z()8orY4XJ9rX@EDoHciV5q}@!eq$Vo|A~7rciFpRF+sf@Y$C9K#~E)+VmV}%>;oK# zu||HBnH@_ld!&yZJYMMVU2;0hw&^C<*Ycs(;u#nGSCjBWoO|fxwKC}J4L5SJYgRt8 z$+MmB`81BrPce8$QaiKfRH4jv{WaYpWEl7s74Z>p6}c@t!sPx(-l0%4TB-Zz8XECd z&lrMm=Jcy8{gdw+oxhAPnmM$wmMq>(O^|R_30jM|5_g%<`8mw?R#SXiZk?VQO5I0? zTNiU99M#p(zV{)R)}#VUpKB2#Ep3kWktj=S1GpS`(fXDeeW2ABk{-{2Rm_Q{vUaX} z)F|jiU$6VDh61U44rc;`0t@h%(7)&`8695-s~&(7pA=}?VtH{pf5qI1l;=eIM$UZ& z*U;>c)Z@3*Z=T(QQc(ONAb_0g!mJsFy1DBZT8A1X)`|+Fbq0*UPf4ppf`OZZSF#8m z$ZHEg3&aH;Tfho_pT|7ReW~)M(g%7qjy_U7;xHkd?l8ixI+twUI^%J-l{_X^AFsqu zKURwB$7%oFETxru2sw)8SZpiJbo%HE7z)a)0xE=x>gMzX^nfzEJ)rPw2+DNxXc=vN zr}hd(n|wm2dr#6f9#8US+r#$RRoQ#AHYY&Y*Z-w5s;Q#EZ-aznGyFBFWuEZ{aMJ&_ zp{{c|iG-&c10_6O+*{BXc3*z{C?W0|JmEpa5_VSb3cH(%%OMVF;`8Y@}@S?@s&Sg ze%}(mIZ;*5_ycg3K36TiZHlrr@k|lpeogh6a+Cl48UVYNMySiS`I&viA$kj$BYQdx zd1zD4ZgQ`JYpO9`l@Wod!nRm^Q!~vwvhRk?igp0wDHgZGQ(?#ReaCY;SmfdK@|R}Y z_x0x1gkdS}eFM~kH5tHuX-O(HcSGwpE>SNpS}x`6z0Xm^p4r~;WQe4+^m3{pX)6}o zqIdUR00tE_uzB%~PdhpWtpO+*KCr#LVU96{7nZYzV_0?>&|ldjXK#W3WMY7JsFfjr z<3K$yWBbMGJ~Z|6#eAsZn1@94+x{h-z9#>7`Sp0W_RXGX)NNt1ewv6s1g>5q1|3{= zFgQRLpNr1Y%8J6kyW;eRFE2aUz{kB}<87f62dxKyER7r~_qDvp5t9Gekg-OaxF3&| z8w&W!=Kfee74%LvmocU=m->*oZbNH~g-({RFI&mAs4OaCR_`^Rzo1X+>KZZb);&FVUFL+`ShABhc1Of%uv49Eg9 zRVpSgBRD%r7!nIgjpw94L}k6WeZGh1+X9mx%;V2U!O4I4XGQ=Oa|66+s z3YA$$kAJiq_jF=y8ye`d^v>V73UO2xd1YlTQ18aGMRAMQM?lNZ%QkYRLu(MvoZ_wi z@~Xdz;Ie=-)pkTpj_(`Wj$sY3Jy6USR?{ zudlM(GKN5j{qTv?BJu2vMaI0qaREK>veYaW@Ka2M_6zNBndDe}TK7NfN2AS%!DQcx z;$8n~h5y62?Ij>S--_R-*P9ofjKHk%aTP_Rp8yYetr0s4NicACLO3w?YvWPw%)jB6}XMg`EUL35c~g5IKE9 z`;6;xB*T*8;xkdbr&sA^^5t7>)6{3*4xwe2dp(P;yB&o^5!bzkhBW|`*?HQAIGwxC zeI_{In_K`)neFamNj{EuOnYaaw>>UsS<-wQo}3fAy=4|I(ZY-PcFrFr4S$6BCEf}m z6C+k2{=`US^$xgJoPMKCIRDDmJ8*|f@*fPjmEo+3Vxs4bS2s&ITH!w){Cps*$(34I zf<_IviAIF?mfMmeIO(B1N@Pz$y#u8>03!D#$bcLQ7xA72Yy=99#l2}Jj_d;%qr`0J z&Q|2Lu*b@iK-?HF)tgtSHSljljJF<|R$GIr8%VM5vEmPDXyDUI6iWt*e*qV7Dv0 z=uV52Aq@L=(srX1LhSG{ODFqbleWfjYs&y$@}RQAXI43YV$BMjoC4aYpWy-3%&* zkE~JE9SUj<1S}<5wU5oE6L03{npSwjSU&)W@V2aBzu!tD^CuYc3 zG*V}}UDsKZO6@3xGY4I%3&hi;^-lg_qC2Pz@1lUGRGX1D%p zsP^f0JlJ+Wl3zNbxV{w~$eu!1grH_IJC9Gj!&=cke5?yrh5VF2kkM)1lRvEpAI>Gq zQZ)gO)<4i#Qn*?ln0J&7ufb{imHqaKINKACuC{Z+#E5wB=q6L_LPF`}92-1d{G8p! z;a`*Aczl%_%eio)2hT}~hF0q@3QrM(O^%CzOf+mnEO6T!w8>X*@Ak*wu!qRqakD?aE~h*NkD1enAkq2u-+H9(nZGo9iDZ&w3H-%C6KMr`m- zTtqKoAr=Gju`lRTImu3zXN5oyYCA2OhA()$>wRGP2LHb-zeUP0wn1|1bAb71^bVZH zG!(m2#aETKH;`0P;f9+%y~y%Bwm%A;OyMbY64E61HtXTPY2WJc}x00CB_3t)qzUqY|apPYfXm&QN2DzL8W0t2Jm-ZBI~ z&GJrsxPK;pFv!ugvP68_AC6r+;(^_ClnI9&SLnQ-(k8>O+)}x!eMD>I-@vUCaOB|| z4@c+mBkg?U_w6-e=TKvKApt2XZrkZ!^eB|pz?N{VMIqp)` z`USD}S6xZ{{`9j9DJ{rH#?ftkr4^7h>{TaGCHy2{hRYF-y7`PI*_V*kp^APv`R+bL z{$}V^f$#IM#@!yCj9hBKj$tQkN$*DD9RqYJy3eD2s$)C-%!v0GBhTn8Xs@=MjBCua$Kdm}uN%ijVIbmx!KKJ8BH+K0s zqr0*k*mb#fc@9N0Tv7O_q&D2FoyVadP{h9zth#Z*oJ55p5PpoWv`UI%AKybQ+T8kp zcjjh0D}>x=MUjD3X4JzI+%p-xf#fR@0xdunrnSCxWfehAZe(`fMCgbODe>k>vb}u; z{g@n%vc@?+s8O$b^~WXHb$*?IsSIn2(#K6CqOjrCMXDXdfpOX&-OJH5be-Ogf~k&E z(_7<}BQwA1S`{5KrQLKWN4TDnv2dZQc`N;3*fI1j+jV@61-ki`nT6r6D)aK1+jTk{ z+#Opg`gw?hmZ0aTt()|Gz(znXr6Q{qf7Zl}`JCa>>b)U<_*cWKPy437jRa#!te?t=1bzwC^Bq$$$U7TuvzFFRnKya@7Qdn@IH2Ph;kb8 zEMWzj4!L5bh`P6Kr&%tM%59eeJ(n{_={4tC1gq3x?>sM6KI|cY)J?ttJ>5)O!!lDV z>L>?`5$JyQID84s;s}D`6O>S3vtFSOql3`JbcrtK$mp5d;%FtPETV)`qlTl8g}q)S z(YWso$7=}=Nw;lpGKJD@2%3fptf;$~Fpp}zVH9A0HKwSqP!V7sa5IiJ8ozccc1NDs zw!>E)Yn@T!CLMkY1lYS7o*bn`f(2Jej%0Mh&Gs0dR}*>r1;e?U){&;{l)dTF2P|*B zZ+V;|p!SP1yW_&M(t_x73=c&7J;hd+l%2R5Ge*efe_7mwj3F3ig~894c<{%4j3s|S z$W@lMRrUU)I>lOtc_U%(N}|tVg`;K&2pMtLxkt#Zz8=F_p3aeyTVJgW1`xW#6=}Dc zl*1J)tT>3WdlUJMYoNf zM@Eh6(_C=i)+Jh7kOZXt`owZ`Em*)L@7Pr%)dKAv%srSExv!xzUpGIhOC$eOtISPr zw@qGM>Fd$BbQ(TW{e1&&Z>G*uzWEe0;A2P{kmf$?pTqA56vJ*Ve6-4>x zASDW{(}zG!^LanZJ0z&1?cKbmMuC=4_0HO@Y6laO#oxU{Jyw#j6v-0hrSn))YJp*% zAs!+<94q)R0;iWX-uNcE!>TsG{wS*}#GiiA8{r+6-mWc?y%jR7oxUArwnv8$E(EG%J^8y; z!zms9aEIQ<(cHBoh=BO{R~exot(M@8#4{SC!HmYSi#se##%l;G)i@S4`2B~9UJk;Z zKW;C(&iWVPV|NtjDwiB}hia6ag!BqJX03p)A1?%iYq<(n8)@6x0MgsQlq0lB8t(2_ zoCIMe2oiawSgOJkFjW1-c@rbEiBHN#2HK3_LePa6@8eimCWx1RH-JqhZ?Zg}v*;Pb?7|Ki~Zwsphx zA$_twYf7V)VFtbZEbL~v5wL|9g;yZ&H6^dm&Zx(lCA9JV+~VZuyVKte*=_;QYY&YI zw;s$NtGsw#Sw~r|dzFZ8X0_G54LjqxPY>E@B_7z&EaTqVrk`dY(WO{mxZpKZPp5gn z0%CzNdPkhU0cl<82&%cwWnq`|JmLx)W!ACP3%Q3(snK?lJBwijTstiRO#2(x~~|hHjB~!}G0`|DabdYCOYjur~>HN9t5ehaVk4VO1oc zd+S*;5Hi(#5v*a&X)yfUb#ho(tvaDIYi6E~K{^U(>G$fJ3b?5KSFJ22zp)iJ-2 zf>i^;oa6RUjNU!b=0@>Ve4oKU#zLF5X6D1%opp6bkt*`d0af}hXYrGwBtJ0RB}tb7 zGUn2ozX&#x(=I~Q{`}VbIMr(Jgb&P*J~(CdIJKW5CezA_ReGO++f~WZKfU^3H7xmg zKP}mXh%M$~M`9Zv)%z68Z*dVxbJ;6k5_Nq>;i#bhwUaF|R$5(-9c0Qz}apF4SpC@qtlJbmi{`*BIlt8NEcVvHw;#*GCkPrN-y-`dU4< zfJ7nQtS{{m#V=EVjKY*Z{>^MfwX`X^@jSRTOMP(NexD-D{65uBsW6w{`+bJELRc5# z&+r-8jL|@8oogY7QYh%Lv=+DB0V4r8cWmG|h;Cypg5Qr~FP||Gr?JomzaMeuh;4mF z)xVE~gYdh^<~6*b?=pJ_ymArqF6=)-FZO=f}x1eclRqY zndRPLde+u2$QA@G4ro=*?2vIHsO;0?dawg z+rRZFrEbzmcHq-mEb+{o3I3RE@f=?PYcm6JW_Q7^y3L*b~Eu&TH4whe3k z$H{4wi=57O41#$9ljAfRgC50kbwMOi43C>k<|HW|zVE8e0(%G%`D{lmf>*whMKpRu zajl}tb`|+XvwJrt4^Fx6q=8C(%0UT&9D7%JccY}b42b+n(qFu3lfXB4kjCjw0(?7- zbN|F^6~f?|2-h5pcy7`P_mks?ns7yga{|fJYn1s_(yFhWpdo(2BA?!v%#Qn(4#!mj zykn!Nol_EbI$ZMsh}lBA%5b&JM4nI%Qf6%**(Ui>yPUVevuwKSr4Hn1)opNZ%y0B1 zV@35)=>a30HQe-)UN^h+t(PR2fNa;cR;S+kR2J>8$oYmBGVHx582hIys$WH$|DQ;H za>od`>CkJV+(`Q<2IoSIrutV9CX@??qd-%lXgplzd0$KtQT|%Ea*!u3#=jkmlx@-> z&NEQuW|ufQ?Gt4;@%uh^FtB8ZPl*F$tZLSRT>RMGH%OzT(z!PszaF|*l$Se!(*BT* zk!}9$rqcGK!t|_!n=e2(y&x4G74kC1a<0GDXr~WTUlw5wd@Ak>)bm~rT?lc=3lI4A z^cQa2>*1$OwW`=95yQ<;#9I$p&By6PB;n{fEsyk)?V6h>Wl9zZ1RFtE?PBOFg}-Y>L&P#g$oye1Z+N#R(cNZx^tHb< zTf&`}si^}P@OrH`?6gJOr=RC~-J!O_ET`SV)Vj^mtk}w9V3W`Gdtem5Lb&gqeQv7d zY}m~YsxE$v4*pw6qLD++x#Vers>gp{k%qDRuK87ehfD4?Lc%fK?_L=+ik5FT8Pzl> z9P!$t?u~=$I*5CFoiT^mYAO9Gz(tqTAw%;}L%dh})A!{T#SW$XD_?JQRAQ2S9zOX3 z?$fwDxlUKML8{uZ;Vu= z`Wzth+YyUhVjM^c(nkpVNPQxg2Ta75_!<=-!awpJk$LYh_XqNQ$p^h(t|F8|InC`~pM)6pg5op`r6QDMX&JA3NSQfEqE!yzxD`;^a}lK#Y1SH# z?ncOe6b8UCkN73Vi!oWZtD z>)nHSgH}DWkbEyz*6o#1+Z$X3Ywi`wB{t?cDx?w0u$iOip6I^QIcj}UInIOO8B_YM z89D`SRrB_fbR}pX=dYhFiB~)@g69kc&x^fPzVnqtjD-}w#@~qvsWk;R75O0ZPnMv& zr|HLC-%9B55+^j#jetlt^cMI(cv_~0|J|U6+M9X8U$hLA%n8TnlR1y9?ZoG1SphO>+>9m-pW?}xwoSu7-9u&g3^$F@x}GJh)7@B9nD zL93%uB^)31KoC-8luuNHMOem_lft=cOl?0lN^wi zl}P14V!>xAj{(jREsnh$hH}J{r$oVwR%}?1ng==}Q?bzA4}p{YKIZV~o`)!s1C*Qx z#>ZK{RVu-FZ+;q|l}B|kwB}|djO-J6LF3#nFi%R}o~eGnvp(y#9~j#Yd=obL3f0iS zbOC*4tvbOcnb(lGlO*}EdX`1ucrUu29>hhLt$G%~u53`KoN_gLzTy6fT*Q$pL}{8= zw3$_J@)RTR4Z5YEH<}qX^hi#GC zU2e0pn-;Ynu}E$q9Pk!779CBr24zGRs{}a$i<&r`HfWORhQyjL@IXl6oPAq9oDR*SPA)eBLEs;3eSYDN$+?LWELFCV;)%EPG?e z@U>LXCK!Egp%$S`ztIMUni10n%Kk>~dkcA`o96FNf{t3@VS#e{!&7GgZpA*$XaTN) zs{ZvYYU6X&dAdvIyM7JXse-L=SFIthe&SXmW%ULBLd@S+&)uxk)>xd72 zg>9#hBnIwwJJ9uXq|a@T7v*;?!sB$Gn;el?9}q00It9KYxs)d3=P_2!IIG%p--rs6lEXRgbXckh!`@l_!E{x68#gk_7m#40%3+nN{j2( zUh?lyLU6Iq_Wc_txaD)9Q%8A#A z6t_&lvcX=lFobLYm0x)KJ@8j2UXhOW+j*0;6_dwINB!CU2+49vzr%^F9OSD{kfkDS z$G={*dH)LOiglgmC$Ehg&jn`?4s;>NPEr?2xF3=GQ+eLTP38&{XNc;p69+4MiBni3 zLV4lhyTR)}#CKzmZ^}!?x;FFD6I?M4F;rwth+wjNz_>*JKI%iqMo>Yk1oCd#DbqmK zv-o~@V<%NykgMzgLbP4F5#vXl?NyTO`!#hwjnPKy1QX(~f)d98C^ZFQA^fb&cC0ud zxjmS&rvA+v^?Y8pr=RYm?_&A(dqRus1M5uVxC4+MQC23DbY-!;x8DzO=>8~ZH9iz; zpCa9f;e$*S&{EU>#(FRu4Rm#(Q%jLi?Lf~ByytLyeFXoUVmyFCopwEh8#j4Q@0ft; zF8?NO7NrgGiPfgKG+BjtyS1P=4S4ohET4EBOAA$@#8cx*o-te2c_}DZ%l4MbvJLwaIiA>m=v=Gk*e#`YAoNNOq<&`c4fVM zaW(!;Gxx&Zj@>*;C}Xtf)UL-RNkR%)6F=pXnVnxD-k=i4!o@P>_3qmQNFR+rmN7xEcdUN*&cv7&q zX%z5-Y(K%oOJNY<_M4X|#RjLP@YP>kZr>+Cr2K;fpG9Nc6kPVJP!SQ)vRpVm>vXb{ zJaJ>n>H%JqOt;z+WpD5NQTKuEyyj*P#kan2KhD*%3%uwGBJuG`o?%yum$hdM8KWu& z2DD>B_vOh_lg#2#pwb;O^)7O^f@)!)nG^z znsY<}@G*(WrSi%L2Pgb)*LN<8`h`McD2}j^@swQP6%}>eLS_A_*fd|4%xZzA=9>Ze z=g*XSuncctnHwu}r26VW5HHX#Gi!%Bx`x~|6r(s4Lq#Ky(Wn5(G- zj}aTg<{;TiA2bcIoZi~gFJ)Dh=^i+wnqAwR^L?o{3BCXJ!Eq|n^{K(@*m1+@b<^*!o5????_Pl{G< zRiRy=vmt~S)-y~WxK<<&0QeG%roMYH@jqWtQrO1HR%K;MZf7+UJ`!UU9roD=tzd~` zdR1ffCMUY^F_hl%FTUhH52A$PA?Lee_@*oMkD5(I&%G?^E=a$zwuV4|>-p-v;W2X~ z2SH_L2k?R#Ths0V~0vh)3i&LmeqeT<*0_z}J!Bd~(84;xl&mV z*Aw#P78)&r!P;?|bps7ftm*xmUZ=WN^*$PnqT|QYbBcWnRvI*Zhh(Qnh-kXr6I$(c=Rm9CwM5({@lQ$U>Qh5p;3+_Ego70* zTmAOOytX-PW@wv(3X9u$V?GgFeXfXx&wO(Ne%6qC^$F{l@D51)n!lDsN7@Bqw_Pg6 z`=tTvd?rG)#+LkVR%>`iCVr{OO12mpG&}ZS9yDIK5*M|{x_6R&8~Rf@kdEZ z5>#W`IG7IkMKY@9ks;K+nUSeMD;!`z2M^Pey_uCoqyKX&X!i~=N2~kwEO$+5dK~_Q$@V~04Xe9-9Ppl z(jlKa8QkiWGWln|#(pl-7?7pS+!SSKLh(+E%2}1>~ zlXtIL4#15w@uS{VmsA{f_dk1H8W%{~0f2i_8)P^=nv~o9ocSQ6W^6{rW|m z^6m2vta^m7*BXdeppoywxWfbSv@K)(s}M|78I{+PLFqHNL4>($B&+Uc>%HLGKJC!Z zZldsb*U74%WU+vRN%f~5N3D)B()P4dGt;Y16&tmhaL_O+d@_Q7ep=Nb5$}E=2FwP7>6#N?AC4}ERSJJ+xEMn49C%+9)0Dz{ekhw_vzpKkgr6UptYr6 zwnub|<}&$Dz@G}w-1KseKXaZF@bAqHkV`|`+h#m!l!UhI<}+wHij{JzrOL1f;27h$ zRDaBczEa&}-^s(IcM6_4;2u)g4L7rZAdmuU2cxJ7bSQ$86x3$TrXtG5j@F znUAw!B1g=-&|~OJ>8$$|kSZF-;UwS>_MgZNcAcmUzpfoGvUeV|s}R!*OCI)=^SIon zr0mPYx6o#(ZRKX>L|4n5-&EXz{bB}P9`dMU={1dh#RJi=lxxNOxehSsB-DyOA|*!H zMLO`a1WoNK<3HOiBImbWFId_<0Hrw_na)IIY+6VSmXjdAQrdrgt+o>#{bmcb7T|kO znzHbn2}(}j866RMdv*2X7SeG5jkI+6#@+S3nZbGgQ1!!WEmQ5MC$YQbw>l<<*-GqA zqQR~04%vdIli+z@73C}q^sDWC{`oxLazkvxp4m+2Y75Wbhf};L&hx<{owr3K&dg8# zY0~p0C$5JTwgfc;wt>QBkoDg|mRu)7D1=KVHx1K`f-&=+S@SfG2|jJ@W8>@3FZ17ZjWOw6axk&!PGDt_RSm%A>f3 z*~4Va#qV5Wvom~}!rm?)?>L2>m)ls32o%vn9C|*WX_z34b266++@5LD`$_xREkXt{ zSfzP?N;CtY1TQ*=XU6M~LGTokA2CEX7W~2yJYUIanJoM(1X}O4SW$`;Qs0+pRwf0b8>pc} z=sxGEeb#)%Y}Ovse_f$>Z*siUdtBM<5j~t*Bbw#5&h`2Hi*u0IU5{t>gynj#wQqSi zOBN%bE(NsSTobWBZrUG>u_gpoF5KoxToF3?o+v(Fdum@jNzV*?-!ynW@NxINTTmGh zkrSEP(MLyWOLaR`{k1rnOq=a37A0~v-7^Ym;wyvs9HW-D>ODcq9OhV_wUb&e6Q)TS zk1d|s57cwfbA*sFk^wSw-e1#?4n!y#VT!LZC}b=^j;{b8)#VoAoOb3gUu`<-_LI$S z?Qbv`eJv8*hJtia4O0}s2hGpX4oh0b4jX;g@7p;$XyoZTt|=2~W0-Y?pPk)1_c)&L zhJ;Wig*)+IN#-eVqDw`cj*uRGHNM(#1(L;XxB>51l4ROiOt$3oWLeiC9U6%5k1Lonf>YlCYm&dInl*9=+9lhswn>_t7!p++Hl|r=& znweap^+&(rp+Zek+iguc9`u>=N+&Gd9xgHWb&Ln^B;Qu>d-^%;ePX^5?$E2Jx9d>2 z$P_A6PXBBVVuZhe5ZN|L_f;!&!{@vv=7!ev`F$o1LdcZ_fhK^rz4a|k=M_U`>-z3G zGTb=i%Kx(bn$m5%9yI<<1oSr=`*rcZq}^Jk`ieZ*SgbS(PXZ;=?RQGDB>n}+!cUKh zhPW&|yT-c8%In;fcDJn>CEc3kQMskfp;e2 z7i-dx&<`+e6r7nM!G+QBg9F^Jr@p1f`OS8v4bw{5wjQfbHfUls*&fRSrP7KHe;ZY` zV%Kr*szNtRz!WSFEg=m5-lGg=%a3I;8^F3MO>IL~CiBgBWsLX$t!$rtu7-mKr-_3=}b>WK#EgUtj; z2gE>**G=O0&ahSbM$ve+n~P{wUQpDga2;#Z^smu~K-H_P`c-^ZY&R4J9^QCQKYoa4 zgx_#@|3}wZwME&0YkP*Fq!sBF326{%hLUdSM(M7hYXAXhkZur=?(UNA?(Xgw za?QK(eaG5aKVXh$kOcAQc>i67brK; ztge*6Hl>g4^ky_(yw9*)C`*(iIEj|TF9^GN2q^PHy;3PZjpLsA@cNH&hC{;di9Mip zi;Xo9A$1klaU3X7pc$B*4IJR)J9{h2WB{=I3Cwzi_Zu2G0sC-s*1(%Ip!rYCY~(B_ z#Wu|xt#tN!JN3v4M`~f)XpHz`L7{_>U<9%u$t*DV@TX2_IUg(wgh>I31{$;&8PZjp z-@v7U-%LkRc1j~7?PFd=%DijeZfP%e<`3uT5e!i*+`e5;A8<{%_P^|X3cw)uWHLyl zfAMICXa5i563>r!aYHPrWjg%5#ds%Vwf)vgG%+BTP2VauinZq(jZ~e~8hwy)ckkWy z&r0~#4cR_g!oGKLQLM04r!G!ku&5C(U9D@Jo$t<=@z(CiC4s?f$Zat)k?ZlVKbK?L z^e22&P7&-S&L_+$j)q*DMiLnDAbxH*aIELtpRsv6Nh!z~uE2gdlo9-GqVoG|TkGXu zb(GW|i{4HHuHpdHya%TT<2*88cM^*hg^Ujp2kd4v*=y5Y337_O%0(gs!8YwGK<(HiFV}wooRoQAySFJlC4UmGRDNs3UHifJv_+&!t&^T*@oH_A+Et)+ z29wb4lhTU8)c$6P@|`*0v-*l+Iz* zc(D#z^Ow0{^8HKA^IO|J8C!#@ER|$Ur{`;4kV&Za^yBR@{a!H=3_14~?>`s#On+uybQG{jq&Rf?q=PaBW^1VeAfReCdB z@%M>7)9&wO|J~1M-Yhgse#k9nGOMA@Q7IFyS8sCp)P{tania*>bsqCZJ^urjFFoTS zVrxziR$O}X-9X=1GObRX`jCImknwPTPAGD2sRY%f`0K(V_}~(YFPYC|Ulnp39eOvJ ztr|L7CLGjXx9Lw424TJa%wak?;L-K0uhsk`*;=a|eyF3eOp+nPIN?A3wlUlZzb0*{ z$nXlHky?9-BELrp_8AhgApGmo&V13q zFivfU;kS($$v%u22{gpTzO%!k0m*GyJi-}tz9_vGm+S!qunG&9SHC1x>(n2nT8OIJU0WG^+YY zV3D#xb}EdI9tW8z)gO)RG}jlp&WIPi=_u&!e|n;(aaeYkVRHc7Wa4Tado5?Hc>yd45LYN{ za_VuwVq_;rp|J%5tShOg_~suyF|L{8z|Drv84+n{FTM zq%PSGS>o~!?Fm<-Qnv*hp_0!c$I+-ecxeWcQVVsx8gI)Y(e6aYl*ff=-e$jij|%g? zQOl>UiU?gHd<%nFQb;`lCdMzc==Sr-yZ}0f>&4iCupY1L+a)(cycx64aUrLLR$MW8!Nopoxp-@wf~REyM8B?ho0GQM>a>KvP-oG4yjodWKu(y<%NjUpabw=L zxV)GveAIE8{w>=;*6p-$j}+Q_h;ELoVz`6UXQ+i7Ye;Hb&dGzUafU+f*3oDY;GpmO z>})398#~K1Lx_}o2N=;Tl@3_q;t6sKGU|{eB)O>C88;FBJZpmyqo$@-CIB^oax7^8 z;grMRuk3enfidg`^s5}^h%~n}QA)0dIy2&)wM~2!f)&+Fx_@mKa+-&DV;_0Ku0J?$ z>_W>j^oDl+v9Fl?Y;t`)8PjMK9MLSQ1cQ8F2^4@N#&!Ix2u7|f;^K2MMH{s{b3vr1 z01(IHHh8pY#K#OBs-sI>VgI_(c;a{h3(#HomA%l=@+<5nP0<1K$bf2$d4Y!e^?ShS zcMFIx@x!Tb)vh&+mU!&`4fEiDpBa4njI_SHS$Xs8_VA0X?RVc>+{51I$PBC(_LWCW zJE^HOyxb*3T^vA5$?p0iwqft#u&KMkf&~3r3}TX3A3)7XFft`I59-@9o{LB?z#{5f zPh1>P1b503RE)8=U)6na7!Yfc9{*@PRgBL5?GpD|55p?f`&kpO6(_xTCbf~y><@#} zG^<)D?+H>g}raj`Y9jW&tVi@ z-qD#fY{u_mt%_9S{I*&1IyEKw?8gp%I<{9%M4k1*rzoM9l+i+xTCk_?HayCc)@xCm z>N(ydT@5+iM8Qs({l?;Z-+kry0;5P29}`MY&i%8Xw5}4*+dCb%R1hOL_rPrGOeiI2 zXwNG_pt$N~SNk;c+N#y7N=`Gz>?P)Nf733N?m~>1L>(Pevs560=iBBxs(XF@Ic&R% zu`GfA#lC%-)L4Ku16pIb-81w#zo_0Ip2$qjl?Mp}D1|%*m1aZxF%Odad=_BT!2&q> z4?H9Sc2XMRJtDG7e67Ga7zpm}0t^rmb+Qlp5n{VX%K1CYpAOE2+)uM!oVMQSyME)| z>2#CISZ81wecHvq0&wnh_V>Gd=sokwmZRQ@if2tEJ>%6%#ck3k;Qu_kEK>`P;%y4^ zVQtEBvhlGy0q!XXJ`}KUG#CW7lu)KV4{H7{f4z`_4L1gCt9*VeEQSPN%smg!G>s+2 z)(4v}mwNmn5RS7`EFTx_v%)KgbFPl;{0K0d-s1)gGx1;GYhEUa^As-by)lpO#Q2d9U>CcL7i`O4Y5L z4e}zacfHbH`Kb@av_3($FXx@$?S1j?2d+CD`G(Sm!^jA4c>LWrYiW_=<{EhJ}i~PKwSYih6Gv zA9VKB>4$42!sf2nCsuWL>_jgaFUA%cIC3Es91`{h>eB;#QpV_f$kp@dC8b#BI`Oz4 zT{9zrsvi_T?#Ky`8HD$eTnzGcW_p@to2s%vbOwLba&ac zKF#%qZ!(94+)~l`KW|0NBaq`SvN%^SiiS@j&G`42KSEXErSm=(ze^TnZ_3}&SNStX zCNN9E;es-K3Gy%h8XaB3o{*b*QJy|Br+!}f7?+9Aj*A)pwS}=FVsO2-YO{FlIo|6= zhkJoCNfVw!Tdgzf8|IDvZT}SMu=)F; zisN(`cV@;T+}{{rN3cSTSwOQsiaGvI4MeF`d^+}8rfyX-vGQfLsq~BEL`@qO;gX0U zDCLeL)~7-{o>@5Hd33auq;S^Iq9Ic$o_(mA;2~VPH4UA6>^sOa6-IqdX7z$9czs?v zNTmn)J=EZJ#dPm&)rMl@*Mu{VtM{d*x?0n9QqeJ~`8IcAWxL_6o}OUoOXsB)xRcQz z1=>3Uop}qU9Yw>!|54IcvTXmGD+1#evM|U^D~5_3@X&HK>Qv0>!a%kr)_ns6BAahL zbcA98h}XlLyG;`Hc7NXemuFLEB~kKewo`9pD9B2Ae(%UfIEqccCSrr`R!3;|%N*$f zDFm+MyBFzgnk4^NqqI^?Zc=z%4e*<1@3Zw)?wus)w3W(?J7@_*zSo-Wj~0mh_vado zxS#8>NivEYpH8~dAxm7US%HY5Xn2lXb>%B|53y^SPyHQJ@?+m?+$TEvU$!j4Jyvrw z3^QeNmmhCMavD*iMfnBQ^%|mxz)~V2Ikx;IQhL7q-G2TCQ;MyKIXP0bm9e(Zs@$tl z240m<-%2O?6qIn%jhTmK{kCxYT!~6VZyqp-iRqL#c}i{Kvg3IIlHPDY26J~iz(jdf zdRkfs5K0V}IRoET{->nU0&Di3h7MjuLWBj@k-480V2w{~yKE+-CJ3AXDs0CN!bDr} z4K-hmxW)k6n!hK`0KI9rfo7#zS!Yd0EXiN25w=2x#e0X0V;MJ zl6b{)qMc%;FqcVTEk0G!6c%Y%IJs!ZBNrnbYFwkehPpamrzc$0zruiCOasRzqv45G z0qd+tP;A}aXnOJ5LfYXf^|ttBTDA z#+}KNr8_bDUbd*av@6cDN!9+@Svr9>04MhEgsKg?x0Kf6OP0dGK{PFxd5dH^c0!cf z<7Q^3N$T~D#`VP(-5^;#`LrG7mh!vdxmMc|Au5XL3ZyHh*e4C@bjpYvkQI3DGrP(wp_~DiP`g2HbOYRMxtTEuw34O#*(t++DZK zZQo1N@!Aa)S|V!S`nDBv+(l`Bwf_p$ct9tTml@2XTFBNqh$YQ#e2|{3nUof#SLyx; z(JkBv2rA)7a9_E!bAsIQOCJ>A(m_}as)QAUPE@&FoCxQ|um!gTEZ7k@VWGjy(0B*3 z68L*o;J>qQ#M(g^Km|ytfu{zAjQmN++%`^qyMpt9SE=VkJ)sdd#6ujmYlQ6ZN70rr zhauUVE!+F`6u0&K|K=OQisXbJfY)ghf%PmVq19>(&aS5k2_fzQ(2BWazjL`Rf@WccG5iNeWoZSkkfn8YN5xZ4fXt zfdkW`h=y%XmqR-#R%H%dkTvhSM){WpEo3~s#csZjDDgCJN`z?^9^tcpIilYUo>kbG z=<*HAje}?u8wS5Q$EkeYS>afqO5YN6ac|OPgVxp!-tonugDG(xKmp{w()&1>RWyaz zJKrnhJ~)k6Jp8kn6E%)(IWXvV(dC;C_Cdw|-S6^kRhirk5b^OzLLv5+e=umdC)ul@ z9m%Z^fxIOOH>;cCCS=G?HukGRsUDH+Rzk$(QW9Rh^|pgC1NwAu z`^PPWi$aZeKC#H)3b!Zte!e}&0h+`H*ja*(t@2orkmakT9weUy5tj(yvfSab?}6l% z4&Y!1sf!&3@VoqWDJ>4LYl!UAFvTJ-ah^R&5}z{fSKP$_4HB?M)54YqH79fXaqq6- zCHQ*Vjf|JafV0=w5_hx8EyX0CoI^-@O}Rw#Rb-a>?YGN`NvoZmpjA-YWV92lWPRID#I)%OSuaB0gX;$um zhlCC$sgWAxMr;?K43?F2boRC>PkAKUjw8zFDmPl=&xRCzor{V6{_-^$G;S1ojE<`x z6nN;U(rfV22d}Y%wnRIun?YyI=0-?xrSMW0JtGiOsQBs+lNC-fjb zIDHW6esWQ$zuY{L16Uy?4PpV_BW13qVA^&rTJs<)YGeFL1NHbBjspn3Q*!d{k8Puj z|MelnxmeGW%Qce&r8$i~i7n7~JJ0FumCP!)OqrF{V)NsW8DvF1C2`W)VxoTa1f_@I z&|sj_frv$uTsppx31=Du_kb! zF_LMJ@7ge*&s1z&xg-4BfJWQ$;hkdav*h(6$PTwH{m*i_xPG!N-L?xlgO|qDsbDyy zS1(O~yE(hInGMO3`-s^%ND)uK(U)YoC90bCLjYdxfKTsAE8`p|eU<*nxmQ<_7#!E@ zl``F~`Yb)3&ums2`f&tbCb~df`@e+3gA@;T7PgGtBe4@^F=7t0lXuoa+bMg}b*17v zxN2*L0=-C|b+@n_`WKGTigW-vk^;DEsO$=)rjFiA@FfEEJl+lR> zCnApQFR_>S3tI=`#G3AaF150}jONJQCF4h1g3@v4yad7=2c=_hDC^ z;znebkiZLZ`L~0!9DhYV`w4FvA0^7T3_n}&<9M|k>wm;MnXc6o7T0Je9Lnb_isDUk zz|yur>E&GxoWiPkd)0uwnr)UxR)Fn6{Upmj4tD6Bn#|a*(fJeS8v>%iisMn*6js2> zy8mLwK1fu4eW`>MckeMEqQSQcH1Fp6+93wIQe$?44fn2F8}Z6wdHE-JdO!ceU?FN5qL1-t^f; zhQ(M+nI=zFHq&VGc^V$1`f?xI^(O}QJt(#8$~3E* z<~coxI1xopEuxy@0r(pLQ$k1oFrw{ zq)3XwYnLSuG#p*k>Fj>0-Xo5}t@xCf^DdHLRC215`hhW9d=9M-eJ{u1^A>jPn`yca z8AC}=oWyhF|-?n|Z8&2Obdr)k1OUQUpB8cXdHG^phct*C& z3vw*clApXq6>%3T*wS@%o!5vBA0HE^!o6m0`A|Vhty3e|d0H5?&l+?0v?o0wWE5{;Puf zVYo|uqu7jfeb**3woRuXSmIT~Xfi}2)cHhD&_eB#wASgCAf%C@!bRJo3-PyTh*GJu zCw4;_+qz7xqRO9UlqMdOchLXF(DcuVw%s*i~dx-Poh7rxrHp#R0}+u=T|9?(_w%1}ner}zyupF~{xVjvjq zvh0GntIVe}zX9#!$6*9rCHG*7&5!^4;av5HKVhfjEkAzI_{^G@C^7GkONLVWSP`6Wg z(=$KLWKpIo(mR*+kMXPAS>05tOn+Qz`Eh}uBy!J`;37;$qBFBmcTu7`qJfu8tX9>` z33{4+61_kWis3*(c4nEhC1j)&>en?*3yc3J&S&4Td+t^x|0kfSU^=;~$2w;?DIO?7 zG5sp1 zDLz=IYa5jpbxOJ9)5Bc5i~ApfO2L4}_lARJ7%^14-n#qjqEP815L}c)4PQu7!uVc? zC`63!9BUrQK5Vpu!ay@?xP{ta_YR&3@dr@m_gaUCP?N^^o_^&j@^HvqBtnJh54G|cl({xhQ4a)X0{`EfNZJ&BiW ziW@4?Bih^EDMcZ@dB#4;ZlQ}1MV<{|dKeaQSC?z9@?YR^u1Fy2)O@ z<`4-xf}8c7u87b%%3JG%+a6cYr}@Zk8YN4-phvlTz{wPiw9?kc; zg$LK58tvXBzcaDoK+TXIxhy#UB&Ex>17GLC?PA}rHKo+nU=x;?3JEzfhWtoW5Emg} zOyNLBt5o4#Rc=GH`I=6O{X=D<%7S8&bBtM+p`2BVX-XmWwB&@ft>x>>g%CyLbVRi6 z3-P;t|5A$mMe*Zt>EfKs&vR$)eF`7O2jZB0h<{WCX~qg~OU2fB#SMx2GaR_CJI55X zN#hGTw70xG9AT*dOo3bLgcx3FYKXId>z4yXUq@jg`&R1(4znjvPKkc&A`#jc-w_ka zUzj(1+Zpe%7_l&`JJhIwLeQh8n+5*UUjS$Okx%()n@rx|tflU*-tP@Q4 zjXhBev~E6P(}wC^{Ic@99H*${;SjmU7^l5Ar@ntTC$maUe*gRAHjZ6-(TgMTW4W%w z28pl34wr_$!z$I$ur$3M6Xo53O1YEr?HNVDXAVn?wB^qZIA_~cnAvqsUwCbo`x`1n z_9poBN=|8w`mpA##!TD9^9SNS9c&t3l%(PoIUSqn58ybDIdL8s~WDRP3A0= zX}c-S9Gq*rbyo9VOAu+De*g-HDyT`36l(v4Qhz#&^YP~t))rlaWC5+XY!_IXZ;xZQ zB+YuA1V~r4+awsGzEMk#oqk3C32mV%h#jJI@RHA)9c9`iqgOey`CEv~a^CDnW2LhE z`;D1gI_2H#K8snYm-~b$5&wy?Jlyt9RT3ZbhYiF!ljq*Fq*d~3winzw__Z>^5EEvok0GWiuh zl#FP`u|Kg#8ey82p~TuNK6id}8%EIXWOr*o$m*m6oE56%H`P3yuC=#M4FGxxv4B(m zI+9Dsih(S=kRc|G>4#^0d)X?(}UcQLJvD?b!RA%pA7R%cahcL zeq8vvEdcX!y@jmmzO~gBY0pp({xqMKWl5bd@POFp6Af!Td}uRht&~XcwHF@cm0kgA zHeKMjoD?T!uC*(-`L3WIOy$_9Bn|z*frq0GRsi7~coMd}Mf~}D7a~g5+h1^sX6zFc z6sWEO7>C2E>S0L4e*bE-i;4z;HXXO~l}0=Na1|j5=0E>~4;%A648~m z6~~r8eB2-d9i{O)=^A7!vR}Y;Z4^!cd`l$zM%SGM(b$InP4nfEtrPoqzL5^6 zvIC-ibFX=+*fU>}!EsS9_*LQ1q2ax#F?e5iHK6;H=GgbsuFYKe-9Eb+dt#|xv&5*N z>+ey$mmB|OT?_AK+ZBA+O!@9%TC>jHaAZ-(OdDHRpj= z^%OHcu3F_= zlnsbEYyU!U$=s06{dobc>sY&9(3A0OF|vN7Si^q)lbR_~D6+W|_Bm1?4)!nz+WQNY zht?OaJ7RPf!{!?g##$#m2Du5r_imSNi-0#}DU)-!Xo(_2DhWXEPtDj5-6~q^1>lus2lmEz`tdl97 zJ*u0Qv8&a_-d|DnD4g*a8ujS>(`x1$Kq^>JeXMTSbSE4TKF1mGpxz(3;(WPV^lWKj z`#XfXd1$>Yom8_-h4H)>ZjQPXwA3VE9#Uw$gV}J@_y(r26*c3!OfvbtLQ8agl{^^x z({m4wAT#z(wLW#CQ{)8}afN+%5cewj^WDMcDxD#AOE&_d!kw~GyJ?QOeiR)mW2H<5 z$75x^+~NnLlEs3Xc~$B!+Ch1YcLy11zgGW*cXbK)Jh`DbT9{Y!Q7gIZqeVoTB+roR zu4^`CvKvW+eJO=s_HX|ErjaGRM~VK|PQs7g?kWFQBEY`IN7|eqyl% z@_f$Iv7W3PJ;@rt%GY*iTpR*B6c>1y#Z?rb(lGF@1r7gahg|^-qwOpDKBzQaPs;um zRK{SEa5ab!YpAGyc7MGbVr>>@Ms`8=dSH1D7tqo(@kG2J8rEVqnTZ#i#(1D#yyh>d z%RZDWt zbBx9YkhUOFA`6Sz!9i{WEClNBg{DHaKy#*X&C-c(z)Bnt{mUJ!D;W9DXC#$8wbJ&! zsC|a1zB@-Kk>OKE%SqMxi6F;qlI>-`za?xs`8m%~iU2m}$=yHhgZHg9U%$zjIq~Yf z{p&>@*|+Rd(pt-bRU|0cqT1@3qtajNeJX;qNe!Rp0$Z80w93sD*fkfd2a_6)$u98= zT7Q(<-G#G`<@R+*>$Os#1g|E1hB+fZNPtt1hnDerUSj+6>>G=Y>+Ha--i=4Uc=Gb? zJbtpHHTFVXu|kHMbNC@?#g*!Afb4^|CVKBc7KTIx48^AB8_cfvIy~P+sK%F4Vr_Ljca?J}Sy-CjEhc znYhWz6HH;CZxUl)BE(D$^$rd3t=~Vr_iTdjz61fq9V#Lz^hFt?4X>@n{rWq7rN!KU z#tXbL_42P{7&>^k3){;)6GnUX6GC==So}{Xg6x8yiqERgWbpbhx8u3?n6X6bXEjM3 zCGbH*&pBT9>OXT{3t`8_b%%Gz8)V@T7--uS9<%qub-hM&idxJxYw&Bj_ePRw{rVM~ z`s2n*uyWhG5$Z$`ljwwNN=lJ4kmu#QTpRB|8)3Ug&KuS_EEr64fv0cy##pA1E>~=^3>wb$8gCaDs>~-mi}p)Z z^PGMSr$45!{4>$@*_s<5D9ZjC;W)}mH^^N76f7sGUS9xFRRroO(VMdAl_a@K?CgYL z)p*_=Qms8NjSZ;1KE4h_yk1i{UPl8j+sg!miZm%=%zA9D3S{bQq7b^YrWB%eUQhQX zZPpzomFpdjv>e6JlLM+2vAKpg!%kAU2R8{aqkVlh<%u|T_v}i)WD`ex;;_78%0 z)?d6I>J3I)H;E(eav>AAXHmp(CxZK}^1*6xR9L;m3PZ9tY;U{m^(n-^+k@y2)N^M^ z*5vYF?_ZhIL+O-moT+H~wPE>0tU}DA?=80DVB7$fLDB|*ZR7(NGGa+PA*hkgP!7<7 z;OMXc=Z~a1gnudjIGD`Cy30Zb`gBSnXLYgz%&Q@(&Lpg0VQ!DPu0ZsaN4QgoCxFTw z>R^ps(-@lbsH}hf?(7mt$YbL_j2ZE`rt zgnV5g4NL>u*0G~Tg1Ig;WyYX)pM2AGjy(FL`G~9`y+D2MlM&uDgA$oWp{Wm2pPi*X zYfGgLxo@L3a}OTJx6+Y2fqHp;AG4^ErIO5ZWvjcs{JVG`%kRC1-ow$Oj?*1zM8)n) z8weyb?_Lfs1&h8W4mhCgd*1D@L$4W-<}%Y36x-}443nD+wDDP%sN1~^bE++|$&{$h zO8F__IH$b+wnTK(WaUh1eKUq zy0p| zH(Y%Knfp(Mt+mp()cK$(y%Ai_by=&qR}8Ks)cq+1E*XE1+s3|jk3lc=^I|J%vXTDv z&4~1nI+IpG+3J>56k+G8$&Hz+O>)b^P>a(Zn2Z{0fBDf5%sS=Mrdaw}$GNjvz4W7? zGX`N?GfEm(Bu!4TN++zV2m+3Gnks7b+Y0_1=Zi)K7zPHEw=%wJye*ii6 z(1NqB+>mB*C7okWE%j(g^Rk?~`fWM949!r=fP0eIvu6i~R?B}q4_^+wJ{67EVev&?#^T)49eF@t+;|ioe!251MT0md+h>{j-0EcI`1q;uL0cgN+41D1K@e>z&VMZoT->Wk3xiNoDi(2C^CFud+aQ0}4LiT4^hF3$s5TpO2~)>-a{D)(G6M zq0fX)TG6v1+k@3ZT<>{%yeoWhd-4!rEO^pXRwhDFcR^V^TS@6uK^V$GNjzt_x;5Ks zI%1kWCuHwzRJPXY+0NjGGIL^IGTQfSvOD}HG(6IqX&iP;#}FHw9t1`&PO6zEf+-0$F`+<3H}Yd7jP}B*08X zZQIOPc42sQ^maXipLM`s3wV;Br3uk)tkT{qLd+Z=Q1=_Z`Z3TA1-3#ij=#cQ?#?E7 zA*~6nIm`ClzWWJL1UsROru&=PfuKP~4WIQ}-lb2gb}g0a@k3Nas<#eCQg^3%knFMm za<6LbQd$%Uf8WuYKB*d_mq{#%967u>%pyp}haq(v!%cdQ??U+GQTD9=&js)!bBmPk zu#T*Go8o21rSZwgtY63nM!YiVs^sJHnD*zgY@Ru$)P6c&^O>FmGozWyGT}ssS%Y!2 ze`{w1Ns&NrQO`Rxn(^K!8t!0;{o9}3e&1GNP%XOZ0TXY$K{1#U9I+dySx9U+^|!iv zWYjnSo8KbN4OR7AnathVd~;v^+Ji%;wpS2K)fXPed^E>UpA;-^D=5WjQ6%kW_)2*b?txT=JO$vEi9!f~MyQrNj z+q@TZH@_u&yMZEskeQ=h;l2RoPFJ$gtHUyYi4ew5VCb>IgG8)4>502@)sBZfjTx&lZLyZnAfj(^AO zMi@cEU}QKBmJrz?@9h2)w7yXl0r&Nzk|Ml`rd1RMijLT+TZf)l9vF>}Fha#6*K-uW z=I@i4gT-Bt6|V4*UnVu?ccEci=*lGuj!J98$)EKoHn`PI)!7#80)CJE?BISw6vx{B z52&%gn8 z?pGpXD43Zxh|Cnu9K=UE8tGqG?joyMr6dy*0|$oR zd0ao9tL)=o$p@6NeeXhjPPjo^>1)v>_t-Z{H>)rFMq3nzsli&QyjZU>Fr~<^)};)XTy6xGb1nbS{;1J9k!RA8aN8yN-cNoh>bOf_kMG`Dl{AQcV^m~(4h2(H zodbO6N>mV{XGC$p(3jtc8g)Ng7z@DtehKnZFQ+g0+rw9^iSa{g@s(4fbKVmZI)dxO zxxc4>aY_fc_)1dCp@?bkB!yH#UaBusPTlpJ)`|AI^s-r2jA%1HK0|!3f5XQ5n`I3W z1o>U**E1ME!DQ6MH|rI(LpQQ~cz$tut< z;O8M5pDiLXko@Nt;u6rggjpBAWrW8Tu>``DVMrNV0>QGpPPHG4oF2xxPKH4gA0Izz z70nTi-GBL)GhA^*Od5qvN(uLDo$@lFy@fE3 zoG`CjxR>(0`{;L*1_k?_yZ>x%h&U<7Vh!*LJ{c4{v2=V50HMIg{I?j~Wv1R=bM$p- zD%pE_0Oibj{z5tPV@vnqFm%ZBi{%?FPeBJXPEkw@$t!1AG8LH z*LR4VyNM&5QyaFCDtfyS=cpg3(AAg{D%*nvm<69x-vD7Bunf~fy3C+l&gT;n=X4RD z3H_ho>LoDTOea>SwWG+dMDaet8cm$|+V?zwqM@gljK9b^`<_M+@0GLEYFZ?5INj@o zA-T4xvQl^N4U81ZYSVOG?}3tnU)uDGl0!3b;R<5^XzM22s(JHdW8lC6QEv?J2YQ9KG1ny;f&fW&ES<{ zFE39Bsd>=OVtv}9*AwJCQYqu7>l>KF3ns(Tc*i14;hya~KFcc)5r^D>Pdr1M=?&fBC zS-Y;dt}w(M2ZJ3TR>>h)Y`Zu$_UqBrJixqnKt$o3j`0S~@V98hWQO6J5eSj~kw*v# zt(E^nIl(fNb9u`(zJRH%@7-TAA5ZJxqM*tEgrSfnz*L+RYgVIy> z4jh>Sa5}>sc@&%l=u~gceud#BwcDfm&#!lc#wT?EY;S(7MIqh7euAF)NTuxj-ax@G z-;oWfA3XicZ`R+-8ev-#{(M0&m7@e4Fb+Jo*FVynm6fzEFkW zKKJhnF4donJG?Wg$z*X!`_%q0!HxGdQ|;@+Nbmv;!fG;{_Ei|-NyI6j%@)zs;Fuu- z4t2sOE{)+7pFi9e+_dHKE&sEdOE50w&2!ufbKM!t;fN1teY}-D^OKb2MiK*5v-(`2 z91?r``5*r}#QXOX;oXiov2I~-1fjFkMhe6Mb9zn08MEz<6l=w^ZU*yLcYf70GM%N9 z+E*t#!c}9h1R-TWQ6d03uz#B~E;Ee0*TVI#vae1nBem_a~zk z6ydFf;O{ugq5>^;?DRjr^nS)674tEqI7c@m6zJ9k1_7~SkV}5DBOC{O$6?jO2yweb z=uI|bll$=tQP$6s9ve#B$|9qPUY&<060}At(cbw87)yQqD^ofpKN>0^T-r_{k(773 zlwYvqjw76}hNW(1&o6wg^v?o9Ou`m{vnb(#h&CP$pb}^hp;V&3gxV@Ri~o`uLc?^Y z5;%^R;4OliX&+e^G@zc`2mL77Mo1{%F&G2?ss?86Z6SpL!Eu$MOd`@#O0J7R9mb*$ zJXD{^E{!QK^4L`J69(870vF$+koWG87^A@nQ4W;!k2W%b_OhZEir+Ftwcrvn+I-!u zAkj(9Rk%=#*u0W>I4PF)tUpz#H?si}jxBB6c^`c(lMMIzS%+^i34bibwunW%G}AT2 zkP!`Q7!OSi;t&buMGr)SFP1OP5RCek*l}~XJ12R?SF^os@HZg=i%gUiE5fCpwWL<_ z&z82$cY*|c(Pd5|f0HIan*pph+aQ_F;pIzPPlzn(;M_QXV%>FF^`ES5$Y1iBiLI#i z4+Q#dh16Vf%o3B0^(8v(TAduEzWB(2;o+URJ9ulTG81KP%FN9e>`e4sCQ~y7Qoqr^|l&<%B@_gvu|^n z6&7Y0+#A7*g)|iQ_oFzqI&A*aP;QQP<>!H5Qsf$S z95w?4MGeJcXCZiJYVUsnjW}}0O>^@(+d;uFd|nEVJG^HZ+i{t$QoI7d1)~7Anfva# z>n>-dMi<~fS1=HG2dgk=!(dIu@?Pc}*9&GN8}z$6N&z4g{x zod6&tG$_we)M;Q-YN!B0!gB&gxns#f;UON%J-@jp9u{r{4I#=XAZ6}3dGlRdR(fzB z<-P1lv=@8%eKtB0s5uY|PSI1LgUA90x0_D#pbG#46<99GnHu?i}a>Wa#f!Efq!RNW|3*lWMvOwAB zbHRR>t6aRJZ2Tn|7vL3R7Oqxt12mGz95mv{X;ixzzz>!!DxSUP9pys~a><;<=bB6A zg7L!lHrB`OcciCXDHn2=s~$#+I99$S?aI7Cxsvp8o0GVH;uD{6Rs(3_W%a}p4{Lcd zigO?5p{24BW1I84c0d*4dtU5=+9y`KYJD5^kNJ!GWK9#n7uprRb?($bzWS9fI}0(^W6qYrC7Zs*`(S^g4^c+L z1cncw%R7Rl$&A;X-MGy?dZ5MQijK!zZTHjxT8zEq#UI;S36$015r??MsU zUFE!aU82xGS|0~uK5`&BRF5P2CxaBi!qr0X-kx!_!JqqVad>CJ;uDv9OM@WV;D&HR zpJ4BU>4VRL`B@yU_B{BE`(RprhvS9c6NeBtUM6;H{A~GIykcGBmM>qt@Ovv?xE@L4 zh0|NUcD4NC#n`+tt$9Z%c2#?HZ0}&%^QC8;^X0d4}_|clJE|J6pNzxuvt;q(_mlxyTfvsCbr! zYxsTe9rxMF6^>V|-$u{!%T~U8@xt%3<(D*`mB-TAZ_7X1bKdg~MbqM#GRnJfK0G5> z+{~}|@v_CY{ES}sx1|eTixtoEvHYzZ_S>!&FWdXL@hl&UpDn*|ylnA<@yN@5hx4`P zmXBT2j%Rd&^n)_TxL`UeaV=iBT$Ybr^To6G7C&2l7BAa-OP@5JrL$`|f6LF_+cg}| zo`>VNH(og3V1CfDHsU$s0$73&jK}YAxp+n>R=jXNncUmbjfzLvav5LreF;PxLl4(0 zg9Da^IDYxw zV9#vQ)#aqL;2no6;7XZhV8xKZ)m8@6q{TJ{c*nq=W}uBYRWeQ4ru@Em$Ke{tH7m4f z2lhAN(v@alC7P9P#)UN7h(5%)KwnK;=5Rx^J^5+!j+J{{>w;$iq(Kk9F=m@(A`Kek ziSmtnr#;RgbBw1^XDk%EZnO`)!;37IoN*pM0pu~X^2TB|$aiGM35(i2gC~=^~fg_3o z2BOn52Od%E`tT|fOQ3qe+oG;n-#XIQutW%ouW_cfp&vX$=0!(-SS(ikS$(IgwPG?gYMk8 zgP997dEs(Ph{~5|`RIq=n>%;-dH8n}J<1U$9%;>;yV!11M&HWEiGu{(W#aI|MQ;Ab z^5UoE7ktmX#er{}R2z1!wfEt4;ruLK_<2-1+#K_{8CiaoK3l%wcorX*947i~e6x5_ z`9|d#j%RUr&Uc^T9qvrwct*#r!F2X4&Xshu5$>VLT%q}dX<0t@obuyxX0Gs7pK!cj ze7onGBp#o7z?CT|b4Jhd4CfbqpDms}CqDe*v`xP6Xz49q`)%>V*Cg?R?%b9xoL|1* zmd?r*{!Mz`F)qM2b3crVN8G6AJhS|XL$G+6U#K_+?LA`;ZjQJL+q38# z+xL_$SwVO1Y_zO=MlESP(wjRs2kzv{&)#RFW95j7$NXUK+(8#ncxrMOl`qdMKg-{) zQSrkvN8E6{aDL(UmcPZb_x79j$Q4Nd>89bvt1mj&)Jl==%<5^VPa6F3>bmwMFwdeW9g>Zh* zC0P34d!7Z|xr6UAsoMTanz->uZE?|ma2x0IO!kcTRxa*?AsC0}apV2}_Rc)Mj^fJq z$C7OAi!FJVZOOKb!8UjS;{_XIv&1$890FM&c{q8Q7iQ)&!)NjbeCB0H-n`6A_+)1C z5|Ym(W?mo!5(t4egb%TeF{|;uW8;0_cUzXM&6eKzR;#2|tM9$t(!CwSM`d5#zTH)I zs!pA*I=?!nsz~SO&h5?5O)Jc`yBzK%1oYjOH?$G5Z-2+ipEB9}yydg^$p^j>aOaK{ zkLTWJ+_S;vXMcO+@|=E-rC*8M#=ABavs}CizfoeBFJIoGG*d(dEe2*989}uJ7uN*V zTA;k~8)2iv0TdID59#?vA+eni$|d*tU9Q{Cvo?`DSmVjDT3!Kz4lf2BL9D@mIDP@T zl*cQ?esQ@6ZDutIN@8LuHwFK_KFP->P9mB|7zzWgI@UBUD z9%Q$1;zOw;GH3b{O>6oE{SFeKccr;qgTyBeX&P1L%$dhA5)p@Bc?b{KEjdm3=9V9C zJSUa&*OZMhT=lGY@!V;+ZcrVAG;TWHIPUyx*^K;n+SMJ;o5y7>n;G*oO}u#Sbi8|6 zS(#GTa9O5JpV6{Te)Hy!W#7R=M#n*(ZJ;>3L+G{~uNF8MesjcU`(xT-<5MI z+wqTh#Noqah`lBr`Ps=uo4FV2cah`46P$)0zH5O7dAXFM~LLOkwqwi*^Q^5md% zX0HLuY~|tLF;9AL`XCdjVoQQAk4wldhv_!qA{h z@POcSIOZ}Xe|W*^6vC9RBRrt+VcV!9bUKozqm1nc?>a(@_lq_P6Ti2<4CoQcag6nxBM=+bUM+bAHBPDG3dGJVju;?jFo)a0)tp3g?Fkg zn6ss0#c6+^yyLV_vo7Ig5@jPv7+G-WCZOdhoFN09VAVH;Quy4Q3!ET_V2OjrY%L_T z^i68L5#Y-3PUCU@cd)W)JV31iu3+&g1d~@B-PTQ(uiL8cQg@kq_dQ1E`tFn8m$IAg zXg(*JxUN8-1&D;C>vpV5YG6-BhTB2YFjc`h(LqA1_(6@D1*7A{RfCA~`HJ>;!KfJ$ z%-lFU57RL;C#94@Xc3R|vL!7;4sG8kr33n#^PTta-!H%U&2P-v&x}=I54>2wF`UVa z2LoP$;1lr9Fg^PlLm=lzb0#$RhzGs_^MHML4t4>Xa6M4Zs3#s9n}K5h!K4T4kT>31 z(6(vFZ_Jo6CN24as~BS_13CzKaE(qfa^y(q*RP*;7qPjJvabE|6>V&l-gNCTGF14l z)fvQ1vgy5Z}6W{%pM#I%??%cUX2v96&_?3w&*G2@J!^Cm-;rupcb(rzz{tGX>VB+u` z0ekGR$BY2G%hs7|6tPqTPRiF2#kV8%iV8#n(BNCVm$@V5_e&E6@1Q}5qCUJEyg#X; zWdVj4L|b}%+v}+0#jjn%-lMGUvWHC{CO)&s41TE&QOI_x>)_5G*}j@Vw7aZfu5k@~ z`Q?|*vK-`M&z_C)lb<}KW1HU@@r>F`UO85Upmds==o7*KZwqLG0S#pB3VP5L&g8aq z99;+QKAO;gC(pAuKXuwhOK5o)C!&4S0?_P@#NUkyN(c@ROdP)@ zMhse5j;X5KGs5XhmDh{~JPaJ1G}07;2b#A7&ce{qL<)cm;0jSb2R!OTM;P!OgKzOz z(x|e{MPwt5A$Pfe@xo>_goeT?>ZY}>%UpdkYq z8aXH(CX=w*Lcz3CvtCDk!3VDcQ*iP$V=zCR_q2z8)dzo7O%>8qcA7MOm^zt+A^-56 zUeR{ivt_NUTD98X5`ZmO;*m!lku6)c7_0%91=voRGQ|L0z_7(D=ujIrY>+Q};R{k) zT57=X=+UE1++DkN8DruNH{4(VFw6A7FaUC_-GE=fFc@j<*s;t_C6DFva)9w~<&QegY5XQr@vNGj8EfqVY1VryYUMK5zRw=M+lANqG z8C{+u<^97Q{2sf=;ht1px}pcPH%i~0{;pBgHCJTc(K=I3K!0{-nhffb8IFj?u2soC zd9Fc9in`08lj=&Kj2~XwxjLg*B7_XK2oges(o|7VVJyi2V`fU2F~Nd-{q@%ycM4`< z2shnylSzZXPMtc{Se)&VD9q3xxXcP67(I#B^= zSRV!NqM%{LD3t&j8ca4Zfn}9q?lGguBpB~2GolO#EYILQqjMfKXiy}4rYs!|v{6*; zL>omBqN-06b%BOk=2A%$zC}fgdY~Mk%-{xyLNjh$sVZQppJYiE4;xjN*tP43PEsG% zcL+7B!DOAEpRLyP9HT5(R18q1uRs;U)2dXSQm?Ur`a8eFMbIYFz@L!dDI`Vb9ct2v z_dZ(3b-MollB`^@T*i+s51CV<=*|64YOAA$QFx}GkU-V1^njk7`;N$&bCq&k#SrOP zl&9;4Yvhw%2W7<2fl^ec$7lhvQyi#K6v2@Nc$Zpgw2`homGZ&;<=BBYjOWABcb3X6 za~=#kFO#64spVWleVrWMw^NSo-)+D$;5M(YNCpkN*7UCjb;(NqLFLk`Pk*_ruG?GJ zE!SrwrMT~a1m%wXxSQe!>v0l0R_C7p^qC^+J#dIDS@@#dqkT6P-D|JC)_`FqLoxn= zN3a~D7XhHri7@toV*tZo8Z6I%Ty&;eZn?!^74jk;uGv_$0nK0(@D4f^SjJ)>fHokT zyl2mzZLR^(U?<{P06l*Ecw=NFPryAMy3oNQj{7(7bE1&`eGQ2sg?X+^KIHEg9FVm; zs^rx923fvEt)<6m<>u@1C9`{)V4>#YtSfSI2E3}S*>aEf5$iB{)zs-4sqSpbpzG!o zl)J6k{Ki!ZHxGg)F`=#j3yS8ae^z}`CGTy#Amc}{nM2zbg!D&F)$7dGNvTqxeNh2- zeS;1T{W1+b%1PgfV34P?F2$k2-zo**x-7<&Y46cm`PIS`a{bU;*|4ix&Q@NQYX)bV zy4KV?b3j<-ZU0G~q0c>M@N3Q2Ug2I1phc+m?%ity4D8zJHvC7pH4#KAR!Sr`;j zgo89#s;5nxX5#akGzcCb8TSYTdE2&a<^{$YjnI-evq89mlW#IDL{V9SqNGE6rCK>> z#ta&%rbAt#;2kswQPd~ZyrbiP@x>R-0PytFPn%7pnEc}XefQmW&3nis*gNmMBd@&j zih1XF*P^JqVR8rmMA=4mJyQpZ8aP=LvK_cENr;GSM*(i(8wy3LX}Q~nNn+O2ZP>6* z?z*d7-RcTsyfcjJSZWe0JZ_ewScY-UHp+m>pjpvyXXd#EM6Xz}UHpTHPX+KH;0F zg-+r+wWfE<#^k{6-3L_P=qt-Vd{4f3`&cO|$ZL(}zG}9lg+*JmC^odf!Bjp(e9hSK zP5*@BnY<%jY?~oOufW!=^^-rkx$cJx<$SG?K4aUrk zA8(hul0owOKfETlKKO0vk>%%|qVcJc{xM}tM4*Y6aQAlEyy87m(0m2cZ#@5VIe+GqlnxsqJ2tMCSD*Pex#otOq*y^Ul>zDi zOF{*;dN&!K2d`78{F@`Q6o4fGSlYL5S|dGkGFm_}-mc&Y3=S4RgJZxX82{+^G3tR& zaIXfF;Ho`+`g8+$ttTyD7fb`l<^$frAjmZU8lxYW1psZW-2h{jwgTn>(BLJ;O#nF) zw2UzTXv)LV*0QoPGtN<-cpHoTFAY>Z>6U0LvVhX1|2A4CjLeg*`!2~J-ajL!)Jl7% zvO!jEt&-~6D>8IImQ1)N&sd3cn(Ge0L?`j_;Op}MZ-gH4qx#@*z^`Fgo-NRAvk zQ!foFJyS;I%kY8OvhBbnS--Q|0B9`TL;CiR4ZEw2HMm*_g|7;`5AKUkZjqb0QuPI z2FX^d`n2l{q<^pO^1hajIEdv01?cP5^8Uv1vodv5fyswX?}XNwx*b2$AiWARWZIYl zLy!Cb*%uVFj~$jP6R*u{Gda*KlcoXLu@*r?pb#(`8do>&GjoIBAe;bb){!BsxOa0O z!J9E-hH-&lMuzkV1=j#+tYciW$R5iW@v)$B4`IiZoM*`lsaC>lS)&3dQPD}YHP!Mh zs_&@yMtxGvJKU1kfC8%-*FXH>4-HV}{amtSi9Gx4v$9~p0@GoS8a2vzh(uZcj<#u3 z?<(a>w#Q4XI)57Y9;@tr_oKAnMJvPJo z{O51hF?6CVTeeI7>7SmLpa1+(9e=ZRlC4&L`O8J})?4d!oIRzJ1bgJ`U!P?xyGGaT zAmP^fgw?V;NKlpLFMVm6JonsNQhnx#lnt4q8h*HmjQEsU4-K$OW}fXSCesoP2EC#n z;>785^45pz<+>4NX7Z`FuHJy;Lkg%rTC+u}F4ahGcBb4vM-3b&&&tXT+tmof21s=> z`kFEsJ8GEhJ#b9cZ{4GYm_`|?R_rk&%T)&rzNu9EnkN4a?PI**Q!H}yJ>8H4<kH=K6zbvmT_>mmgxm70K zHb5ELoCf0FaXgkUj;w|hQUOn!DEmylV{KbKwHmVjE9tg0EoAiZgV5fpQMB6?mAU+ z%k_ow{M)DG{mqq9u2$W9r}k1Mshj-QvNHy__EiwNV(Ud?rQLC;MmFuKmI1{*Wc9X- zGEhPES7!B=zx(+~fYm`H$>g2q} zdw)}%^vuhU11IXVe3kOh?R{kLu{zm(q{ftQ*WnsDdb(a_-`rE4{MA93JE^CfRxtdL zTH8k}(8qFpSo1oyr@>gVhnHr{;??I35MQZvyP)N7&?&XW3hb{@Kz~+$FH;`{YI8Nmfm6AuAJIGE|N z02<{LAw)QFpJOrqiWMsi$R=L06(_f)!CT+1-?2Ghtw61cQtY^$eG&x?${3X_M8P{Z z&$#Bp1QbAkLE)Kao-vbB^XAPn?`db-D2nt^wGVZ%ZItSIMOmj**TwQJ3Lp*NqUIeI z0miZ7;xx6|wI3g&;BRMX&=0^j)q+i*Kz_No*>eB=Q&fpNs{O)ZdGygasw7@xER&s~ zXUM3ktRzz$tUBJLR-baU?7S>@Oc<#zvAv%>@mu-;oV=7Dr>`ML2OAA%cs*+(t`pc_}mKmc(PIeD@^2g81*XGTVQ)kZ0vb9^} zJKy@Eyt?p1)fq48GP`WqxOJCtJHP3MYYdPKSEAI#c4Rws`P-b`axiZ6aC!2lFUg2W z^NjI4qyvi0GUj*krMNArhI%#19o#J|-d?OAx>}AO+9w0cDs*$SY?(0a4r75{_`3x% zuzZ9}y=$I=(#}nsw6;-dI|j42l)gn^ihe^XWYP1x<*N_gZ;X5ZW{e5|Xx1s?GL1oj zaeu#Bg8|0Y0?l}aCoO=N{vRC*>;pz&lP^4IEso(g7zxWV#zX)&hDP>};v2f$2S9)L z;fE$I0GjjwdTYTj#!D(ZW zeRsnJv)NdIT8a0li#MS8Lj{p{-c%&tdt|WOuGZq5EZyuwOO&hMPdrpA-<;h~U9GRk zlluH?cl4HxYHbF1FWqon$cLTiw;ikz0B%-~ZgT(hV)^dZ21%KM_d0dW#&SJwc%F<= zi?0nI@4X}!)fM|6zd1x6y|15?_I9kTOmy4NT@!oCmuHs9W(D}TrDtm1&|17kXBhR9 zo9&d3y56M#|4#i3&X38pa7tWhj3uEW*q?_8v#R5SYyVT0Dv?A zn)Co{)5QC(vZ_@>*U^=g_0xCf(+Q$!LqFNh&CSwDw(iC%&2^6+pPu#JZRL_cG)xxNINUzf1OP-C(TH0LM)ABF)g_t8&%4T3vNf zva)j(Ks(r^Gi6GBjXb5(S-fF%I$!i!T5gW1j`k z81jgVH5zL%R&0Q_H3aevfCk3^pusqJ=(5I|yaCX7)`F3+Rxj71g89Z{5bHJonzCUy z1e}9|nD7KY0rUaTq_3{7_EfI@&!mIs9SUfdt~)Q^d2oRI?{5s2`)8D>CAZdCYccf~ zsiik3Gu>=9)>}8>x}u#zo&r+9Yp&i`TxZ0%w6`<+!WwAO@Xgw1yoKu6o=znLt8sBb zcav8y{m$0(xP%k9<_&XPJv6dQl5i(y!!s<|89Hm2uk>voT8?fm#ztgV&v8%ZH}L?! zSh?A(43JL9)b-kVN{jU@?!bCyh*}FXc~CdjLYL~sWw?!Jv(+B4+9oyk_`^nD2nP+# z3=0B*;MtiHlyhcraN*_|Lde=eHWWh`uue1EgQa=>`t@er00Lr#6`@9;$)APz*3u1- zW@ZMkp6r02MiHW<69p|gmni5&(KePwRP_P8MnQ`LbxrgdRrIRmzWXNYn(0q;f_uNQ%DCf&y@pm4brNxp;a7ArpjZwZJ|4EX0pdp^ zbL@4KY}v-bHe=HDQa-4kysg&gV<*n2Zl=2zs{&i78_N-T73E94>T|SNX}=N$P#Nky znPK+c;XGvY!u&klh)zGFN0dq5k|MVn8-RbQk772HLa|M8pna^=H7Re-SF0YpX|=%( z$t10BPz#-8=IhR@hwAGUqsPn4&woYceDyD6)PyNgscWU{YHMWMhLy5&^IDlT?_sr8 z?~%=`-dCCN*?QcaVS;PJJC(6i2R1M>K?V0pgPsdtTdjLNtTZ?RtYLvImSJ!V;MD?S zjC~j!SReh-M;{qu9_yh2#VltfJ-`-R1L)=hjv;li znl+}MW!*IKtpytW0}ozH2XIe$Fis{4Ntb>s$uGTgT7L3}qh{SOYnK`S##Q9XNOhkk z09tXi#&x^2I8)wUb6%d+O}SqC@Qkh%(a73)*fwWY&jF|o(&Rn>n|0Bwk;+mK+e7o} zsq2@CXYnZOOX!5KPVYWkD+kp@+lCS9W{q3+3rkKJ7xOK;wwbu*qme8gW~Xk-4B+mg zfS--Tuv)XWdDSPC@~4&O!9E?7FEKWhi8_K-0ag6AFL)=&USR zdqGM|GNr$|t+&ifYeX;jwq@iS1%jCtT)0^SO~(v?1~6L}Zh$twxsTG08v|(&P+TGq zyw$5$o3qpq5QGqc;Ti!#_{z%4%z94N5-?kX@ByT8yMSggL$dRWRkju$$BK}o`_v~2 zTC{BxbYRP8jZRd&V_)A2;i80MTsP_h|_3x}>_iO#Y=hAlFgJcB<=Q z^GD{Q2=I;fD9XSdABl^F^^k~UcFN{8QiQJevXnSo_IhN zygWV5YnhJOXFA2+CS5ZcRh^uIcGJoE?RrGaG}(9jqT|NbsTz0_JDb^g?A*uF#5<-qn$GH%Ru219@+uqvYu0eC43_=NMF!5j4R;241Is#U8D zz{Ua%HUZ0keK@*`4Z*Njv!4@QwM-6J3p8%uSfByM;3e=402mODS1nkGYj{sQ^2UHj z-b`|mAMV`bPdPD6avfxnI_bM}7wC>`tZ!!1u0tp5jX2&up~$#AmlSrFBMM&GWDF2I zRlz7gx~fLkEZ4DaSvwwe(VnhrssW{w)fF3yE-%WoF@?^xHst4S>S?UkxOLa-bK|b9 zn~MReS!>J&VU^Wt;@4~3%8#fE^$0y~s-KpNP>_o?Jk7X;GkIdttA!cM_&^2qShoi% zP#@ek(^!o!XkG`kE}6Q!_?=4o26YY3P+B|Hg`C~PAGobVh7Qa!8>Z!DXUH(!G>sj; z$5rIXd3EdFuK*tKJxAA47b_2X=6Ba4zcO_qAx-ME{M56D=IsrDXslO&WURuhtz^wJ zf`>q++3r) z;6}l{WLt@%m@SWjhP%C@pqK2~a(DUN*HP33dQs7VRusHrpr%hCr=(}#%V0;1qC@WXU>h<50t2qici z-3N}y;p1ndw10_wO=P9MiSLg+s0Yf7Q9d@o}GP1a@T2luPHSBIwD`T2^AT%{zQ5Wt!v%y&3(joeMn7VzR zl%Bo&Nbmm6k(g>P481!yo)%8o;7IBM_=}wd>U8PaM{oRA=FPpsc)6nQpbKFoCV*YA zK!anjK!a1zk5~iEd&s%XU=u9Oq#-@vnl$K8;2`i0&oKO10F4D2yac|%icEg!Szw%H z%a$1&!!>#19u8h2;NA_+u>czI4G1SM0Cp^EwB4Osk6sJ=))=~R|8PDun|0Oc(N4yS z+q~;F$3&evf7!T5m*{TQxN$%I|8ya^0!_f~>uRxO6S6Ppx@O#{0kxz#uNGe1t2urO zHx8`A?7)3O*Id(Z#KR(un>E&BT%}o)oT=YE)FqpB$hd@CS8ocBOZPF|H5+$q?&B^F zCwL)n8OJk|b=ic|7aB|%jGMP^ipI{{q-R&}Oa=P@WM;2UUr?)n=D{xBh%52WunV`A z8T#z@%{pydy5S4-*j%inKwWqs>k3XXd56yxipd)KgEHZRHWLmtJ~FH$8dO0BTRsI{XuH zYaN6;oXu5}(7ryYt`h+5(*U}znuc)|Br4wVzS2R{(bHCVbFiky&S)}t(&6*IGH_h& z?HT2}8dV#)#Nsn?8D$$qNsGq$!4G~Qci(-tzDG5x1U)a0J@z?Oj@r6LJB`TL$ygoE zD&N$^5}7d8bqfT8gF24Us;zC(b$u7~-1q`B=2uqY`PQTsm0UCKQ1+}{B!4siKL3JtqkXhK z?64d-s7|Kox;2GpR|5pY(4bV!d4JyW zHVT|3P2VjAa$)3-bB>h}+D0MXBOkscDx)3-t5mdImE5<#yFmWWFQ1opmM)dWA0Lx@ z9{QGA!`tTo2jIW5FK{3YAw&{Uh+!lr2%SddZ>{PDsQWp2`KD~Rao5#U8;gFrf@$uj zXE=K#TsV8$=nHv;&L&r^0A)36n2@fu(L;Yj)hSH=Hg1MaNM6?d?!s9;o#|KqBH#a? zkINfxykXp{=g*&SCJ!+10fxaRc+Y}oz#c3&#aL&p$$;yzW5*gj>HYWLH~6Ncq{J8k zSd|i>(&{p17>1yc;AWFCz_kUu zr0Lt!>Et*s8URhmRS?QCS?+*Kw+-&=LAnOn8vw||2Rb0x`^@_r3pyWnAbo{e(_2F~ z1N2*eJ6Bl6`Ph)dRFW&$`nYbjxkNY+D7I*KTc82Z%+w%wSf`oYVO9pgLm(C}UToF? zuyz192JVpt7j9;SaBo1t$EAV$$OG#IaECzY=N_9O0pPpBpPvJ~&|RTp9Egf{%=q%Y z*}$X~9~%JA3?5yfJqMUzxY{Qb+L!vze}~D3ATDRgC@Jqzv)+)5?BeFZxQ7LT4->@C zJabyXMuRFytz&6x2JSJ{ecc)(_EpgM^uNTl-?4LaKS9mv(IZ{=BPlYs`HlOwJ7DeT z)5m%4zVFa$dneH^@(-6Te4U&!bW@MFBWg9e&9uq*2{+1{uRPoQ$P~i4wtrj7<@3zO z@%v`nb1LQcwEaC3H!O|jY{JkXNlTqti^2O*kl)(p^CVl3vf@KvQe}{yAMFlVYF*|- zK)y@V0!`q(9z3wGUO^}%a&ihsHsOL#o#JM|>%cn^hIbukBh&XNr5(Et%8-gtM(>?C z@n-qafBcmJ(SGEu6!<-hRVSM!)^qQDs&DcS$O76lS0~VIAZ?afKKb~CqT-U4+by&- zO_*{z`j1X@gh|vwHP{7ZgAT9jkv-dG(u5lfj=;jaR3|%l1~A2lN1qO+K{w(#fEVBk zm<1SP2n3&iSug}*6a=#_Z8EqB zU4ymPq-V(x_z5pv@}QiItAKs)einY*NQoudk5H_891F#n$yl6mvj#l-7-eH6|IFS; z=x{ms=}&)Z>WEtcYm~8WagD1rR%&KvXlNGSBWNh;tRnz$Go!-H3j&8gvF;FIAw9Dz zJVSV~iUF+2pV^v+AAZ=x;e15GB}((nmWK6pTyFlD1WR(?8CHk&394=ESr3tKk(K3FXWg zJqYB_HJ>naNJ|M1?esyL`|kXfwnO?lf^Dx*ozi0-K?I{p&@ z9P);SbB{^TFu~Ajk%dHQ9m?<v0^U(TAI!)zvDKZTTaQS=}NtHOKRjuE~W5Dpl{lNd4_ZzqKv@jj2W~IKhy5#nX z9NMu-e)tdHQ8()Ajn(&OKl_;h%;bxa0o;K#nccMk&46Gq32xJ17w&^)z$%P~fLX8( zp0;IWWky#3G!u_BSfByVy?gg=lLjLpKpPxHf5389(t~%fhy$9*8~S_z(4=7k7hHvv zeD2)2#?{-eKhb+eX#eaW+P@jQ?!o~CfVBVsUKA0O7z7azitfDXy^~Gs{C8 zbKj;RJ-<;_xrfq5z6dBvECLDGW}P*0n7P4S+$!LPVDlfFKB(eLe9D968{rSCSXnxx z4Vs4Xvg0@}OBkh;^{Vi;`Q30=*Y}#TF_}SpUbrBEG_(UDkwQuw;uGjJm{Fs%2wFZX zFG1)SBKim3F_}V#6f}^A54;XqHe{6YdHH7R>z5DDXd`$KG?0c#BxW0f%Brmo@)lI) zC=Z=EdcxEjC^~8jpyYb@wsQq7Au}RKl&f~5%}iK&mE6zX^^A# z000GzNkl9X?6%G=}t5xPK{lqCxpFU$I*4b_PmRoK$`U&~$ zP|vuNCyqH~^RTp00fUh_3mPmrPdrN<5K*T*sH(0q3bhwZ*m&OWhL(N~kYPH0MXTIDZ%3wUIeb zJa;z8=!ugK$Y^=b64Z?PAgjDXX~sp{onz}Y@d)sivR%BW`j7rDDAaYyE*`k!nFOvs z^~NBe{g3h>Uc8nhO5ohN^Qxa_=_bXEa`U7qElUjX#yoiBSZkSGd|}fwc$Ur#maA+g zUspUg9r`(R6LhV1X<8z>E;OGjDsu?EX`=*uwzMy4KUbsUIAAdl9TF<7T6O)-%F_3{ zxju3EN7?kfyr7^kPjv+|7J2#Ls*1g&T^p6prk_)}v$X7U-*2Ubj>`A|n4UUilJ;L& zX1vAf3~;t%4(|=^f?mp)%zZmf^4sbgw1w5jsR!>5{TpKhziA`nn`@glX~>s&+~+yJ zxzBH~6!&c2q;u;mj9CQIGu|-H{m*InzNwbo|Q3Y{!d7zR*n+$4%c}la9`vi72jvmfxn2 z^=g7d-zeow3V7@9jpu!C)7x(w zKUO?=N1c#wLacc1{QTm?qr)@XpTavg|L7|yL%cwGKbn4N$d56C`UFkmmrtU5(BVDu z8}r<0Enn=tSY?V8kNZ|3b-%ARzBfPad+%A=?r*>JRwv;Z{eum*U$VdbzHNT?S-dj1 zWiDPkn^%}`cRAo2!EY?G@j6SNe8?k_UJ{gEqIisXZkdZ!ewz>Vj}?b!?s)tr*!pnI z_{wj;cs4HI-r$YzR|euBbATaqQ@(AwApL@uCckZZH$CnlbBqzTK7MK4_ekT;!~M*C z?WG3|KHmPv8_%7G-?fczpZS#q-US`UZ9YN2-Sp8>t^PwB5hxFB#HX_XTI2`c2v+BI z=j$#H_x^G z;y@P%x;W6qfmj@{AiDihi&!bT?nll6X611?OLeg85;{6-6Q+D@Y?h2!sdzNWpE@P7 zT*S*G=rdg5ar;PhfN#uYn+;UPKm?_7K7DZqQfweZIk{)doh0I08JKXxMmr5%6 zM&7vPr8*!3tapl{|G}~zh0NgwA4Q<=VOd0~1N|xTo$9d&Yep3P95TRZ7*PcJQ>;O$ z4!CBf`W>PUQOO*9qhCmMh)OTv-C>WLR0rw<-=g3h`dk$KDdSxfIyYWxESF4mppAH6 sqZr3o&X(#xebBezU#bJ`6Qn==|0JPmJV$x=?*IS*07*qoM6N<$f{+@(?f?J) literal 0 HcmV?d00001 diff --git a/docs/images/SHA256_fsm.png b/docs/images/SHA256_fsm.png new file mode 100644 index 0000000000000000000000000000000000000000..a63a3875b4e75a2b57c5d8c79b1d1351224001ce GIT binary patch literal 29506 zcmaHSc|4Tw+cwh_lB|_|*Ft0)J6Xmo_OT8kjIoa041=;2Qr1dRNtUukvSf>rRN52@ zSt7|^$`a9g&iDKKz0dP`|9C&in3;RI?&~_2<2=seI%j2p<=8E_n~8~u1CK*nGchr* z!2jE)~3(qhy?OqHwCWZ$@dihewOfo7)|NbSTtmqRI7A~WL zmQhyr40Vt24U+c_3@3*Mx>FRqgMz#%R92B! zQj%9uw1sa~3>4KFzcdt7RFs|mJ>K1$9QdEhqZAb30*B4rNpOdtFdrEeLwJveyHVg3 zK8D|xHt>rG|CJO^DxprQAAvs&f?)^_WDir{a2aI`N=02kMGZbaY>KlrH5#>wIIVDi7m{5w^QPgRUzay@Kdw+0cL78nqhb|ngL1CiR6t5F+{0ieMy!CqP4xFxxcl&kDrq-*~!*044wvK z6K0`dZQvP#cXIIdpp#UM4I=}cyv@AKys>6sRIE1%Wot#!z*!Q}CgFaTL=^|00A+8S z8eU0>9O7Xo9Gwd93@nXJP1H>&RHcY04RarL6C&Dx5M@d=MOg-^s(brkL!w}|)h(=PSQ5!9 zI6%?D*3``2(+U--MD({HkR!akP=Qu{o(`&(fe}_7WNUNZP>=8sO(ilGhbPl$AvPiE z_U<(0kci+wq9e*8#9htaP}zd4p-e#$Juxa~6fZMhFMOy0%7La4MKm=~rPwJ)k*whv zFt%3qQFbb3{wOS7BLa<~S(}EUy!_2QBW#QVLN(p(F<#!LDwa+ZcVY;(A=snC6-|N-)g9m)HBCGghoXCj+c;uzL@N`FpD#TEMer~Q zzx4B%n}k?X!UGIYIE<~CWtgo$*3+Nl zK|)!m+V}-TL~3pa;#$iyH2(VH>IGkFqfKrKyCe8H6Z$kqkU7-Gj-#UKlkM z8!HO}!xp1ZbRVpvx2+cyM)hzPQ_#nKEE4X8F$Q>wAQp`(u_o@{PoWakah+t9&V z#VXtZ?dRl2#aZ|TXexUdC@Gs61W<4WN*FsY6bwb(-d97}%h<;f4p)PSXh>HM*Nn2n z!dGxPYGfcCWlg1%Fa&&PxG5pn$=t%h!`n$COqmd>fhFK=JV_K^EYTy#NrP@;q~uAZ z;*`S3UKCTfk_QgF5aNa*&MqA1L`EB_TloeW*=X2fh(1m}j3d-BfkZnDl^B3gVmyy3 z+%&+#3kUJikff-Na|*Y|SOtXBQ3mSv!9gBo!GRuLUSwOcBja572?)XBA&+1j)SZIh zg%s==WKQu4py91m6@!&9X71MJ-bR+56lLYGaICLK5Y53~DagyxLz#jmdYCCYMyPqA zLXDynQA$`pk0@1hI^Ne>%`r&XfbsMezK#||KR=v7kfF6zq%9djwgIdsd;@n5^^d|@ zVNvFOXuOk=mji}^A%$DfL+tI`13kUb4mK35hK4sLG*s15DU2RwM)C@V0UBCSys%_C z2}O<~(lvY?alu5gt%0I3!PD2y2d?X75E>Feh6TnL+DCb#!r%;?g*(oSW@e41hoCk5 ztW1L}=ys~MA$9~OTTCF@!~jiE!#EDfkp z{$V!m?uNJke>y$X5*8!aDLmAlfFoODQ9=I1sIYLK0DLIc!pPP)G9u8|#@@-;E|}o& zZAT8nn$s*yRfEF(6vLx}=>eg(cy&Jw-zXac3jzs}mRUdm1Q;iODvfGm<>6#OA=AUd z0%}MFDi5xtK>y6z(s``(?X*{Gy=SWF-Go;Gt8VwszJ0ssv4Rc#kdMh z(bV4}5*?(b5r8-G4aN9-8)A%zrW*J_QzJ7|M=$TdC~qSRhBaaW2oxHfU~lN*8wzhJ z22@J8w}&Oef&Bao&Edr^*eT49>}g2}3Ik7|S>f!BOwG{_{uYJ~WLp!wlVX6EW4K)y zBR_dlHSEGeBW!qD8+ zL+nkc>SijI7Ey*6Wvqj#Cq~H}?}>)Uf>HEyzRlvbxXUy-R)ABb+ct|SCV@2sF_tMmE;3#X(ObQ zMh!;?vnzRdIBU;RB%BA?xND=2o|8UEaNn+eL*H(vKUGy}-`w0E!9`~sB0^l&SnVdab-mE zUXg6qwy5X4mO2uXPtz_UcJ6*~Auc-4ln`Ng@_q>nOhH=FukSEQIXO3C=3UoWcPt?*L8 ztCO({L-k>9?1RN;Sgrbg2Qr=^&WJT;bD_~MeEZMOHx<3~2FjrDJ7qN^Ip)-6d+XM8 zBrXnl)NFhtV(jwF`#W+H43*h4l1EpPZ%n{ODwg~>F{Nu6PSz2e#oWZ3F zYZhDGGR)SZ&K3+ww^=FLRlDaJPeJK$-6SypMz@4iIPpNd zGnw;><9#pu(+dLqeZNXfuXwJ^_J^*{JO2K1(X!b5n%XFP?YDiWHhyK%e||lp6g1k} zWbFUk?02uw$iJ7TW`C;(jb7rBF*)phJZ8OyPvOMJ zw^3UgbJYnmKYZWco>{(L{cyu1?X_vn(Xxck@9tPun>HPNvW+}EySil55QoHxb0fxL z|F-cRvHrqM=$^WvMa?d=x$^=mcFObH_QLVMe{6KM@!8UTN#a~Bv40=t1$1d7K^B`y*OrC-I$MhE&0CefR6jt11T%bNCOQ8@VEDC;R-;k&8|U z{icUQVzGa2J&EZ|;xKtR*IzO1&)qs|46~##OHsRw{DkX}n)QkH@hRPXsnZhq#;(fA zj*EkHb91S^zg<1>6Vc>~Le+M_yJCE8(3swDn0}e))%k2W2Zfp5qT~w#DwwJsqj`ye zb0ZEVCi`S&`Aeb)e$}t;@Y*TEdHP5;E{K6jaXkGod)*E0?L&%A{Wm1Qly`@F9 zmqnzOtxHUv!!nh)cP9N%+imlmYin&Z+HyW-cZFF&R3C{%c6~hUpAZ*U`*rm>=7h5%O$U)c#kYJ6*)|*Td1aXn!Z0?s?`X!eSC! zKOJ1@UAD7++G1)Sv2lH`M z%Dzs6!-p*MFExctzi6h;*~X1@#P8^@=hvNl%XeW>VjXNiEx@V1WUm<0Sj^9~n6;6J z*+lV%&jMV(B1Y-hU|ZQV&F(jYIQilM5o|2F%koe5DImAijz6 zIqqTvbsy$r5p=2C$augJhOoT(0H zj-nk!8D~C`2%dPtCi6A7x%->&@VJk(8xp?dwskzn`1a3nX5`n;XcW4BveZ_PjsJf_ z=C~8$V^F&8Y|W3!Zqr8zJ6(DbIMg%1ymba*cpxa7vc`OW#G>rh!G!8h|3(vJ%Qc3j z-S4ZHjNBs~JoRFqCUy4Gb?pcXM(Dh9>|{=bL-pv>P_RIuFHyx;SlyPpLWJ_W9vaz$AB<0a#mK}HHZA&v>q$hE_&%E&6d+SxMQqd}6tCeT7{0DRV&nIh3W5*z{5X6wr5|WPkYdqz{UTl6vTNo2j2hfck)zUN^~z1wKFhvhnD zxWQZ`F5%am^#}T{KfynCr^`{BIYf1cdK=%QWWGKdwvdW<<1HvPs=paTSz0-#S2Kap3I`7!LdTk}&t>RUXP_+9x?Vc{rc#cF6&GCu#QmN3G_T zs+{VxcX0@QeCV>Zv2tZSVTpWDP^&zfTbAn&|8>?Y)R$M=zf~o& zNlo7NTsc;a6mj0+EA2)G7nfVxJNK0pQH0H~r?+2I54!s<$>~Ux?#DQ8-Q%=*;??7^ z4}UFs`AhVT0ASK`e{9fi2b=h$(DG@i!<3IT z?$$*ZjvY6@Ru+D!az6BNGi}_!(_2M_Bw{^d_%dsp#Up)d73NApa8@LZ)!>SefTB`Il>+SYG%jctZEq{|r;l8>Fp~f4gNqbFn zE|3k8m6i3b%;peEYY9@S%+P-xw)HB9MRKCT7bk#+`Qs22n(2^ z2*YEw90pQv8v>j)Bfl4z-O%da*YrAZr(o0fkYxY|U7i33@+v}>zu+$y?!WVnzNfp- z@Fn*q7|h$DJ!F%|w@BUdP?Z$8! ze8F%2P2M>1@e5(nmw%|O`2QVnYTrpjJYp60ZtT8ca?IiG^>Kb8KTTS^Tj$-ccesuj zSTTzw_Xf)Y2!0MjG^9F7z{Vd+%=YtW#0#kh;s7c2WA}Rz+;T&^YoAp)H+qO>N*eFJ z+$i#cQ==#!761}wgx{N5mu7CC_qWsZb%JY&+0yuMxhp$ojF|3}N~mk=HE^*eOS$|A z!igseFRlk6UrLO~c{whBd0zA;(Q!8$5s=DA!i~qWj>$}`iX@l)-GT2a%?kj0nmmxR zEfpWEC10Gr8SA=r%(2#U;I0NFOf4|(Io6BDn&+7?-v|T(U$_ue?u;&Vw$Ja0g%qRk0DT9v>M+ikI zAcP?F9>q-xjp@;Z)YI$^#iQAvBuU8Z_{-ZN8eUm6sS~hh)cDswUOt62uX96dwH923 z1MVC6joi>H$IPl98TnxRM1WW7&(t2Z1L8kVKL8N``Ah>YA*zQgox>I@;@*!yMR-c9 zp}$G>qw*mL@!CxhgKE`CNhztzMcwofRd3$IjON?q=&a7Gvzj=H{JhkTkQbZ4~?KwA>}1g602Rh}PbbhT^SiGfhH5C5Ht zAKZvT7T2xbSATr^)R(x{CQPI~T2=e=^2)KBn@lxb=?B5k!q;j;esFgv-T3%;074QX z^hmrC>1nBapoYa2uti9T5!OMq@~&*-Itu_uRE9?6j!d778-JFs8*Os&p!xMNV#8Y$ z%>CUNKQ%t2;)qtr1iFk+v@8C>^$y65#nEev2~+%nY#NZdM~>(|r$x#rM*Z^94F8tt zRtJ{F)p5AZr?>DO0Ca=|GZvDacA?N9YY;MUwDkyNFo)=OklVg3gl><@Ak#&4%UAE- zJRa)`D>t2OfB&il;~_$qY6Fyz8*59)8iU4ij@aDJ+|8zASO761`=Gg?0LqW=d1l-K z7*W93SxTHb0>Jadw{NX9>C44}Rqt`)YRnhj{rvJ=>WYF(HWVE^d)Yqb!Dt6Yx?lK9 zC<4+tmzv6ReZW{Mew}qZ{9#|2P0zC|DYg_O#T=m5+#+eJjr&2^bh_v3>yCRxbq+&x zS*2;lE?Fa>RLk+`N`2m6;UGhPaY>=1!%I6JF3r~VOidccG~<>btSK2b{aQwb2X{%o zCr7>n%40LJp0y_fFsBWRdiJA2@ldt@p1=P_T1V&8aLJ#x9=7?RdehOUIe7-s>%rIj zrokjMhArJ&JjX+XVh7^2om$YSnYDDmIsMDBcEwQozbi1vhpNRx^<4G^t<&~qaBKd{0HN>3rxt}kq1#q4EI9zv5lTDNM#K;d zjCeykVs}m;8ev~A zwElW$3TSU%^@n8y5AK3Kqg1&T^e_v`Yi>Tak4bP_HJ7ebKMBQC8{va((Ty=1Gk2U6 zrcu(F;_*;3I={GdL~3EU@sJEp4F^ngKy&K8enY0`UVeS063-^hrQ-Ej$6Mp5a|30b zs(=gF;TV^w)RjIolg(`+=Ty^7Uht1XH0$_v>JxJCk>u)gH{b~t`kX8M3y~JrH6$3t z=yl!boMN2*0fc7Q*Gu*OA50#`{0={oaW*rq7p4!x>-GCKcgI5yvnx;mysh(IHh6vT zEf59u*ArV=;GR3+o+44_sBq62T_}R+GlTc<+@7OzvqefNp8ga0`%Ub2XL#qR{*SdB z2{0@(hZ@Ovo>E{F7`2$|>EBcO=O?zL3s_@-OHqzl4+aD-cP=wdo-xI1Hr zYFT>7J6~A{&uONQD84T?v`1Xq~<+(>-X%%foK-y$ces|Z{NPG~p%~g%%?!M5nC0M>91w zLGA56C_KUlUf|KDfGfzqzV&9yJF7uJ&Zr9=7u__4K5pi7T&KPmbt^UEUM?@TEScbNDQIKkGEUaINeIB% za8GQ08t0Br8ER`Y@3G<&H78@Y?6%gPoZ~G4Z`JGnaN&H&ZT+^WmH%fcn9$R}W-LQM z^=lq|d0xDZOPlrU=gwpqz(PtH-A)0Gfg_iQYYW2;X}0%tIR}5J1q>C}P8y)OnNqS8 zpX^*{jr>t4yW_Z0=u;fe5p#x_TY1MBol(qQ>xbDo1dMAA6fE8IuU=o4yJGUV77$YI zM9nah3UlN@o$vd;_Q}qj_jf|3N?*Ho{LlA9zN18|Q{nobbQ?}>(w=8ZBt|9m?-4_F zoj)+`8(=@jKXAwu?C2qXy7+fSAsuge@nG82kV@=!49X*4Jt$xBTz5T0g3{R7KR>$r zt0z*mwin(U|9k1s%^2&^$Vm>A`4TskH3&#JG#dQ`8S3tt5oZuE znbnVl=}{76+rVBH>**-=r}wJsVNP%Vo{rpP7Wk_;ks&|(V856;%738l#Mmvvb$$9` z)AW~tD(9R^F*#$zJqAA0(@0=Ka=vf3)cJ8{YUq7ibOh>hdVSyp>o&)j!o{X;saY

IS0z^t7PFplJmY|6Ar|P6MMHe z=8o;VAIB*N)guGg&+0ze@Rf`^#VG0>{9EkrW6}i6P_w326nu9he;%AY8S~?;Q}pOP zQnIS=JM?X+a=-?|&F;VAqguKEt5rF{RF~d2T<2SqvtN96T`_W{-$7n(Hf5*2zWB2E zTU^I11StC@iGeqA%o-!o_-q}XTMH`(d5?8G4`B^8`W1dvncS*3c&(J=ncks&AyBC+ z{cn03E%0{fWFo>N9Tn1tttjozKaCwd{ZdujwWB;K!&v&J0ha_#9xh(axcGv=fGICC z+9qPQ55G9tR)Mj$7cP?$(`~pxoq1x}Bcn)=XcWAFPf1;o%GM(QLRTLddy^ z>*%zSSv6@v`2D+ef#J+V;oc?Ip~ozH65iFQrHQLl`jhfL^0w{e?ow0FaBpC0UxG+e zNKukBt~)iauZ?^pfe>~c6xE9R0a*p`&YGyIpO%)pYwrUP44Q22YS%-92|nocV%2wXBkLW&?AKTrmx_WtX41j+{e&W{m^^clSze>j`!RV_-*LjsG$%*TvEwMMo zE_#3e{LB^Dl_G%o${%t-&fe^m-j`=tM*EU=f97-UqEI{Y|BRj9G_Tr{db>x=gLQtm zm41IH;$*ES-mVc4SXuL}(RHi)d0pwJyDfI5Xbqu(+X2w;e9wV+Cz4IPj17}dJf0y^ zcZOT;b!)?{-pYftcsGw(!RYox_w`)dGp^v|Ue$$Ih|qtW;^Ivadwb* ztKRWeCOaT7O{Ja1FZ1kPLRIA$SV)mMaWB1}zE1O7s=9^;i z4+N%Yi=WDJjB6g|E0awMC7DgRVL0X5L;-o^xF@%G($-kY!`BxNW#rA)M=eIk2TY;{ zq_|ElMjVZG8}x>D#XyCk{}(@45{HO(U7?J6*J6UPtc_WV_nUl|GzGfJHQmkkv-Rmq zm()BTbuP1E7V^anDjE}4!Wayz_Qs%66}m>?`ccFSw-l~qo34~|(`DMXugUjko_TH4 zt+3<5#E-pz31t~BGs8B}DaSm2_Gz8ANFTxH%^3|RrHB{`-7x4 zF6T`y4FGxnmo_s;LPb@Q{a`%z^@Aj7RSgtn@l#XYiwQ>VXL@}WdpZtq+oo8f_#Gy_ z!<-^o#q}DlSVR)6j8N*8vvbA_3Sqy-TbORGQOSEOg3%AM+R`wHC?5R{=lPLp;ysVn zE=eBIruC3oku+oiR0N{)qT@f?cshJNb7@%FzCz>kPos6;WlB1-r!$pg#pNTpF8qe3 zS=V)Wu||sL&NHQ?^Foa~X7Tyt-@wu@a-v2_vhmO&JA7wGewcr?TnZ&CQc*TC`7K%E zy$iHxrXbCnYv9#Z$`lC#P>}xh(No8p5E(a4eVG;X5YyVb(Gk_8-l?X3JtfHq16YWK z`RLjnF})L+*YuPU3*c@!DUUllS0Yt=#F^W{dZ0gW?WK6!q_NUMdRs@X)0&q{3+*y6 z1o{5g7%(u8?Sj~G*BeRdfbFZ-%x>zroZE0Xd1`9K$yFbL0izKz&Y9X}RuDMSJd3X0 z-rDq+We1Gn+-MJDY8WNcfJw!9}i8(utvoiZ2MLn8^L`Vd0|DhjoZm4wgK6@;QpgZtk($s#^RI@b) z`3G?ATC(7h(j}(au+NnN*QXXLP>?OmuSmMHGER*{V~DRGor`DBkUk%*alK0{QObCi;>kN^TlD=)A!^J=r^JmUFeBSUFi`SP zov(GhpIvO=w665{0q~cTA0sbvBQpbeoj+tQqPUC(G?7E@x|cABZ7%&27-;_Ncrl{~ zpg?9;n>PRb&hFM^6Kzm;G8wz@9(47Tjt7iek?52;VRx((Yv}1I zZ9|*g*=IbZpCTnG`Q=-0QFEQ(`*p5g_ekBd)}rhZ@lU`Wf1gHPW;%1gIl1PLi=~hn zC0FZ=k!h5r?#-Usc}u35q!5j_0NSZBgk_HZY5{y-0^~UgbkI=L=f`KMM=qYt)Hj4@ zh*3|#Flp8+6=L?^1e1@rH+Y28|I&r*sXdA;)`h`t0$tcIBm26b?bv zx1mjzAI6c5z(zj<7<#HX5%%}3^!9dBJ zunQ~VON~FmR2?G_s^sQa>QL>9(M_0Yz7Bz4>X^P_-dHI?>WEcAoM1hF07E(;g-tJY z(-CBo#xPCqbklr>d$a{L$j;q)UQl#gW9c06Hy352ZTN;)A+fHwVF ztB$mgph42LD58aEw`wwnPN|-dY>8YtV$!41(WXbwbV+@pzcV9`<9c z1+VX@shpLwh(4d1n*IFZq5BayaaV*(b9mN&NED7kXE@EjT*OMZUX5i*Qoq1i!|0O9 zG(Qr#tS=ok+DhMfh*b>FC+mkp9QFoAqy}sLs{^m$3H<(BER^# z&b0>7q2*3>;?O$)#;n4FKvpGKbADNVcxtl&o>k`Xn`xse)Bh4g@89h17>a_*q!>!A zfwP@?gXu7dG#9V--IM&b=$6>uQ&(hhhxutgznY^=un4X`XeEfp+15lJQgX7qqHv?k zDl?p|FnuKkrT|v{?g4zxMe%qbKFh`HVM$pozXL<>C*k!1HdprJUvnRh9oDZo zyLWC5XJW*Nkyd>x1<#auq`%MHxmk`>1m3J3SrYSm`8lJa0gRhd$|=XKgMh~UMTj;% z=Xeh#*q^)a{YIA1eIyVbbB~kmy4y5^Kf7~G{zEo2_wnXbNKb~Y(0MlP<17mQ^7-kH zO4SJ7j(g?0(W|yaD{g`ati&uX8{(-xK=>Up&o+6b-&Q)Rpf>oGJkFFHmZ&(eH*;pV zvASfo>qRcs?Pac#tj~K{mP$AJ?#+P;`zk+Cq~7x^FxK?>fpPWS0-yA@*GZ=7DM}-G z(529%&F605!w$p)i&JqSY5m+3zud<^zkgmXmCC$`LBum+xM9ZHQ&XmCENSQz(9+ho z40oDZ8*Y4*cW&Sh6}%!N^wOk@t7GQWWjO~mRguc$4^Ez1v7;t9LxA0Cy0MlS2O)0y ze1SIee9NmG`D?9zw>O)wRC&kum)l*O?XNJ+5Y_#4G>7b%+i`}Cx6i;3qDjpO`7A8~ zSgsZ5-8$VpU75+4{Dof2hBBGgMcW{Un1TW^r&{Iq$@6?i3UBLhs~U%?F(a`amRjk0 z^|Q6?>8H*XWQb@F4BmJ&AboNXnscRv$Q!en4Ga!zbE?$j5u z;}HuC7;dtWzVqQ8XX)^}u|QQrhu zh`cWhVa3YSZ*)&g$R35gKYkFQ8z=+rqVzZIXd-}}fS0jSlj0Lfm4OU?BGY^}`I}3n z!)a*atCjsI>VL9d{Rv{cP9g6;u$_9XHy>$5bcp3W3r#*6=7fB8bGa(=4U`-b&`!R> zsJ(3V{a8yei`5wHy(V!81B_YU*b)?yUlu|Q4jxDT@L1cS>^h-%lfjM!W6pL5>nK<| zll%igZ`5yKtC9a<7DH%^;v6hE&1hn6bQ*aaK<^vwt!b0j(K!K_JIws#28~iAGDi zEM@SSI~J4Lz?gczxP%vJ+yqXc+`oq70Lz~z4R9(Ot>;_)MQ`Yi`!&5OWkwpi{FLit z=aqb0OE#si4tnow>R+IM#b-m+ThW_v1KIKVWm=`SUf*~Mz+HK0lqf9|k}jpqxW)La z&i40YE>}5y1M2Vyqcf`_$7LJK;GAYVStmq?zu#h!=pNJD^EY<-^$n$A=X*#l=Z+s) z@i%l>%Q^ZKrL0;bL!fJv@xsgJ452TrV75^CNB7zz7O~Pq>+5do*4@o=t2L7qH@z$o zK&x2Y$D~~O_NnQ3u?pr2HS+{4|~MN|rZ1!hh4_T;HS!7p7-z2DNV;1UkchZl1wY=&XGT zn?0SPb@vBSVPeEk&E)0w_~7BClYcj^j7vX@-@)<%YPK)W58bpCLokZ))C~Q-2>=Pp zIukRO#NM!jkYM2ja8Gw#ObH+M-D%m~*^_oWJ@)VBn4bAX#~Z*~^0YCZ*M+(+ zX(tTZSG!gXJp7@}DpP4!VV|(0#3Q3m;xSMFb-S}W2`}@rw9xy>BoVP4mk*qbA)Go~ zFb~{ES@eaEKR#!S@FlWv+I!;*Vl!300iLkE&wlvt@>I|4R!^2xvf$Ou;Fk(dgy*)e zpN{Ae3MisU)*xf|@Rw=7Qf%(D6&O(SU6r(|cGazC-*I1o)(8w0Ap5Kv+(k5XV$Ay` z26f9b2wo$HWQ}r@$zd zqrwldERXky6iJ)S_0AhGNukVczRpASi0&J(GG%3JXmnI!&rh1&jKRkg?sYc~hN@Ok z_!|2yfO=tO!3xMa@QHJ&TD91T=8wsmUHhq*PJMp7^9blOHio;CCGw}Pp2qg+=&?=& z4tKHa%wJGC$|3F~`uu_7##%16Z<77lPXSwmIwUp8nZnT1TPqHLdW$5LUd?zKag77# zcVu4en%x8Z3 z)BX8UIsT^r@c*$BDPvM*|R@h zJW#nZ*8M!6^|-R^sFrR zP8Qt;bQD^I@FTb6$+uGw=={E9pkmBEko?5Be|@Y?Dn>;f(tQ+_ALWt5yS-!Kv48+X z8JoEuQG$2IR*Gl7*M5IIaTYUBQf^nF)ms0TB3d}%aQ8%6_I$8d^!FAqF-P+})huY; z4e7mbVK=9oHFS-nEF?StD^I|vHXTaZ`6wN?#LkW0P2^Ab#MO~>H27OAy1+=7JA&y} z^5-I!M-;9I%Vk0Ioykn$OB}st-Pr{(i@5L|oqw@)G4q2O=oZqO4In3Z?w4@1obsr? zNU9KgfvMAeA}Gd{JAplE@C~|_r7y6;JfjYj`X@`=?it?R&{_L%x43jJ_5I-4&lUTA zXH0GuQfWPZKWJUp^;wncRE11;o%4g-5eqb5=e@#X7g8nFTFjmDlL-gWB0r9*E+G|b zW9RR6O9RR4=OWBv?q0lf-d!<~$T6?G#^3PN{Zd+1RJmb^-juu186~hdMf)0NGvTQXWAC+<@-GQm+Y zMQhauu(bjx%f{HMkzBZhQ@QzP*j~bwYiX75) z?-SMDC)2x*VchhkJ{h@So#&Dc2qkHBTdzs@9gZrg_G3BTc7-ta^gukgH^= zp&O2UF-COI&Iu^G#hqx4BrNdj+aJkOLp-~m*7ibUl?R^=G5O8{=8Egtc`q)ir*?4` z6QgPr{gc=MLdd&?Bi!z$2FrhU8e|JTkrFo)b*|~SwEsABjE={7amnFFd+=I5L#*Rl zhPC@D@BKUaaWP~~0PAzXnshw-b*9@BA?3W;C2oGBVd-JxGQ;g>eonusI6|YmH+)Dj zYkD6e6*n0#F>9fx)T=JJ_%N?cew7enCi=WMPix};_tMD|OZ zOL694aUL(?j{XBOw6fR5m0v$7}u45#tY8Mo=(m<84Uh^54QS0L8+*J%%E`1h9^OBzT9 z&-NXm>PYQ<15Mlbz6u9RzYli<?H^`YPn;&h2)B2(y%$@x|2t+Nk&4$0j6+Wl#7mVIhI_SZ&3z;NGS zKNnAB#$+egojXbgP6v;cfYnsU>^*dB8ktZ)r%AhcA!Avx_yzTg{C9N(pS?3E}o^WNUMC6>LyR?!zF z>QWLr_#%LuXNWC%1O;WUs(9xx2#G2WOWl=AyUjXTnD271v1NVx=5yIWWQloz6!Ju& zVbrDlw98%BHd%&Vf&GBOGw90gG~EFm8ZP5hzAVroS5QN}V(x#{eh=XFIY1YaFGgVu z4yk2hGizYNQ;FZAy>Rea2NYG8zUPBflisTj9prnz2nEDlNhI!Gf!NrUD57T{Tpl?@_ZrgRv zgVm=n3${^QWWvmWbi*z_M>T>`#~0lc^Cd$H>;EOU{m~b;JiL~PyS{k$X{57lXgRHr?I7+p4*9@%* z?eEjNK%jdP>ci13&}@AyQ{|l0NVY=p>4?WFVK;&U9 zvIcEqo?x+fP|?T{PCkrj+7w(W+;7w8@^+B*g3&u};Z+cO@&q4aF5Y(U zwr_9YP6^F_ciqhR?z%k!V)>(Kf~Zhp&qra9XScCYK0P3a{7NX$%u`_uvNxlAA21)6 z7;PG$?4}Cr?;U|D!tnTj`jOGgfju}LUr59TJWTw-c(o0s^@+g#^2;X57eVh{B-XtQ z3oUFoK0kP0)@KnIEZO5auSVh6)y4MQp~=joh_O=+g6e_unfvbvz=gfIJ1nlgzhl|c zO8@yXO8O=VByY_IZm*6uL@bRi_Z(|~2C(pD%u&!#OOJ~qfP@4Bm9NacDlgG#>fvpW zQ;beSd6V5Z8f6OeZ+hkR*DCiF7(;@w8}tE`sk63&O<~?OkcA z`A~fmD0kJ|{_*>a5$hK~58Aph(1ps%_bQ(;-g$L9|Kg}t+Cm;fz@iqov%&&42JAz$ z&=#`cShj>|>)U3&m;LUE>VXSxGWD2T0X>!@Lq4!?Az$xQl$>1}RRW~_O7WoZG)d(< z;`!-8h+5#;=n4x$qwg3%FCN#Nr8|fqc7za7C^E@sR$C7aLs+3Cf_{J#x=}-*?65QfaYYuX`rHeHxdm~Qh4R?Kty_JiAteAH{vqN5 z*7lOwHMLhq%tOPq56`N78)e?H>sjpfPcZ{Q>^~-*iyw#m5VL%ZjCMG%V6S3SZ%#3K zWMXe$wvvJBN}$S1U;X^+%GEEwkAh)y#tW!;9VU#`xbL_{WDvd+BDTSqocL2gpqwCFQP~U%nuu&WQ*^qr8-A)pMok~1x zm^nhY2`YwbO2mc*KI(ZY$6i9IOG`s4?M=4K`7XciS@8MHI2@6f3(T!C6fGFx{fsLT zlP@W_IPEN|^Xq@%fg(|1e7Ny;WTpxUdSbP(b4T(XKTP3SEoAbcKkJhjwLZO)?ER^B zV721$u{@=)b*8V%*+DD(o4(F|U=bT}-s9)j>OZ*J^e)0~vwB<=k%O_vBjsiX2xa>A zicmsKFU#&za%2P?Ns)LXUP(#GVo-AJFXOH-U~CXP$NTN$y&`DD8v=;H+U{pqu0Gc7 z%``MOJi#*dmvU76vvY8Wl_7`<2r+U^lQd5CX*uU0U;;LI*mdbFX*avSO)ia-gJb3N zj%v8QI5Rsp|MAnUjGcJPT?bAQOYIk{b{p$HJC$LRp*XeuT6+oEbTCGT5gOOA1<;OE zj{NS%*ygq+7Z))D(e|#8$r!sJv`dFF9(q3K84LO<^3N)9BS6J#Rk#i8y>l^Uv1*sG zF78yj_Z!hNr}}c96=?tI;p)dp;1@W3F@%PsAagsYY2Mw@&zbnQABAXV>*klN)|MP) zBpPYAi2pdnKUt(UI*j5Rn;ON{9Jv1dbLjeaci4yJ%a#8co6T5HkZb;$kpiKyGQ=s8 zXoqzhG}oeyid8yADWQ-YS4O7U9;_>1<41wj)1nfanHsDfx6$AO{;?;JL z>%9XVk?(Lr)pN_QlMEZ^h(s_XIfV~FG&?Ew^w6I~Sg$8nJf5U*FGJV$IiNuE7+En0 zx%!B&Jo+!KAm;TkC3!*Z)r@at>=fB2XK(v{5kQstOj*nx#>D1Yy1l<`ZqmoCidA7i zZ0XT`vbLF!Ldr;X|2+G6IO5|7v{?2U2vPtqL-wc<)eJ43A8yR>1?fXUIKdVm6(ElIj@M?RZS%cxD?$D<8l@e;B*8&}zLQCQ; z-FyF8A{PWyGFKQI6(I;zIpbOSFBAq^d3($WW*>7Kx-o~}W#DxWj%}UApmI|16>|D?^D2H2a-~2M_~Zh+b~+l|M4OEBsTg63G)< zlC_|Q+hO9J_0PSJBR~#*2{ueVdk``???4yo&n>(g<_-JYaExt$>9)pk(0gSpq5c=x zvf+1rSbZ!;3J|RXPa;HO>aI;lnLQBc6`(>IX!6_-+r0DslhGp4G`)pzSY7FR4AuaN^*-@r<4oBLtuZML`(|FhTh(&1Z$BOw1R zvMD>7#JK-;W4ErhaXW>bP z=##;da-g3!!I*78u0Qc|*R_wbsm*(9U>f8gC0qva)Hf@^^Z&TCH?Ib4ZR4SSNd~E3 z?FCU?r=9O~w|>2U9r%E)s;HEORp8j6ffU6BkavwTnNBV+=5Ks20=BpkHV2^7Cl5Or zALOM^|K^Wh(}himNkB1NhP{h;DA;!#J=b`V;bK48_zqj?=f^DLe}jbK3v?TnKb(xk z1Lf%X^Xsc+$dOuNagXGSOIeushgBYKZ}>a5&;poBzT5vZW1I{L!ZT=oeu0`gCz(^M zJn4@4HT#@nCl#JO!LwfgH?Ta7Q?Pju?2cN1ExxCZn|==XXP|nB6a&MgCPoVo+Qn04 zck;6t`ZQs&x(-;!tuJfPYBm9!Rt(c}I;Ug!AFmzxN59Qb5hlc4`~=T36)3J%mtVNZ z@`R1|VChWJ%G`&|5cMEN_-5w$&efqQpfO(pbMX#j>k06qoGh0K(YHf|LnE^(Vk!Sqd+f<7=Cfzc;D9<~bVag3{Ic&$mcsw3>&wHTZrlDX zW6MqFq5sDu?`YSmMmo(OG2ALgwjG7LdKFXAw*5K-t+5z z?)UdR@9%w&WOY0w@?zMO zT9l2I)#fEjblhnVJj2VBY?h%Dz2GgkNn^Mv6chQ4D7Q`>#ZHapfOf<4!qM?vH#$*Fm*kzd6Zp8ygZ&F2<`+PsjZCbPZ&meJ=beB? zs<^2Fvdg$%^cjaIXlbOMERtGWK-*QU&3G6LAW&ere3N=WpE$WbLA$%<*lE`A;ir#1 zVChQO0GjjyxQ!3rr|x(RZ^AoQANB*{%jRo7Rr52?;GI)2~no+g;7H;6`;)m}9@I1B`JE|pmoBfRuSAll6gYBpE9 zJUSY%`CV#D@E&+e92TJ0=ft_)fg5F$&?Vgsd^qyC$>GcZr-TY3x64LPv__w)b=70o zIXB-gaX?ndy@RoVLFg@qtnu!_N`dF>9Vx#+c9fGOcbgsqx5K`@D!#)Cp{{!@1frq8 zc@0_%o8<|>J?_+53aB_6&{V?{B}X0~eb?k*IM4-76mYc3b(HMvv_v6VU+a~eyIs^w z$6%vg<1P#seE4T^$}#o`8NBRvZ2{etsoA)Tw-|P4Vh*7M)n+-*8a;?s@?=8H1Z#L; z4+POZrwz0KxPlSB=H}! z3V;>>(I`By%zNWBOHYXG9vZ9jFgj#gl>@@<{#NO_OK?4)3JXwv$Xi8rwBTJwM0v7- zSl=$dxYSU!UBaBJ-IjKsYrZ1%<{@nM9K$HW;IRRmX16zC@T`>!02-$AiE{SciH6Vx zJX&!}nz&eid0-iftQ6H)DvVFyX5X}9I`KI)!+QAS%SAf?o>pQ8jI+)_=TxXN@{S!5 za+rRNB_MoVu2t=#!~`SUHF3_cl45JEkLfMcBZJpWdtxA9*+tj9U(|%v@Eed|>^J_h zr-kcpfw#5s*Sp%>stHF{-q2+FzFtYQEMw@USYuzuV8jEbJ6RFVbEErZwl*@@JHztD ze{yO2iH}PRhglNE+VR>Q__aDO_9stl*@d;wN{>%^_<-T}h*aByTT#vM1rEDuWkGF^KUzZjX4n4FkcR5&{O z+4^O^dZ>5mu;0MNs)|iyC)a#<_Y?mtNu3yWy7f&>=p)J zf-)0)dA-Zyfj8!)ipnx^t}93nak*cr`o7Qn<)rhmp@raQH-IwVRdzjTowah>A0FP% zrAQ@ArGHT>qj!nu=SQB*#bbV3JEFC9VC0B7duy!Ae>(NTpx{Y(nfuRQ&I%Yb7)XCt z1`8Xhm`f+GwQk1CVUd0gx-$kXjSSkrM@$kE$Kl>u`p&HyDxrN^i&9PF;^TXuC4O%n zl!Z>&s@(fXTp9W>un@muSN_AXdW4G+3kyJ(A{$ZPM^JXPf~xh{RO5})QWhqDtD0|h zzc~Io$WXS9#2$y6;lX9!|sjATj)z$BIHEz7d zHKj-Cz#H7Y?^P3#yo$e(6n^1CN${Ggb=Fs38hG<(qpyI6^S%E;M@vGb zTMN2Z>vMhLjC_=CSB@M7w-}LD4=^mYUDqry`FqzV`rjx?58t#cFu=gT?=8P^SJ`LG zh%_Tt4apND?cML8~YsPR9StphYVW6-Mu-C z8m2D7p|&QIBbgr61F!zsCfzc{_5}k}J66WHQ$?vB>UHmm0!RdEt<2-2*{#r24)7d5 z@DP~@dXc@aePGck(V1XVUncMH*tioX{Nd6yjTU9~LBY0k1m}VBI(JqqNtF5*+-Yb4 zsmo6dEt7RTozmmdFH6qx&r=O5f-bq8ba6#Hp2NP$KQH9__<02zQ08(mCd2D=-MSa@ z3wlu-s&Em4&8;#}lQ@8ZL4s0*P!6t{&W|ry0oWoO4b_5T0|&;V1|4f!h( z`_{poYzoKjVE$SR9kV*0WbRkyXz(ppG=H1@P>>Di^6y}o8c1s4;M}k!(64YJZDFRo zi!rg-SJ#bKeR6gq_ik~BL& zY=o}O3}7&HQCe9UJD-9sfP5(FH@%4NOEpg(WDYklRv8DGp4^7#?-=16@qE%zk$VIB zWS~Ez@y<`uxIAgl4#(@+U0!%L16Sj(gq^<-)Ch+6(H*xHT!53 zilrpdHU}?7 zqJ3hDgm`$Q3NSzK0K~azvw!@H&@P090A|ac2;gdbj|wA0;=rRo>U@afstelZMG+xn z{tQB=`2wQ#tv)QThY4U+1CF{+;E~&1ydrL}c+nbY$%)E<6uW^ScNUe_rb~Sap>_~FwTpn!NIXR&CRvsj$fSTsHnzTyO42MV0iNFk=KXJSJ;`Xoy?lqQE+{rxJLOR+A z`EbfVAD%vF;p=&_5WG}dqEWhPzJBdi&2Yd+|AE&rlgn|C8c$F*9QbfgOSYyoi~koCl&pN6TV@zke6Bx`G$1g2cS7RED2I;Q0e}l0fo!Mj6QHSEW&d7yhA@X zbYu5(id9|rv_4o2)zFSPaJ@fg4T$U;*zuBp&>xX*`}+J2tn=ImQch#U4?KtyYWVs~p`|_cfJb&P6m?g{uOjP8 z+Ar|U`@`EM6Z4N(e;!9ya)p)@Mu2^$6r@3&gEu9gCn70NGdh2Mv-h(;uNe9?`~)ud zx8ogB8iVCN=S{ z2?T$l2aH*B6+H}_3qGvEGlT1mc^s#D0Q;Yp;nNMQPBBPv44#IsR|GYCODzLsNLfdbSEJFuM`YjXR?JJ3Ge@HC6lv-$S7?i%PW9Mor{Ks*KcoNSL6wIj!H zqhBr@gg%xK&{9t}U<7~D!B z30#sTpqmTV$)0(7uN4Rj&p$ich+z#bopN@=wrTyD+M>nVrnmuU+c)yAji*Cx%=!B( zZ1E0~1?bfT#F#f`NTq$7FzDCpZyCY;11}0*+=P5M-=J*dS_`C{(o18qOgULS3jLhz z9^NCe#!rXAz*NIz0p2%pFbyS#odN%uX|*+gs)&DX;}=rdFI>3rRv8(^4?9*u0F)^K z+>ALritmy$%gSc2e9+$AcJ*%cBM@v zk98-UrC*R3fQzn zaDJft78)E^G2+ZCFDFVDi1^$Qp}(}khfm?E)H!rQrnWbKd4i&s{0w}_-N2=t9he99 z1ex(NfP?vsBxEQ|0H1{5IHwg5QQM$@$S`zP5^y)#dd`4$A*?c8%w&$0W+VV=WY+_l z?3d4I(JKZ(oI#J;&~Y(vBO1FeMS*|hA(6}Fnl}qP<3SBjby>bVRm+Q zir0Bb%fMEKi)q=}FuS@iEJ~pQ5nt@7MFp}u`eroy4X~&9p(Y&PuHregD7PU&st1(5 z2%G!nVFO~5tVRhlMD~HC>b6D^*t}OKj)tvX zSl7_En>N^luNaIJAC!AT0bFhdkpXgDPyXokG$2UNhO5C(^Whqe$Db4gIACOu`7XCa>j-Q#)}yNpdmCYRn;&{UEJm{-Z9 zm55{_3G?gxI*CGS*w_e`<=^Svry#_p`I4=|mfn3oP5iq(&28#A7F0WLSV(*R#N*J6 z`_TYOymr5vn8U+@6(Mq{QDm_Dj(!Fwoe2{kJF%MhzU=mhEn0s{;YdB(~3;m1;WdZp+t09!!h!iz=C`EmNSjSq{haGxf z6pCIs_&T-!9^-ILHeWHIOOhe;HB9?3O~uvpJt<-nU4K#gM0l~&EUw1VMNZVps6%E4 zdzzQAZuTT3yxrh5pY2dVQ=gby>B5#DH4mM{)j-XQX~r5eVH@4m=b>CySSX-nCvZm_ z%che?lgowi_iD|fN*U34keC4rm-22IFGStZiV|OW64xiW^LbinI#Ip)&yNo}gL{v9 zDJoigUrEnTSJ+CFp4fMTUN34V@mbcz2@h&v={g42{Htyq$)8SB_Q~R>9AxD?_Jy)6 z^riwISmD^PVT)@?1=pysFcScGb~*r;h3=D&J{JWiZRLK{@Xy83!7ICuq#LpgIa3aO zm(IWbaxVP3oUqTpTp;670;<8@$?Dgb5-_5YQP)=#EMn#5lb025$6EVqdfhB5_I=Cc zszPl&PYFM%)yo;g+P?}I(wT2ZL9bvXk8);7CNr?`6>2js+wd2ZO_#nBKDGeZ%TcJN zk;!8X3dhL&Xk9lsHa19pf=Zfxti8#diOYK4{@W4z+CsaxD+$kLrEn8seyUz(5$ohq zeW9M{e2>g^uJxPw)H(_5ViW^ek4|OW+*Ip97Jf8mD6}1-R$a;TUXR-Z>bS~uyfi1K zp`*ilLC|u>gy#xYoxs&bRfLoGGjHz_EQg+RAAP$l zgW>iHM)lIbrtU&4-9i7j{nO|Q8~pnFwwf;zn&9MsmAskHWFaYETZ7$I(6~U?ska=LcIQzFlN(d9+uS z#g(J~=6>5^IYrVQ$*wy`42Sfre>18gR@&RDqQ)nZ!ni}tTc%}riq|OGJjq<4jA}8f z;B=J%;e>ViDuuR7INY~=fHg~igAa?nhAW%qM>8UH9ugO1*>_UG*uDQd<;>caGqZ+L zbz4`F&A7bMNYBNzsQ9RJ-?h=SAUxzuVe}37YB3<1#rPst)h&+mk6^^26T8)^h5gIC z_9y=0>EI37O}FDa$l@we!uYcttF_dy{A;--zdQ)KRoG6+++ve$Biy53+!pTy8DMr* zsyT`yT;VOU%4fP7X;ET@v9(!6bjdtcU71YP?b*_X?*R!-n#@Zp6X(@_aOQNuP1*a( zp=jg1htC>YJoj)AT%oqVb>a?oEZL8dUT?3~k_p&DHkzg9*oWLusZ^}y({AcIAopD_ z<9<;3cq>R?`(sdv|1r0$08bjPlz~Y*5&Qg7^#Jq7Sao92q>(}%`=12Hz*85(PJj$p zjq;pAT=y6{^z&}`i4VGOIlROaH#s!Md$^sF7yWWfr(jp zHmmv>gwchMnJ`Jw{8!I~vGkPMtE5yaj>DNjl2$*{^zp7bf4sZ`Ize=VOZ+OX)RD?wnq(3R_?X_IdQ?THm)f<-G@BRxbn+V%9VImozK0Iz5WKaBQ@_KO|jYA zGoAUJKRb@R8Xx~Q&%knnV|!^uMu-$kz31vgtFVXTku5=Drq;KGC&J?PTiQR_3Md

7d5A_r~k-pfxD&R1C3RZc%a1!eY2m!dtk{TQ{9qd(J>bc&0h}NW_w_&4avubWpQj zcUU`0z7E*licy90xI>&#on}e8%IF!lJq+@69m0aimY}#K*7i~7qmD6#M0HPlM)6Iz zaQEDdn?K~cuZ@2XISx}u32eQqMJ%qB6Z^?4MNZ15>098qgf0<@;a+bQW*Na|)5x>u zvr>cjEaI(3w2t{!+l0(VZbh8S)HzCwVc~%X^KQc$OSrH@G(6O=c^^tWm_U$94p?*)&3GZ?o< @f}>C#*BM0`-eENRk=b2}xn zi$yFy_Rd=v>bsGAv{t^xUN-@s6Axzgcb}pm#ebq1ppD&^+^Be>^G_GQ&d7{nvP0hz zVD#mEs*QKrcs;zh>mLy)wwWo)5m=Qh;pHG&mp$99@zx7>Y!y1|mstjwKeRP_Rh3Kg zZ~Hf3$aOCCx~s{x)71vKD_wg;JDCLv+@2dO^gSkSxDKS2U)^f!`aJ#>&+_8Zj%L5( zQ^W22&4CoLp5R5@)+!7+=$xxU?75T4=KuJmXDj8qMCfR6T0d7jLw{&83#;VI-L!wR z_G)VdZ?9n#q4|l7UY{il$S*Y{ELj7X{ZyB~;#V_P_Ll??)=`Dv?;(A!Z}XGoLEQeC zd%a1%u`Q^#Zx7A}2(A;@r?4-Cnf4RM6zhQt(=FORQ+0P!t5)iVzYK@#(lwbinr|Gk zG+whe*ljg_JN;mixVxG6@bMjzsDGH)smoW)PSxkFa84ZZL(3(S0;DT)S zZ21qtKqcz@hf80_k2fwRlcH@Chq2(YVg_-uKp!!Bmch zk5hh1eRVtuxC?iRwP#=lOvhk~-rg8Kfepd!4okkLO8>{4S9hE<;q>mDw=krCV$Z25 zL9HIIb4X}O_n0Bcd)@ib#ckxof(Xe}LoOPL2Y@H!qtbq}AL6cafov#$@u&j=Yk2=} zp;tz7a{^e~E*}{_hRci`)460B|77w|_mSIxG~QOj{pU~$v++Hqq+2&2N5*s7`(?&? z1FHGJ^hn|Iu*(t?wt&0%FNRHzEFxp>cgPP?PiJ{LxSPrOWwfhJwY$q4PBjDq^7$Yz z1OX8SEt@@Y&%%*Gzi+Sp!Rdp&!(Et>;)JTwLB@L!I`t+? zuF`V2I6Z0}?|JrF%vJkijobesUi|v=Bj9gAh2bA>rsqF+hRAbG@Q} zJBW?p$)+yYS__m8Hff8IyRDP0|AOAz)7$g6!Ys!eK#b~lSk*{~nBmVZP9=Jp#sTw9 zyodn7ybU#chdki*uv3@By&4~b8Fu4}#&<~TLSDp$rn@5t@q~3wDhHe&%vPf78+5Mg(`Kmta8k8p{1pulE0}yD9-pm8WPn5U#19 z+|hJx7cQeN2Yp7&O(r>q$AQdBjY_&Sz0yd|zzA4Zk)3M_xAQC5Pv+C3&3WecKZreXAm2klTh{$HYYsRJhI<`ut zkStL_u0yB?yvXxQfa{nMD7sCRrIh~cGcST@;$Z58>}#=ZWixSLHYZ9Ug)eQ5JeX7n zxZn%8%^8`Z+fh0MWj(8w|I861IxslT9{vAv#C)`J&1eYlMeBKY1irV z{^H2JH&pikDCZ$8=QRwZpSv^th`NPfl!er7@BJW3iK`4_0xlb1QEJF}aO^KJ5eJ}w zkk#W+ZE|*?=KOQ1`}gk$L$+gn{SvqBHK*iy%)f=BJuB|>m1LR&z}bcY%XVZ6dg_eh z0nSfh4t3SYmCq_GD;D{Z+x)lD_EmOp7@nCi1aeL=CaYCcydf&NWg74tKc}ld&|Q!) z%~ip>C>1&zY(pS`cP7E3i|zJ_tiR2*(4ulD6Z=cej1Iy>)rO_E&Y5F(8pGDc@W z&0so=QLZUUrGW?|eCkL2U`~FfD5?zZN!8akwl_E#K@#vUh!YV=?dvH9|7=D3!1ulh5uDnnr<3wFM6gIbi$wxa)2;uBVB}M z@W|ALfUGvon16H8h61vx>k4ol?euI3pyBD?i-V>+ZyYzPFPmmUB2uBR9r=Ely2a`CrOOpE#qwPd83fwrc_nJ_4A)fFPc<_$5X|81N#gRo4Lj-n?* z*Z#wLI3#v8O=-2-HtN2Ge-WED6$kK54$mW# ztMc**gBPug+Ce}kg#ZD}8=$U6D4r^p7H8mlCsOI_ZzHkEYk0jVp)W?{MaONfQ1gAD z*U?`*-}O@a-6s^sfds92fJeSQN~(W;7teeYm}-%0QLk~wUp8$>k}q8Yatg@STYbw? zEvUBZ2eijV8vSGd4Eh&=1gZsc{*nrOSdQGvW+cqTB?eNhz*F*1s!E|2-vXKYIyGc> za48_{j@rH0-+PPEKDtG0BEwTe08!c!jvl+n**cE~>f6q77@^C*!n#n-Zn|?y>0=YU zEO~gGM@T5(cGo^CDu!|7cLW>(wBYHU{9?6Os+g%XDmM_wcnb(1%%~IG>DN`2Z ziBSfEA_!avXloWoR;;PshAXk4+3uMD?{ES5n==nB1!)U9ia-m;B@Q=H^{btQRFPCh zyV)fiSw%cw7q11{ocClV-iEKB{qvSrC$6bqTUsc}sxOytwSaFTF7UeecmnxoG0@iv z0_k!;e?-{}&>CgL%USpW4N$R}2)XI!BA|`Fp61iu1tOm72z9!7UZKBd= zzlRCr=Mo(+k?=QpTH$pbc@Vpj5d6+^sfrhDF9ShVKR~;X8UUIG%;n=XtYXnn?@a*e z^MnehS4Afb@%(9guopL*g}pXyPwEQ}18#K)l*y&FqZ5K1X4#ry!FM#SRkM2c9L|V( zjx5uMe_|(r7!Lh_6>OtceQ?WXff&JA_dZr!m~*(B^V#}Fys}vIO-9!aOOp5JU*b80 z!P8a@!Bw=P$&nzENlt9))nJV8t#v!uK$q?_$3y0`{R?#r-rykPn=d^viwLE?tpDXJ z5WJp{VFS$VDl-phdO3Zt#Du%tvFS+hm|U-L6%7*wDcvr?onsg2NZyHMw8Dn5AkMYA zY;-5=eGadwS$VhD&Buf}=B1NECz2s$WOo-7M^;TaT5yNDc3s-WH;rd-iW@4}p*hA+ zei0B-4J<^1$=5p*kZi6%dRW&JKZ|dpE6a=67IN}0fd+i9oI~cr=XWSVQ{Z)rXM;$D zD^F1FV%M}2QEw474$r(%frm25`&n5;pa|lj7jSms2K0&*(x`Pg$e4lMuvu_AeX%W*zU(-BlaudFAYxJfz1gq0jH1%E7(Iv zyw!3|X_nyp^!EMZ_^FBBW*{2jct1jVau_6kc>*7caJwE6?R2HFmmv&vSGWbuczQYW z#yty(XoPcEkCa}RK*ShA3mj_@!&PY&K_Xz*zq4j%ssaY7tS+o^wTcTOEYf!0IJy7N z)fIdeXQ-m_1nqth&bsP|#E^q$-{WVRL%%2^zdNBV0IE(J%ulAkKS==And&Pe z0D4eYP%aUTKBeA__^Uyk>yemi&k5>KR?G-doO6+@~1m%#dJukBS&v5)x+8{AKgk z_iW(#yMebTIq}z?GeDyu+GWtHntjvg`G)A)M9NTa*YF9-nS}L%kOOk$pxmS?Q~O9A z2(v$+jkaf!3x4mBr~w-H3`jR*Vr9Jzpee$C57^c{%nvuEdoUSGH53P8!H|&0Zz|c` zfYd9Xd%cZV{t@xaRC4)`e;io-cK_3X#awY}svRC;h=l9S0E3BfI9>)Cze4s*528$j zesc})yz$PaNJuydB99MFYJqSu{M->2LY1@73;pYN{7D44>rX`{kfghpc@idEAl@wJ zq9A0%c1Mec+yS58IdrWIC!n<)*fnE?Z2fSvg2O<<6+;tEv1KVz$bpdF6n-bSw7=ST zymaRsxxYsYB|U42r>k=U|4(ux3K*3-*(fn)Ny1e>wG+5U*Uw^2NOXW^e z2>t`|(SdA9V!vWDQXY2|to2AI>TJJghX&c6GnF z{jPo*HmC$B+^c^u7ljr)dyn%BLM{^i6M4<^_v0$M}k=`V9QBaU3 z9YhrANE4N_g70_6_l|Qe&&9d;hj9~jcGh0|Sx=dBK8qwX6Wuek=V?!zIB`Z_4{mYd z#7Q;qKA8FxIMRsdz`+kPyoE08L|OmEZzoPr(*|lI1ARl>J$>9x2tu{~z7v#@_Q2u; z1)*?385wi{ir|Tr@bn9G3-CkvNMf*9jE@`6$1OzC73&Kw09X5B(Vp&}Zs@=uCc#QOc`aU{Xu ze<$u1h{F6mYbxWWt1Y9CH?&jo!dUwG!t`XV|6UUqigWvW&0kZI&O)_;anYVAOaKa) zOxp7wOUZi&<2~#G@B!LR=CYPnCfe>0lF7m43U;_aS9yJT9b;es9k`XLf{{{yhYivj zCu0&6WGfGGkx>c^f`)2qTWUhJwG^}kWnk`RSS5_576$E(G*t-nN84D0=*a?$!>qi) z!P3<`1PrBbsOw`IY=kp~B7LMSp%85Y9i$9G&dA0GVu8S066}HlJVSN71CY`fypNTU zc5tA!zpbpMv?VqOV`~(kWroMvdSK;y8)z#kf;9xo3By_``S@7->p<39bXFz>tMVO-cBo2%Ls{63_{^_ zAO^lT6U$Jnzn7b-HHP4Cf(-FTVwDuZ5HLs(RNES7NkE5T741Adm3$QxLVXP}p{^)5 zb6=AXeQR%NEu{dI8CWSDPj49=e>}u2B$!}>_JoC5c<4ZU-8@2MZ4J##5D;@aJ*+#% z7v~1CFp$N`p=|sx7AQ}cu8gr31Pu#A>KpnQn!BK}vX)@6U`Q>LAMhxhPKO(3m}+Vxt<61QRx&y;MQu%Aq<&Zk9&Mo%geJ{N z!O&O^B8|6|3kdZ$mBGVN7M^a%z)-yaAImWFU`x2Q4MGMb3)O;{%3GR+dg81@ZG!Mn zs0mgHA#Gv~^?~af8=zgiO!ciGO1@@zFf)ZP6EqfyF}KB9coDR9q-EjW2p4m_f1nQd zFcjP~4B-n?9+XcuB_2h6VH0WP%FQ$QfxgAKH-LJ@F`Avg<@^CbjJ>&Pmg z6>MGoQRpC99T`n&1q8tb5o)Xu;6~8ZLHfz*>Y>a+gJl) zF#ccwt5A%!PoQ}qO3NQ3kMr{NbkhtC@|VGy`D@yQh9F=*E(X>F0wmNc$kkICsp|#t zu_nlR>mqU5?pUl3)+oRa1~ssPfbmU@jie1x+HPJZK_oZvRIv7y_0z+F)M4!xs9*-N zGfc--M+vKE8e%1Dfw5M!!$M6gbq#f4CUOV`YhwdfQ%r!3j3Pk}Z)4zL?oEn68FY}e zn~#1lLD3to1ck_;^n>(CPHy6YS5lHU)rLUz{B*q#3IPhX^47X=eQi^Gu(z>?ft$R% zou{t3jGl)y$zCQP>+3+=>;m96dZBI@PbE7zNSh|WQD9KCL6Dq0#$4M-TgfZT2WjG~ z>u-%T36O>ZXNGB+nA^(98|wx@bizPD!}NTEOf^km)(|~+W7|NT0Bb)@X$US@)*`^v z*EJ00?`7u-bq|pX@bZ9rB24T+LN^SP(}!TfOfiO*evkm9rmU=mff7dF$W1TI4{sNw z=&7xUwz4vi4-T{Ou(rj@d6>(Bxhi3eEzl4*LsvPF!#v&G4ZI05iox1`P+wARHzYj{ zS^-V^q2y;oz{z_dp*{#rLqB;JX%`QyyT6eq)CHnvPAW;H7qGp~e=5;&SpvWRQ}&_S z+5F3&PMqL5p%2%z3UOS`qp7^*u*M^|)D>+V46=Ww*vDA0Hxh3Yi{IPJPIegD$)Uh$RRCW6; zRGzSAKM}s(y!!d3X-%rav?7YLWq+P7e6y2%wRR?|swMJJNX7m4&z<0YrKP&>7x8Z) zmuj`CZX7=pJQhq__NQ$r3mIb~N3@*x$e5+6s@wCt;Je-j9ZAfkS8Dwrv@;RgsZ#-c z67nt`)E87j%6Gnx4g^p7cV}Nx{rzKz^o~`Di*e|g@rAu}drLpt6Xb0!N#bDOxhjCa5wIZTq)H~W|P1AC*u29#goIsUg3Okp%)Qu z<@VLyqh;{9c7JN|<#h?&$z*TSJ2HZ%;by~ZWQ+`CjMp?mJ<{J36Yiy*mwU5Tw{SLm zAyvUA$067p``5`ni;%?)JiF(&OhGwvi(YO2b0$g_O8A#^`g??sWa!ZH^K_3^Y@uw*3HvmE)DjdQTlnU$c|*z4Dx6}!`pT7mDA1P z6QN6aIW?PtZgUHi19GGG3zH1{jHn%;X{tbm>doQLbKoVPo+<=~lhsa>_6H#1E>ToD)E zxIv^#9ea{B`Jzy)RX;JWdG}MFZ36O|R$LyANK=t3H2Iw?>?<$fLYC-cFyOdf!==~V33+8;;yXcJX@1Uh)j7;=eiCDS+>>wY}dx_ z1omTe1PxOoD>Div{F-sfw{#g2U*u87K5I5A;lGGrlD9yj~i!eKzs zuk!}0q$l}^K#4@+4QGAr{fwzIbJ2}g63%iO#OXU67>5C$pMs#vK%`FH|4PhDBSMhD z225&+0^*34vO1sjhVNyPYWmv8X^)3MBQVI-f!}JB_Z}(97JXo~-!p%cNtaWAl>3zQ zc|;;!I7?r+(0g`Yjbou5GTofI;+c1u5KJzT%P86MC_i%d&hSg8nbM|ALCKPhZ^Oko z!^JiO^^(~L200{N$S9ZLa*0Wv@-A?tZgJ%fDbCe$>xihG=9VgI?X&1Bx?B z+^6d+)PWphqbmyHjUW6H7udPO+9QtvJ4X=&#Ej$EqtvWv*PV?MLB4h z<=#G3{J_CdK9=1c7tO5N!V`u30V@y?onBn29(9CP5-ANm%ltqRc*tAc!?euISzfpd zN`PHK%b&1KVnP^mBg?A|Fqz3Jx4zpo?Po8Kz49Eg_c6G*;KRl8Bja)g9)rr0StsN4cT%pLyY!gxG#aT@&VYRL z82O&^-HbO#z;YdLL6J#D`}60?J*L)N++&!}?dA%9>xP*K`(B#7!%L*fjCFq0wDm@8 z?Z}?nrg7%#(UhRgY}FkoroojVKw%OWn{4eCYY9Jp$X4MgM)@dsK1uj?F6;_@*6})N z_%ZanQB$k`5I77w_N0fhUloSCGV3v(Mm-Y*ukh<*O#dhU7(WV7*-gx`f1qfQcCLj!y9DvKO8)~)Gzw_+_TdeO!y(5*9#myQne72zut36M(%032^KXLv~fjIvF^0Fi3_RhbvITv!uv5b*uO zlZ4e*Lngal?qNUCNi{hG_}nlRIAmM{@LKn$t#=ADW7_Nv=$pc(HJ8`w+QW91ZNhl70Ah{$wf+viygTOV3xJyBNJ!kFU*oEK zNKraJavJd^K?_FUuP8niTyD*y--=3KZZr)ux+{?2(-bPq%0YRv>KjZ=M%;M5XiYWq#~mQoai z?c%{10KZLr=ZNvz58gQsUp@Lw@E$I@1<;4LAT4*=sA7*_LQXf7+io8>1n5P54!6`> zhv0F+MwUPVV-F8m3FOQL`B(huDuWNM{yE5Ae=D$%B>X_cdcVWz^v#IxBc;B(E8{gs ze-58VNO6jgoAZ>Tdzorad}t(KFN36QVV5|xK6;d&QZ=A9(Up3^2EOk+yxaN>c^%ih z)7g5MMUy{UwFmrd9E|F5!S_{WRYoz3@WZ7#mweiin}q4m=X1p1w~+4akSbLBb=!A$ zb+%7!*5L%rPIuw)Le}j=SHh@4Xvm`sK0{;VoBmB>?3H z`yX^SLKugtFsApUQ8D+VPjg7W25Ew=iWXAwb-KB+8B9`=8o&7FR?T+*WfEP)G_Exi zGaEM*Z1&nPM!#U3)h*Y*DYUyipx*cl+wkq#E=VGu;@KTJm^FU>7Ck6TWLLwXpWY)d z-t4IAR~CD;G1vaRtg*ky=A|FIQ$$4@hXNm)Ye;wI7*EKb;0a&MyL$?Rb(J>&=X%u* z@|Ki~assaB7u4)G)nFbT=McVg(Z;@Z2G@S30g+31wS$iO`}{f?(jABi|zt?ehqszc@%K}M91n57X|o2;)2Kln*Oy-8dGk4U(ag&Y>@C7P=LMg#I! zu0^lZjH!OEkPyi$a2#S;2cmoW1e!%**)!nsphe2oO|KgcC%nzIPvVxmV-# zBR1F7rd3Ip?(kFVlT-9uD=2gB95LBiU-L;z^p|I%Rr zQCG~p(D@_8oY#zPPD|)1a1zn$Zw|Q@Ms$c2LMKaZbkT8(tJLM2AvqVdTfkB`S`TIo zzR`KA{;~fn(|d!^@t@Ol+|x?bH+^fypM9kJN#G`SUb&;cp8oW@KL6-ucgK~87-9nD zg?Ryg|90aX3du9)Wks&IZteS!95r-xqL#2W_ttgO;_?1x3Q&3i1|-&&LLByqRr)$G z`x#_LN98&3^Hi*(PQ7LK-yrpaQ-SxkCbDbr>!jdXGH-0^Q@%{QBum~K2Ur!TIMv99 za>WLlM9kY3VKsgp+N#^$9}eM8wfSFUTxK%bcMneRvf+(7HBQb*_Je$1^#(|onv9{%*Y^k4I) zEct=Q!G`4X?-Llo45;n}D!8O`m7Efo8UvC>-f(3>Om6zjz_Evkjo)fdxiwgJ>*KuT zAbnzGgWz|*NT)=KEE;ZduF$s19PM%eCbel|JFN(fmO zds**2No!SpT99gN8|qSdt@wQS*2b2AK3P;(U2+Cz(yTLWalVmcPe>fy#glo%qV|?! zqf6!OXYsbvYQHwL8h;ELPPJN-05T6Nxq#q&1qZ~PBg&ZdoHXlH%xtyh;6iB#!l>_0HGrpB7>P+3GJ3NHRQ2K}a<0~K580MXG=2#GW>TtBh?@Tp-=LvvJ-Rbkd(VckNd zl7QG+hh_j50vku~*<%fV_?V_#qqoHzz#rH8NrQ!l_R{W)d`xP#ZDsfV#VD>(qq6|; z7xMh>_%B+*LvG8*|Dk_8?9>|*+8@?!B!66AHhVxJDn{^!VF8RYX4yneNQ37sh3PDuN0fq? zLPwMTLWR}qImu(-alozM%E~eCPD9(0dAQ`19{;@}QBAR5_YFjM^MtJpq z8$qLmCjXY0%_l>tW;CaApvZ_8DV4m-SDyjM*k+{>pzd+}fa;RV-8AK8kz%LTs7%+9 zG6!#=W zmiezY?|lO5^U5TCp!&R=S9X2>ojQ$N8b_gJ=J@au$7T{vL=+TW@vXkaNtpCE_lRX)XAdWm^blm=ZBl4M8U2DIf`eVOYH9vjh!SNWj&9q02U%OLe9H^1Aro zuS=HCSPq&n0}r||)I7A0sU8hI)I6zB!F@TnBoY7^`N*Gv#dg(2m!GMWZN9wvyKg#V z;mifquvdqNfI9@jih(*-7;ICq@aBnQZ<%J7x`ozD+o~=hllvav_J)lucT^y6DWq=h zh<*!DX3T|d!Zls>22FlvGW!*9pH;x_Y9DDOG~o9S--x{oWJ>`G z`ZTEK7=@XLDwMHHOsh*LZLw|DGl#$r+VT$Dq|PBzb=A_J?mr8pnVXD8OW&`L0&y-JC`u~;nU;@~ zUSSWzZx=9s=>1BtT1U%Q1M{(;H^J z+ym>5!aN9lMuOA*&5}O7RueBqL7M|Z%KW!qzLTF2_pz=DhyY%L5JkRLP+drY`fPpf z?XL`duSvy!6Be>^%6EDoKgC11J*|wcC-tRvaTIMxgu`P?n5o6$k z@y@e*O`+SsUEG1#tZ_lk%c}AV>!BL6@8Q7JKg zr(Wd#x9E5>hy>1Qt>Me!k!l1Sqa=K9twO8m%2X`9ng?io zNe;;|$N^FF0X~PWVTe~0=;SV#{K%Rqpin*vmtywiw{&c|4Ll~o*R^cq3Q^c5yz73k z?=P5{e)X-5-l-_7cYhP&AdlHsA_l0QK@i!izjtghb9p{R5^01L&9#cALI}_f+BMAm zWNFbpIh?2Wc;!=k>2{fGAS*(kL|$z~#Ana#v2VKipO7)H#kZTlv`UuW$O{vJX@k-K zhiMD~3KM-yd$m2qgcG%gnv zuK)rTeEVUgqiX_ZFm8Nt8qoVORY253m)vvwz7&JSy&+bnw@~;d)skqm5%8od-ZB|Y zT*;II<&-zNY;(GpinH7EjXEmOI{o9+D?$F+SwiCzAhpcl{Z~%6k3M zgPK0c#xdxC3N!yyjqjDiZ_o25Ll^ze>~7Qpe|JDAw33M+geXS07W?uh9L6lTb_{~g zw2qpOH7pWI2BBIz@5{gHp*9<3KChrFzA4-oeEw%LfV?B?pW;s-R<|fWO>f3 zu{HvG?`d2Rf3xguE<}O8&a7dmee51z_#6*$wgtq1GNA#;9*q+W37@}rG~OEUuWGlD z1${~Hk1sM074nU9rQ3{o`kpuz+VgYk8h~h1tC3>={&oyCAgZqWFpZ?3mpU|#NZc(0 zHNyI^lileRqSS8Dg_*X+bMSUNxHhc7IN!_)$kYX2f zuO1cJ+jWD&jn38JrOvm?EVZv6EJBo?$IB(F)6Ydu*m{N!XLrl>NY{ci*g;`h&Si9( z(H-sc#Q7W_7cp5;t=%r3RTX($t};cJ?3E-zd9qn92}{(_{tGWe8x#V6H}PC^k`znL zkJw6@V94M`wbEFh&p&a3)t$5#0K#(yS&2^CzSEtZO8*;ZP_Ah1qOZgPH_*&2`a1FT zC!d)a#bbbFiWWZR7Epj?&2luNO4Nw{2jUbA4X0ExYV1z}g+dZK2z=CBoK@`dLu_TQ zP!|^~8b(XYjgX`QT%P>8ZEwdY=hYwzI-xB9qw2*C5-%ZCnoZUAc&T$1Hg0jtpcAW< zL6XD2uVbZ*9#9Jcid;ln0TyBm-BfRh!{0M)>Zrv zll%I7+(R1Ld_bXx4{Cq{vPwFPzkj5)di{bQj;{zX-~Q3OcU#oUor~m0GxhkThO0oS z>)!?Pl~R6498J^)NiL;JgM0YArb&Vy4AXPU@F8rYB@Z!D0Ov>wT4HBQFabEre z65bjUfBCl32&cFfHS_%Sa&pue7llCksr}Q%?@1lgwKgT7bO(>Bl|=ru_sl%xl%`;|vyF*iY!8 z4nVYa$dje8t95+LXg>nemE8Qv9ku@mk7{2%4cc+2+MxMp1`%Y62r|82`MWql-6eSc zeh9Dqa8K^%_<{M(XR|a6?CnAkYMa+)Y+|lc;kT=4LW6!)F7!)0Rc~%)XLr)SU2Sq6O6QuND@4Pjrq; zTGFSXN4r7-8Y8kUZcSr66(?*58Q2AQHkdClopY`aU8(54e=A-bYkXJMpBRfgZ{Irx z$@_e=WBwI(X1a5RCva@VXUJF~XoP?FrLz_hgu^F?3z~7&r~G4bc~2#Z`&09lX;xCs z$KhPHjQk~SC(18czjT}ubeg4)uM?2BYgdk^r0HW_DrbC5s2XwwIo=33Sw^_RCtp%X z$X}{fGx#TxRnK{O!^qWaPdLrPuJ@h0{D=x#nt9_cM_!@j^9Ju(cb)sj>!33pM_pHW zAmjY{1VJ*1ih-Lt7i|3)1G|1atG$K;E*IVuCo4XE^{%|HS6zFBzv$8Kh)@ShSuhe; z{xPE>^)ofKaEDpMqbPJ#`*M4vQ`k2_0=4Y|SI8_GM&E)lT7)67XoHti-9HxW+Nq7F zV`uy3HLcrpGK9OpQtuT^rx!%sG}u(M!1SVnJ?j-ij-HVK9aO*#)kZ0%#1>R(cw4v4 zYZ?%)y|h$28faUe(1i!g^G4&>$hD{BVHJiS4BKLky7rCP^7?*Vq&yXs_F%nL zEmrIE8dG-L4#|@IaB61SXGCzkxt+wY(XuSIcB_uCm%KN^8HkmP zY_GLt#TjBB&pRZD-BlgMuyPUvWbAaF#@(59yG!He>{FDQ%)U0uvQC>eu`5wK$yCfF zdFNvKQ(<=60d-hvP@Dq{i!?dZ)3tixv4?bf=LS1{cH@$Jea7u*!Se zt`GJxHTyloOvi-AORC6`&y1XGa=b@2p%o&96xS%3d^7k&SBK({E{_2*VP8W?V3WB# zD=vM&NXdU5zI0yKh_`se2;ra5KHS~N-Nppj0gcbgWk`fxPt5o8w>fE-HrGMeP5|te z=Y3l24>hxWERJg4aWWzBkHFP7nN&I2(OJ@dFb66~*Ow11A^z7N@=s?D2Ql?$kPS7y z^>NSU3#fcX2 zESI?$PL)t9Gj%pb4;vg~+;3W&669Q#)S?#+DTf49vs+6Yi&)XGsNwqi(wXS^yGfkq zxFYohB671Kn(%AVWCCmC6>~$I&~I%)Z`TcV#OcY={r8ppV7>6n-i8SZPPZ1sf z9yDY;7V$+djy@UMah^A@+^FiD>^a$rL9^Vio9D)blkf#Q| zy&KoOFAhMHVsk%ktITd{h)eV)l-qA9Yzily$fC;lNQL5bVi1$KQWJPfu)5fLJegR6qG{a_3_zqjT!z z?y>l?=nG=g{L|Ly@&|uho0h!X$D|ivMB$s*Q&Yz~jNlK6G{De2d<<+QE>y|vYDgGz zTpOMd#!crBb|~H7iKDr&dD;jLwuyZcQcL6zx@+_`SA;45HHJmrTSqo@4hs6)wKUL} z?rzm`Wg}c2A&At%yrQK0R{GJ?_v!)|)YH53Q|o%CVlQM8a<-55<|U`6x=ay<$x1~V z2@>rJK&9+M59j9IG-jYf@r`PUXQ34Y3(4YpRmj`ZhxeD_FwCgfeUzz6i8|7aVQl(M zZ}Ttw612EW>I6^r8u-{m6}FQvn1dG1>E;gUbij|@ zc7(GlZ6@M=gG(e)^oE$&`~wMza9jgi&@ayurY89Y9 z?tPJvF?TV`?EQ681758SmaORY;c+}le~YsC{HhBXMRazu;4x9} za=v(0EvPKvOFaEe4k7E3kA8rpbmmsZylX)lJ6QPt?Sp&szkk~% zxl?iz&h_uz6T=%tqzpI^rWS?#Hi=Z5a-M?%1PApBH@*b#3otrH9ZuZ4HsiB<7bIpVd@-+v1)usoYh{o&s=3q_N0sz|IjwE58{ZZ8 z2Z#lDSTA>SvTa0{g}CHquOx2AC7=~-55Vv~a(+alq>mnezJ+ol_BT}cjG8<&C|1EH zf&Q4JVF8hdtl@LD>LogLb&zRXX8U=AHiuMyqqfx4LkbBgB$g3^yu+Y7O>QuvQuEe% z?nH4$dfR+RF5fGTM$kX6cH z5_NIMW-o=ojZGSuj)!zFk@LE~NX&IsC!y#S+b&vFh&mF@M8ln5m`d{yDEvao^YHeV9p{oAi=PXR$p5~^v&~P@K zNc9Mwb)w|fbZ4q7BP8*Tm1V)9)p#PgIDgBm%=I9OK0ZWWIWgfoj~|0lVz~VhuG{s= zR><5}P{Txv_~BdHWG<=YcNq!YvyL4we`cR!aWu=0uQq$Vs<|vJpR;5`*;@>1iHhPX9hW|W&6u6* z0tSw=Hs#H9R`KdcC0s1Y2#C=uGh^K}C}tM+I?Gd(2?HkQji~bU_V;ruosZ`G;0&r%cBuRk$SmOHbG1D~sIy=gvfMOY;+bNnp?O}xMy3pTE?W#~Yb7zz{- zExgq;W%AnW}o^W$mGQwvb62DQJhq9HR>3r{@5K7>aB;1S<|k)Y7%kUBPK0Y6~rtija`VN_4jAV zzm&;wZvE#MZA#k_2KAKG!DSWqw;b$Mv4SbYvCcQWA^av(#X|aOzxLaRvA1YzVN9$z zbvBvA@2Iz#9dY4VudfwQ|Df|LAd!tDA&?%f*Qv9y)~N?QUsn{aGi8rS0~I0DehMl^ zN>i7Hq(^)IMp->6%Ba5g@FrZGM?^4kupm-wL-(RTQwT9Dw8se{zr4G*gm}bwnVM0^ zysm53AodCav+hQd-95Jqyz@5OWOD?YI+Mf3+o2;C3Z~IEgWMO+ zcjgZGN}&U6G@3ZE_A~Tk17n&hyyOhrDf#>QYNJc|PciY#71x4jYN1)sjf9x7_UaVO z-S4Drqe+E>%Wtd8Cj-P?$qA<74jo$=xzQAqbq`#6-BM82v4Z~Ab(JVpSMfoeE(!7s zG;_yAb0E8j%eZsoN97A1slrlod9qT>dZcyTwLitxz4>o2dA!@$lQA)CgN(t?vtyZ| zDNiI?)04qJALYg&b~>JQ(V3h~jlWZpSz1WTsOVS0h*OUKB^RnuUc@8APlkfS{pfk^ zyxb0d2ceJ-Mu@%}OwUaD2*b1jb$A-K8lg^7;4b)TTk*j9Rrl-(;Xl(cOvRj$*RAQj zU4B7tn>aB3R@qi*OpLaoCAK|Bb(3d(v#`iZg7R@H(!iY;y7_k&E{n@(Z{D5Vu7}%y-M*zCuAy?8Ch8ldZB^V<)BU z0+AMwE&{& zi51ZHD%;{!(Ya;|=Wi7krdPEI4(AU`F#WuU| zZ<$?uU^Vr(%)*!xA8(K`K_GSKLPx9K&j!p=aPRkX!2}SmgOc`>0ab3`;Gg{7BQsi$ z#|URUMv!jVdELibElONXQtV35jZcvk^Rsq|jbeV!1!&qnY4cIZeAu-0q@0WU+D4h4 z`_NwMSX(XId$ufm-0Wevp)$Oc76Z@$W@j~I)2#3ZFM-1C6SvQbgd(A66LEX!iOW@U(9wWjwqI4Ko z2m+ML&S(PzUMLrZuUgbyMM!~CKHTGxF|!o2-vjc*7pGLo~OB$bt=zn=aC$1YVl z`n2n+>s@^}QvJAnB; z)tdk(DXspStSeCpGQ`)<_}MqQp4j2VqlDi)mW4ba!>CYn;F za&l0#z%?QibO;y<7Px{2J_NuA3hKr8U?>FO8x#15rosFt6{aNZ#ec7Xk%58|QW24o z0=`v@>`hFp9n5VU#Zf0ffT=04s-~l+oGiDI4TRCq*yf`NqbtPrxd;@mD>rZnF>y2` zbA?!0J8--5QT&sG8@PVH%|t==PZmc@J_=1aMKTc^dlNEtMrKB43VtLqGBRF!V^eNr zQSpBl2Y&HUm^(V!ax*cxxVSL7urS)#n=!rP;^Jare$Vv&Jp+(~!NJYi(a@E_+JW+4 zM*d?*)WpHa9&GCfwy`FAwrlv&#>tV7g5tTN|Ni}}ohGi}|J7ve@bAY09+2rdh3Oq5 zGt+-<14VhC?{X`GT}`aCM8OcCdw@Fl+2677{*(Xzm-4?F|0t>XM@dfhKTH0R@_&|8 zb1<9rU=0LF@yF(jQ7K(iq7QZyg*tyI; zJ#Ho(FE!G*(bytXUb)28Rw+ZF2(<{J(qc-nx~kvLZI!6`26dC7QPA! z!cKp+jme65Hu&E(D*r-On7=Zj3jrC??c%e(|CN!VPMYvvjrn(3L=0F_TU3l#DcZOH zs|K3(}R=btY3XGO%mfJ}VWd&0R;A6M%{f>8_wPBV4y6WAdZIq#g+i#X-8rNc8f z`XdR2DsW)_=v+i-*!yg|3rGFo6uwNAT=~&t9+&Nv_JC*sA8(L&ZzQQgs@EmHTA_OQ z;bNl%(5|hFj$qV&?RlT4hu9p2RC%3R>+BZ@uSPmU(CUd0i%Sh!b8wmT%SJrTx0R4c z`J1#*5j!}klfHrO5M3ORK}6|Jdqav9#^re*yTc+z*Kq%8OwPX=!x%%Sk;Bw_|2;}B znLEaLe@3V`f;jW%H_GvjAmmw))7DTjHk*a2e5KXw`?(qjVKSe`kGX0~iLK$(T%}C$ za!|E;vG(RF5-y``$SdLu*q4}bhBI1aAE2GKNBlZL4BA~AX+<|@Tl3igX2&a`RgPeh zrQ6TZP=5{F2N^O+SdID0V%2<14@&W{pPNu48FSn-nLikGrcf-Fnz)WcMo^J(Sxl)4 zRNrD5(#iiZwS~!kqa)h4Phuerz(C!pI$m_DLOB=PYE_z6_uuYL(p`3nUvBirI@{Hd z#9`yT3aCcGf}xbH`D0+vVaTI=TVbbPVo{H17Vz@x5J_B_v>^gW->VAQ6kz0%fG z^Cw`^l@IRhjOSjox+K7b9pfDxH*8&AE6|^IS}twYt$P-e=j^v$fVCpLS~xP?mSf#5dCglFoD;t6jHX2 z4E;ynz&gM@V(My?>JMIKHo6>bj(37CvkKLVKML%t8RjA(kb?rUriyhWhEoM{f%J+& zI*DB$bk5eNM-K*B(<~S`q_UBElYS68onXRI%U#5K>x0dDREmCXtVc&`mm#| zoTU@iZv4v*PVp}We~lv^hVMOs7WxqI68okg?<*p_BKk=%6Gfv?p?-e6+R6AuEGRvG zF#2tjPC5>pXejpQEpI34Dhht9nGtUO&xpmo)@W}3$#{tN=j7jw4yDt;E{uqrFESh5>SPkY# z3`+PXe!EBe+rMmpUV5UdK!0(HGXCqfa2pkwf8l3g=fE}|n0Y4aTnKJWGqP=k+3WBq zD@-cb|Mcv2l;9_jZh7bw@G=UA6LRFNc7`;H*=~=Jr(l7=C-aRQ<{+|}Rv!VT6kntL zx$1sMGJ3ts5l*r<`4hK`z8I5!lg`Z-mSrvx)<*u(RIX2(Y~&4Ib4Kw(2`f`l83&Y~ zbJQrg8)k;nQv`hEIMLRVF8AyAY2+jk&6}&(n%&nMwG#_(wWZc+OAC+N6A@G@;Rd&l zTT9Gl1($l6NXK1}Ti2@~KdSB2bkhPO7rA~!R-TOw3BF}wMixr;zPsbFXl!D*Se{9> z=5N_iJNbaH)Kj{#_G2@ePF%<(bt${`@=0u&>2?Y6w35&E0*Wne+&46WQM$7hHP>VK z@J4E#v2E9hqi@E?Nx%d@y8F0~9S_~La7np#auHES$1M8+ZwHr1U-07MjQ{D={e+E( z0Oic7^Y8}WgtZ$FEo%k3lh$O=&?4U!O=*>yfFuld*~z}Rx3}ypPxqd^x4|6`pU=a> zo@c6iFgin>eyew~cmz?tMtg#+<#dUY2SfRrj+t^JE{3sGo~^53XC2?}pTHoD)!D6I zbD9mOT*U27|_AiKlM63ISU&^jkl$(66!kyeYjS4gJjH5Ny+XoF^C%ag-%I`fU zrW_b1AA zo~$jlJoNNq>?SMI{}-}y72@dj;5>&u4w~}^^G8+{*;cc<`lo^HqJT=wl3KcN!KMRos~6usuF^J}wibQV)_SeqTMW#qNaNIonP?*R*3ib)AHu!*q+LN9|8)+T$K zc~3fVUD>0`XX$iX(&iG)+;D_BsxAZ1!_4Y^6SYy>f2&qgx-Fec$J)~48cIUmpqI3& zW%m*8f?+y#ckhl>r^AZ1Y)!Buq&wmZPZ$+Rt$JyrlHws%{f=g%QSkKe`B*WthZ)ENr9(jMONLRIQhasNax$5l=6h+!mum~M@!9aLV*kQ4&&1XK9H*mOX@M6 zcShnFh!{lFZk!LPp!zycM#gJ0+FOIz<5|=iEjOR;&~?><3C`w;1G~mLHp{8wUE@5P zi`~h$>P4DO8JfVZikJh^uSdG=FB`SbATnqi1ikyH$!8=gS_}5K{Qb=*5}g%yvO?8C zOUw*x&D$arB!QE2NjFzHMd@5S6J22=O;GL?*l)iew&3O-vO7>D^3t6;jjB1yR-?{` z#Cm&8Jk~y}yH2keN zmb1c&wPgV6DX-__h=RKY^axojo{s-1>afJS#vUp}6U5HPw84M@s}Wm~#>CA?R_uHu zs=jo&gptLA?V>7F1@H*6l8ri_^t1r+bms0vLDgNwQN?=CNlJ^mv;h6B-`d3|i4%{W zEye}tM0iC%3^;R4_oAvwZL>^SI-R(p6?{b=2}t8_^*OZnvWq-1)Dv;&E0H6%h8^*} z0^Vnw%Rb=s9JWsWo*JYm#5nb|#a8b#%zMP6hK547$CMO?@hgQbkHQ4@Adl12 zm$lhh%~7Y7c#wWu^Rsw((>3Sds%cVi_xFIaiIi_d&8+-NUgqUkl{_J9U6wLXGA%Wh z)AIdBgDvb^4wy$xfVK*Bhis+mgeYjqaB+6y0EBMgvPwRbu zz5jGMZ!?(1=W+R)nTQGSP-wL(jB|Vdr#8vD-5-u9M-E;!ffej(pzxI47ekl3)Z}LL zBPbc4<#O-((xTnJ(|L7&Cq^`X8EZDAGtw4eI&V~$5kW_%*7z4D4vjof$&1}2z+aDf zZz6q}=^fnYag{%|c<=4)ayWCgetwrjH>uyh(%3^d`(S0q&&iZzAZd?k%AuH96KStw zq5(cf*7>$MW&x(lX4BtIwS3B}TU;-wbQx-37=EWbKV;7}*CPiuR9kfSlu=92m10Yn z|M~9ioyvvW2CHr>N{n}1LBRAY`=%O*QS0Ft>-bSqoyrbE<=BhSiTPTa7*L;Dw-k=r zW&7+P>&$44rp0N>uib$I7FzjQPU-p6e7dXBI52ujgMmf$Q6K-s?Vx4#)v11rXzh3m zjYa~vXkRm*B~G`_Lr5)7RmhL)^2FozG(SdUCj0XgSHhTovqgCtT;(qoRy2n)ne)_M z9JAgkzUgz-*FDi?$l{A6ydEoCW;$kt(+{T){hlp#)=x@kAt$|^h)mHh>fB_qMXGs-19gV?U_L| z*#g@OIgXRwRi?VxJF()$oGrpQL`sUU$A+(m=y>QDJ~T26t7X;H|7H*0QM+iRAb-A$O82hPii87nf6ASAl>%Uh8 z9=|S1;q%BLU^Q=}DPYTSo?eJqalbtW%cs7U0Wmb`ZSZOb6d>WycCEVG#*XE{OIy0) z1dafnYurYS)$I3iB0l%%PLy^WFtm=P^(5e@44l{S9Kua^N$(&eBnPyb!8DS&9xi$m z{9xqk)9L-0BbR8;mm{Ctr?iq;-CY30DW+qm8#KGD2M_mEplMm7L(eRKI{iaeFdmc1 znJ2_~A=~d*!_*T$aYEXw_1MFEb`H+{SkTuFo5*f~xiwhH{rFqzLW%w-SNydN$X>)U z=MYQ|#^uHY(@nb7b$R!@^ERe=GLUZKpiPfw&cRvpuoYHPiEUm$?}`4{frUP#5B*m!^^bdy7bU~k z6KGDRR#tpTB9o&3sg z%ex>L7JlyqE{y{O(ieFuq5N;_Qm)&&5GHn23Kwy<-oFy&2{+w%~FhI@@+&1sXuP)DLIVq?r!dmY#2@M>5|=c@afX&+X@X3n9sy7}I7 zd+rCrT??!goJL3sm>5Smd5S{$;dTzB+V}~BQu*%kKnmHS%4V5g#;nS)6J9>Ix+MCI z62(#^0UPbGsIQ-1B8SZs<)gt!p+;$*^T8bKopz_;uek!XLggIU_%O013I^R+M!h%s zO|DHxlLB!Fy5oAq4fAmny`%GuqF0RQT;&{r`9j&!m%T2$>el>em*v$Qo6`?B@ zc+cN*3DXL?37lYa{Rg)Ny=50KgRu^{$v*urk9o%-sZ}K~MN_kC-cNx%`lK^`8HJ6K zeH8k*2#sbaBU;OzeBGhOKFjnLWk2%=!CkhOo3PN$hx!_Ga=~Tk%grQJ?SYGFl*Wx| zsbY1e+uy65ZrMbXI$BwNRgAG0WsMR@?bhTFf`!6PU-$P0;EfKUxSTwS)ga6HZf*L! zx;izJ;%904v1eskR(B@eOx|k=en;0mI_wFJmao?G zb#*z8+tDf^ZGkyz-_)IF`D@Ke?b zBY3ow*3U*%)VvZ9y~zQ!1FO%)Rc4d|b9shq9|C_(@%gzZP+#r%d(oTX`O48*$*)Eb zadqev!ep|)TRD$QPIEikY>M=u(+s8rvb1FP6#nDI3Nv? zP{k@&HeT3icj8?}5kv)trXd6Fh|+y7ze(;>1jB28RE3QFsZ)<{1@9Y2SLoR;u0@tUk^0|I`A636I(I=WA56Ag~~F+ z$MhAn{n}?Yr*K|$6ssK4Gk!!q>0Y;sgF#Q%!DW|=uY+AK~?RJ&^Vgq8l+s+wpDVYo@ zoKK*d<3XKm8Ud4m1gEd>O^GCfCxKL_E>2Zazk+<*x$vO(<=e`>QuDg}ey86}?bYU?uD#Vu z$fI@T+bV0$M!%}$JdF)9yAQf9(JzYE{Vb38%T6!?gdjcj6mo*Zfqw4wyC+TQHD_CE zO&+tnSpPW7Y2T1Y*?DoKl|B`QL#wY?_j6iY=kb1TnKGz$O-rsRrG_AN&atoS7EjGe z+BhK>Gr~h|r&m+Dnu@nyw%2F4WQx}i=;Ltc53>inT|)^0&jv#XO>?UsH0NpE{nA`N zVZ4J^2*+iz;5~dOJ0M01Geb5-ZtvxSzsG+S;1=%ZBOaG~X_eIpa&YDuGW|%%bm#pt z&^(-uwP-FSdRTfsxuOT>tf51Q2T28*&o`7U9bK~efVOv)G*IE5`2}>K!tdnS8>|YwlmA0$G7V|X>!-S3Q7Z#Pgh&2Ka=UA(pJsmIbl#s~r zw3mTBq~a^01I&Q%hhIA-h6M$~W;?^T#kaMfkDZoJwi!<(OEo4|^Ui+qNp(^)>=s#P z4_hBLZ6EL5E`>fF%-1p%>(oZTdBwdK9I4SIl|9OoNK2GoE(z;9WEP4m2k=%STy)l2WYA2cl*NRCO<>9U^{liEVJ_tytv{t@KTPI zMO#Acmw8vyptx@zD>jiA!Qv$At|>L@yJ4{~;`Nz{cxQ#;NQ9py9u-RYl98yGR(8-$ zd3Q?=Fx@s0%oUj5d6ZT(=b0B|m=X*G-R^}N%-Zz333fwo4q&NV$!%c##PhSM({X>b znO~iwogzN4>(m>D=CiJqmJIO<-Qxid~l+z^e6{hdLgOaWL_=@qh&`CFQw$X z2}H|x-o=U3AcU#X6AU_gSEH6hRQn<;!QVXO_(&$K(}h4Wwr)g1N)lIZB-Q!4g%1Oi62i~ z9k4dFMo2rhjC(X)_UFW&Y;mo~rq_IFzK~j?1Ae`jJ$j-9KE$8sV@ftp+;mQtHnR~o zWk~Vu2*P(E&VEjdb|fQ`!f{~zFh!1)JO+0c`;&okvz`+W>C1Q#A zK?Jd7ZsYwr{t|jEpWEpV(DkxYW*}_4TIBBQ0iW$dG4t1QpBgol4atu&Fn#f%VRaEv z<47wzpSVYUUDCXD?{Zm3^IxknRQOWwgwgN&+=R9L;IAK`CEq3pu6DcyU+y;yP*^X#(cV7e{m9E1Ad|Wzk*LHvZc3<7mjH)E zg*G&Ku~8z?=V6a0#{}T~ek%%4?DJSx*9mfIxgQ5>E&X-P;cz^!53TC~oa{RRJR!_p z!ff0UT%tDIQ|PB2wrc~*{PceltJP{l@>;V8G@z=CM1824a!rR8jiv#ol=ITa`DC+apa0LQ&Lm=BK}Zv4Y2_{C%&l zmVF$qd%_7Xl(T4D<5i1BV)#6qg1^vQZyyR=wdm=+xMXA)w&33Dfb$85H_FJ<``%&y@LjdV0FG1d`q%we-M((G|%{`B}j6uF^uwmB&C^mxZ4)Y#~>r_OD=0)^k-L~!%q zK+o?x*b0XnG1A~<_C~8hlcH|U_M6@xBkZXN^GtOe%vFzrpr&+3GsM)Y%tw=`BQPj~ zX;t&kd)Xj!sykRJE=Nmj-beD?Jbs;wE zb$h;A*2ewA&e!8Ar65}-lGdvGFA;zzC(PFyl&$J;%egAB8~W76-t+T^TRd5~ z57S7oY?kUv1Wz#Qn&Mjj2c@kK+f-xMomPa;Vmbo!{~nG#zWF#CyyXhF1E8i)#v?8-T6wHyy7^laytjL(yfMkt+fm zVPd?zrKMW2cC~7j0KY2b&DP%VTiX6ZQmwwQots#G$Cm&;No+|iv34~Jg!D?qNBz;Z3Z_mqeE8?<`M zAfV}wYcB@6g94ew%dCR_BOH0Ib%_P~=eMtCE4nh@L9{_!YVVi|=|W!M=RlQ=;jL<~ zOS_#m?fnQDq}$g{74VU#QAjan@dKFryK+mmQ-t4|;`lx60Fm0jD1}n`w_$&1=u!l; z=2C8hX7`vXDd^!B9w%#JV=4ya$@_QQEkqK3k+`lHh+liy-YCFPzW^i^tE3TFjJlj1 zRhn!6>6L$$A$~cSc6HnCjS*ymB=R{N>H*0~y3|KfaK$ZM0o2x39RPb;(#K}1gS zvWGS2IcLMfs5loP^|2-y29N(17ZiLM=-RF}d?gLwVwG8kk_6Buj=TiM9>1^T=Z}rR=8yE zpHl?r&;f(~ZiYcHKM@uaXOr*laEZc=#P3{h!dou!#&fhK-nf2&`y<0xuodN{!J>i| zyh%AK(&uiiUV9{3*jy1{iB@+<59YE|GZNAx1hZK0!GKfLoR`C z&3b+B_p`8putV&VsHcnJW*qj7i4`uP)4jJ|2S?}k7Y?OoQt-fN^E1GZ>)R%C+EK`* z@W%Vp^!LDbn|P-7R%ky#=4(a)E>jMEYv{&{ONT*b><04>vQaWAo6GS5JtkE(MLL^7 zjItmi?aLLTa{D*9ho-PC;sgmNhbgbCezn*w?ixP4&BB1TIWsR(i?5B)gQWtg1;!;G z6T1$)XU|P`4#yiE9}nGXcfurzhLwC-*UEyRxSozZ=hL8$MC!D>J-LEjnLObY+-S(T zU+&_gmU1WQH+c&Ip8eIW=D!(3<2K0(>&-Az|B|5sx`rbH%+Rpw(9*rw8MUkR{Bo=j{$Ko__RkJNl5{{*a( zT7wijyy)AlSzp}UZ2oK8tX6XX?EpGLuNMn^pHr(;yPK3=`Ch+#5FDSIQl*7bR3E7gZuXWS1Eyr}&8{`#%;LLK&NX>3}Z z8hW`@{>4LD$_D^g%#)3O--L;mvn))alRnZ{zK`T|+yp4AT5fmg#`>pEOWiMan|}I3 zG&lNUxCW$?m3Y+|I=Q$U+}(8bXP|oly%EO2Y-LC&h@r1H_;b+eIcCEIu)9dd(Bi~i z%$lX1z@XC*tm!?l{$B~vUAA_J3s@>&z21)Z{eCVl42i9+<{^>A4-KR3NLU?P zm$~D@9(esxl>nV%(z>57U7$mhT*w#XJrMjXhDr?Fy}>E(WyU&9cN3W=FHr zYDXYTB~hze64`xDc;XaFrF-)LinX&pvRRyHTM_K^{>A*X?s}|^eg)~Oo`wtC@LK7E zPq~}qzi7hZ#1uZsy;B#38@U;G>WNY_As+|uJ% z4@>^sNMqk@`ya~i#vSiuCL_0lrT~qBzhQ=5^YWi1{1Ue8w`HUAfun-qPbUD@>E!-! z-=8YbD*c`6<UX8TIC;H+shCgd`u79b3hT;BkZ`y9N6l85pfyZR2Ji__i-gBsZW z^`yBqCFM&SrsdWm#)amzD#eeu)~;1#`BftsRl(8YpKPJKo7m$hom}eoQ2VYgHPIJE zH^|!aYA(m;fja%Zx^0B13usLSx3a54=7Q+VvVX;A#iCC1GiJPhVa?*kHLw_zfB0eq0EV()21ew%IqRvP zmr6F|VM3BsIFCdMGtvaVZye52>6yViD!mlZQ>t@8G57}J5dPX(l*WhO?8wM(Z?hD? zMAECH(~>Qe@K?{tX0oNwM+=ixJ^(|C4n=M|!#yD|P--|a*vkP4a1&5lR`u=(gF;Lg zzmnrGdZ|;xHJ|&E8K`-Vw4yLP;2~{76&9vuU>#!d!i}CJ$;jF1KAD zMa})Cr&s#*XMIN&k~EsEkq`#N=qcu5U!rdH*wArp^JQoM{5nTOr7svaj}Kj!<{s+pw#*qv&C#FtdJ!uVI7 zGvw}2Hn5Q!v{qCHDF4QR=p-Kq4xS?;Kz)i^`sxx86WTi$I@Q?ID}b3*t!kNgP|^0I z8hCrGp4_gF4gCcX+A(X=fY_p3hEOqb%2oL}tewbHQY}*tn3wyl zNrWF&(9a{z7;q!?b75|*w2O*!BgQurE+1>x+yp`y?R`l6v@YcP@Rd>NT+~WtUlSqh zytj?bD92ARU07)qJLqLV%{^t^+>caVQ+sZ^y+8=MTZ6tj#4-SD>;Bi-nZzsco#7Im z#40c{j=WYJipR$)wi!kN_mfXn0vRj4Rs=P|g~ap-3a6UC+@Q!!OWWEwI#=szUa1uS zhg@loTPj*j3eJ+V&(kY+u90%|xwc=b)46|NzjhBfZc052GOVgVKvGLMXAG(Bvjc)b z<96b?aUc?`WG<0|(X@@gp#u?f+WKmJOq=?QMRV<04TfufD(69ozNMYlu!a)`UKX02 z??y7_XD*3y=}w)qsE(IIT37~KYRTZ4DZ|Yw*@ng+oA)dsec4QAIqmYvL{6@ZD(0Lkhw0r##*G*<318^H&TLMJZC z83y@<&lRa0o)rYH$#kSh3V97Fz2U}B6Hp|*!xc>Qp*{~xof|$pi07ZQaCMlj;IZLtt*!wL2AcDx| zLNjS*t<|RW;YZ|jn$QaYF5XG}nkG)O^(3oW#8#hW7qT7zrBMw=!5?ts=jTTXXls*0 z9`@8tGWtQUHNXm=2#CG%&$fo|^5=W8ecKiB;*3$u?VPRE$Ec2?k`UV3)F-YD$)-9w zkq0U4P-d!f9w>|ZICxW$o^)KZ8w&}y7FdUUNZMG52vzA;|i_5Ie5I-KK zeZP>;k&dJrbgp>Qi&qxVB*1t(T12-`9`0`RA-Z^cjx)wdQN4s^x76&dqQUTe0>bZ^ zoXQ5Y6uX9Qr9Ju5vnaTLys;~VQhT();;{>b+@3?CJ(}=bC_Xs+R2yKGck|T=e1(Ax zk2%XtH{H+PT=}rl#|4N6ct0UIO_9&P}+*$Mal=LwR3UFA> ziPf~v?(c_>GBW>U>`moToqcIiU;Oc_&hLnCF)19eXrI}0aHAC6$r0grbY__L;^Cs% zwaSVy=NHzv4bjs%{{aLTpnp;YBf|keszomJizP3%Jy_CgkIQ|0mnWkJdcHH?eg|HU zOZvrnhY$c4F}1MNqkgr9Sm<=Ait5#_^&-4v8W8K12TyCI08Ib)6tLe~_m|$Vu$|VV z><7(`k?Pj-8RdkF3;Nhx<7b3go`R&+Q`WtT4Zx&>GlC;`se)`qifL+H$^9Jd#&5K; z+DxcJ5Owb|IU*{!gbQZ!@AY1V23_K@e~+V?w1}+`agw#^eR49cgq!)s%{_s?c#H4( z9yYIKyQjtR2{St)YOX|Q;l$N%6|E#`F_|#u>@72?v@lG?jUa zra@hgiw>;25o5ar`++5_-sm4Fxon*`H_$r_Ryu-CD#}v+(qc6%@>@Vs6aeXZzXUdG z@}-`O&ovre4m+5s8(&__Y|Gaa1u`t$T?&j})=ZD(W{!7;jE`nYxa|!*sm@nA&05=IPSENKplkvx2UE*kHORYL03+En7eb z%a7>y)q4H5PJ_vbM#1{x;~;?(rLyRS=i@zEO*1BYSV7(aGowX#O(VmY*H3r%&YkXH z)LrMCu-)17w!}`A!n;55zBWu~2C~E*;d@6-rSqoT^p8DR5(3p(c$KCqfwXFGf=SY! z>SVUA4t;oS(Ko!VzfM^~ET6&&&Am=Aqv^cdwS7*rc7_wVSQe*cs>Jt4ADX9!1w1=& zndIM@4rRmw5%E_vIprM4xXn$nf#yG`tL+PGII1a z1T(moIB!+|!gyvd(A(K&^f2?k{%OXS1%{%IJCnljzgWyOJk=iIi&)Zr_+2vSF90YB zFfoab^YU-7()szUk#npl?f-P~z}CMK2ACMilZ^YbHlWdD{+4BpRDZV_+6*w^BrewR zS8e{p&=|S_i?6>S{e`u10wxMsXfdh(3)um+q3;7Q6Tv>?XI05RAG!@6Fp;eEjrp%0 z`XB*t1s`0bvV{M7=pn$wZdhpGU$w#V0R02sC_{mR3G+v8TX8{H4Kr0L**|L|`+r^h zpGo+CV;957*%H?{#mz^ZVIQ$qivEoXpTfe3*)H09BR_*4uVocZ7+Ksu)dB0O3EtOs zkpf>a0}t15cnFCo18!}W{+|2jERWd>^|aXf)Fw}14N_gF1ev!cxQ7Erc&3S~Yt3IK z9KBU&0SR&V0{?fCW>;fEIg#2|**04~mX8f3hZeXtt{_r9+2>soDeR44CE5_Xf54#g zbx+JI3kNuw7(NS;Wh7Iu7>ZI5_uOD(sJB%I#C3Rhi6Jd+Fq8 zC1Jkqnp3MkH5Xy>6#l?eC&Dtka4uLdKTg=W&1S1!y1_? z=Zw+bb#{AT=^eSAi~wJkN~9-H&MjiykH9#+&kR9ah%Tq-wogFnz$Q=-Tk2lZO4un)zk*R=WH#~@<4EKepQ^&;F(a9L_o>}y ztnAS%=76rz)m57TBIdg7rLn@3py5M;Bdau`kbp*vPHqJoip@BNkT3qBO2iB&5=2hG z_H*19M5Mn$&)pTgAsHQjDJmoQqj_Y!62pVynyYF~i6s>3RMZM`?p`WnwW*JJg zG-o_j{~7=TEi5zC#gz}}sId7yI#%8%%vzrEwVeKzW}&a!{|!jP*2F){ggo&PWvQM1 zYkn*i`lMbbj(~JIQ>QiKp1(XiW-4>o^Yi8qIJIw}oz8 zT?T;I>7zu1+#raRB}(u^KNl5EF<51kjMv?+ToFHi_Et!YYVF0AKd_WI$lrh zMThxBgwi=6V+9|@G~ZX!X?hef-(HQjPS}}9^cJnvz8)#o;g~GZ<6(GlJA44Bk2gpX z=1okW-{42w6M%kIkV@*Y98BG9Y$+Y*Ec9z+I#92EEZAVL_u1R3Q6%QtS|L(16DMYg z_m;}v*2_qI#J@AkgC<0X^WHZmOuh`I-a%L&USmlMH-75E*zb18J#GMxR120%6NeLejKR}YqY$}Vw0bO^Ho^d#sZQ|K`XI@~E z+hj8oNFjH4itoSEmdT$=((b$q-(pvHt-&9fY|b(GjqrFs~p}jJLR&%ve{$DtK$34{sOZq!##I;&h^HG(7 z>ZR$GP3oOV#3IOt(us+77(;82{!46|#Hra9HTb0(m3jNc$x*$e%3A_MNNlwlz?!^k zvN+mkE*Q9epF_$6kO>;^8oCr+QWnaQLnP=VCq5_Aa4u*OSJlKU3uznu1nakW=L6w| z>DAB*;=}NzZaA||%}~UVoVNy8CX%k$mLzz*8O>tt@N;l%EwrIAcHrKJg+1}O^(mZ8 zKrf#M9KI>kiL~J|y~%pewq_e%eyr?Q jwlNaeRqUv)nS4jGIM^S-U?fM{;=XdM zHsdAd5{ga@!(h{tlm@+1QGm?ndGd9H?Hh}dJb@YnTkI9?Y?0ccmeWpO0NpvD!JoVA zWM?7bInb!eeJv4-&YJVYb0ZM(h4?Ky1E6VTOy)sjWgJml*+WQ>szbu39bxMBS@rS? z7$27L$)S8AG4$yU!^!svdNek-w~#GuH_!;6L*2ADC5{ub%jimfH`$};o_e0tyV&~W zib=U#wWU{#d;f}VdRPx6V7Fb-9_zS1OrY{5h(R;+BNgqp2G~`zx~S zOdYY-k)7Mjy?ZBZYA^i8YkUOLk<^$cdSiPPc5Bu&Z7IE({9?v&a`eNxx<*qIK-H75Kp0&q3-1h5;ArFej2nlDyM4_Z? z{0!i_z(&7>AxmlPvYd*Ye&twYy@B18e%yq8Ua8X&07Tus9G}N#^HfdHb$D+g6Bjz!5b(2+4#tD0O)$=z1%(q zTEC+^^UVCu{{k?-kzeHRUK~5g=*e8}zn6E5+GLAFjKVf2{Kjt76t*yh8jg+!;GhZo zfE?{XYezwtrtv>|$ zookH^)5pAXJ^PRVKvZYFC+P=IT9%#PSrAuBxbN3bf1 z@JN{O7rnF6glKxx_X*mTv;t^-3bey>-SJMQ%G{)uM2pobmEQqEu-@WX26I1BZ<40PUwv zZl2&N%uwhVH+|l6VPUHtM1#g$2XeIT`@Vv1A(do7AbUI}=lNKv`XR9o3AM2q@~57Q z--A)KXKe%0239JVHH&tj$f}*Y+%046V@2&x8(t41h76RvS1C&>+8=(~-roV7>hAEM zPlES6v4#PII-6dN3D=>>dVxVhMEiZvXC^tK7X~&X%EE-1jl}z77ORP^))z1Igk&;; zbe&cY;Tl;>ct3nKGbg!t=s21@_Zpu(A?!W)F1)S{Fqr_Ojpb(m@Im`y<~$@$+qdMe z`g!O}Q{RVmX}|f+%0D~&1-)8TK5bhVChf&uFfBrpkYk|=jWoHK(I*{ruXBYLO#=SHKORW#SX zlulG&_1WtUz&y@#xgMlhaOS2Pm5q^N(FzU2?jB!s5+R`eVE?t^m4t9DQW$QTEATb? z5$_}Fi;{3ab4i@RYyK-8->x3;$%vJfox^^h$esuB$dBN8B1yxYFDXs3-M02Mg0*wcZ753)Bt$dI+ zc2%FPxtVJU-thZ{&h6QDFZkMr4riRhTHAuHgp~c-Q?!GczG&J7_^;l3H^l`jpZrbL z&2`hAdU#&Q&o`ewSW}I3fGo#OmITqz4~x5+G3g-v15zWe98iCmP;D_(ZO$!pGNO=} zq3~9#2n2DybS1qiu+yw^;(P4$tRW{N@G!>;-!so*tJ&5&-#P3&KsIz`T*bFMf4{=b z(}H$leRp@gERL}`g)hP~*8OTJQ|LjznvZoUep^k{gI!k;AAjTjWACj3;^@|`T^s_z zH8>$?qd|iP5AKb72=4B|9fG^NyE_C35Zv9}-Qln7wLe+={@k5wsIKbjIjde7<9T6( zH$y(wCIuF=*CorRnzKRDo1_q~ctqR_y_|Fu0S)by6&WNWjj$SLBg=WJTkB4jL&`86r3k_f}wH=&DaEvBNLYedT;BRYv=w0@|V=^MfkLTy7g`Ohl1 zH32jAu6O$WIOyt##yxN7F(4=rMx5-Z`8dnefhe~ zD&KIj8NG4k%_oP{DOQ_NVe*Bg6~JTJj`s>1HzXI~$?#P3E+P#Q8*c2ZxM%+`RR@zb zyl5j=|F|j&AzA8eD(A!v0+F%9_snE3$Ga~AW@i1zfl1cW4 zU-)@kUgw4l?63Od>rMLB%DXh+YWfh>1Fnr`-Ruw&O!QXg>g-hp(>`z%3?!3+u4yMj zDUVzW3~iI{I}oPelRZ=UZw_~hM;9oKWlEWDl-kizTYj;vmS@FQDOOYF1NM`z{^;i2 z%yW>u^wasu1}WxO`goQH?M3chxj}J0Y!;1u4z_^D;=bKPrIzSgb1|kT6J6j`>ULsz zm=)~AG=|Y%b*%h(1@Rqd>|y6nEeCaxb*@fm5pox^H*hq8m;F`u6lKNwvPbw|BAlYXe67MCJVp7 zapmc}$tU~#=~hlTN!{OVk;&Oox`xeBWKCNdn;Sb&?>D5Y=~`w{Kg+vYNLP(Bp2F0k zk6E~H9gyDc7Nx0w>U(Tf85e>Dq$*gg;6# zH@K1XHt^ z1z{QEZ6TW`Dd6JNrjL5o2%dY%A`KlD&tuct=Jw2<`<#enBL`u)^nRauwq?^Z7O*UO zd?*go*(8QqE zAhI-vTl1c0d#eS?^P7)9CjxdK**$@K5NS2h2S4jX^SNfx47Lp;WKoD7KNw%y zQpeMUcwg^SCW}gk#sVhNH(H}WM+DqVU~zAWbgj19&z`jC0lH0Yfg=5Bid?RRQERwK zZr`9oYw;ArHR{(mPUL z=nKN~y?L&U>;n@FNkCG6l!%}=p<(2+?+HY5UJ$ZreG|c+dysTDHcDp^&4SR8| zT7p91hB4cp-us7tE!bumysZr|RG5R-;BMk%?N&=#ccs ze!>L!1|Z_2^a!N9p=V=7OeY+{USOYt=@#v~>yojaKQ~Mzn%vlfBrP5PIfQ1po0nGl z+}BRr+N&-n#N_7)+s(>q5#TFoa1}9bo)Kc-oRW}PSGz%K-cyfN??w&JZ`~XArq6dm z>r-W2H#DYVvMwv#QEp4=$%c_%Vavy*-Y!v;{_k42wcMgaWKW%aBgJ;JC|wZbUN#o% znQfg{V7BU+N_Cz^!W-??#jp7-g(iN|}5-XlrwnSyZ;uArbT{#aIdX z9GQj*wbiZBq6rJ4x>)kfipqJyF`We@k4XX#-=we`e@BLY1kZ783N2dS6|&c^i6)km z#kmbDYm*b+n2+rd91}0t8l#85Q)C*v5A5YX^9bN+oYgHscscE{09@;AiED^C;YoYM zjY>wWn-Te#nu*=*d)!$E{dDnDmj;BQxUmKsn1Z*QV?BoR4*y&04`wZFKPrTUy`6ML zD-_s=rwx%8EUPU6nNLT9eQaT2ed7& zK)3u^;Rx49wD{_7BG=-#s;YMYwjfz!nR#=x^gAYNsAa9Sk9jj#>?Db9#^o-Sg^~4Y zIYj&?y7c74>huY@TOCP-GeGwAp0X7djQ#mL zlW&DxE6jP7KPtR?mTd8-0m7#(XPF33*<&;k>z;aK1A0t8!m)s?>FcRyI80QF$stCA zM6R`$FT^aL1ki+VvzRMKi3~uqZ|nrSmtdB`eI8>xI(F^2Hs$Gf>wM$n_erzC8dQyk z3X4&;i%U<--}?OP!|a_Q_0d#@&DmVEjhC#(_IlR+y=ii+iTadD`t@G!1HGxnQk`h$ zgKIYLz3qNV(%bp`UTQz9V$OfSdnpur&vaB< zfzj@)moq;d-?@lgLc?}Lq~ne~Z9o%~%ryoCoRwNUHHGIqXC**S-mgJp_-YUh6Q?qF z)vPVTu2zF_yRB=3#yMB31BR&euKdhhnXGG0JBb%}Y2X~P8{kP|#ysCM`Z1=$*LFFJ zNnjKjv6W@Ct_OjezLc@8{eGmApTy6%tE7Z5*e+9b-A%6+hR(wV{wMlw9#<)2MnFqjDg}hs05g<-@+fz-fce zJ*KtLB`)TZ0&3suw?fEb(Vg?(;fpV}f^T^16hVgWdv4Q;L}W*T)V+yt{gyUcB0TBy zx_DTZ1|5;N#Z++V8@$XHv8!4RjSxuX&fmKkhUbysSb2NBY=3V1 z`G!Gg3p*#R8GdIlvS$%gq&nFoh48*Rsc9*lUUjx4H=AX``$&pXQYcUZ!-8$&Ew*+y zyt$O)OYb^7mhM1c-S6`nTIF=(gB#epvnV9? z|IiXk|8yJisl+M%-RL{>{$uI!X8ca5{qNRWC%!itStANDPhv8Ml}sctZ?z*BrHI{P z;paVv`P>>BW_O#bwpj85#du-2>-BeLvZt@P|0aQ1%aRHsl-HR~X9K!0G#rVu$e|<_ zDnr2K0C=`Hly3lYzH8h|8|BQUOtf}D)D_HV7iBwMJ-XQdz)xdCKUM>pB_%H^Y_9NwYs3d$>v~ANrB@>8qUY}3>Cbs~ON@hCm zklfE8Vg}@R%juZ9813_5t$99SKzP1yC~UDDL&aERGI<}%W(IXIcW$>x{YPc;7cTO1 z(EBw3E?Z9qujgyrb(K<8W?J=H>U~3leZcEERjS5PtkuH)N2svSU?mHPRCHWzZLvWwY65(-uuBEnzqq%Z%CPejg1SE%?W`GYjcsFV`VB8>2rs3Kwm4w<<91Cq-jG%UtttPoNNtfu)5s{EL4&eBa}y2|784osrb=B zsqZQMaR5nny6(zk@Rb34$lUg)3rUB|9qBw+Ifu1QNCsh~8dHGwCxMi51ekG41{0Zc zT%Yck9~}}pnj#_SYl{JypDp2wiGZ?nGOKu->$QXl8Tfi{92#k=_;9u&#boJtZBG3= zxWRIH6X@#nm;Tb zx4Pe}Yqi{T?#r5eSvK^*Z72;_C;)d{8k~+2-n_iJhvJgRKi=xt;8S`3{X>68Ll}V} zg~kVXdn!V#fyaA3ut=(hK}^PU08LO9FoFW%y`#^}rV7z_{rhejRy}h7bw!Gy%R&;n1-_ZaX5Y1zUF(;q_eu?xS}o?d z44RpMu4@8tThaiS$x@Fx$+fP_qj?tf>aTAyFe&>)(yW#m1pw^V?T;AyWk+BYj~rX* z(K0!h(uWZ9W=xf$dj6Q;%|eeSS}r@mIM5BAFZV_VD{b5&L1^CU4VK3Yp;}Ac z(6h$7Ba)7K0aX9vVDws7vkp%W+Ut|g4#Re@>9!{=)zQ11$a}mR<)3BU<#hd?Y?QT7 z82E3W4cZa{%ol41$B$^=$in!X&%XZ=at!EBjkKEWX%;K- zyRQLJYLj-vcJ~ZUeM^?mqf9%*;xgd9LO0i~3x@Hy9Og*f2E;{vmo*2JQiTF{u)hqc zPq|AzuV=W!&Om#ill|@jyt4usk1`9@+uVV8=l^PMlYT`nL1aWlx*EPx-12KO0I zpT{xH(y}IwY3d(<5Hij;dJSTr-==p%P$TpJwqQg_oy$2i%wUHA-*$e7@oAB3!~JveId{CbE?l zX4Ib>B3IS7Z9jOE!)$3b*(Mpn?4x``pJPd)2HR%ix<)MKmju0E7;XPSr$+MdbUDRp zC{adQ1~gv(enKF4XOcq1NLg$SiabhM*QwJObCFHyv3mm~wK>BcCB;2kU+&@&ARX%IR zkNo?q87rC>b))WC@KnnK@o|;@c31sNO)=4)iW%Le7WoD|e!Z;fr0zdJ1w&84*PTUY zhV9nxPMvwgyo7ln=sb_ruM|??IEhJ?U-xTupNg%?8n*cve`I{z^VURDCmNEq-$N5A zms{cU`j4K9Z^i8%R|NI*rTD3sac|Y;&tNIZ1a_>f>o!eM00}(MU|*^FJJP{Ch+@8qIii>m|IONaO2Rc*musYYHXxuoIoidC)2;>pVKD08J* z`?Q2FVwa@d*(QY94yR4ChVmCb*A~RTo{o312rxzAhXpVSBg+2{5J=D7!2If)R&>}I zCU4V)h$8-RI=vph3_wsQ zN`TXrlFc~i+v?I=St1jvPt3vK{g7b%_oIvy53uH1#~0XrCw=HA5l-);+MloDOw-;P zjC_2wTIGz*>Gy%1W3%AWsc5ZJWMn<#=`_4AfcR~B2H;yK0iN)4Oxh(WWB#4%)fZW} zYnmqCnxH-`@?_26y^xpeDjTDbr7U#vdd1xlkcHs$iRHX)IwBTc)@0d(u0^L+*$3gj zzw252=S-w>0(P`GhT|suL?X%2UD**SffoDmlB9OZGKy=>hypCYN2)bC?wULpFI}e%ijF3m@E+-Eo$L(YYlpGFt< z_xz9ymS!w-TrK_9WV?Tz+FIrEW*Ht;(<>Hl=uo?TNt}@sec(4E_uoIw=U?yFrq}3< z#jj1v)W5&y<;B{6^L%}k<;tF4t|iX6DB^t8htS3%HY4%U>~_&w7T5Cv6f=| zd_K0Hb-67micGL$gKvb}D9sVA&}>67hKtt`h8>JDN;S9`8{_{5Q#}O{E1TUSemv|Q zd@Z=;yOv|m<-s(;GP_Xlm?=cmUot&!G>J}77j`Jl-lyCBU+CGaCho8@ZM4PpL=vKq0~F#xa!cuo?8drN65sqgxEYt`;@XfJe|#4FLQ{ z^$VUCG!+rbRr(?iz*3{p{~4q?0+6Cj09$M-PKm4DbY;G@2Q2LePQb{p+ka69*nYDB zl(ijS$*c9!|HgfsNZ)-x_`fEh&3>0}+Yu=JNAm{V~*HXtP8NlOF5AvRhku z0yu@+1_*TOC>vLJ1eCNFL-80a#Frr&@cC9e?+tNqG@VY{w-l`FhDeG3*>PJKXO6_P z&yQs7wAZ^C65AukEgdE?3ILX1I@9}Z_2QU zSaJZ!ds~&h^a9LiSaM~m1H8HvkGx)B+p zT2bZxomqObU%nU0TT!Gn2N=+m{Sb(hx_rowx{*f@rVEq?V?C6Q=9d4<^-LB7u|?8j z@?7A=puw)g;$5VerF=EKSd6{TV3O?vyBe40RzI%xjBWgM7u?dLXQ=H}DsSh@ZLc(`vN#rj$wj5_pYh2!!q5j!WX6 z+-|kUcdG+5lMrjIbi(pwS1S@8r;8n^%D+U#PL-v=3K?I^^!)B^J>zB?W`b;VyG@EA zN0&~l)VRf1%6qzx`4pb;`Clsz?M&Tzx@{$vk;KG%;PyiuPk%nd8Md{hK3*Yf^fQ&0 zv6`II@FV?0N4k3i0s%WKg$!ZZNZMphXDX-tBY|Lv1uIN1r_F|3v;Drg?|kWJ$tRvK z#irB6=9U2W0MMV1K>-H(1*uR-C1$;T-Az)wc8|vy%AeN^ej9kq`g0Tr0O5LmI}*YE z5eUrxCnAPV%bQ@&8v=rh`r*nw(#mqxDjy(^>gxbV^oNIq7F=|kbLINE?K6%K;zWGz zc*Bpx{jV8qHQysQTsQ7M|5#&fY-z!{-RLC>iHn~QqAn9tcE^YFlxU))Pc>v6t#`nj0F~n{iRgbqiYNpab(`MaI64yr z&GlVG59FHHd68(_?f3P%$&_EaX0c-`i^1DpL~EqJFp(h{qU{`vlk1!14JZu7tWN)A zxGU)Ht+vupeBpmh%tqR~w^+o$rV&(1+`T6JBxrv_S9S62qRKEq39K9REJ1&6G@L9< zL(izwp67h7ul|jTvv?S#=?qr{p*UAOrdUBvRd3nvq6y%26>Bsw)S1sKY#E;w-;Shlo1e2MwaP~<6DwtaE3~BnYCco!C6IfgFF1&qP7jKYW}sTDU$vY{ zJv*xZQvN2B9_If>T_<84-a7{_Rb61pIzXgsvOt=zS*?b${>v;XU>@#z=?D(eg1h?g zuV4#3_VW*H6-}$l9pT~Rq*#$Xl_1*hdr+ky8a=Jhi2|yH_GSApc_*n2{j?u(9~ALl zGP;@(=$eA~esHm&i*640#2wr-ec#~Wp$Z8c4<6&=Lu3D<5J#KuC`FV1YBoDEu-hS} zm`_OhR!?ZBO0*4tRe?Pt3+Yc4hOJZ%*`zATIH3OGsU3jBtjb}vB4wfW_-$3$LB{B{ z3mJ0v`$pJYSt5`BW&kq51mVqOp(fB8>{hkC3FUM=!V?4k%F)Eqou4l22qHpq@)hI} zLcy7?Dcu)(XXK^9MuzM$kjBlC#_yHBHUgmnPrYTGfq<(}%)(Fjw8N4|$j`)+!sw*s z`595e{y38Vrf4&n%}zCU$oroKdXFHyN1?NR=(-7aZrw2u883Ecu{B-dR2qw%uUeHo z+3|X_rFL6N)!bM(dWzpMb+>tYmOaaX{O}I~e?1Ih>Fu?= zS$&kKrgwLUwZ@rST|w=};6`6~mxQD;oBZC=r}~Ae)*U@JFXL7^tNH4CPAlTNI=+ZO z$}RgNs2_y_9v8ke^TqCf%ZQprgPUkt46n4b)cFDHamn&==6If4rWdn7U#ZBvfiHIW zcd05rqiUxm--25|10+o{8%2dspmpKk{&Vkjme;9dTy1Fvi0Zyk{Xwb@2k!N}MNXn%h3dbJ0p8Zqq?PNHs+-|IY@Z(# zb5<+hkSB3)%0{(xs8?G}^b_$S)OvM`5O{R`8T9&dlN9%#;VXVR zP&*-Jem6GAv-#%xsei95QW(I<@kSV*WL7uk2i*I&ke;X?q^cL1VyH}m{oZ+B?vk8M z^prU7Z{F%T%6y9Y>o_r(_g7nVVz}6IS6VHOMb^sPjzPaL@Yu~@JfPQU=ZRZq^Qlr#WEi=aEmh0W-+VuX zcaX4l9o7MqOt3G(6{jPALIm1)E1-J27s}W!_ozw-PeXTDUAE1lx_12=6=gjD#i)#WY-<@i3svwOuoTT#g5`-X2~ zp#b`w_Sez$v`XZ#&Ov|WIze@C1)n4VduqcX9T=5>DKkU?>KNxvICun4zi9>um)MrG zWR%8cgHsgMYsYXR(_8?uRJ)A}0MvxwvzdO~0^rt3z(cH0VwN@T=I-18{IgizRT~?c zk#^*w1E@~sApnlz?_BnKnFk~rKlFi85mhPIPK56MDnOsXIM5r={y99Hz(~^O@d#G# zkM03NMCC`-Il%sG6d!C`RfOnDOt!Lrzh@OVK$Zl zlebhNlR(*CJy3;lcuPTyr1Dw(8GmT1O1DV&3fe;G>;g_i1s654uoNO;3l=nU7Tv$X zQ!S@CT0I94q9PJ>wfhD=ZGn26k4QS<|CoG%`-eaSwLY=I<@Mrzy$M{s+(Y23M=$Cp z&pDu;Y_7@S84EBA1|&OiWVH=4=U5a48T7GfN+*u24XtvwEPv!NEF+^ zY!saPa+EP*aHdZkPCNrO#Ey@0<6u0!B!IS19PEBe_j)a#*_bK2=pxR1g}K`g57OWF ziEGXb6BzHV>!JN-AwM;wZdD-5UkFC*G1Z&Ns4}>%zCQMMz)mrsE0+V@#115xXF#=I zLJKLDlUfSR=oaFB1dntTTPW6>@RNQshr_{{YZn-b0s|DH=U=Jzu+R-Qyb@ByuX?|b zDd2ncA+)UIDO)7;LZLVEZSQ1ubs98v5>UI6mjG3xbKpwYgXth|&sC3Ap95`od=3H2 zbDcUHvgGRll;P^i5XQlxHwj7!vZmveb4*lCkRFy zp#EEMzImHWHPrss4*fxarro0llm*2NkfQn|f0&wIPpHmMwzjpY8BMT)6Its^O^$Gj zB2JfE3eM%q`$96g`n(Erh5)P%E7~V4k0*N(QiEmzS3;5%c)@Ss6PMtuA)q*zlJh3^K|b@Iici=fWtf zy%GsFN9McB-2BHQX}ga6=I`vl)0B~cWpzL~(#-AlMA?PscUk?(6136VCA~tYe65xa zRmGEht!ALk@v**i$xNkOQ@;NxJ6o&6bNQDLSBn8&|AP!L+i9x2#NG4V1RM%sVHHM2 zRj=YEg$LV>;Y^B+*-*UPb?JF%bf4r~GjlzTgL90QKE4)cyvLpWr-{t->MmN;{i74z z*q+N#u$#kDfh@@;@p{va@}d$~x}==|7w7)P&M-lEStULrW+^Z}N=d6Ey};`EnctY% z;AZP|PMCM##BsWK5-8m^9PNl_|8?^-F?5G#3-Rf{POts2K%V&x@LC9F$W_J2&PILx zZGnWk?+x=6x77;IHfoTtRDeK}V(Ue0LIQ5pgmJ70uWCCmw4roDB7s4=R2cuh+;Q!7 zuGU1+a9>324h#5MVu0GOk&-_FM1YIhy5e*H4;Bz{ES=iNoUoEKVCd8Ykj%%D&}ky- zw%LiTMK;>zd3b3xBK}UAO!T1TT{e-R=V-Crme2+#B+wXmPor;)@LKnE5(OLxKdr`4 zhhl^BOMi_l)Q=Jj|`LVn%-71gu;vF*Xm zqQRy_n2xH|WRh}v2Wa=aX>SB3G%3ZKwEZ#i@70XzpL?8*#~fLj&DPfiEz(m5|5YLK z`9i7*DlbwjV2=e~9)<$*dh#R_WN5-%xdL>qN!+QG6a9SG9o&I7RG-sy zd6>b`hc7=mH|FLc-Eq%1Eg9q@)_V}VE6mfl914NXl0;JPPyBl0BwVMaRlq>K-7=po zg7l})V=MAKdMCo!Z8ZxT{s{H3TkL9ksKF9ce&#h52fnmxX@)PyYt%RPEWg@sn_90k zlU4PWF#Ng>3*(t)o~B}A4P-NRlvUT`Cw9Jq*51}P7rJSyjW!0`n}`&IF*#@E`!89d zVuVM)@HC%ij5^}T2_wKHBH=$hR2nVxMyQ0F%YROp?81l5v6+GuP_ng4e z*8X=>qEYD2U5sGJG#rW_#CX$7q0Tx<0o5_z~h@`!l!Ro=<%hj-V$Osu@SSq)j*w89(hab}^LI*y29!&Al zsxp0cQLoa6?RQufuQGsF)dz+j6}ktmdzsT$rgp1LMNRC|k;IGNC0D0-U!?Sf#(=z6 zS+v=Ii@|lmS~QXatt}-+^(BPx;LE1C0zQar0T>CDU6ox@Wt%U3MBo#hC3BnxM^y18*k` z&G3e6QL=)ul=(k_OXc}lomA%)BqytF%kDObRUe(WTHsHZtoz2E7A%*Xi$y|beAQs@ zNPBLRas-Ueh-N&z)ySsj4vKAzskcwxtV;8c?{T{eRk7XrI>tvDI=N&=yHftHAb1%| zVlkR=p2&2;JwD0d-y=z9ssCDRlpH4)TJ3B(#)z_?SKsmSP%_wGMMkl{qZ7xqrNXXd&zn2bzD+fZ*xzc$-_1Zm7jfIYFYNVv=ErhCG4;c76 zJ+I~3KT>$w_AEUU#0OUTOw^f4IeIYXE?Nzpu!fIuY`@Ex@{|7$XOlXPZOn+ z9P%#)Uv`+`nrDLjWplqZ7BZUG-{tZ##4(h!@i0{sRsB>t*-S0^@VE z$>rsViE{87--D_3ayk(M`j?;sh^Seh1nL{RS38G5>=j!EAtivR9>st_O>-oU8YIPAW~W#Gx}4UL?ZCkr||lc z5Aif()YW`Z0E$p0KuNr^_+d%ZQ#g2mempT_shAA(60;IL@F*~g+@vqP8m$!?WW7URD!=(8>Y zjHJ!>HU}^~YA=@8O`&C%klh@9x|}yKo%gaTh4JtB;6KN8YW=w$t+cPl3wBf0h61!| zAKOZ@qyG_p{LlAH#XzXua6Ou{;BvpC%=@C}1xy_3KP?Q_=n0&)UVt3H`tw8mmAaro zouDCHK*4xCTIQS0rjKn6Tpy)V0bSr)R|hkRWY+R!ck%yofHxW1*Ioch_pSA=6JGEO zof{y45$qQGzbgU8n-o+I^ugZ-UrG}E*BJ#n>7fK}Y#d4)X#R_uKnf!m^qsn#3`QXt z{omJ!paqrP7{H)oqV=!K79{mU2-?6GB+YyG?;p*3jDj@8Z0{m&=D);N|NjU7eMA30 zycM4-v1U<8f&k@ep^3N5(xc_|%|ad3RKY0a z#ZCJ$*yUeq$B*&PzTkGEq*V_2(<%+d3~qKH$LG15)X@z@0hw$MPTD_nffB(R>+1r* zlB|(H-dgve6lUH)W7GJ8#X&Q^^x$nyYTXOHxT{!jGA_$smXCxhsFLLf_^+<+$8%<< znl4R&u&AylKQ&HZrC8ah(BY6G*Ce@z{m1&@p_oWWSb>0V{diwEZd!bt)ZYt|)cwz1 zd`NZ(Phts{h$RL82x6#asI(v$@AS^N5!92`XgDF<{uLEltUP2nnFJuQZTOpV1maq# zg3s=4S>>~(gySAD+w?4Vx#tnI$9^D^r>>eHtJE*;0m{~2Cw1G`Nnbfhof0@oZ zYy5QAR%Ff}EyJt&M#3scMK$uq9wg-&FY#-PKuvF?`r`pig5 zg0tA>Cf)xyxh~JNxpk8@3ODVzs*n}9=@pEb!Yv~|6NfK z@M}gn<2nY=i^Y4sdQgSGt4TQ>aHEwY#cr{fT62p^0=ltX&*cM;*n0r=yB5GPhXCml zpi}dWTdKIG6f{4y%&_UcJ$;^bd48VX{psCEJK5qSvQV{&sPjnd5J||ILs$N5=*K#X zn8=5Jo-hczFh04Bz&Mgpw50N*V~uR1QK`q#40ug?ckOk)PV&pEPc1&$?NV2lqr$tR zn9?aYaxV`K`jU9SK;8UW!cu~hIe{xc4G}{HU%Pv<;tkCa+r3b!ryWY0o}O+VtR?pH z@}dMZrbBrMe<#_+#?yV$iz%mCYPp>N&ib`uz81_^UD?|1l8uX8RaV0Hc<-Sb4`1Z< zN`JN11(JxNB$G~3h*QHMrC$7TcfL6(=Q&B;%SY5RSFkzC|C$R_#^jSe8vdEo0*pes zKs#i_x1BbMZF0Y721TFF$MsyTb>>08`~ofj7_Wno6{KM(hcf6A{lNwRkRJ|eeeST_ zN(u$3KwVZ>|MPnBlZ6sc&*&DRAF_`+%L{w4(djTp~h+eE|_uW(e+% z@XGB1KzN8tGvnK4K(!-;Ou()L24l$p)3(`6r-A^sSRZ)sfNVjBb*FIjR|?lP1dv=H z9^p^q^t+xcx;pR}O7PY>DCc{)u@8C00)+?+O+?^PB?F}JQ!(Mk12Il{EW-! zKA-(S_GNJ3XYix(`NpOrwhA620qZYGt>#$DkOAC_tz^T3ld8wh2ZHe?S?)mHL$e;O zVeO5L+5Prf_JP~)#PPZQ#*cXty;hLmi=^okh}d~qJ^xZ=5S7N^YINkN8-N{WXbDW! zuXnj~`H}@J=fFlq(T<}WT0|H^1*`VJ^ z(w1|NjUqQRT;dX(Zqo5& z;M^>;u@ss#glD-*1z%R!yS63EuV-t;goB|T?=;0l!Ob`xBKD7X^2I*Fv$vRShIupY z-822{WAMMv0#SFSOL(>F2)CXF<7xnyt=io6Mo@?%m-89B;{fLuAb4(G%2E12 zSSa&}<}HXDOQjJ3P#yMIir=+;8;V!jZgbl;Y6$!Ak4$krm z>3Vx2nSN!Td@6+0?Dfjmf|i^8rv`sIfHRU&yfMGC!x0Mfj^FVj4e|9LB4;RS6|r*Z z)o&L6-Wq^MXdk{lI46kyD;)*^S4D4x_ZJ%~ZjybgBk-8tD=}b^)r_Q(6Vr0g4eejm_*!Q=E-HH z-n1qT@bKemsc;`fl6D^s)wQ-q_}4e;Mn{N_G;{8dpbk&0{EAQ!f8FZI8^Udm$7{9V zhw(dwr1H5KmO`_`Tl?jVS43}DL)L==CdYQn>1s?yz*OMj2t%XRSf2j0CKNxhRk_ZT z9XIcT#=paf_jwzp$lNH5R`?Wpg0A-lR1%dy8e6KBf^lYErQ+dQvWd4nDDUGRx<~Xa z+8;BDFEECHZ25nZ$p5(=-N@c@8L8No_k2AazN)UOk`#}$dx6jieU~m(bWddLDsdV>nw`8I0V-BKn zx^_}IZ_`d?sY9QCAnx95c!xrfcwXUZyr=!+y+!Ez*$nLh$DsxJeet~gNDr^Ep$10! z3nC((OPBoehS&I)h!E9Wl{ERd8?9BNC>(TDcC97hRl3K3%4f!3XK6jt*L=>`o%`Dx z@1PS%USGydnlisycC_;TPF!`d2^2jh7D)p;WD6|9jmPz?_)HiUk^kqGbdjRbV9nmI zTo!5O+s4ug(6&$$Zl5;Qi#_jQe^LG}VMr}<&bzr-MzZwNuL?uq5^C6FzR1v$gU#gi zLos&&15uNMu*Z?I`@v;wNEoA_9AV!KzT~D^WWm?-=y{N73><9R%95)RwF8;@oH>~X z&LN9wTWy{EiT^1QEq5LrwxmW_{!E6%E8lYhSNVbQ7WZN>E(>uT?NUg5#zx-w658lq zx}zl7oOKh;_R)@i|Ia7FSq_)hE&Gj;;Ip|lwA0CiPaU}>vgH`*LPitRI^?EWjcgXJ zmE&qvq}$sv=_wzb4KsTeL2_6xD!gtEfU*wRJ}eh%6+w-!ak-z0I*_m`=(kOccD;UQryJ z9bXhHl3r(@DmUg<)_1;Mk2O|b9I6a#1 zK7$sMy_fgIKu!eR=q$k!*6>$O?Z6F4D!!{QdbyAKaMNxO<(-MJGpM zBNt8^=TX#;ghkYUmWXxC=kMRvzxkhm&3pH;W2sz-2YvEXj)U6VRjCOWjivBtb=)lJ z!xy<_rU}&yK46P03Lp32FIs4EG(T=Iti#t>o;0)8F35#k+T_ux+{0TD=AIn3l&lM@ zvUru9m87k}^1i`QZ2Z)T|B#%J5l+NguL!$1pY+6cM}uOu(k4HMI~YHzr0sF#YAs$_ zz`1vSQ-2pl)NDZg?6N28dijF9EfF6|Ig|8dJ~~mqXoQp1cZVoN?DT1cCiHyQgr6f> z&C8P)d6_R(W`(ziuEVv@Zvm6qDx~!xtLNA%#f!W(u~VO?Y2~XjibXfY`+PAe^+iW| zk=vkhO^O$;`ve7qv$tKg$gr?bw?Y0ZNJX|YgG!VJ?+)6k8k!@3bt_zkSf^lkcJz6@ zpZEKF6?Ox)?$8hh>&wn-a*~-dJYr^J?HHF>P8t2SpvmLCeU`+Jwd)D$TO|( zTO1tOR+x=vz%6skm4*Q;TME}sl8X~0*iwb|QP z2Yk=1J4Oo*4hat^n~%)pGo05Lxedn$pKRkJjZn++aY?k4%H`y4;KA>{h)iNB5BuV# zlkpgh=ZF?W?@LCjm@{%YKH^CibBkBmU*F1{7W}7SlO>Z0G=bFtmy}w6P@;mdCRw;w zE0N=hnrB1Za_ulK$Kn*P-Dz07zAoZ%=QT8Kqz8Ll1c=+T45vY%zx;xczq!;9KVE#% z#wCz;ls^dnA<94)#ep#K)fqwQ(Q&?kUDZu9;9{GyE7WO>)+$-9JJWqS$@c5C`amU55fmknUd_P+qwrY7#T`F zTHZV1gFfJcuoqU1US<@KCGx6zm9{te!KrmH9vH?))tOoz?#kC5x6{kCUK{+Fbq8MH z*|`eU%gftIaGG|W$Ud>iqeuTKEy>cx6TX<+(?bu`j1x|1 zNyYAy{pOFp!3>vZjmIO7a*D$Ysg|p~=)Q6c^=7htR?l}cID{IR=SaTI`Q4j{X5@|$ zafN0g`ty;Hk61E)D;oEE$oC^Wk(i?m4Q4k38 zA*-ra&T@Uqf0~&txl(h#ylcc#~BaEHO6 zc%isUad(F|oOAB?p8GGnzqUgrlRQaw_WrE3?$VT|h09dVT>26VJoOh{hlEv_H?0mH zR(^aY&Mcv-Tu)t7L>SKUi!&7 z5hZmJ&5y*$6#CNhD!#a9r@EF(y7f)}M-0&u;kF_`hoRlXocyD@G0yzWjZ~vj5AtV+ z>8@Uc!gVgwN&}-wmdNkC%AdR284|$>_i2f2ezJl5WAm`)dm~TmLxyhM!kE#Y&z8Fc z1KxfAYnkZTv7CJ~B%E$GQs`sPNA#I!Y+SXAhJ4i9HfKADD(i6HraDhoc6^^>^MxNbul%G02N&gbbqA+hgYv7RA{ACXbwx3gjRJ^C@2 zrIy4PD0oX_tTtkcDTzYdNtJn`8)d$Kg8}?Ny5!#Ej13u#@hz<4(q6sbUji}haJla{sNFYU;7mE+gC85wkio|Cul+k|sIY5aFu|Jxe%J$EH%PFEOEiA>Mbf|f zJ=a0Xo#CguK$g1E86!TAi)<&~;*8n`U2w7kcZpCfpZZU|0394FnG_7OT^K*cA!c#k=;s z@S&oauM5brNiZyX-b9ikW?*ii#6obW7cQG7q3VxmeI&CtvM3DeaNID^ zD3Dp^wP7JP` z-h@zCe=8qauo8lY-x+1+i>vu#9EkB~*P3uFw#a1fT;%Ds{UA?!Ugfai9qizAYK9bj zI)_Zgfb+;frnn-YjAK{jD1E9=&}Lgz8g}jxi!N0mnh8_NcMR%m7}(#u?vId8f+1D@VZ%Rl!t zqLf9bz5}lqC3N*h$o{6MHG>!5cw36(u&D3`(=*$l&R{b2ykMj#fgI_sy9KT*I5(`4 zq!ixj9)7;@+qL_Wy*z00cSYmvgt(1{Ryk`kE#k>){`p#|+ThdM*wB4nhY2e8$q7yMT;7jUgB zuOBq_iF^gD?5jE(v+*oB$&s-gCEl7?L;bOL9J4drOrXnLL4IodQ za>U9Qtv>p5J*px*itmAhD}^X8<%>i8bN(o9T02OyFrdr^=3+*wM0(IpN$?1?Is|-i z#YL!K7qu}7Zo1#Tun&JyN{oU_I0u=v5JXZ_HO4Fns&ED!%JTl9eu6a z_d0c&YEiP@*Jwy9eH+D=t6MeRd20f&R^gnwnfRgX#> z|EdO#%7g245*`;Wy=-eEiH?}vpy5=%e|T&}iha8Il!4bfR&Q%Fq~vI_Vc$FI1Wix& zxT8&x@`XmGmH$w~KW)wK%V3y?->E!la0(OXd1Y_w?{q~tL`Y>8-U9$H;Q&-$RXm2_ z>2F1^(XrQ*s2`H(HSdnyAY~yGOO^U^lGk8=>*;Noejt3dKDGayhn_r(TH>okW}Fk? zgryZAi5W0`mGT@=aq`iVrt`EU$PB(GHvn6!g;}sIKS2m-E38h$F;pd-#A4(gwLF^% zPfVGcbo;`?qrR8enmG4T0gAz?3tk-A)z`u-q-Edn2~NHOuTkDEOMy;QUl@@Z0;S zm?-42zf178-#3b7xF4)%;k;)G9SGm47;`?HWBs8(#Oo9_3{uJhpzw*s#)c>f zo(F^5`+M~LJzGK{pWoZ*jdp@XEv`ENB2<`M$p!sh^gnx!2n?qeApZO{S>#1&<#0RH z(&<=nWzgVP;FsV3WlUM2=a~vJ^tVb#5%T5peZJdCpi`$izr4&>NVB1q=dhXk3Mg6f zhH`8G&G%~>-sip^EG&|kX1ZGA9vC3A78kJ2kgr!QRwAPVNOB;q=GSf%KfjU53QB_i zrRARws)UMlXMmp+oq8!20KUbj6v~&JyB{r>{T4`=FzyLe2K(H>As7JZpq4-`7uS~G z%ai-nw+Vo^9ZKhAf1Q#<0^s9NfF=NO(ppaD@29`IUI2MFN`X-DY73cy0f-Iu&Q7(# zz?9)@M~Coon`K#53U*#Ht0`R{_Rs+^bsMb}^8vteD=cn1y@IJ$tH+JLFb>y!h%0Vv|qpR8gRH!nu2V1stAg(k<%I)Hhb|3j7o=+ve7 z=oNK3{GRFnkoukFaRRT;ZPhg({(R>O&~XLTHN^lMIrPEVdCVF4$J$y6@e(rQT1xB2tZS22|7aG|md5H+j=IC^mY5q*4o z2#W)@`H_`R8_!IBoU>I=+oW#mKqS3XJQr(XmDfzX11_gyH=%4qXOw5 zzp|1!kx^G8u}r(Ra3T}jGxaJlAVosFIhe6ep z%UFpXbz5p`r>ig@N5JB=!istXu9u1FGED$e{n#s;zuzheQ5ICJoQKg7{VS1PbM9!Z zP93!OD}$}-9FQ&s-~jM@BeFZDadT+j4{Sd7vafM*aV7$;`)ZNsJ$0laKq|2s0exX; zy&Vvd%VFFNB}NQ|)5WCUQtWMY^2q}A#_V9GB7x7<%3Crpqd&5RPncoI1FV%o*~AZ} zaoUDMqx6N%Y$(5hry&UlPA)ZP0X!xWgnYfO2;$L z*%|?F4OeLr0gvn?KfrN;QZ%BoiZ>rvQNd(qZ(&hrB-uUB|F}HfI+kixlM8x%BADrQ z5Wtd8XmuZ-nZ<%|(5%prv|Xq(_6M&3b0m{+J;B^7ppZa=?t7iGMJLxJ?Lbn6v-BMZ zKc;~uxDu0_n^XW?ZIpb08S#76=2hhzA(oxD>`j`0Y(uC03y`t*gfFe@5n;Is7VL_{ zP6v`5#}QU+>+9W_{&b<c z4C@?%Tb0tfSj$5=zzhz{n$~zh6$(I0Xrxxk1QMbO9%Uyo>pRB*ZF__)Z73==ROAQ{ zT$eZwDDt<|QlD2_-Ji>q1PYPx+veh?uiZMw0Vk6ewZ0q|pNFHyLy9?_%43JL_c9ni zo|9(GvfrDMqbB%k%^TTgkc!ac(3j$(Mj{ety#NQls*9MjO3`NfnTca3;j2obga&&1J(GAg}~i4g-Q5INK~< zXDQ^JgS{DDd8r^1)DYyMGC>H#ChtyyC|`Fd(EF;$4!|`?+i$%kAVMyOESc~#lYxPO zTA@5XAOikIaLzqm+lb1I3BL&r&>#sG32oIUjYB}CVz8yR6>?X0Cp0E4q zyv_;rk<{-(JU`H1;(~LOG}dt5NRB?f-CEEA2HSa$kjC0INkFC?Z4}Yxfddk)sGadF zd;O^myrhp>hnMqLI4LBkhlv5LZxgZrFDA@K>h%G{Ev}}(Yd}I#7TTCm53Qk;v`*4u zzbf?3-ypaZQd5w;3s5b=lUN6!);6P3=OTB$U~8<>suupL?0B})@8bc?7??c0S3eK? ztUakr!09QEK+6_4Ha3bQ)_7_k_T<8EO)r@f?A@~_Xux493v3?$1O#ZJKysb=uo*Hy zAm#9@i`65Mqpb0r{270r&`dsc(G$-_RSW33@nIBz>s)dLu5so6S(B zVC%VeFf+}hxcC~0_wf>MRN+;GlG0beIw&VJZ#^k{82Ex1GJipZ)olPqGV*Qlx{Aom zZxDE=r!8cxKP6lhY%(_&-`RnMIc~HiH;(Cc7{PD0CiNzYn2H}-b1euS7m6nR7*3BB zi076j-0Do8gEBiFAn{l0*;Mj64LAWJv(UC>aoajOYi=K#pWp?ROjy?k4QLon$FuyA z)JxccD%P&4nfG=a>q5Ny0RNk4nez;KPXS6Fr7R)egz*{*u5DK_YZ3}1CB1cWH0oH(jf{5Z3T@}44(X@_ zxqx<`|B$;cQ~@8>>E0NM-QF6XV?YV-1NSz~$Z|hjbZ*S=)!9b-Oi)$PsRNU}EC+z5 zTn+oeDLF*&(@(T^TC2(Yh;!YLK6<~$+o4>TGV;&O;yGgZZ%2-$vPv~7WC3M}@^{y4 zpE*qVp>8Nf30ypGP!b)ap~Nkfi4#_suZk=W)q@XUTV$1tr;Np-O2U491s3ZH2tgIU z3TMcUDq~AF3YD>Oa0JxyP!;;ENf=b}9}NBO3eMAdtN4bRFpvgJF$fkWDu$KHv%|;I zc~x!E2e6NFk+2wg)u*AKtL~Nf7Xl2a5|pYW6QF1|o8ns5T7IE04ATVp(o}myh`tyX z^!t9n#yxb3yv03sEJu=z$UTTU>q-$@x!>Rskd^GT$Wr<$`O2p9U zi8F4bGiN9ouk+qloL`CI%GmFAO-v?C?(ITAAI><#qmHZPVnfCGm86gqp##&BfBt4l z@LEb=?OS18xLnk=^eVp~3X|0qieo?KB}?n`KW}XWuXp;mocI}Illuq1516j5m3|zS zDg3+Sd2ED}Fou@yL1Xv~j)IDP6FSkwB$vd1qYQnik)3P|BS3}K719~siI7M&iq^nn z!lug1d9a{7|Dwb9sKSar?30_p`Ics&>WjFx>(;Eb{_G=AQ_hz+t!`bo?mX-nXJQxo z(tO=TH7L$zfKku>BW@fPg2$|V4K<^BI}Th0;tF_mn*AAdA&BksEgj+5Pi*BLJ4S|^ z(fgDm87*EZ8T^%}QLDTf3GNpx{;GbwG}-1Qj2g4DS$=SWevhG*2e@I+6b0kTucD>blaM(-ILVI}IUCh^-Y2?!B_6hTdnQ<7 z*uku2snvt?Gm1<27KQ#9mTk@XU})>D_<9vNjI@x?FN=q3dVn!=4J@e3MZFE&8cAX2 zSypzdjDl%eg}?dWM{fA=KF;(dcbbp7jRqUH1^6Bxma)3&(_M~)f5%Lhjn}*r zj}_4+pm>}8jytntuCxh99N2LlJZFCpg<6{#ZZt6JS6vDSqM>TdE8a8^ zq`g+ur@?8hvRQOXS>`mLC+v$Vs#X4&^VM$EFJ+U)yiWTMDH}_I_1}a0fL~;!IJ3Xj z3CcC9O*FQKw1cLlEYzjsHG5k_Udky%kpNe}ozitjX|rx`uL_;6#&4lF)-F@R@<}!C zR1=q3GG{BL9m7!ab`;W-0zEI@HW=1t2On_%8YTf3(Hsuw#Zy!AeFt7CLl@1Lv^yvj z|9+?IMul+1h7-OaU%G|@r@|Elqv^y#MbZyNNH9S<^0bIzT@(5J4K!5O)KRT@BM;NZ znmQ~kLm}c$@Yl+?#YcV7i}`yM2UA0C(4l1>hh9ql=Y8?@KRN@yG?$6whi_Urx;p*y z2>)kY(82>$Gp&7@Uc!G$f8gmxP6DBw-p{`+tL+EBO; zl!Rl9&y5=B-(hg^-vF>W{=8vG@?YRQ={Y*^zJ0!|+Ds07$A4G~30dHUR%&RG4)a^T)T80{R2SU3SYnZ8p|v7N`;Y&A(7SOvrEBzgVOMVQ$2-(z0g2^V*CLR0>*SoE>EKJy3w1}~ zuVy--Cw(xDC()(uz`(mo}Sz=GKCQNQ%MyX~_c+yzK6;HVs ztEPco`5GqS7WwZ73WZ`N2+CK&W#7lWN%EF}=`}6b+y}ru>hJ4--s`ts1(QFfJ0;^l zZX6g+(BtaMzlap=gu5wNr6u90t9j}@m@$1j*=9wj$FADE zil^`=!`E9FS}GKI+eXXACBo2m0aP=Y^gbqP@4Mw0*SwDL$BKk*WL&OdFN5kf3$wCB zsdvcRoTNzYqM||d=J7Y=vRKsU3MJf;Bc)IJEp1v3|qrStvq9Y`Y>wU=--?N^sP&0B6(Gtavf zet8ymjvG^#{oIy(W?}ceIe}iADbfFB+V|dbbUb+7jb=>g&A>E)H1GEbHDL|Kxhkyfqncg3d6z+e&|Dd8Ig{PzV4@97k&$e{o3> z--BBckE9gNxs|7LEMgV}BdLzE@)ri+`YN&g)S2JWIBY-T-}`OdV1>Le+JTdw8@K&k zHjd%DZ!Eo@_DVQ~uWe-8V4fB5~(} z#rhL&bf_=9_e`7Kw-2$a@Z(%dasb4ow2JCLpVWZIXw&e^qGvj`_GIJv;Gtx^P-wpo zg?SiS1t(kPimC*htG^V)RMv{Na2mcZI{*E%=6EkK>yG{{67adw+dl zAM>~-V16tx&>!(h`gOUUmcU;gEovSt)YHF!CFE&2KwsS+#~i~l+!Mxy-3VTa`OCwQ zo~tJ?E@iT-Axo=O3Npa%IuAF49XPJH(uTVJcI}c20SB;kBRy>Io)&-^A>%#sL_LHq zj27VYq-6h5FeYsB2*)%;X_$p0$ZhKkJ8>NBM)0s$`UIGhS%eH=&qy5-=G>3Ueg|4u zNp220M|){SZi}^m*!D$dG=;O?(YxRBF|YIve8U-8y;_xIr9@}@xN-*gY9Dew8*>g- z36~~We`0bSi3~`xcM+l(_kulAOV$zH#D$=+KANxB*}RLXAAF#;KHMzY!i(?8^kaFd<@>po}ngA)jjX)`gGG#f(sOHJZqAA)uw z6TK5-Pd+@e-o>0iJrG;Jf;XoOB1}SU#asA^(mm~*xO&`~iPPp9WuG$TOL9(HTyz%| z7JVFR{VZ%I3{obosis2vy+Eoer8Cr@prQuGHl zv}-L!_B-d@(Iw9^-Wuw|*bx=4x3!!O7kR~=35Z-9ES4oEYl)4|czlu+r1l^t^Z3}A zZs--wcK=Z+v$j)U;NJ6}X_6e^AN8&%>KD$e0xq}mxyK-_VWf}SmA~el8@)CqO0mEf zKZdJ3+3AWD4M`gm%}fIsqDXg^`;Z(kiR`11DpM1@zN~vrv;n?4`*f{l5in6Mc>;1x z>Ev(}qjKS2rN?cGN8IIg}|UyT77u06VIglnvl%@IoaHF+US%zSD&cI?!$w zag=sCnK3!Xj^#v0u+bfGO|HiwAX-jvNRCF)T4PPl)@h6H<}q2?w--KNo*a0;mr_;H&DH9e-T+f1^1b!fY62G#Fi`bBL}M^by@>Us^Py8X#* z%Rag;YxvQoOP6`a1~G~$6C3W)bNCaDxVzzsx@|W?Dwna&g0F4keYQM+CRz>!&~oIh zBL(tHIY8w>gf}==>~NZ`i|f`q&5&ymo*@B227_i7RbOvFb7^Y_@Llft*7Kmc%Refm zN$ms*{46o2HcY1mMs?6-OywurH(Y)rw?np2vxp_B6{X56^C(h6eh(YmbO|kA=|U%d zh^oUVua5ebsOMDRgqWeIs%l%F zfaP47Oci>|-q8D_tyv6o596xcL|Lir9#R1^Jy#~??cIu}A&uKeMq!u@Np7eDQKD<&NpYrU3n)LOsCgj{TM%a*c1Uk-U(7)o4za6> z6HBBCRw4$2aQdG zd~sl=`+C-45?S;VLj zVHkRJzO?Ph%eG4RDXnZG%KiWzro!ZifwT_xyy-u_TTn#XB;7vupc8NoBQCK!zJScd1 zrFkeUWM{SLg~0Xft@GXla4{QPaRnBQwOV}=f$1~X}Wrn{ExF)IPx3K_jGGPQR#**+7 zVzAx5(#R*tehGd7ZZq~IP7uV*`~&kz>+iN4yy}4xxRxvd!AHy&Wl2R;P_l~k{TWB-kx~$FYOPT$@x~7Ea z;gBS=vz3Ow!_g@S6XAdCahgx7iKpO!>#0HKXX5(CI?$XYFv4reaO%{WM4b3hPnX5Z zHEwiR*bG0ayhI_i7EDTNl1VRBVp2GN8eV9cu_(issq`rpgtktQ)CIufEA_I_6JyF4 zW%Ni;xqG4mEDgD!{965?j@{Ec-pV3-(-Ms+ufqgi`)Yy&2_?9%E7M zFld(#(_~iN$O)8}wmMIivdUs4^UUh3getyp)0utZd4ww;a8E^lmiZZ8s>)RH8LOZs zjEHm3MGnmdz_V{N!7JI&D^%v|z5O){p$?-ofI)Ocm0_2`+Rb8fKv|L4&AmO$YL$%h zz2ucx4DE*1Br0DB3~ic0;0%dppp>jzYpsF}t~rhI-jSS^0VG}O;})o_^B0l0<=`2m)yBkK z*beP0zP$XoKJ`P0j3JzQXdnNie^TbJaZBXF=A(~+EqGR8x^JnH*IU;4vK7<2*&_!H zJv@8lV5wf&(!_i9V`+djl-0&r4|Te{RyOU{qNtD zGG90=W{cN-umYn-ZvFeq=5KCMzA#JS8eO?w>-W1OteOfa;-wD2LFnOKKUKxS*bs@d zRvs6M3lpv49L{UM>8UPW(%GMXg059MiaFrS;U!>m@csmcPz^VQRo!#S_F3epky zP9{Dxb{Mqq`G9vEHogu1g+j}h8riC(x>+~j;Y`$NTPy~H;@&*}#V zBcqxswx9FI_LFx+Spz5;Z z?Uq!$SqhHI9XgWUfFrw#5A!x^qYv^14MFn*B144|cpdhs#AKVVmC7g1PN<_qN{rAq zF8jk~$lwBJuXbxrA}+zWqs5i_0ygkd&oN)8j($JS#BGii3s?Cx@4T9St(e^6`F7!G z{1`prdZ*F&OQ^AqgbV7F&?z6j9$~IVb=U$Rb6v7G1FCrMsD%(2W~#WzeKbLTnxi8E zvzm0B?n%*ZnS}>LJ1NzH1`eF*Uygj~>CjQ%DGIO|^tZyG?R($%vE^4K=T?^KR^k+J zGIWNW@v;cS;uYd;NJ2p)EkA31npxMjdjAF;3Pb;6e(25%{Vef3=%VA1P`bgJc9sH) zoIe0=j}C3#K2k61-_4RQ5nr17IJ>2=zoz}y74Wqg@>qbbxU#jxoes^iyS<3BR&U=@Zi*qHwY`P#< zl2P+Z&8jSms%IhhS)}@_sCf};CzTcmTv8bYbU!+igLZmS{lvuQP@2Wvu(c9A#1PIBI z=|8D6+=d~ho}P<4hQDn&Cn%DM*oG-r3+X2j^in9($jF(g_<_ed7U-{H`G3d=@a^ky zuiwgTL)zjIPoqHaknNcPoyz;Y7>c>Ubv>};u)$X1tpa_rjv63#S2&o-$Rp(arc!S; z&v@?e6-b6y2p!6ybyvh#zu2tCV|wq%HBelXv;^lX0OcfLZn* zCCp!KyZ8i=V5BfJUuG`5_XI3oW+VNrhw#Uuu+_^gw5@iP08_W{l~a{-CA%~(&Mi%l zyjo76nKog}yGBh)K(QZ?D-~mcb$WGG0L)u{0VcbH!^2TCAbsmU#^ybt7wK-XLOv`N zr$(6(+K$s@=G3ThG)%)&fUY}Sa~aTe6VHTbj^r{d8Pn9Z-N!Pudu!$s@q>OPvwEvN zc(weWiPLM3Aq7qX0muOh+nr}eO2ZWN`EWVq_Q|jrwavr0#Z}2cnfUy%+0y#y<-e+G z21P~1hF%bbzrVjmY(&m?6d5*_b5lJ{`$>`BBD6|mG}mWe4=<2QMI^6P}86@8W0-E)Pt49LzAgY3*=>{ zO0maXQ;qb=*KU{j{Q>ZZ)$He*WM*bVIN&T=h9DhdbzoWT;pm*oQ3BGBDhrcVyFaYe zDh2VIX(3WZ+R@oxjs-nz-i+}~x!0I0%6ebxZAN?^r?p)O_Nw`xBVh?QXJr<@JsIdn z2AG!ANM5~dh3rLfp}?d*Hif=#((l6#{RM-3u5!5x{5)X9oJvDQ{}bpCUau3kqUJMw zO6ix5z(~2jzbuKP0dcwf7h6ILM*J!Al{@1j1t)%iLg2U*EZ20;{!y3HT9kz z!~LF9cCT%7-*L+~e(Ht$z9_OkC6OnEt{BP|(zehzp3vbZIBwr!4NS{k6}pSM5f+fA z&VXq!yxGXEnQo`(&{~%|Z<~fXY%|2*aY9bCnWxmerjO%5xC-|B7I2n%c6A=;E|}2{ z1IL606h+sSqMr3U%kA&-Z0#3gP;93|@lZqFjnHm~pw z{J)lIDv&f47b@BtI`-u=(1603SnTu|)lpxN|IBFv%5voazzLKKhpbqw1OqvM!uCTX zEPW1gp)*n?frLyAhTximW{f$eWR#_2(%^Sgv`43d>0#S=rhle9e|6Qv<)Lz4dl25E z5Fe#G>R{4`qd2d6#HENPX5iY1@2L7srH7IcB00-Ff&32K$x!psr7M zuK;LGN4S3({=0eL;qZ@f3%L+Plb99B6TNLwpY-DaVmy8L-&)i_AD@-qNsNTISE>ja zV`57hHWhz>QI89tm*1bO&Znu+u=%6(f0KxCJzxpAItlZ;GfAd#)o&{47Lwi0w$%i@ zNJ}sLBQ_G#^DocI6h0VD(uk&=ETQ#CB}KOYVh7ojqT4l}@;76gp6(3!cSKgw|1 z3vD)40Z*4bZ)4XXB|+3~3q7z;3fetm%^={2SFD(&@aJf>%t33|);^cABAn_6*}XYr z{J81?hQ!G#TE(6iM>Wrcj=|{Wyuu>e2j1!gi@V0Q)J4OjyB~9$FncSAjK7bF)*pu# zF%@os0Q09`1|=*C-s9i{53WlkdSkEi>~sb~Hl!glsDiN^aqbfD8&@Ei#mg+Y?0evr0+=Z%f%KYb z0CUgM46zUdWdJg>To>cUqS$1B;0MT`R+YzA*Mok!jn9RE(}Z%hNuL@72?>coHaU1= zb*|d<-NOg}n`5Hp-&ufzof6=pNCTjJ32bJv)BPa*R!BZ{S?};o-Ta>(1RNIcY?mys z8;*`;4BB$}l#^s7T&XYw+dp1P!;=de4zJkU$6VNr70GTyq zml_pX@#X=!d^U3|Lx7{~)A=y7T8oRN!IfQ=B$4KL?Nx1nIdK+%Mg0O|qO2!0!ij<* z#4lX|OUQoru1EfM*8>e#+O?<-XaIcUVybuzY~pvf0}PXB8MUfJ3Rhqoqf{>2}%~g zLe_S^#*A#{$#lTZQewG|kXOp$`I*FK0bmm|x$KsBDzs}qb9sKCQOOUFKR!9B1UP3! zYrtqVe*0rePZ+B8s{c#7@(zGN642u;0K8r_hr1hw1{e7 z)KJj9w(YELZ|A4xuoXG~l8*YE$|X=%xO|QB0WqijH7emEP29BLLw)!Tnk7KyOlJ!D zmQJ1$asYNTO#>cMIt?}@09-Ygiwnv$KQMorsF==^1Mnhaxfy7@aN?ocPn_mPnJcaC z_KeE85(@wz7xT&9-u@`f9?Tj$>V8b+O6<!zdTt z*B6Zq3?ucK-8L;feVXfl(a)6MB5|4Rj6;kTf+>yTQ4mr816pp7eDu0Ir*u7DN~!rg zDq97!HzQ+cXxPAzpuS}_Q*QYiyY8V6*AVUHm&$mipgM0|1YZ@_{`qr9& zC?9pr%S_z?AK~9Q;;=ex9@WcSgp^}I=}IM^EHc4!{}yWI06-{d#$xxIz7tP9H~n@q z8tU9Y7zF%n7Ol3tF|h4yQ)0IQ^E_el?}SV>AxLuPA|NMKu*qBOcMLquSQ5{M@RVkJ zPDU|vJ(0ujoeV?sO%Dz^F7)g!kSeS7=tvn6UhW3FXZW;2#kEX;R4?&O#@aPP;{}X5oDt0SBph;e5D(`7uFo7V>kgkt~U~|zoB(NX=|cB zJL0jqG}hjx!OGF=F?EZveL7VsI=i@N^ln*cr!Tz(TxsVd46T5rxpEqpZ8#$da0~3V6?tKm;tzsBCPz9E)t2Jd zTETq+5)i0sY=KAVJ6U7fTmS2^w?dH{QJZlql43tr#IYf&C-UVIsoJ$5x**9TCtJT@ ziHh_=(uKP~K%Aj|h^LawcSribngh6{&AmK~Hm9`@uPhrleU&>W&Z=rEtv597~gUV~GV9BJy~Z^@dD^Rt+DHu^5IQ{fX9p`Pqg=wp{gc9>XVosy<{;4|G{S zp((RBD>+TujVM5D=3ps*dIA_ml}dy5=rTfHCy8d5rk1sAIYK+y@SFD_SL_bYV{B56 zgwuC}Y23`NCo9XUIF3=?ok&MmHA*>RQ{~$1(;{`>S2z{){DbTkaMW7e9P1@+W-82= zxI`}5{_rc6J-5yQuV{WK7Ej$BxCok;~?x>Hpm*S+CO zfLO?`Rn(bPfLt$OdFuH2(}K7dqaUY@R3qI~6ZJO5?{4b{ohLx%CX$e}LhKf`E_Xvh zQ)TvYB4d34Fh5Ly7|k*Uh|zgaCto^+G)m>*`l1CTX#I>aZklrgv%!AVvmxJJMH>p* z>@t12a8RIlUphDQooSJ`AKk>P%>?tX>*)wrZscKDWJTGhPja&k4Zl(u8@4&N<`;C_ zzfwTSVQtjdHIROHue}y9RuN zFKbR*a}Qj#ad4L6^?By< zqP#mOkkAzl4C;$CE1E2r*IbYc404_*R4BUj)VpZHx(-Day!FMEjd}OOZBP^isGQ)` zAgejQi!fS})8QJd0lEs!8HMYTJZMB5G@;x%_e3C8W(p4(7sx`Ar`0_`rmRTb{uO99lAq%s z_#2tENl3(f_f_8=mypN13wp#7)irU?`ip8=v8H`_hPAFPQ(5yOE(beU{*pd#?cbcELtY}v*6Y!90B$Bj zakvOU`T<*qz;D?fzan%wx}O>y!|$yZFtRIfdAZy1OVNaS;#^>v(q6Z&j+?ieL;h7=gbz)w>{*;O$KM! zg`dwIDgUi^-b#br=#1faqw6rB{t!%x<4RD_Qba%bSiWDHiG#qPXF&>7lv6<&4QDNt zP)56I9;mPx9x2wu3EMM2mU~KsB%jU(v=jZhgQ=z(?U9F_G*wb8VA zfr~EURN)uJM$7kNfQ+b<0_Yz3dQbs&MY(|Rh4w|pg}ZwI1Dp-lK?3yUM``VC6a;Nca7YNg{#Hczi69m$}Z89-R)DK`j0HR~zn2-g&E%6}7Ajtm)vZy#IMrJdb5(a#b zt17e{F*q$)q7vN>0z|eiH6EHeR0;@Zihh0=AZ09a=|CdmYZ_T6{(2`|HVLm`)bo*t zk{yNRgZ1En1p2I}lBOoboISs!H6M&g#^TY>^AQc;Nl-uXw&A%oS@?E$D^$32e&^k~ zL*=^iOE0@7UQi@U_!)5Z=L03GF0J7G-F8JrOKH(8toHuET>k?YBRuDhcSM+>?GMPth`)~Nm%oqddpxy}F8r1) z=ow-fKD^*;xs#Rl>9#B-mUXc&!kUPqz`!7y$C+>Tj&`x$x(Mb|mm-cV!M1Wbi*cZ_ za&NKW?ay5K4^d3^is0P)YzAL9hy?sv0wWvQ zgg!*SLYg3^l}V#7_&!@}CIQXL>tzT5oQPo1xZq8CX5uU(C}ii2HFLrt3^D=QT%K`G z9E&v7EF8 zgjqN4qi!S1d{^+f)qRBit5qaro>;;O)3i(KFOq_}al{8&Q+o|mQ7eF_9CM%CCy;(Vd;#YFP`_v`<90`<|A|c#{?5? zUu1dX23ZwdGX=Cgjt#7bn+Cp9ssI-U6vbD_i>6#}B#Kc<3~mUQyiM)yACuGF!Tdq> zaCrq2A;)$`kret+59P6+<~Y06f7Y)N|Cx$K`~_7Q}= z>V$>M)EvQoQaPoY&sd`PTs)!+bwcidpcj zbKXfiluB6LBa}zgY&x|-Ky2+(J#9ak>9`uppz*6j-rW18Q{6rC7GCnTk8J^Ifpl=} zYvPE!E4`(=j^L~*ppjmqq7#p)5g3R)^?h>x!;j2Rl!^fmw7cceLLL~KTtrozY#o00 zt(fH&@5_9uYhclODG74{c5#^|b2m!;3#H~j z+_H#ix@pD7!z2IvcbQK8mn|DK$5C#w@eAs#;5O7*YvG>{USDUO+Q%PUA5S{gT~5~| z-419>?iK^YTI`k`-IVEM_a^Vwj-5IX818`1`Wi0e=cqCoke?`Bioge;&lZBpu-)%U ztp#lf;Nw>4uO*HfKQur zUqbXfG95Q0V^x*_2()b7+!`AEKfBW#Ba*ABw_b~|9cRw`PFQ%^(ZC~Jg!`C)r+ZT+HSYudPLNGd!YkPkemG1SVII3jna!`_N0S) zp+2e@iMbYqWG10J(hw{^1KB4yV^&e1}Uhua7=KoqD_~ED3SXc z49Dj^{?~~UXp$TKc-<{l-8X;MM9UYC=eS=w+$(+iuw{?;r+ev{c%Q#2b_JqP;ypaK z;hg{L+yKX^N|AH|2zw9@F$(>HLPYqm{bC$ZPmkBc>BFPEHH6|0K~oB}YTGX{CeAid zvNZo}#aYiYe$W5g^ZfNp0^4vv`*0a)z1V=VN0;=k zsZ4O^6WLc2AiYP&!-FS=MELnxZyn-Ki!c8KeI?q_Dv2{IO|GJl%;mDXgs3PA$(-|! z$;K5Bz#fi5NII07xLZ<0IJBQgA3KMHPx!V22Iali2E24~qct{D`3}Q>{V!kD$hR0D zy7IQ_U#K<|FL5+GzoSwN!G(rq7{sffj*{)iS5g05aHk|5N6 zq3s6R@(CsU7f&q$cN-+~O-aucttxYa8LU!{hyE-O(E-}pBfy}3J!U|E;jacRnmDQ1 z2TM{*RN$$HCu$4?*Ls@(~@ro%(g%O*l?Ed*hW58 z(MSD4(V&@MnSwZ&*jby2yxZ=SsmehX!)m#UFA6F)p$<1V6X!w_zdgt|vD;Jz)H7Ab zWS{?F^W(JlTaz$5#0oadpo7gp&P~(kppIhx3AM}~l*Lp33KhX$Re;c}v?XU8{Z@pT z2{($&D9`S24qfc&q4EzUn7KdQe1YHlnxNi-HEn0RA(opmQUCSEK?`&@F}s4~`pRfO z4Swshl>yp`^1?5VwTrh+5|@X~!AT7BisoazG<=3WXVBv*4^WD{NpU-k3W`7rKO)f; zc)6!hEtIDtn$#%QieFhb8wRw_rukP%pQlUJ)f#NHhcfsZhlLy zcLKp7c!KN3JwR}`jk^U12^QSl-CY6%ch`-(JJXzd&%NhgGxa=GGw)IbVR!GgZ?E6_ ztaWDc63!~=`sK^JIl2*`6Q=-pmsBZKp#yJ5ORA8zJzis0y-~5TagGv?xrb=~-F#UO>siwGns@Ce^20pBr zk>Tm_#=G`k(FK}CBK`n_mKabwwb4-IFm}D-O;%K@8M_VqBM*4xSAf!GaVMLuF_~*{ z$QvNKeK_qStM$T@pm?Y;%Cat2w+q1=yp1rQPW~Lv;^03hE+X1xwmS|zS+d|^RB0do z&K?M&`)Ekx6I*p7K5}du-7TdL;K%a-X!i(uSZW$E7dtl3k&c?l%sTEFr~~Hv`4~Fo zYM?B=J502FBTC3RKvGiD0vPhA8lCn{0_HwEv)D)i9C!8j&+N`L7|Mg zZ>jRQ6}~K%h|(`n>7r2^uinV5zP`DQq{}qpdXD&fy%C;RYpVOFqP6bc zUDiGR(pSLY@_WKzg&wEXngv@Q$o=`Dz^v=MyL&Nwy4sG4^KdaWfmP^GHDAGZ-^MJ0 zh?-t-yq0}1lHKZlLS2*mFb$NOlKN>e?C8)%OA$7>DmqS zk--Dh@nogxNa^FnguH|^&=@v^fVF)QZ=6vGzUWnmRj7oL~uwUt&>mK-j(Xpt;D z>fqx=97m!%`Mcw(r0BKWg+Nr(Je_mH^cv2-8#luM&#Lb?2<4?#*qV%`Ue<2qSpCv{ zf{-sb2J_z4Jo7r+O;@#fwIu>Xr`EgQxDA5@6b|`(i`1(9cVNX}y%#fO74rKkX=al%r|KtMTrN$Ix zpwByTe*_$AS%6BbN6#c%D~(1k;6AF6vk43wXN(KUY&yyQ*Go4 z&XbdjnXe|+?pDogstaW|iTWI0#y%!Kan}!)QNZleRZtY@c5~lnAFC?~4YJjcz%$%G z*d9K`bF+eUP8oJ8od7NCTIdD@S5?{4@sUm6v_=8(T4fm6d{EqIFqxM+N!NJf%8+TA zr-a$FmLa+mj6w0}I;xq*TN@{wxa-kTg@Ir1Y3wL}vq#;)t&;%3AKJvtp-pG$eHlP; z(;inKzdp&)atoip)vVESWwX$!;AF&GaaUBExcvODgOw=6>XL!-iPin=%f#F!f57Hb z=4fS&u0zCsNuw~V!2R;^!FE&@QQQ&8yQkllj%O}7>IZ@(Ftj*T>UiFsD2n4A!v zTB1{ryM5;E%&5jS)C1|TvnDwn1ZBFMudLTZ&NyuBrL};=60C-mJOmk9d8B&-eqBFl zeu}wP%=A65Sg;}(qX#9IJ(2uehnrdRQ#FdLh;PBi#9qE92b4pFFxtu|;9M>M;vRZc zBZ+x3wV}0jY*)8l*I{?NW6d;%t?*wXVGv5)L~ITvsnPkRo`qNdRjz^gz}icp@D?*V z9|Q;`X33xklVH0R<30`DKkn3VYYytmu&y`LQaGINX;}LnT5Bz^Q45tBZ@S(c@ld)f zzfc#vBm6?aleZ(jE1=SY_H|0+FpB{!r=LP9&pN^Ybye}wz-NVMouYj4%TS`~?T-%0 z8n^Q;`s5~h0D@-$x&+Pfc1vK$=1~aPY1@=Gx9Jzfr6zJ^m8IA`SSWzS5bB%zjyo-Y z+Q!L!#v>pYf>!OE^~381n1wwkp$Q=TM2RZ62QH4#8G2OSr6~{=Nrpevf{^yrqSI%E zCj-x*8XR2XCch|lkK1NM52BK8PX1O%MWcjA=hY$KNZf@ieRz8Mx74b6j$iPqkDn$T zj1C+}ub6PAWZyF*`#XN4gPOT(wYGt{wJdA@MU|5Daad*O*xhv~yLY~>!sJ_gw zhJoeT!|>1v#~GB%k*+GM4u^r?&Xi4(J3X(L05p&TEFy<`15tFZl|ZG9-W;RX#(;&u z*M}NI>pkMmI(1~H!N=~a!-d#b>?$ZmU}Xr~joUt3TDdS=4w6!L_RBBClZ+=7)8N|!?5E~u8ZbIKQ zoe8*qXKUsQdkKW$I5dg?K{K&|lXNF-(31r9C$7M`KL1k2H>K0+(Xf{5Prx`@-c07_ zkVTMx3>lpD~&U0%RrQSmhp20Uze~F#1VE-+4`h>y@=HLt<6e$5Hx;-+t z?dmJ}H*e12A@i#Co4>o`R{!7B&Yb_F+PUnBqca$_fi9RoWKCY|Sa~oHkq{1ce-$%L zx=->RE`JjD*_c5_rQp5G5}s+qsu75qtnL%`2>H$L&es-k6IvmTD;f^m$9?hWmHus9 zdsh3?mXDl>Cf+`=n3wI03Lgwg#G3gk3X8y+wBKwqe1UWy1$5{6yN%ZG26=KR31ey2 zo_*21%5KXZ=dx4e0aG==%JhH@$#%Eux|1Goj~9C4E(+r|5P7q=P#0uu_rEEiZ@T_3 z70}iHPX*L-NB{pv0aXuTEgvXtk9PuER?RVxqTX@IiDtc?a0Ir02;tm`h8e=92W`m! zN)|&U{9~B21SbE^(=sJ^RHi}~d`ZVX&h}}LZiz)S1dZ9D9{$VINTH)2&TRP`x&Cj^ zDC3%Eyo%jUq?1!q2v-YoV~LTz>f6qImHbt)Oidn4=RPIR%vg9Owj_=PhtpA51YK!?hhOR`);*t!^$m^7tr;Sv+P9^iAGruD*eSN*w zps#=fXSx%Ir|!-SwD)0aJlX@fGa#=#1y(1#*Xj~%cN+1SloA+?q!e3@2^p`TgB^Wq zV7!XT_Y98|Q%NN?=-3os$hf|V1gXLr`{5wy1mJu4Ma9PIE8xO?HE{N&Q%)>+hu`k2 z0mI52mX`p;1Z?>P=#k-mYG3>TwBsThEK9c(mwz=Jg@15itMd7mQYzSspMn}b4kS1s zWOWz_bmkv#r@G6bicOrKSNGI>M=x$EUo<3>VkE@9LvTorDmC!jg62ne+&9vd2}wC4 zXBr58$XG1aX#%B$KLc7&)>b6vYuDiaT`{E&LvfM0Dogu@_`G1x4JR&~UM#mA{j{?c zETVOwL2CHKz-MrOV(!DvAHO8|*5)jvGdP4Wibj)flC7w{l;@yt;94!Wz-ZwPIaRB+ z!pLWa%4GD4sLzKbrsv)1n!jl%Z%AsCyx^^v)mV8Kxx}RE11Xz2CNwrYI%zQ>TRxHN z(^vhqx`J>PU};gPsy%92b=^VFm8-ptSc(SDZrvq>K&Cuug{NCvZ7CKWbtqoXOJnHg zH{WDnl?k-Hmg2MW{%l-_ivN-M&owTfw%(>k&K47G?Q0&6BveE!ab~(f#oB|$B=ENi zhJVr^k1SbO5pZD*L;`Q(P~zmU4A=>S@(~>9`9D4zcu@OTJMv4@>XhPAe}M}`BBOE4 zXd+5j|Af~eSd`_~nDQ0WD5yZ`=*cYOlk%#F@NX$qY%GcES;8rhOkh4OO|_6)&weN| zYZ3pKI0-L*E+K*K<%veQ;TR{V(mvK8kn_m6!c&KKBBRfB?Df@c4jdP5G8pKzpq- zi;nRCmrHEfWq$>{Er;DU6LjkMwM6~%wr{}O7r~7KiX-3YS`eV#|0Sd1F&F<^MlG)3 z|J5j&GZoD&fJ&knrGtD_2|3BP2}Bs3Oc?T|Nk>P>iQRt4bJ~|X;n2R^$f0Ub01<13Y1+mzSegFn@5>03l)(>NnMfne%hy~ckC66Q*X`<((95PAPm#ITD2F!;OtgI}Cz z5?;&vLtE8_`Cc?b`HD#cn z(!lfBRzJ5%f4st*JWlAJi|vuQ4qjhGJ3t4}A^;=10IfnCp(YrQ!f} z;5)BBm5R-29L*exC3g|Uqt}*8Qh}#DE+&pJ@)c9Mt~7Y8ofCR@yG!Uk^)vtQD2FU3 zLOC$Uvd$P~OD3ptuV)^KkNtj*$ljYMQci<-8glY`@!b-&G@hvDwK>cTuc^>LWmW9= zDfw%l=0D+G?oL9Kfvw*nf3c2yh8wobjBaIDpOZ|F6Zw}5ifCHAgoNih1JXYlsT0MLf(ayqdhltbiV zy;Emu3132xomzEU=X!aidU-@x>zoihYz}{EzyGV`VmQoYXSK(_;)iQ^uwdY^m53A| zzqT!$lT6Wr!q1F{O#8>RnjxP*Ku_d-w)usH-ZuS5GtRCR=1OlaEsjiEZUk0H5^^4s zH%X^_Zx3!B_o_K(py#R}ZmB)tEPVKET*#4wWyM_~47uH^oNQ8^EF62Tnk%9AA#=gShUhbz z9Td3nuE&G;z%QOW%%~KGy0X!YG=6|NrRGYKN#l49us7{LjG@jzSHP<@ z{vAi&bD}0tP46+mF1x!4`MF1+-b2${9{iqPhJHlQN!qmG->t z0k%9diZNEeC463Rjm3$9;TYHQTnH;1$uC`wb+x&B8$A}Sa$hwcV2vzePTtwS8&+dE~ zn49#=>l4{hqAN#Faim|m=4!ri0P#&FdTm}0p3=!!o-CRS?=!wRU#w)sajh~fpS@FF z1;#lU$txGTC8?hbb3z@m1LGUg77u>TZ}t%)EeHgR2THg~ekZ)0uUVK;VSmy$3zWbc z2a#!%L^e$2s6J*FD&wYXIgMYXtZZY~+OCKk#=@3;?dijv>Fn%EUf=W79ob!0|2)&W zc$yP!vNio{-gH6mePoHV?%gR>gqAl*Q83WW2!F-ZCa^*8_Ff6SCAMRaRQ>a4y>CWl zbILK(GQGE6XEVfxi`1!UAcnqGd)`^I$=EhN!|Q2Lz@a8;+Iy2(Bf0DHVafPpdEmO5 zQ*ydK{ED!2n~bb?Vc2rw3}qxsTtb|1CaKM(b^7W4s+Wzcye1e<;0tjTmf3+2@2R5E z3UOq6?Mls5P#H<7z%|4{g76?=LL-F?ha|@idVV)K$;H}yO0dKvpeA)fQ|n>Ia3diW zbO?cEA?kB>c7A9PjU7{-7ZQ0=IM$XoyV%x`?Nv@z1sAav@wfZqxWq2fFDFOUxjJYT zdD>j6jI7LnUE5Y%_9M$Cf}YqX>#1Vi@V?Rtj$oa10>@Xoxo;5|Y>_zVOdU@Ecbc}Q zbsek2v6?%B;*z|Z0AE!^_-z5|SVbNL;q& z=Z%|4C53u<^{>&}?jJGT*Z%IXSRjNjcs zru4pf9<(R^1cC$eSXq-#7GOjyDi5=)R2ekn+ocE&5#};9b9cal0H#uWepwA#2a}LELC|@}ra$#c zRbC-2mF@whqq6*d(K>P2un~+)vh9>zH8YImJ^NLi62ZzJ_8FqF%<%_n9^PK9$ z>xdh#N>>_6ivywr&hTYzcyy-J_%2ay<>vSUO{|j&8WW_q>18HD-(pU7U92 zGrGg@oJtpef%)gUes@ho3hia&U8m&Pgyq@q+Ku44$DQOMd)2ufZwTZeGp0mA-2b@t z?XBJ69xt-(_vLT(Cd^*Cdg{{a{w?`$Lh8i8z<&i9 zACRLh8a8NC9$IQ4hdNthW~E_3Vt^lLWHs7HiHJp?I^)=mGM#=%+R_Z4W14i*Uwb+o zA&X!K&kIXqgKAERdM(PSy9{3k!AjyxmM%7C$^p*yxRkcx=bT6bt1aeFlv?T`e8Y$N zkM8GsvGDgF3*ySHeL1ksA{%gugEtbt^2k4XHX`{s5rM2W;dyM=eBxZhbWw4s5sFt^ z;xDEfpGH+l7H&th1ge#z_;uiM3zJ113h#U!%dcVx)ds%ycM(R$@$9{Z5uk(!F_*2) z-MwA)YrL57O`A01pH+JFI@~~< zjX+!LjnmpTnt%op_2Qfq`O| zU=!Ew+`@9_`alFq)b5gow!oCA$Hqw$M8fwjHMs>0GV(Sq*-6MGEi;a6#&MG#yfC27 zGDTe>x(IsmgxJKQtSDFIV6>4n+cb2fuN$a#pQ5{aE3AAWzSbI(pYnXZ!k6Nfn)C-p>qR&P z5=8P=*n@BA!Ssl5LBNSjm}^OV?hC@n^6O;Cspl;Y|QW_`*S{tIv$&JX8}}R zOdvAkD=7CDVSNvaBY5K%*SE|Jatng+uxL;>NIdu1Y(p=Tq2ODXSf*>nHs?&aS{e66#?`U4UdMsK?$3H z=+Q1Tt{Bx8zaB);clL$>?wl)?krTeeDKIY1Fi1Vc|1N@k0Z0Aoxj;W$)b{~qT_JS1{FzQL^dHk%w!C=j5yc$P-{si5L+Tv+1+TF$h*+5vRFU_wB z(=%pH;#$Jh_JKscBT^gfD83-^}e7XM;k(!|bv{_)OZ=RI~@ z+qGJY{P7fc#QE4iRok>tUQ8&|lk`IdL0xid* zMPIj*47W*F`|cjN0OSp+q<>L5)PaWM*D4#bN0)xibl-zx>Ey3^w!GrYlkltfRj#iP z%Kfqj0m#pM95rtItcA&x`tV@Cup`NDf|6Vx1W|U7JeuYg=ouWQA45a*pdiIU2Ybi5%gNMMQ3&CYF~P-({R*%Fz`w|<N<4UzPS2JLnGhQ+ASNLGh&YU6`L5&f<_=RTg}$a^?stew zGvxTN4&@qq=4``1?1)F_MA%%h7PbQNvr*+JlhDrl@=-eKs$P7C4~}n=Syw9sSv>fW zShcP=@6FKVt1zyCFSx8%;>+$=0)~SzO4@9^^NTGgYDgT@qsHM9*n~cokZUUUD6>P> zs{~)^&8}TCkU!f{hh>g3(%1$pDa}Ji33Amp{VS;YA8v1G&-0B6l(N~E)x;}tGM-7E z)69L`_{iEM>j_ug@}z!AQ9W}#=xvZhV6N7-lAx# z8nbkUgKPsn)QoWzz5tm%Tgb~NpRpW8cAn#N3vIMeZcjTlZGvYzS>@S@)Lu<>{3%o) zGAfImOKW%OOaK|itnh8H9@CSc^<9M_nl#x%nA@uWCH^&NSSlYy+Vdw`q3fp`l!|9j)BZ?AX<-tli)ZZ!A0ln>-R0O zD>xD-q>YXtV8$ciuXOj)qqIKWOhALrS%)cs<-s(i{N*UwCBo(FFbpswxvOruih$mc zo72Pi21@}LI!*MElpazfhG)Y)UL@|3kQq}aGEf8xD10DSK=*oc}2U|$J zam@xL=XYcj8+$#WohqFMCDAt(klBUOYTa764^?hNS1^g63!Vp}C+0V$Aa*oZ^a1UO zaoLCoZ=O43)Do_kRF?bZJAuqGq@wb+Zf2vV8TX55NmQ~=kI5ZvC?J6^cWiqUO+vKK zG6H`la9b=M%`e_BtGeo;mU5|cRtu!$^{wdy^b&xv9M_n2A@C7KH+7w+q)$hU58wwi z5%eB^44X@gV-b8FRwfD)Bwz1`bUiqpp|kapWTB&bMFLmW=~qUAqvw7uY$%A%FvlM* z@H>GGzPp>d8d2FGsiOI)A81_1RTFj0fI{KiLv}ejaX(D9f?eL}VkdP@7c?FQ7sb*h zdD9cA$u)2zaey-Sxh?H!sfewQSu(q;s)Gyt_$=T%_K6)TI(pve28pusJ28rN`ypyQ zE=8U7oz@yGn^P8#Yd>&-tfv>f!SZ`rvYo5Ik8Jtp8YDU0=~(IEMANd(C~cPKIh8(~ zPlXlc8l5}@WNhlaqa?vc);g=?LzJ&nEzVEcg6VV$9WN%rg%pQnhLw4DcZan8{0zc_ zN}jwd-9vJtALX)YQ|xC|hgX&{7z^xN49piaDG}motY@1=5wb-|5XR%nzJIe+aQ|~@ zs`0Wj}kYk6@NxY79V;*>?gtVZu=@z|| z62JL$?@bxKIFdEG>!}D7zLHG{FER@^%G>Ubq8z*@?jkM3n%=Y-$b(7gX!_sRN*$WM z3ETDfg^l?QBU}D;3l1bi6+}Vs9mKpbOoxX9-2aMw_$Z;_Yh1o(0Ur1wJGeCrJGDWT ziCogUoV2jev>6H;b?SMpBvT~&1*vP-SL;zMSlBWTxU3;a%E%e}Z%{>1cB&}xzXn~u zhSLyPn}NMG93|RgTvm2nDM!yG)UL1CmhgjM94qrnU#Y3ID9^E*WQm~TWm4(H)AlSb z+>bIFwaBE_?hKHf4PwkMn3hGOOel2hg`#`-7Q?Dg;)ng5OAK{iw6*sKtF71FxIXnw zwGS&k6Nz_uk>nDFxO!JJuV`}Cvf93BGp)CivE)@`tK`*VfoX-s|Af5HJ>VyClF1xC z$p_o!T`t*`Z<$&-kPmeo|Et8LaDUIub>6U0xZW{6I=^k=^nuNj2*wEpVyvgcDQUKr z-f6Btx%|tuHg%7J5Oh<5qWfOG<@!|CR~-KcrDvcX#zheRkp&Zjg-XO;nrCzb4vyo6G5sg&IzJmbhZpx<(DHhH>>? z6~$mm938kn;nyP?MdpFS{Ce|9=iOG^?s8WNT;FIzuXsM*z7>cn$tY>5D>U8N^}v^9 zjbcX;y+Pd|@n4}Oly!x3oe^erS_mDaN%k5{A>IGdEZN*ciGEQkVl#h!MM^A)A-sWs z3QrYkXNwC**udL)j!Ll3wEStu7=CyW1YOyjyoEhfB4Twtw^Tu#m4el?@hb9|KP_vb zTIuvR^K^mj&Ne_Z`R5u^HAX?%x(eCvhV&xE^%bpZG|1IKPGOWcg=U@fxPlwRAjaK2|l2+00?J#XFSz9j=psy81*nIv-v9dy+>U#=x|8@7a>;OS?L`U(h zA+B(utcHfKx&-(J;xl5Ztw|&X`6?Ix?&UJvBYm zh&3}-e-vwvewoJ((|c0X=9tghOL^TsiW`1}rS+KDWv181<|xM&&r`2c!+`TlHU?Ks zoqUwnaa(VV>B*M^ee-R<->W?e&F&Mj&g{!J()-xj%d0eR{YoGFb}qzySZXNAx_8B4 zTDmKS>ci0Wv!7eP?x3zKP7DMK_OBd3Hw&vfj&&h0@GUB@O28HYl`Er&=`JDL@_BPs zrbJJR;Kj$qM5fYECA*e1+jlk;tH@A}P+cn+t=S2}P)lJ)get9@3}(>&uOxiB>To)T zJf}){TJ6^Di;z%$D1~)@eC1%$we-pejWsQw#3i-cR!^z7TOL@B>V8Inp#8&&{hbjZ z0cS>xujpf?tCIcCe=JmCyoLi7nozMT|J|wmuODIj29~cPwkPIlt%xpn#{9R2Q;5ov zA7bU3kxt3wx1e5iD|?Sl(hzHrqLBZyw_G0hZ;}}^M0E1-15cm`f2xO#9NxbkNi~IlOs=+JWgrg-G5e=U@(9!+PGx}YH!4-q+&u$ znZ-`~Y2Lo|vtDT>IcDcCM{(7$>?D)llWoR_I!&Anh>dqWbNTOL<41&g%J1*6X8`$O zY(id0_Hf};MI`U64947V}M9wd{c&fSFdLqoZRIF#X+Kuhcs^xDWPexv>h! z1KBQL?=E&Z^xI$cydnk=`OneOY|=yE!9GO4B!AT+H03f~>3q|Xo{A@3Z%;&krTabf z3%3Ig9RsHn_f1F9ABE66G~*A{le0wcO$2JpIfI8&s_L+d>@pAj%Ch_GpneYfxR-6q z#iUuC4!DAqdctsG$ER~;iE?b2wd=o&h>FtbwR!+9>`(Kpo_xanOj@jL+Pfl=oz<-w_j#)=)qctES*?ErUDk4q2g!Ml-pK0 znb*$mxFy_fhVn%JDX&76W@eXKl#0$JIQ!Kne`2Z&#BjoKxiNXiCv@@Odr+EV1c*~Qb>dssngLsC?Ze^0L_#RKX zbftS@QQHncioPWiQ$z7iZE2Ce^aLTq-o8ulXKK!9_s97FerriJVnsmX`=1N^;~B;G zY-}}`DAdx|FR-lux#@87(GNN4a+xnEeq} z0K0pIjcK2?U^%`!eN>7CMTF29kM|RA3Xelze9Je_DZrr&MWPx&}&}ml1I@Q0^d|uY|1z~=4uQJ+1F{l=*0KY&($ZeZGvE#0; z0yux9op|AFa^%xe|Ag%UMEfpal$E$L8$Y=lI>0>vGA8g1fDW_lvevr+?%FCN8Zth} zSQ)JN%_zloha!;Dr8d^OnYC?NL&8}|5g6d{$#kUm>Hhv0eRia_V#;jV;BVkGM5rou z2aTb13rg!br2+81dpVzfouI};E^!*hqW{lL=$*mq&z0JIa)s|WynRX`Jzl938PE0rqYO+R4kOj2n9J8^2Yw|d?qI2652%S#1^ zc|Dv;(krCD8cO7p9{NyjK1C0p7+i+{A{B&6Bta?hjT|Koh|U`MW&xfDPRf+CRYKs0 z%B>CM4}uq?qSdA&q>#N6!1n)h>HbUw)Yg}??rT({ar+z=yOc~7%1lCGevhiS=UBm9 z^QGTw0Pyw*+x;Wu>j#%FwrCK_SZ7z4*~86gzaI>ouCPH5Ia57GJyPz7-|KG;8W}K+ zZ)AL7Ef`E_eL4x%PUE7M&Q|Y$SSV_K>6j({qF3u4{qVbFA!0#q0xQw&&{E;?!ZGWA zr|>Dpyx(TEMyXye7^ZLR9{4NB@UKJuofzTcNGEps_b++%)WPt{Do1Sm{69?o%t}4p zRap4`r+$p$J0s%D)9HAFaM&S1;`y--{M{vvDXSa_f~XZjys)ZRi?a0L_JH0N>P!!y zF~!YLznfC@{0B3_fLWy~P3te9GOWDgNfDzGCnKI%?YKsJu=AT?>cxK+fCO&Sb zJ$6*W>Y(jofomErEm8! zwBLz?ue3!HEXf87;r#SZ1A&sHB4hgv<Ky9T3R0;c(l|e|_&9>1M%an?>%x zoXJy?JU~E5C?_3Hnr^+cMRY#RL&ZuWCMI@jZj(0pJNkJ8mMb#R@Qd2NT{IuZDZevf z&#ZV+g4ba0(WCHsGP8=IPee2-V5X;&xZK8QRzPkMu~9s);wobq&=q&b8+IDD{e~0~ zYt!hIscXIqdmv+^dAxo5HaTu5!baou`op*XQ`Cu{1)19pFuyOmOSE6CVOd%!7L4bBm+1nP!G1gCqNV#Wg)P6wYZdWpmelzIfM zUZ4_N04iw~vp}Nk0CEj?0AeT?h_D*lPV<%n*qJZlCb61+xP3q?X4VUq0^GA*GoJ_? zFZWby7!Pe*Ljk;n==S6f+}gTcS#54->COvPgUx!vME{Ic zybZo3=Jw|@$Nn?I7&yZrn;7#UZO`j@9V!#xOV*Wb7b?U&gW2yt{W-_yE=McT^Y){b zozEV?aPT`P{TIx_Bl$b}-xrbZyHDhb45h_YnA4=QElIA3YMDmOVA`j0`q|SBF}ztF z?EA4XHhJqVxM2IiMq!lfabq!jS;| zq~8be3*(A`M%8y#E3GN%n})Y@s2={n{Abw1`vw5+q#-{kMB(n86W0*~mRE_P zBrdghxfI@-jOw@TT7u3406fV2S3<;R7VY|u85sy?gjLTaoZ0{|UX{TQ?w1V88Pr(d zZ9}ZzpT6hGT7+%ymP+3Y|9gP^#0-N~vpxVPX)J5J6hz2D4q6Qne2j5bz#Katc{7y! z7jJ4={eCl;j2JY(KND)dNe;R#VrN#=VW3|nMcXgyKD-5JL##fDJIT6a3%AcYfo*moT%2WK3x?jMzUO(%Cx zx2AX;#K1#*48xf4)k9M}iih6^Kc0w6CJMF$=Jar?7RJOdX~D%V$`LxWT3=^;&9&P! z%l_OmB0xV51X2Dw^M&@^CgC+Pe=$7Kg6I7(LpbAWKfijSkAFK8KVs3W7SmC6CNXJF z8gN2LzaXKzEVehhiJ_mnq+W7f5jnLMm<$p3p$ly890l|F3c*&PeMBRDSE##GVe^#c zBtz44d3T8b`grW7!jDwh=3iQ!c+Tr`lw8>i5Mv|6#aHi5hb;rFmYaXUp_Bf>-9fg5 zD(w`EHn99DfyGHuB!~&D|g4hd7=xvlA0x$j?Dae zae`D@Ka^8ClDM2U*R+V?p5}%Yc-J!uFw@_T_ta!EJ2o0L@+tFK>1b_sef~ zvDGHS;S7Kg=&PO4HnNQRL>iuQ)7N_%U`;5xR58ew`Sa1@~UwFU(2i>OGWcaU{7GC@Yqy5(xV4zef)#lN;VsBr21f{hXC|SYpy^Ly+xqy!qft+!D>;=MF z@ywWyXW>R+45a7Tolc^Z=$&ePpOCp=9(K(z;KwG&i6_1YYxFp3+A6l!ur|Gtf0b^+ zyV?&$8!tLyoBXiy{I4h!fl8O-3OPfugpNyl*$5NUk@aclx|CEjU?wyJkI5X z9;;IW!`y+Mz&WdoM#A(!UtRoUSm{G$d4V3JdQs>!P77Rzo51hhK+CP0j|K_zJuB!g zUg7#^DdM5|&~*^rxJH>&8~)ngO?!=ctii!Fr(AB;jGUFcKi;D`_SUyI&ycG65^qt{ z{EytMwt;DtvXiuPuUyJ-0seavOUfIeI!ldVI_>|6CGSjM(*>arWCm7Ad?4Kt$85v$ zxx@ui#Hi9%o0XuN4m5(&+rQRgNjk(|z?*Y4l)-ki7XkBszObe(g>5V!{}L4TDv;eY zDr$S;MEQK?h*Vx{81&kOa&9Sk5Bq?LtO+8V3k=m;5~B6=?wWWZt(zMv?E!)zn;?Z9 zHc|@p&I)|r0{(>cLff@5bFb+)G|P1tHd8;#G#p}xh^l6pAZ)1>nFQ$Ef3hX}|F#x? z{6YT8Q!GuK=5sv~%$~CE)7K2qUiu?Z^c>TfK=5SUv3+XZMZS`VA=MeyE91!nwADmn zhgMLj_8ceoG@FO9!0li!Z-=Z;oyS2O{2U&$_Uw@Ary*mD?bbz;?+Tb^8XX)%3+Bwd zwN|F}r?x*$)ANrM&3bsIityHU$4S?6+^+L~(Md#F>JLj@{pT#B1Kyuh$?5o`>y`^8 zET)?~C+v6{gSy<%Ccerj4ceQ|u_F~J=vqN$siP{5cRt)7P)ihmNejrs()Yi6u=~#5b%NIha6~HAubwdpd@adR6)wc#Ik$7k?thtpC57`QgTmVk`fCB4WH2 z#L~x^=k^z~<5Y*49$sqFys0+R{JN{pWVoxO`oU6kFkbrm22ijLd?^n~d}P{ER&EWd zc}A&}pjd{C0r-!l9!Ez@MS)RdWa=ZTQvVgxZp7M)>jzegU)2OiSNuIL{`Ik}G~Rz! z8BgtE3IB(w`aA859h{c*=c9=ALTv;9N_J%Z*`62bf1A^GR`FZYPX^||77ejs;JjgY zZTAmz!mvzp)1pvz9C5FdRj4?B?Crsv1%JN%2NG^uwu;5b80DAI`9C&!r;fpR7I^@l z1P!)p=9S|Srg@Zy;o+iToXWMMZKn#vpNL@GPgPcdBh?`Xp){$hyVGX{v134Yb(SQ7 zRxvmZn4$qlw&JFnula&#t97bJD^ayM5pL^ZXvl(&5?w|hNOgLBp7kyvKCuW$6CUvy zjgPNnDm_aUaId8wK3u4qBJQe3dn$h@Z;jereoINI%4>6NO+!}97|&&+t4o_*O^?ie zw9+ONyT6LZ~pkyKdWZc-@uWS3*XBFvVeSY`p;@W@s?hORg!Eps3%VT{*t z2ZI3(iodQ5laz#{1QqCE2a=4EFimKNfU4>mfB;_NhKD|PrTTCPblb9b9vmd|I!SG) zE>$=2pI~4Cp#ABd6(Ngoaim)`VhQlrGbeji1%~t4GEhlkFH)V|Hxid?Yc4X-dJO>S z@Ir2ZSOSaYg9^;8l0V>1)3lU=)QN%E;0yA(f0GJn2<3Ygo9}Sdgt%b7lN58RJJ)#L zUpa2{qOPM;#4>6qG369$H61NANdg4A(prP78IyV?a4qku5P~pf!zN@ke8X^=q)N2v zasi_+Z%zkb<%;5mg@;!L-UtzkKt1ArP4L=9`9P~Yhv%ImA*;TR;VU#wHzwUB%^-WX z!9KY;wGv*L7BEvrssLW!o%`b@RkdLc1>amghwnr4g|QDjO8(H`Vy>aGfES6$?HWz-`rL$oaAOQ9(bBRTtH*U zX*gLlE{(uv6M^mcaR-PuNm)~^bL)kYavNsTt(yfyj;C?GQ|kj^Wxc3OR)cX&VBFf; zMc1)`Scbe(PoTee(BTiRLRHTcapaqpr6!)1CXWMk?ZX(2w&-M@VDn$>p80lJD(IDq zTff;T&itg6VmlGKwFoD17PnpFy;(dy@Wei*mBs-lvxNbYXv$ zuAx1Ss?J?Po9*RGhee2yq@6ga^PqOiony}TR2~QMwrU9Qq&jss5NeVU)9RH@|JP{4 z{f3pHG*XanXtalXIQN{jS~ecs+JdraSn8Dy_}7lt(~yT1xqrB3f)n%uWM1=4AuZh{ z-8>)<;f2{+_ob5{tc@hN$A3g2layfyz?^L?50qJ{si}F~*k$x|^HXKpaz&Q~nN&@?^7z;Wxs>uG(X?Asfyii|A;-WO0tAvgL z?z~r$-W;H2n14UGO<%Kf557;k#|jsw15UV1Uubx&+@mpAT-7T9m0XSZ)(WT$acH z9a#%cXwz4>;`!<69-5G=v|^vmPEhXmwrZmzvmfm7X8)iZWc$d=`1a2aD&$@7^46!D zjVQU@Kkx?(C2&a7`HKFFbji-+=@o%=nZTNm(eU!VAY{?%vOjYp5xnUC+T;876L(NHmg1XMDL(T_ri=9$opF;r zP!7Yne1?O0wc;Z5&m#MDx527$UB8;Y25bNRNlmL9(G8mGKLh*(C>z+B^g z+WyuGGx~;ku#Y>Gc7BpBz> z_}XsK(FJ=}i%%u~L;ZrS2mb=&habh~MW;uYEeLdu*;8VMFEKA%zJ5>z$-#;@|1QZ9 zEND|rpN8FjdGSqMcpg-F;J(hJ4EtzD08|buE72D<;xmN79vCKRmPjh8O))2%?Rr$- z6!K5KPg!IGr2v%zWz~whFu&hfEduN}ZZ=O5(8>aaMnse%5=qwz80f@|oiA2rV~~-f zW~582$F>BZKb|t&fmiuKU3JX@ydUt~)XxE&e&>-5{ z75?R0^R0@@oO)GQE5oP97L;}tzLL`%4zp|J`7Mu5ZWmnhNeb0ZP%2H9Yaj5&>AxtM z1uL5%S3&(QSEqz`2l;e`$Y-%@tpMbv!G|k@@jlUddn8cTW3L?WI=5h6yuH zt4s>*niC#tuFDfg2FrF_1vM+|l*o1ab^AaLU+4yPJCyE%Uo(uwewu z8YcTUCBlfK!T+w(gHT~7Ys1G3VBzlhr#S5|OtiWfrVo#P)oAw$#nk-^nD5G`Ny22w ztWvA(a}Vp8z%6r6-FLmNcRM#*2G4uTTk6Sq0?ani_UC$`arXZT$F`4jRkS*~xN~C% z*9HGtsz<^j2Su3UxaZi({0rrS|4MDcf9PK-AmbB-Om}|<=7b#?H~nijfA7bHe5arU zKqx=qo;82Zg)HcxqEhA_Yd7}yaeCoDgst$pLp(^ha#;KW0>%cDj6WW8esud&5cLx- zqlq-YQ{``@k|51r5SNf}bC1>UEXYT#epLBWQcfzhwmQK$^2uJPbQM`jf!zRxU4eH>rVAGi*K zk$=3#*0c|T4E+25?1;nna7G>I$wp%Nv0l@AIBS9)L$g=O3ydVUVcXU z>y&5^?smB7diY*M9ha6Xt;xe&O)%y6xc)5(6C4z^k72>I=z?G>hq9hogXp^ArAH zi(ligm9-3w=W`~IX>i^bAK9Le{8m1s@oKVQi+N1y_d?=V#0l%-NO2HABLruJQ3-ax zkZ^}6erEW|Y%2N7=~D6X44--R(cfl`q7*jZnTPIN9tg<{#D9LCUy754$f5-25=OwNv z{NJW`&jm~cueZH_1Lgl5ML3-T%ecd_>pj-?qSqrbehB-kxg0!Tn)=sw*y0A2uhfCH zJ&Di_4>=b1M;4u?&o%T?TmPo<|7;AGES!iBU2fYMOBHdX=i*9q+UI|8$isl}KQSRS z2ftEKvr-j`hpg!Q5FdXps`bC6`y6+~7R~>B^&LqAi?!qRkn-4fft|hf;0LBhE7uhs zZb6Q4$bTuZ@8UrlsofmbCp8s~!R<#K0b_L1iI&oeSrOXlB0DPm@)a4=ZJ<{}k5$iPM$`-jFz9 zYHBjyG5{;rH4YxH-v`-@ONHqe{htB%-ynqCUGRkFMvzXKfzW!-NB`&HW+snoGyWKg z{~f9GeyTO*5XR!t3FH{5;T`kFVCv}f1U=0_ft(Rp$n6Hpesj& z**M*c*LiEz4Jyjx0wnx3ES1(eBOKcQ;eWrM{~I{ls+y+Tn{wb%PpqO9TGOZkK*L;} z=6I{sN5FUbPm~BFO+5mU#BDq%t6XmMsva8U@IMhL3PKf}GFEYZ@BfCXXp1CJre7e* ze)vnn4(188J864Bz1Hi$zvcgI`zucVKXM;Dm9&JWuY$W|+(OSGW#WI?D1Zm(2Iu)o zizjJDJoKE^33W`fVHWoquXN)ZiL2vszF(n`!PLxKhUOh?=yg=m+W(+iy1wsp@Ss35 zH~enq-kkQ}uU%gqMTLBNEJJ>Ak|JcenPW;a5wG6I;n8=@YuaU>dSjLeR`nD! zyh4$Cs+bv?&ekJ90-IIzxqh@Gfp9Bn{b1PovDX(odc~KyiJ2xZ%IO1+iOGqJ>b4^S z$TPpWjeKIXXCWEUg@4Wba-t@QJ|TO!-;xvA^tWy^W1N0(et#&?D~o-nbGYf`GH0Vd z{&})ef6ebof`HsAr{g&{o8072{1XNFQAMLOC9R^~q+jQ-r&+)8$e z(D-C7qM!UgEN;|@IDyvPVy$#_RAs(dRVT?ge>qV6QcN=Pc~;fL>atdu!&utU zy8C{}Q66=^^3BER>7~zW*Ook3hmBrh$3_1#{PZXIGcRW)XSbz)HLd>5uegN2L+wF> zponn2roJc{$%-=EUb6yzjpSYWFbyEb~_<;7^9@u=Q%Gz-(3@)nNMV^^7OI+`Cs8kOn8M-j6&{7 z%r60;=%vZEHrmvNov{4Z&JZTuzFi_5@yN&U5m%cRHRAspKM!jFrKz(cm z1nNp%s@6IauB=;yj@N1VvsYTwH3>R9r8$94%bf_}_>vtIi{0)d&e!=FC+CCE&Z37q z4m0{6Zx28e-|6>aP=r;4J(WU4A~GjPi-t(}ND>&0aiW^ON?7T*xfxlQfQjQ}R>EnwYD6rR?(U*z#Vdht^7xZ} zuW_01f9%)Ywl=2Bn|qXAp_UW#yU86GqN}sDHxogn-@!}$`cTlpzOLMF8rF&waX8dO zUHGuME$}#w6XE?+DKoFr{QSOxE~DDy;n^4Sv|hWnZC7deo>N0@bDYL~ALKTyk{Az3 z^cHm_Z$FGMK2Ip~wc$$m&bm@3{*GGFLvP>9^q#jPuct=Ypyic!wLDO1kg8WlFS@A$f45-#xfI(`6&Bs z10B{F*(lMEU~wpZe`18o{CD=h)Jq6ta5PNVRC64NDqg-KSQ%`-6x7_Xc#cNJ-@=$Y zET`S5=4c<1h8jqMJ)7x7IQGW#`}nI@2U%_xO19ZFUV~TryadNa)%ATIPoCR6`eB@FLL9XICE!Tww&i;6{#D5>qJ9u%p`WIppoCeESxU5^O{7GUT zXAVA{+=??9cl0sD*L1rvEa>}TwkxD*`NPBEKd~Q^-Gb(%ROF0`hOD#FhB`AnVK0+^ z!<<(Y32Tp7b1(48RinsrCgSB?j4fPIJm2yL--IgiIkOdkk9nz%m6K(9=P2}=83k_F zL7M`e8xW~3!ftipJgrw9VN;T5tQM=@;m7N}teLhQoknD>M9@{D8RwOLWa9~Ug6`MC zRSy^Tt;Qk|9u9Hww+m#Sei~p;Kwz&WL7|9Pys8Oi43H#F){&$uUZ~gDu!vio^!LAd zc6>P=VJ`884Q(dxNk#n9qx6+^e7W6Y&T4^=sTfMJw~;W&zdyh%ii5I~`^gg(P@N|g zwXK(uwFnz0SJAxrb{e?WAJ6&KR(j9+%!PB+|8i$aM={Ta-rgNL^Cojg?#%#4LnRrl z1DjrShsU6DJojP<1WSjb;>`E-49Nx}^ltfFRHX&WsW&Vr|5XZB8BML%4u6=s`Qlv4pn{m5U{J@um zLg`H{GVeKv&;nwD)6OxteVY3d48u&AW6M*q`yt3gX_hrNc{>BAM-TsdYjC7OU=mG9 z*33d4$<*j^3BdQCoF-#JiZagb^V79F58`Primorv@+tBR%BsACz6kbtl%ZSX1N)wU z6UB3=R3NKQuy%g&@B<*Ns`nBw?{p?0@~giK*T$rQcZlv+hx_!x9rw6Ik4vZ_n&{_& zH80x$Bx%_j-2khGPKit5mC_*nz2y+diXi&M(*!tAs%Rp0++I9-TpOV$`}}2N5Vx;% zk@s(g#Ju7Q;G|@pQ~&q6d)pvosMVzR5wmA}ZP!)2Y^zm^4(Er>=)17^Y25j7elOYz z?iHC7p5#q`LDZiYu9bQTzo;j~)<2Ll)}$korc$V(&u~i$SFORmlxeY1i;W2NE#_hD z==R{!I}#A59_v#6*2wgAO8zy7-R!+ zIJ_d_qoVQw8ezEKpGXYkmo-^!3DM-N#HLJu-*rDuNJ#M9!qr03wSJ>i-|J(9phn^X zO9E8p_b#x=e#}~c6weIo5I+Ml|1({G`uW(I(?C~`yH1l>yhii0MroD(~a|(-P*EV#(F0orT02SZd(dh9aq4ChB^=Qou$q4cfz=reZPI0rS08?9Z zzIJ1sa;~BTXx5wX4z{6CERf|>;vaxWRDg%i<#pEvX8Lx)?I7;>X%V;_ zMPPBO1g(T>?^Km*Rwu}fZcvI5)H&LD{|=;4M(>hxl%@L@@#aNQt6dSxPT{fF?YWnc&<$vIRx{^rk8F9uJ6(SstL6CG(>cYN z^2u3P$hI`YS@EYB?Yx8e4#VFsj1(t)>sbviu!a9=x616xgDez%i1w^XuLGqPfY4z< zIXcZv*c1y^qhr|$GJtcE3HUvusUr8bhSPlcPx?6rmp3>|FFa5#3JWwcmGbj~S}?Cc z{i=TfxX0^kPZl!PM5lOO^dONy7Ab;W5+w7J66S4j)n*N_v$JntLAv)FPUL?AcjkG9 zXeM>NnfuG2bo|IWW*02T_HWt=Kt063kF-7UR)-?OD7CH$kmPGY#H<6`aJIK(CXZ)? z-nPP5KNWus-0Kmv_rZIhO)D3_w%>OBB4h-Vm%>Y~pv^aiek-6{JipqA+7~lBt67n= zGH($rbh*p9HJy27dRcB|n$DEq|8 z&1-6kro^G$((+5u+ zC=FX9P@(AMfD*;=)ydhXibLVA`S-2y>muF)1AWKF+;5%%s#YMJJS!2j_ZFL*k3u>+ zI^y8&NJvW!SRHJTeu|4>dB4}fbYD5o039DijmGesk2dI>(4q&FNRfH3^AYDZ=cj;m zeeG6OLYS`P8}P#M%ufT#0A~lq#@AcG7r=+eoF6P&!(+D-*UguM(UR^9YtxU`pzSzL z<2=I398*4Rm0@`=Upk-9tOq-d0m6%*9*#Yfb8lf>lH8^J)+WUfqG+2!dbCllq)Kst zg}F`C@DUWTdAvYHk^2%mK2qzXSg6z$G)Sf6HlLJz+0H0SdA7{n>5Rns4k<22tu)=O zACrGWOW5&o1uw$ijl}ZGfr@k)_lCY*Hve5LWR3Xd|}KAE&#mG3-J*O!kwW$0^-lOtA7?Yt-v%Zn6PU zd0~#55^QCV%}D&F?V;z7w^FV6lqguY-b{1D2thPj5v$(5EdgnJSl*zh@1)?ne*jb$ zHLY~)&!1xWp!(nmjt!BE_4RM!)<3$ZIpAOKqf zG56#xz4vyjGr~QDqhs%wXF-Y$k(BZTtfb!kwT}k{AM>vcr?uaA=C)2Arrsa_s_^f4 zf(rkESqr!R2Y5?|96q+~sDf_0tCYUyJ?ueGA;Kf*b*`so)ceDGyJ!Wry_svcZ%)3A z-fkpV8vsdG=j_(_{gD8B$^aZM$@ioqR^y9_^Yl0U@!a_>_UfQ>MM~Y$k(gFGwUN7% ztBRh!zApxn%(j{Ww-NSHxA%MRY>WlXAonht#=$-*#jqub!5G#Q`<$QR?sgQ6>Z4~j zma|S$DEM_V%y6uSzLkd&ro9k@|W08%szA#?H7Eu(Y zHSNsjPpVLXr!78S*RUZq2^24mES4qUS*?JJZ3gx{Qn-i(3)+x0;G%G0oEoV{Qa9Wa zAcA0EwZbVdwVE9U@?u59EtBMV^A#xPLRbrKOZlA1M-EoVubT))M4XPUuKH|~{tdTB z-a)9UXeA=5=Q6B~1~dL@(hj~WU9;>CU&Pc}9|RGpLOw4U`l^DGcA|VKo=0mviUKR* zcM2A~)+u(hLGPz_evc3@{rINPeC|;qs=jgj{0vi^9`W&43Wj0)2pf1wB+-)Ad+64b z{IpN9$^E!5<}rS;vcvzRX1xY37+_abbrHh*}I(28$c3_%vBh2PACNmhk)wv}?5 zpT)1>GyDiJgX};~23%ZkJtrbD-6#^AAIAJnrYQ=skTh#V*F+M94TN>ymY^XfQh2-q z-FL&)d?k^nlZqRTUzC$k;iSR*u$tY#D3V1T_2>6=*4fX))|Vct%XJPZWA?~ zU>*BQsJDNxy58yP)5A6yq8V>vm{ZYq>IbmN_$AMO+}r09)nk)F^~E@vZ#e^vA>y%M zNH@9BihM-osKQ`M3xhsigDFP#dco%Yn$q(jxP5kb0a5AUz9lpyK3ghz{}a1wmW?sq zmB#snleaDx-%oj^$^u-SWiAd@cz@@P8N_gL-zA4cV+<%*U%x2AS>S`vV0UdozF+OM zVX`A`ruKI{fe2EjF1er*FvgB^e-#V+p{~{3d>_4Qh4j6Nxcq1af1z{|EBBcgmeou} zPlLgdM4NdU8?Wp4oFg>R7DN&!OByvX!Q3S7P4Pv(%@2=y96ix$(*7YQA_ z^iD5RSmzuemA(0~LHYLPWkV^__jT|uo%u?+2u)K@x^kh#-5!ORey$vp8gg!V%F!R= zY3U1z`0a^G)>$=dJ#^u%UG!SX-%Ls6evUPfc!MPmbbvdwHtqqYD&n^i;N2x$%R0G4qa z0U9y3Muo}S6+PcKn06;rg1n8IP3}EI>In<8gFBD^c``wQaJdAlBpx|aRDY5@3hz)k z3JLZi!b?4B+a@wTj2*fQYsU}peAu2e+XiH5xWk7q#60^vaqRkH-|m;vAd6#rtCv49 z?+n-B;yH+eFPte^woZa`D>E7lk^wH-zYX%t~;#M$p4k>hG%|Titep^iD<|jPx}6~2pDZNVmgN?-MXq2FXWGT zSVeb+Gny@^yKPYPfAB}fiY!9)>UIm(%6d=v_;J>k4inckEC~{7*)Ko$>kr}+i>3D@ zZ`5ecBr#ModVEq%#&e=TKPySQH6-Q@tlXT)$M`<*iEJLs$1YSnbQ^hHYv|o#J96{^ zrp{5Q`08+x5%|z>(n={8e=gbXxDa!nXU|?lWg$~fMyV2(^JE5iT<&QMSZiwAuQ?qS z-s}{`bIKyP@tQy((8Qa4?@Q=1ep^mzl2c zi0Vch*d5N%alclD4!d|bUN$XC^p1xv?!V#9CNCO#jmNXiswkV?dcFNNia$Q1IX?L@ zT!5O!+F>JT&^SL6nN6g$MWyuaV;BB2Z89+{wKb&YVU~15*ot*&{QI1Pfuz+S=dZm? ze7kM1w%OtFTm?=RezfQf-^VVZO_1lZ4xFPY(X<^FEX@!wsp;A+yQWYp3EzrnYqRT# z$$}=Y{mYP{<96Idla=Dlf;di|M>+Xmo{k>OGT7P`M~=e$&#&MK)6vvY7{4wZzqj0mDdZ3Vo$g~Ivsf$A7eV-hfS7Bksx`7` zHtS^3Iu>SPpl+iin<;r<6^Ule}QI|!Wu{!lZn2y3S&b~U3;m>P${#d@B4>*MYD|Xm;n&OyL z+JAB2b-QCFv-@oHsxP{!L5+cG{+PGaCzF+bzpgx0;6d~t)n-9m%7xGRiPkpi7k^&T z6R-CrWJB6CO$i&MHMh4t*6PN3drHXyz}zrYQ^g{zkv!Pzh_vuKzZXP1IxI|lz=@1f zP;%R{@_6%Bq2&aFtZw*9DD$HO{M>;BDTjggjTd)(92F_W6%8y-Hx|5dQ2ibnpYl?Y z^8)*rU8~zSqO8~cgoj1-`y69ct)cfYX*aaG`UPW&kvN~r#p=4fV*C6kOF|+}#24|| zM63cN9CVz^{P}n@UOkwt?15M8R=0Uu06J%~Xul?AMD$IIt8;xHqss1+pJETV88)rj z;2KgvQZ`mpFJA^grr_Y<;-`xz$pR-mY# zai((@IHsP3qtAU=nDRNRs5|RKy(OS$R?NvbD3$l#6AEo`_U&Fmp*onvo4yS!m&|S& zjh+2KLbaqukFFjh{SDjRDey8lm?tsUke%~EmTkwbnK#-ZG6WiRfWPovc;l9IOD61F zXy8!^-#YteR#$AcQc1c1a?EU~{z`jrx}K)-@bO8QP7ac`*L6yp`%n_SiP$sAbVG~- ztlENf*J=CNjxvKWH@i@zx&>;(nxRbo^IENY9vG7nd1pcVlFXVa+|Y7|twHf()bb&@ z`0w?DMAfV#c5~8qGwR3-irts6veO}^JnVano)kP*b0&WDb*&>3=-v|`4dxs$oUd~n z_!NDY2iq5l(Y3OLuj3^(z;Hgvb?ymdt{WY3jNn}u?ZHT7k+r6jWvnw9p&IjezwaId z7xczqM@-nw5+G5$*GAX}wB=}Nq58T{3EQ0_$OZacOS~vjfmCLnd{bx8OXvqk-rnS6 zebXffN#oD@@trU&mUp~Vm^10E5G|U`E?^j@Yrj6>aoSa*4iZIwZL=-4wb|lrzt-YC zl~E5eK%>y!EU^^@_3D^Tfd#rUy^2l&@(GsP3o66Yp+$V*4TLV_O`Bc>xA$0OE3zXypE@2ZdMsZq%O32^Z#IW*8NY?dA9?`DFWC$G=T>$~ zKRijS8NsQUwUiG>&w|ZLWPoXVpkl1+A&%X#R9U{P4MpoK(B&oPVcMgpGOUy@J_z=~ z8xx;zu8rd&VGg!J30ZTN$gMPJq zyXSN!PVGQLJo@N^_YZ^H7zX8JMpc#*kK+ZN+mDED@7Zivhh?=O&qNB$asoCB0eZsW z{=)`WVb6=S2z}8dvF|6#WsLNhYgRw<0W6~N@*^rmqg(&F}bE;SP7J7>fd z%r{H1an4z1Ue)MhK!W&WUFsZiL$bv!KocvUVL#oCW}Q{taH4m{X!pK2?G9UwV1ayEQCeFD zX(FgoGNiMo7YK!A32jQO%&gxK^{=LU)!iJVF0-7aoF~_+aNDto21r!;GEpOq^S*_T zh#fMTuLiA2_4hPO294}4XF@)Nn0y<{pJ`Iad%Q)XT5HjdB_?I<&a$pJy-NMk?y1|; z<`8Z*4BrU2DZlhLg=86h`_uy9cuq;Gad_WsQZZH$fB^KLq#YF-af(_r5bQqlaVA?7 z^J=pv8WgSvRv0LQ=(gx$IWUOV&jL=CNRHs5f!gQ9Vla-gYuZv<_KC%muB*;mcp3{{ z&CEIZQjO&dZE@gt(KkIF7>}}>`j?6AcZU#QcZ48^ezik~ApwTq37JpsPIz0ZSKQCa zjxgz{l}`>EOa8KI6sb~TzbfHAQ{m_{uEBM;YVOW~|L{m_B=Z?aF1_FRXLs^x2q;ou zi*1IFwITh~@3L5a_fX}^hHB&cAm0I(*C8F^F~?_CuQ-%W>I*T_hqK7b!@vk+VDBR1 z@_vN5UMmKB^m@*Rbl`^#kw~jfytVf$z(o=g=4sYHEZRvG7MXsDwhp{Zm<8O< z973rF@wL^w?D@g#He2>c5IF7~uPXu^IwjWPR^PX1^=5Tp|3?Q)nR6xCkXA+F5GB1U z2BZ1Y_94P1o*HPg*Oznk6agXgMbC!XAx71k_3FpL6IdzK4KM(emg98ON=|L9G(Ued z%~@(P^2Foo53SpWGm%RWtGgnPcbF)JdKw%Nn*T$YjES!i#C2HKwGxebglKT+V*rK~NhRY78Senv;9^Mjtx`fDrP&OnppJF}%Qq3L^WC_}x2CR26DI_bJgP(; zNuLCBZ)apGoYOYckbO^5UuEH%N!`n+P9*K($wJKpbBX%p0nuHm_A{oW{RwOi49;7H zAe9X9Rv9=^CJL68)?iEjk`@>qv>KllNv+)zUNY7hPGDBFy6ijE<>bJ(>EI!i?QSFEPAV$R6=K!60f|?#q+B0etvTzlPZ^QY`~n> z`&o8ORmmHS)+m49`E!X9hk&aHo!j!ba(jg+E4Rrkx zS^N#+LqSQ%8Cjd|bL#^{{@x!qRL`HNBNkuOI8nu@juR3#3Ss7C&$B91NDRGA%9eO; z%5-w*N@90hea)n$?-rA^`exeLLJXRLvN9-j=7C{~fweq||C|m7{>T^iNCZDZt&UuT7 z9hQXZ(28U&c6KkwE9^<2Nz>HXkJZH-XSebuBL3{7x*ogXoxX5plg`|yxvym;3~B=A z5{S6gQ<}NwTzx)AOu(`e=_)Dv7x3hJM?;x3>^ks^x0muX7_JiF^OrwZb)Ca6-fI^S zi`BLeI}io|I?(sBY$#kBNv{I6At>be0Qb9IphcpN{g*AS_>g9p80ozCjhs%i(6n@9KZ=tbE z**&-VQ4(=O-C>P3$_R?`q3G#>mvNZ{<2K}|=gZl^;Ix*v(F9Rw5WR?-s6k33m_neMH&>|YH!V#NHS$FHPU_Int)HovY$x&>2*76? zFkktks9CYHd-olc@TFgJO^H&8`VSv+NSL$n&i!-DCU}l8n^ViPOii)(a%e_rQGeCk z{Uj;mx@rQTc+s@KK(=3ijuEKDkGMNeKly{_1W|#%y>j53#{27U|7Sa#|8rkpaf1H; z83!@4H5$>~Js)3*e~|l)G5-_(Qm^5_znZSfqzW~1XQ~WT1%V88Yo;vbr4ESeWL8Wnv3MiRN6EXn_|3E#mhzF7Kz3>9z_Ka3$L1ay=##~qbB zQc0fH0y@te1z37~1Z2?c7uRVjpewWF*2tGYEi+iM?b9;S|43e)w2@597sFyy+-5;J zHy=#L#PgJ~YgbUBpgPrW_h%oEHZ^t|#suTBFpXvecgJ&|HQ8^9Yg7}&@xET0Vy`F9 zW_e1X<36%5*--oDX5LxCY_g)7S;xxy^1ITkX6WkkaGct6?WhJ9iJ1!Q=@OBQw_^3~ zjPHccH*bqX^D$7{AaeU3s0O1a(>{xLZ{<23Yv2@ZwPce z(2g3*mKaPS3=S^6eNT?R7f(3dtYa|G8&zq(cSg=jQ>Gu-ZQVRAN~jq@iap&py8wjL zRAbqpc#O2i6RK1*sMbaI*D3R4KI8PnDH$Bi3`MSz_prexXa&H<#$&Df7c!IA%s7(j}$%Z>t-u_btNi)PQ$XsSeN6e%1^AU$CH|8 z9HOv?o0YHg8_c8BRl2f~1%xf1Hbb#QVHlOAX!5J@(vZ_MXf3sXe+@!P$@6fM9tMSb z@@6mm=#{dN_><{Q05vAR8qypfYtrvG1F%vi*2eE2j>=R<)KDOT%b&k%0G`b{K$CeA z%%DZgflJyLBTKLWU4*{fWoE0&cnOA^uCE3Fo5>I~a?T@KY_x*xP8{QSUCp!T5Yl1p}lQN00Ds`;QQ&HN!H3JBgw zn~<$C+tugJUM~pC%q^sV&XfyptrZ=L(zlb~OWm%dAr$ee`tuJD&g%}uaa`zmU_Diu z5%fwTj6U%L5#8yJRjTtv>jTLvbAOa{UoUaVq0o@0*p3W!Dp_cH^m`&oSSj12%FB)&BId6; zbZxzKYxW6@^)n56cU0E8iYnI6*lsTpri|M5viM>nT+#&uw`;@(>L9Y%?DBwr7e2Yp z6uYBov7^t&0fHN`e->%~&=aGmv8rr3gqa+M_~OzN*`=%JjZjs|@~LrYgB*5fd28rz zM5EroOv97NBbcw$CV+Df1M{Vf$=h_fm)iMOG zEE~AX*xj-=)pbyXZ#n!y&XPV>xdYg)od1da1L) z+}szpAtQf@Bp+ni#YkdxinSga1e?4njQF)qdJ%~t^5~!Z?AKi7ErlaoL+kqPZ5*|F zX?0P*MmaBTrt9faI{rF)G_v*#YU7+0{$YzA(^w`}VJUCxE~!?vS@s08`@i+(eY;Z? z#My{r)!a}Xd*2J#i1T9B(VfFj(XXwKMo1<;dfc>%MwofKR%=1fp9xBeq7A2pj=hLDn&rW-?By44H3?f!60X_g7jl+$MeYS zubta)Qf&rGs*}Sg%D73yGNf~fA1_>MsEeGW4xhXqE`838nef~M(zUMXm(`7%Sp z6g15gG&HCoUJ(qY3L_|t782wYu-}Kt^a-G`0%(!@Da=5)0Im*XQWW8+1E8JMBI3YsSH-V$aD zfWPoD{I+w1Uu5{NuI8bR@xbndm&Pnuf(y;tiV>!ufx~EG_iJjy$9t^sPBzvG8m90% zfZ8|-1MX7A?UM*7d?SW;LRMcY!_!d^{1IxHH?Bx?DF+XpzX zaBftRcDPFjn@zTh@^Nr>G#VnK8un&5C`ZJ#Lf5I?4mm8PkQh8E6; zq7h-Pi-{yfI@_5rF*qM)w6U7Mg>|^O3(d*IhVG_oYwC)LHjXgG+tKh&-lpE+Fmi}# zn5{WY&4lfZ^}}jMVeyt!OWWYk5Hm9`9Y!Pzt_URgP)zM<7OvWX#`eDI)(#OtmUtgJ z5$9sej3%(y&H*@@V-(hkgwb$|B-@h-YBUWS6P?gN6LWYhrmtyOu%88mO|Y`|3uKsM zZD_t`_Dq+c=tw)iK$?XX-p<}CEZWUnEj)yw8%fg$AYuttYFJB~5HAO|nwcvx0B32U z?Q4&xI)=MO5-o6ayJ#n8ZzeU6;AVz}^{Lr9+1f?8n&V7;!lNR!U`{QPJ&vkwsU3}X zU}~tjg%hGNL>f^m&@s@?ODo9U7;eIc;%IgzEK_F+NrN8gt4XlXB?YQs;AXa$pPP+8 z)0-ToMblted+XW|Sn6a3fk@Nxp<#mTL)=`n?1Qv|Lc*PcBh^S6>M&YVn6;y}71`Mq zuTBjPBYRWbtb)j{m{2dTKxbbKTbhnWfVrkyfTnc--I_tS4DrFZ*u!laWIIcLXBQ1D zB~lmXWKRllhWV}ibuBD)BK&Y6kyarAbTu1#gqL}6h^`k2OdJ_V2Yi&U%!li^zU3+3s1m4P-W##HibiuDOA6EpH740JLLDq|VW6>iSAw>wroD|R!zD1v z-zw6H?96s{HX%}2L=#J#otjyMFP33U46$Q6(k%%tS{6a(k>(6MnHl7V_ck?&_Vtg7 z4l&1=_+#uXG(){<=B`>Ab~t-SZ>E>2Z*-82HC9JWlgJ3b;v6V;0l}I<7A|%a8 z-oZ3T!<4RRNeT&YjCL}1F?GONVjTiPVXJU#c95%mgsX|OuSJBFNfc-i)=w?m(b-Dd zJH*e}3a`bsw`CDrq9a|H7-O&C@m90fO({Ew56*9)mI~e4tIg*NGuI(bPzVgA>3St?q=c`L9o`v*!i%+@Il7* zWHyEEKylIW4#P8C={|UjX&Bzs7)xX0*;t!_iI2LQ9mC&TQ`d?Z;B8}TL5;A1PhGq%HFYeAmX5yE zfGCR)TQ$yyZlS&wY)u!4DmXe0i=jaz)1`(->aYkF-ab)wSS>XzHxk2?q(#=EvEh1R zw6?XjrY=pxf)Rv^cBNZUT|z@_eCRH|WDF6j&eF0bglXa_bYBwPHCS6W(8|P38^-p+ zQkj}GmaVou1R|Urg=mHeabn|0!OmJH<~mqQ?=TZrTMPKgf#&DNu{RS6S%;;;)})7p zn}N5(1%_JNlY=N;w&C^>(M*ypPMzUrZXBYcLGV?l(QGLu#!g<|QNg;@Fe?*vf;wK8 zL`Ewsl}Rdz(cWyRgH31Dssdy=dU0Oq?9x z7u^be*_j1n*k)QmzPiB?mK+szRdXRl*f1e3;KIE8EwKbsrUjEu@nUG1y4pl)x%e{F z2x>%#1Y~Ddw*W$D6x~=iz}Z%d=@Z3Zs%x9uU@*?n=H^&3McdV%j+Q~fHjcn!5X8YJWQQhdYC>INJCdJ0ZI+UrSiwVawgVp^U ziP6zG-vB$N4wGWXFt>@uySkcbgZsjnNBF3Rsav?Rz**u+;Q=%aT{CYlH#ajkZ??Ck z9mT=X#?H|(fZ$;5YGobjZyy}4#c|n=UXi#Uco29QjInoNg_(HUkX&4iK@o9I-b42xW+JT9UF?T3pE;NtEEL_nflprcE!OB7vOAZ>+5LcucKjUX>ViW z9He6z;$?!RM#I;Buq;1|Xu6{{IgGQb@R6ow0Kq$u7#V_LTd*U;B5bg9vV$hYj^;qO zv$hH`C)-6wIyp1xT1+pNxwEga8=39uMpiSUYth3@d}txzem3_01Sd5N%?hh+XA%${ z6%_#v8K>j#Z|@c!Lb7qvv2uzcGdb4pfC;AK{3sZ2dmPz2iU14H_Q$zchrnjBI8L19 z7#3xtWo{ovaWwN|nCjZ9QHkFEQ4Uxie;;pcmStq57J*JxCon9?j9{j@8`0Uy)!*NR zV9ivs)6ogQ*w}=zO%7A}?ti5!JBBHkQg>;*(0K7qfKR4VLL(^Wr6k9FE4J^_U{;+N2oF4B+4^(l_R;nF4cM*aS(uuWW`Bw@Hj z_$^9EnoB6SR6Bk{DS_k#_HAbH>5-^F{%L1Z2?bblPp0yU=1Z&m*3AWyZxl z$~^6Xrcck*0W`m#I`=!{qVCeO2;RXwqnqxH)QP@w!o3SvX3&SETQnkS&uFYD+#O(r zkb8C8=LOE+!`?oV+FjuMYy5?3=Q+i@vBTJ)cYBKT*bZ4=1b*bXM|b`T^xkksuq46% zQ)?yMs*E3fcRy5vHENWvPD#3IchTKO)#Dz^m{diUDYLtntJ=Kk;C9E?>rw97-7a{% zocp2UN*{yzu4mDPADxb|NI=d=Vn@tjKLnBb;ZvEJnF3LZ?;~iPXB8?2VD3y=^V53- z4@SOfvMVAEB2 zGZT_g@oV!gd+ke%w74t;1BdQp!81Ku`uSG^IYD{R>;ToZH;Km_Ze}%JaX#T(gOfca8+v&rH0S4w-jvwypQ z!y@tL8;_$ZzrS{$yJnTgH}m92R=kLJ`b*V%9~l)!eZbqx$hMjVi4Sov4*qRuSQq|}oWp3K-_?sc@&S}$zO6SVb)|IzD7yG04-3s&CNCw~^;k6lPsC zxo8;opkZOiKjcf-HrIy8+J?oE7@}|d-?fO&BK^CYMK#Qhd|hVes}-tvf> zcg|PyI_6a2WO?V^czMEyhA2ng#rnYEY?e#8!_&?q9rf+T3CJFo<0yQ~0Lr^MQO@F? z|B2~ciRoDj7nn_ZH|^M;{eV5$)`fcgO<<`hZl&UbTyU2-vmt9VPGI)#NVHX)mOS80dST~*qnej{#i=7?^{XMDpV`}-^N*J3(! zZs~VRqX{gR>-LX`Ypre3wlImV=7=#^f(-KfueLtyLbU1gBLi10g|~GiVJ33+xe(6E zTefafPoH+xL45`-)`(dMaBYmPpI z!DsNO*-H<_i@AQTf4h=_YCrl4Z?p+^V>_WC31oE^B_Np|cnE#?xR;-V;5SiCdUnj> zOnEsowM1s7qbuoKNXlZ;7V*CMC8jmwlO9?XH@@U?$>ii`@MNzZMlk39sz+x`8fI(y z_DZu=>f>b!8X|v=RmApfdYqQAA@zY(-u^(#o~kfUu*?llitH4)gw)g%D_w5#)ib?@ z&--s#25S)#6)j(v>~vLozw5R4!x!xCi$~YXHA)q13(0H33k9YP`eENnaKE*LX0s98 z?;O1wic#QwVx<#aYM&7IAKA%%i2GzH(s@V{oZ?Jxy3cLP1XQY`8sn9`!brzx(tMa0 z?~)08waH+_isSIb&=UIL*1Xu#59$+b1DfzH=f-`;BX*o`e+n4&O4#z7hUupNy_%Ka z4vsQ9i>k{B52HB5%Kcg%32nc=J)L=~QhzcNs^RMgV^lABft|=5s_xmsinbm3nm(Vw zF$xI^%H*kfY&SEP^I4o8zT014p1f76!cC(Eg30GwX?rlGGrAC2|C(@`-X6A0t zdk62WFO!!j85)Kg1u(Ezhc6sF8lRJmY7g*cYK0`fUbjHR9jgl}G)f|3LrP!cTRh-N z*JYoDSQ;rJ%WR9zvL^>ROKmPtpXI6$PGmiMh|iK$GT&o{iCdo2GfI`b8W?}s>)T8px)uDNc${|L(*sR~J!d$Q9|K6AH-5AzdBRdH}(dbt0( zxaKYarm}7?z6A{qPHxX{QTdWYL}__ey>OY=8d!ouB}s*yfIB6mp!F^=-HNrU5R##K zWxf`$=78WsZs~sd9lCF!z1H4Lot54$_s4bl2F(6A5gBVURz)J zQAv?EH8D|s!6)|`JVHl9)bGCNKd|UM?1{eG*oVD{=X#!fMRFGW3M1&HD_IFIL3B9b z@!xnOGww8-d<}Du+wOb~n#0(@ykWEeHd#c;Uhg?Q&=?buuNzt_ z6jbPolS?#$pv!amz{N>ClKcYaoxv--8$Uf%cg?wyRKGLOf7&{=D|q|jSp}=B5N7?( zaNec_{@gsAuNVye||_d*Rd#l>LOCYytIWF;n*An3Ah?(lXLg)}I6gY7Zj;XV4LT>7mxoH)Dz*Q-ZTp;FYaiOQDe}Okw==yNc)Y0` zm!@z@!iD=0Wb88mo#?sieAcGL!8(5rMExR{&d_h{Id5X0bzG8*xlUc4zWbqK>rDt= zcJoqadqMZ6K*IDNG6OH>P>-KH0ayZ_;(aZ};`N(1e%mArqVI^M*%fG6K7RZ-?8dss z(Y0%aLM$|II9i&fl7^}R9~G2S>}_CUSwef3d+X@m`1}J=w*MZ0q zTay)#eqX)eoJ<;OiX*waVygZs0deI3x{ZU*0P)4gZQu09c66xD-{Ef1k$K~jZw2#m zlwBQI+Pa$$t+0IgLSBNA+rrf06E^ivRZ##|Wd!&NOLF!QWt$HiKN)R@NKTXP-)mS^ z)0V~vZQcFu0efFX?|5;H?H6^67B5i1#-lrb$a4vUnq9cAN#6Ebuw}cP^^-AqrGops zeU&bD*9?vTz%lB}O6At=4xZ6D_I6fZ`DnxPMCI2Yw7&&X44@|F*ch!xE+K0X@`pVm z+b5z^mH^~kWqxS-)wS>D^}h<1XIo8FJe@6#^dWMGlNw$bqiVjUrF?;_^se_W`1;*& ztCQE!(HSbZXW-UG$}|cCg=i9#7dVcP%>iGpH2LP{c)?xn5B$~_0dyD(bhI^&Y@aeB zxoX0Ibf<=1UX(y*s#B3THi&xX{z-ia<7BX5;j0hQ{4d@+d7-`HT!O3-*Z3JD@=h;VE34e?r=59DJ;wOcCdU~5IR^jLC#49`5GusSe-k)eOx4t6xauc`^JQ%`LcS=#??o9oNAb@kJ;4Pz%Y-HZy zNrYfgj=))81D!w3F}Eqqz}tPuCrMk*ZQ$WY<34H$Ard!k5yJz#yI1)5&7-q$QSOvB zX~+@DNyY&BUpM#wK>zn#I46xr=9fIn$%`PVlXtFg(hgL(Ky!hIc(|ZEye-WLl8Da` znKb}~os~)SMY-J#y3rcbktri@ip&LNy6Y5Cduv4CUdWfzC?1|?KR>ni?xGYnVXs6AB25JC!>^2;hFNQz0DgHdI18#bm^l`N@BdNI7C_RHGG!I#;v=ojpRK= zVeV&P+xAUtNdyRMnY3Ay`geWpEJR`E=}`lIY1UXP@7}}rY7RdLvxG?bHDUYhQ{fQQ z?wYFJ+7c{e)a8Uy>Ml08kd2piM}p_hS^e`{^QqDY;b)MKF^nv zk&zjB*ApXQ<_T^MDcJ4{D<^TrGEuEfcNZjs$y*m6aMcbN9PM9g=!`;j<*BNs5Nra1jn+>>sKJfa$|mE^DC-F%pA`7mR#1SyJ}v8|Gjr~`}aMh z*P6)l8&!yxPaJNH?!PS_RriV4bDwDG>)KV5{}run@^0R#W>kIT;qFguX}=$A9{FaC z1k{;#hw+*K30EO+!i{>49nc<}-Zp;%@#(SZV6q29M^4#8yD()$f`GaW7q$T z|AO4J1M-5#{l~F-7Z4%i2j}}7H6StYIqo@W^MA**ukkdli`#4*0DwLTacr=rXmk6E zSNN7H&^P+D=)kjm|L`RzDckSf@C^ib3UwHzL4UsI;NR8T)EC7?_V|`;xVUs`JE`js z(y`1o2aL4C#Ut)ZpkPA=N+glqU10fh>?sEy$(tZcj63~g@2b%_7H*z)$akFx}K9%YGeJ_mNK z%HToq4LR9lf{n7p1J_Lm+Q ze{u20zr|e^^c}3ZRZHVDNZ3(gv|t%_Hi|w(ouA=ibm}aY8IbHOMml ze9Aa@{%qdOlY7DTZQiO>Wn)oYxhne_V-|BE6b}E}lhrdVb_dtw!HTm%Z?pJ$&vW!E z?8sxjC@Tbyy>y)4^4#}Em1B!pMp{VlH$;YWuo{0F>NyVfxqg>dT!U-^{v&oI(saK^ z)6_qCkI802F7oy+^P*?+2Ds7;t}Gq#JkqecCh9lcm_Ll#b2%%a7?t^UtM1EZ*?X_} z)GD7r#R3Xg6qVVewt!0;byyW~w>AadHfk2u@z?RFN~9THJCN z>`Dgb-Tzvc{T1AnKb#!*AVa=HF%b|{C(vShmn^|XIGa(9CGWV&d*Z~24hR9<2fO_^ zG>7c$V@r@DERf|S!F)&jii9^nXJ{(Q?K94%E?_hZFH*;$<23N?+X9^C!$j` z^G}9;0;{)kKITpgkUPPoFUPkUX2SAZ{hhZw3|d*3eg!D;ksW9RC!AR~K6(HealayO z{}I2T!hS`&;%o?>Rsd^hgEd}fbwlZI?}wIYF-Hzdp3lNE-4%M%#4rvq>EMm_0X38J z#y!Rc0BHY6xS5G*hs?UbV@Sk1XFopae*tl&y#ABi$8&auSr8x4t@ptB>{5?gn!Q92 zf8hI4_J0VOir9clCX#~6=tPTnmc;wh@`{bo^Jhy+q&XgVnQWwQTc{%gyhh4GKrCuB z{?2`1L6DDPPt0$JiDv^P0E=pN;Bz(+p&vaWx`-(`O(MOKMN2jI^?Xs zURkL|9Z6~qI&s}(P&%Dw>#l5h%d=(%eEyXnUHixHZXCj5kWX`Us5yt4;~Tq_cpjOt zcH!;`2;KP&?zv*~YHP|)IkT&4CqVISioCWwdW3%OD6SiFH4La0Sy|c33x+-~ijOF0 zxz>7{I|ZjKx1*(A6ll;+FGs{)_1r!R5vta30W9fU?4MudTQ{TcKLb0K{r>8j;|sM5 zA2*b;K0ZE>^E^QE$ugR|P&H8nebqYO;gsuXk-gS$if=ZryQQ5N?fnjLp`06sNSU8Q}0V2jY!N52SvEuiuQBmiqNqluqh9p{3*? ze>{8WZczTM_^Rws@D4@8i}ilGty>)Ly*ThY_508~%w7JSRb@k&%g=ned$c;{Fp^)U zRf`Sl%_;dYRJYIXPYa&w@65v=S8a>*{Qg`9uUWt?VTIbZkCeT#_@lBsTHfkWdxGfn z!M}epPOG%>5;!$BCAy(==L6KzQzS7R0A7=dD=#_7w<2{|gAMbx*uz0u-$)DAB zr+Ht20bM}6IHNqwy8S};Y`j+zkJWbcSIGQbf%ocuC0v#4f)YR^2}%#_{qg>xPZx%z zwb`)^nEGsBj|uM|u&)fAZhSdtcryInX2;Lu$+kX?_y^)4A#!|@T+TbU$$D<~??fY> z=xLQkA0d7guntfO6{T~{u7ICve-z!jqAYi#fNRFu zRE?5zMF(*4LMnV~kB;+HbQ{DhIGLolqRno|MZc%%Fgd8~7Ldd@M>ZTCIT5i*N|9V` zWF!ll&B?2Bi#-hv$Ra)-6M#|xVLGmD5ASf?^PMNtlZ@xomT~TZDUziPwHL(&-wJ5E zG``%wiYTX8ngNZt8St!h|MUu7n)Q10 zb|7UTNuZrJcx>aP_Gp9RGY?`Rw?yJD24a?=2)!E*j9SYTWH0lmHmKaA^mb#|9-ma$X2(2*f)no~zh}Jr{I^FO+T+V+qy| zJ>8+4gb7JaXZ)2LEk1Pvti!K!?6uuN1z91q4i{f!%fp0iy#&N$lU1%VaiuZqtng$z z`uXENdakmo^~Fs`{Pm-X?xXLGn^>%JAs1`H&S?j_g*s=~1xtYc{Pf`6@|F>Z%w1CH zM1ch<%8ebyqyuTsm*f1~wznQg+syD69MldTGt(sHu|ovlj^ld6`)$mNS!S5KjMq5# z$dB9#qdGdr#}kLIC3p9ypC3<@yWU+#rF?z;?Wd-_gGKA-rQ`N2s>h_B$F>?HM8|%8?v#5|edNqM-{&h9M&gG1gC)~YsT?SI zR{P?YZCnyXr{*oHux&mmw(XrBgJo7Q9on-%;`YIg{#|)8+{(Cb9igBxacTK{qBr$KRouz~C%MN1lPdHeyIGOT29;^J?aCAc#Kj9ZI_|=;j5Il zBP(GS2q)T}DjF|S6F98Rez2{yM-yn>QB6)R0%SVLf)2F)R^%3OZKvcsc@akX;AWS? zq+Ks)z=EDca39{J;4UnH)Cs=@sOXrHj7m~rzd&qZtNFhrKa@?kXCKVPREGr5?bASw zwPM70cS1EK2t_k|LP3(B83vD?P$t*3T!|4BOwEdkeH657TgMqmtI?^qw+WfGPmIra zm7=AJ0Hxe%Est~?YW084LHjf7!PM!RJaI+(Bbl~(wxZ#lEn-^sj>Y&UV>vDlwDewq zT8DXmf8-rV%qan%Dd+-8u~n$7tyG1iE3V%GQp`qzCb+%`pe&f!yomu&ZeDyX7n z*S*Xn4~>=F>*8RRA<{xO_5&UQc3jkf9}0;m7(v*qbyKQ*Z>5XsqdoBn#RyqF;#_j!I^2K;$i#ALn&_b+du6d=|MZzeI&o;Ou&MNO+i zCALo7*rrUj-)&c1HK59nAKk*$O1u;F-c7;xXB#J}gGkV%HceFGhLFpjsJAYeCNDejGsE{M?lOqJzWQ~f6#ukC^5;&puT_Cod8qNN zFY(}=7WcVtug*anJE}IF*7&YT%vR+|(~+yrAQ>zwbNjX*vSaEEn5v>O$a-<9J3szQ zD8}Hv@l8T3q_}o~{p++8J-fX9Mf>NWK5_9xakHZ=p@fkI>NwFfy~)y3pf%|h2#lBOIZ`HN z%M~B3_fgCL(+gm*xmFUQP_`a>;u}%onRMd6^898lxtgH&dUbEa9mgT*eA&1Q@;B#1 zv!;ztzq_k%_jN-KuAgjG0j8zzM*6cn)g7&oqxQSRc)wXDAgdK^a=!?26^@=r`3bQv zChXz>00sA|`g2}sML47z+H++`ea3g7$CBj-9;pkJ{ol&YF2QeuwO4@Jv&x)%d@+e( zZzimHzWZYKG*P>>JL}kvXR6WS{6mj;_6cqI*l`G^1KX(PG0*)dn27NoxbE1d#xB;N z5i(}x=f*f((75zXOd4uT4)HUoV|h|bU7|O1eKqR+CxgMt9!>-b`oMGbi(T;n!GNC= zADWxH9(-j!jQCMZ?Z`A<`0+s%Aoc9xCvuZHuV>$pdn~W}Vc*ZnTjf4iz0vY8L-Z2k zb*be^zHO_&HgBI$hFr>LKBDE&@Fi28`$zvaT`I$Pz5fa1nTFTn6A5=OZc|fC44)n< zzq$`7t_6Q?LHXnj5j9v~E1Dp8Um;{J`tMHYa4*zILWy!J$6r@s8)v(6_6bQyD7R-w zjq84FdVJ^Onomz*78GJE&CE^&ojNi0#OZzrt(iyJMz@k*wOZcov)+~ zPE~I3I$)8f{2=9 zc1oxdlJT@?`HK`&5Qlhp#oK~A0dFuJL-(_cIgU2Tn(zWqpc+CY+jZ zL(og$Y%~nb6no51i>Uv48JnBN>9*+0rw4RBN#5F@vP1Wh|3H=KtkTz>qC;(|qH>om zUE;dAX`cWYSxLGf^jWTD*R;{jV@kEx99~p06McCuis~RmW?O0_TF=!s?GzEA7S#Eq zHvLqGS~R0)cfu8u+VNqJd_-NZihIL7PrV~Aj>q0PkoRVgQ_S17=G#RYMU?`5Q^9WY zxfnN~#WoW-QtSP6^F?jU76i$RQ?G#DlWYa6TvYZ(+-Svgcjl^Gn45f~ z+UxxBw+e>~sO7&+U_)aC1v8P9aN%X9Hyfy=!$-9!<4gB#b*@!|4#ZpKPcY`~E*joI z4si9Mc(+EmKO{rjd%36f!$U%jQfc+UQin|?21; z-s^hSb3JU|TrQK{Y9VI(=!w1`ZUq7`x0QbEj_yJIuT{d_?+VwvJKay?4UJd5reO^-rzF0Ad6u_BV%bzK@dRj!ux#rGe_0m5Pz>9U z(zZEjyeLBJJ*D(a&5bIkjQLq@?RH0Hs(k@|fi&FqoRi}_a)omWr#svuA5Hbs){xc- z$X%oSHP1dlQ_g;Hctpnpl2*XpO}xB<))d*AH2PK~nrwPG7g)T?8x&FvWNEw_JAaKz z?wccdPU5+?Djz*`fP)n#vTK37=zleB+!G#~67crcQ;nXHS1oIYqhhmj_8)Ph_Y{_= z|G0DdePfJEprYy7d?5t_`PxvG4}w#cN4&SqXb7J!DA2p8A5rZDO$onjF6abbc2j)1 z>nE^>ZcPTZfrt?+Yje4#OM%1nxla-zQ=gvM@eCR&lwBf7gP``TPvqfWmK@d;x;L)( zWrx>}G{#ikph4lm#lrAamH8;t`6nnshuUhIhbofZZg`Fc-}$`4#a6LuUi#M)coIEe{()o5 zjgjMA6@Q^e!l^p6aOPw=LEr7nb`{hqMvXx_eG3x2%(>5<=PKU|gsU|iKmSn-k`l>+ zC)(d&-fB(zGLd8z7fvnqk$*S$d*CZ_+f4 zbn5b(0S)(S9E7|}M3U47WKo}tLd?Qcu-P+pJ`;P9%g65T4rxDg= zV>8B%R~R4P=y02EV`Xu{U*^=-uN#?{cTsb_`d(594<9;tKpInkhbSN-~XcnQje`X>fDRt ziXhmn4ECg?pfT*G*gqkAoh!04uDPy+Qv>|p-K#A)={XbjX+`LMcksx8vplYQpA_7@ z$}eT8%xwBo>UpQ!ye~G+U3%u|>Qe0iXmyx)$?0%1KIJw&_VmoldxwF0f@JGjx^{gf zv1NMbw%*-Mf360*tC#-ty*%)w*5a<`qhkl2K5yB9EITc!!!lA6|J+JT1w_^X_M@P) z@lAEEtT|s@oN!rtl>gDcYj+z~W*#bi3xf*P$ac!|3UJOpF1NVMK2vRWdfBKWaBO=K z!htQD)eQ>9J#O=Q)fC}Fg@T(K-!>)cvYhdn>1gw{_3?v$iNjqr!dJmE*JGVpy25v` zQagfMdLur>m2DJIZJcv(s*#NqqHh3lKoI~`E;J8XLc^BRf?n%{G>JPYHFjyX9GrT~ z(C3>xjxB5E1G~Sh|7@4?n^q-Bq9f&8}*4+^yB(%=`ZD zhrPVK^zwol$5=X>79Kz`_-%B~8~abdQbh_s4}Ua^%>`=nk@e>ELu*h}{XF;mwbE{G zu7H%6kA8TnHZ5KL~oisyPWYohz-EMPWteGOdG-nmmKU zpg}qw={W=yu&B9jS#oT#T*i0vjlDN2 za5cO6iMhWNddSky@ejqg-&Gq_nm%MH?I@jy|MO*sBq088tN4Uke1bD&_z-e_@jFy(uN;iMAkbnO9Y2$(s8PlXa zW!7hkS`;tF%5qTBa!2jC?|Vm?jq|xfZgiJVXjtqd<)OeMSAc7EN0Prva-m*&Ueu87 zeH+vFAiWy~?5b>k-fQmzE`jZh5z#$vu22AF*I# z5<4X(e?|0!?GA4}$>b*KpXkyzx2c_%9Xkh9zk<#Cp-xH5oAFWnvP+9vfMlho1+I<0b#;;%Gb8$<2#1xNRu5vyhe^O6WU|d-G{MMMp;5BHMyWDd$Vv8Q1$R256 zwR51&%2jgXaWwm(sKsdbBvESk`0ZqMhgLCh+^AL@`rW-?(@a;tzUxFK!gem^P6OTk zn(#9%5D`i(A&y-IzL%IjTyEVE7?CAw?$p4I>glQv`9i3x-ty?A*P-2pkw1S_3xCaQ z;PGDv(%UiSso-GJjY52A>I#ehK)6Z*H$80jhmJb{)HjBFf(o00 zH^f-;$|gMHw+vMq!YOlWP`wm47&>ISB$OWHT3wT^Q_kJJlXB^x$L(A^7uNs9&cmvx z$kAA)_0yL~)6v#b!Wu`{W4B$0uB5-$Z(jt1ul}y{w54z9gPpmWAb*4LLSWHCv-o&D`S~RJB%s3K?$B_!KDf z%GrBDf+s|prqmsas?qd>2;}!rU}1GPu<_6px;w?NZT$lI;bYH;8pIdSmohHoWeUi!OG5}GpqYy4X$WNvEI|LUzWM&yswxHm=oaMy*t zULohtp6yy}pxou5<;_pu266*=$Rhn>NL|@`oqKn-O1+W2tCj9=wx5xrc8t?6rZ6}Y zK0o1nKlab9!_aFCxlS4XnU*=j>Zk3utKT6c4__So0uP>^tXj2XpQ_fN;B3x6!vWa;jfqMRiQG z34(P#Weyr#Z@NBIuQGrxVl5io>@JCOXvlIr|`MyejvO zYEL;W?w0YvggDE2ZTjsr+;IEt0il#+gzbHCb?*~h6ua9T7-q1n-a|5K2yP35tSn%} zK|2G5mzF^eCLTNPbG~J-jKjc^0rxwxwa?BfJp2;ZZAA~=qMmYD{Jk5Rtz!gREJK#A zx7TY(tPyT&!)SFYsy#!LZt=2IuL+4mcwbJ}C*mKk0Vz7Dq$9d@45YRFN6Wnmk6Tnr z#z0j*6wcq?IT^4Wn$EGPnGel3>vL4x?%+oieFq@jzZ>-7scWcwKubJxHSrY)7B9U! z5m=N>$A$)Odf4e~=cp%Z{3&T3pmn)poAfAv(Oa&QgA%a7Ml?)`>wXot^f5VlTW82- zxEQ4dT!`(zr)3SfSRgq z{OUapfrq={?FcJaHAhITs5ZGpx4XZaU3dxT96ZS zp2?i|a6=@K`wn<%D=2pQyd>$|9fBV6xi}8rUL0D*c*%JxqkUXdpW_Lt?hWKgm4+U9 zkkan&Xpf4QK*Q;VeocLT0sA7)J5m)!H02f9V{Zg3jUqJ6SDW&ZZ@(2``7a!Dy20u1 zv=x2Q_XmolzCQ4L2^QWG^BsK3r>10LPN-V1zA}WNag)Ml4>SkSh_UpbBAEm zr!;I|{jJeaPfe#&YW}sBhOTAd#A1UOphjFi1NhT& ztRv^?K=P!r>?Z>B;Dhk#3Nv6;VBBbdNC2nMrEsKad14>O74I^?wfP{2ZV{|*KApt+ zv`_RXRSVWC)O!xG+VwnN6G&N==NB!y&M}AC9VA+W!NZ3*JHNJfL!~OK!I-V7Vmu-Un>|C_qir&mCE$swTM!Yp%O^ z?BAtN8NXgyNR^lW1{HL>I#9J6cFvi1b^eWq5*U2$HYKNyitZYABlM_z8v*Y3`tgm+ zi$7HH^+s}>11)msR7rhO4UG-w9B>EHU(9BLY)w5A?@8njfRU)aF0BtE79RH0ATeE1pZ;XP z^`WH*OL$T0tdi$MEYz@+WV8QmL85fR31ZZ*&smUz@{IkArAu&>F&+bjSVbuMpDlMR zE4Y_i1*e4)-t&6uy8^EPIsgTxp~m~U3@Pq+SC7;|Y63h)ND*Zp54fiU6w(qgev(tT z0?J*u!*BL&5NFI-$@TRWCWf89mIvvqme&?n1$Z7sA!q?Fvo6#jatfP@+8@FKOF7LT zWnNa_s6yKkkcb0tZ^o(oDm5^F;uopu?}L+R<)N`3NtL8-GYrLD&xuRu3fcrqr>XqUqYNycm zx3|j*^x)oFOTVXnoFi2&&Ac3bG~{!K%sx_8ruWm+g2V(4cYqpMtBsg>M|2#AQ#n~s zXgptnt3q;)E4A8rf=5@fST4Z$rzjc0YPpa<)(4>@FK0LV`FZu-*SA!{5{!^T=xpf8 zteJZQtfUPvC_(ia5@!#MXWKgVRy0*OG}J%gmD3ONKUhDeE< z&SI^|EMj4;`vO+s==$m}2-F(tCWw{93^3c-Vk4+Dea>-l&jLC-(gXct?lkD(zJTR- zeGO5emPLAXsbUzyMWibqXVE*YN5Q%>0ccmcntiq^6NTd+K@yX`c5XGEiF&jtID@mr ze#;V>vy|TCglBn=ZoW*F1D~AE?mJiQ(OiAGCwPw$(6dPU4TfhqdNZdm3oxLQla)bc zy1f1Qt1)o4TU(wbVy-|A^(;kD4mlh4-)j|XB7b^gD(^8r7_M#dIaLczLwwac_IEcH z7@oD2Me$XtVLE5jRVxlF;sA}8-+{V5D%JXG8w9UJ)yL4u(%+{$a0(oi&*-^7dpHZ7 zJ;>EM=gC|G2IkAt9UgOkH%P9dI9DUiN^)B7pk-@ItdbNQ2r@#p34R-@t9;iKcZkCS zyfc+tmgl=?ESZr|2i1&Du%|82vQno1t`v@(9Zl>ym!97Som$V9_;kLV5@Bu7TY(q> zY3aisP3M5Z-8pM!cSUo)s-~@J)A8rZKW7+o%bot;7uQu8$1l3{`LDD`2c6P&L_9zsvPtHw?nCdQey4)hLh+oc zVA5#_iMf`ra_Jl#|F}|mW_e+HGWnIOJm=BKXAolvpfC#1WqFJA)W-b~-@P75E$Dn= zcMU=<|8i)j@;^Oso~!m))t1%K6AS0G7!Nl;9>hf4I6>Pt1>;M z{7(a>ED`D^A3uKl1-A^}PTW|38d<$z3PeQUbn01T?9$6_ljV4?mJt*<5_xh+0C=m> zxm%F9yR+!1ZcPA}FCy0_CoIqH?u5nBfSfkWZ5{r%W1^_cQ8-=arc`pnqd8t9e2RQO zX0ck{rl3z05$31B{f;LS3K}ksUe)=#ND)5X;B4Zk1QH)|GHU_i73vTZjxX$i&NTb)B#)SB z%y>fYrE4NARhe`+LlUP84q*h+PI1mmI%g2AVjHBaFHxrTH8dQ!@Ht93zsfii!tI2m zK{vj8I}jO?`lY2ka8gJSkj{f03bWfeTfy4TRVe(j)JFUdFv*;bT0#pj6)FJJSo=j( z-E6rQk#4@e*N2`A0^_1O^HqhjNF$_lZ@Hslz8<>*N&seS0Yi88K8obP3ohludi3Wz zL5slAefwC{P{>gX4kiWvS?SoNChc7u_F`mf`zD=N%SAfDCeV&62OUG_fU)D`T&L3; zf*5tiaz(l61AlT@|aKW`~ipl{Sf;b#e!F7pgLlDNCD7V4%DkEu1pt0H0HK$X0t!r>PlQ1Q-Ebtdp?s`Tdpo>a4({!fe z8K(`;I#B1EJcKE|FsU>DbulH(E!I+lZY^13BE&KLtz!~j1U^DovjiriuUtofmBwAM|MUn-f=$j_PdDXx>2rN(jJdly z`(*1a@27l;ELobz{g5xvG^PPP$J;-g`tF2WIOsjiBeetQ6K5%*LHEQvRlp7Rf8VPN z2l60b$QZ$)5(@*X+LH;C0x`uzPHloUw8df0`KJ33LiV0Ziu;;#Ps(qE0%#r_0O)eG zGf?1g+yGiDzQ_HuOw=(%oWJyS|D&bXpi{TQr@P?VKwTEP8F*nKA)(8S^Sc{`EcxMN zsx%xZb)5Vn6~A<;uiUZyY8|Z(mN11&XzCX;@YCh8=-t^fQmh?VK<&!5Jaq^R?uPO3 z88=9}UE;159z5!92*sj5a2V3b9-1RKtCu(W;Ail`Pn4@YTHCe?4sDwBsfUHb8Kt!i zt=A<^@q?R`g-qfczogzyp@g!!_y%xeEd{7=hi*(BvQZr!EXH`ZqD3)&*$Oj=hGd(0J&NL{5$`sLn;%F&JAXRklso9D}3-Ha9JRB@JF?~Pjh^; zq=X5Oeb5R`gQ(<}p?h8?GvgnwtQ9O__OH8>AKfh=v}ARcfgLJ&`nM!-9Wyoc9YR;R zH@ZQi?G@w-sQqJW=1|Q~B_S4yYnk-p;oJYy*muWM`Tu`A$4QR8l9drsMn-nX-Xo#x zy)rr)X2(_}qhytmC?ifNLO71dOvub04bi}doXq=m)%SCMfA@X=bN|(&I_J9H=Q{84 zdOg>9OB|9#tAPtt&LpMe0pJ*+X5+KLfNa~F6uE^xD0-r_?cw~7fx7mB-Fhhf+XXwy z<1?@)T1B7lIFWKt0w9UazMz0(&NM5!3_+NN+aZ-rX8c z{mHd@@ITPC5GjJ(mgBY01DPTKt!nyKLRjwf*OYP9n9 zzghrJr2XfG4?;PfdVD%@a0IqCsWO@CSTX=*LuwvEye0N^&t2$0#^$sB4>ys-3UW-| zrwA`qQkG&O4l$tsJg-qM;5NW0hI5m%=q>#9$uZ_+QZyx{lfW=?u85)62#zlQjGO3g zoo%93)D86>gc9SAQ;4!XMpZE2` za1hFf`0pQCL>Mi11-x}nwscFg(C8JG3U>IeFK=X-6NhlCXRCS*TPtKVH(GUBJS{CyIf9!tcRp;jQp^Ne`T;Gi*c=-i%ioWOLML>K73wk8B zp9w5f0M{FHC22zB!fcBBkiQn}2)qto8Kic@oMx!p$qCl?yHuH- ziswQsea5hXo)9&1C@#XS+mLP^5Gy=$S*E7$$&`RehE9ajW8x$To(q>knPEysAhX`> z{L8epRe~BE8V0d3G2jgPFvW0aDfUhf2(UxhN>Yq%*swy{QA9Zb&EdU9UgD51sy%vz z+P39xvFugWqqbGWG(NPnv@e3SJ}9$Dpgps9}ft(x?Zp9QmQ<&x3 z*9jhoIvGyW(fUfiLs-l$*gYs__s{ebH_w)18o&)8>P!IQ1?4Tn$4QMY&`V{lIT!3!q}I8CqK z0w$+NN`)nWNA(7Q9&m(#Z3;(kNi;|r&W(2kXp6(VR_B9-uo+Nw>DUzcY!8~~+>C|| zm#yF(Vf*@mWiaM=(Bhy0m#lZ5#SJ)a?Mrb(LNoswjfiaL0aD`#KZxKa z!9Pv;VKD%tgIj00pNxyeNmv%&Dtxkt*@s9!kTbLT%Sk69UcRlZFjR8}B`egg%-ps(Tct-1dD|`9_lmX7ntTMiu&?j3zO}`H%s6!L)-@d95x>mgt-CS-5LR} zRmC#;(mzMNb$*plNkc}8ZD{77yR=XddJ&t_X(B#UgOFg!RctK=m?riZwltSGl)$9!!p zl;ENQX;FHZib<4(TK3_`FpIQd6?7@QwnJb1JsgQ8N1-S`0)iuRVL}o2@XZ#FVII(q zX`qZnBxzdMiVs3e3b2TYho)E(x@&fgNVkv6si@p<_MJ)#S`Mf7gtgB4*yhRqbzyHb z5iCvzOp;pOAnLwoSm4Ezw2JJ=i4XZ0@GMyI!b~~1(q$(b+^REEznEkD;Z$w7GV^F}LLsk14<1OTXtnL!wA-M~gwlZ_^{{(~BRc zHq6E+!%JBLzKy?0{{pnp&Oh3ji;9SF!O}>kLktkYB3SW(KD}GzlRx0Xitno$K@R&K zdX_!a4B?ZBBycx=gbu~o&{1z)nVb6#^3<@6*xIeL!ZUF6WB?S=J&tQ4Y`n0})xvJC z4VWQ0G#{$Y-}y5Y_%-1fsN>LoF3ymrzBvd5{nCzj=9klm1B&_aZcDSGEC6sYV~Tz? z?2Uc@VHXR2k;Bs=^YlocvzObEcF2HV>pIr&K9E!aI6UTUiv`D7Oh zY&+WxmBxRA?|AOyQeioqhy{sMu};ykYwkRaW*JYy42n0U$s@#Qjvn9A)+d z7ie3~J>Zyyqkjr`!ACv5a3Q45`rL_6bfXrxdudGEUNu4tNQ%i6cu|-dVUyXvVBDvn zITwV}Py#HEZ7MeN7EBZ|1O2zQ)}LfKrU4x0a4U29MX#EXlu`%GNm1yrQ^U>xU}tD) z0ZKh2%wni%8i-_YBNaRg-TYc)^}+T#6n3jS8`F9YDJ8Ct>2*{=%*^I14Ni+J=!7~! zDhq{@?3pTWbC~WaNM||Z1${Z}K&*j50kFmAcUjk6dh$n0-Nf9FXbhp*zfjiOaZj#v zkYIPt_r!MCB(%y%Oby~Y3gAMKEd|4u;7}fHUxn^k^jx{)fyWbZr(zg;0IK~q)p+vV zarG({d||y)v=e}5S?M5~N)s`A_Cu%5lq{K*A?f7UBja5SHJ=EXZUfvBW5S>gybWFp z8a#LI7n(#J2lL!+KqMdI74el0hR6Wu+pYL0_I~$9 zx&yO_u5_voBItcz9(hBaLGx`xmdXG%sfOc|oj|~{7+^RVE-lv$08Y;^^POs_Q?{m7 zW7y3{LM0Tmz{lqCZM1R~pwp6QI=pC5l6uE%4*xwk@6w<#D}4ypw;-|*7r@149!vlD z#dnxIxapjMX^W;!*Wx_Vmq|CtD^!PM=N7$$^7v)69zFc!Oa(Sk?OCk0_QxB|s z$FQ$Y-~`vL^Q?f0&;?zJ(^j4WoHeY^41FzX2SjpIV)LSq<*FI|mWp(Kdpo9e)PveqV`do1eB#3| zYYfJ}29)**JYe&Y*-z>Z;OsBk?Q=myQ7kIk0&_;20PI@srA)iAO#$DwK23Jh$$Wvh z$84*MjPK?#6e}mQ9&|uiThD)*F(- z*2buIZyqNNCKCeSz+FeYY3f)_!keI2qoE=k`IWd&e@7Hx3UG$&Gn@KU{z{Yy?HjP3DjT{sf;m5 zjK~fi^3SaBl)4+xPFbFytUy#kU&!OIJ1pGw%DvHAwSJ;kW&Wqv5o@*K^!_>~C;aUB z%53zHS6)!U8Gc(%b9uVY$&#baO_{2wuJ$b-I_0_aX1h45uw}*SdKg8Ram!#B*f|nz zjdQ>reX}J^N_|=G(mt9;&Wc4t&7gwwscrxeul>&Pfp&2a_}H0ZGt zTlJZpWTNG%6^NNq@7vfa*^Tr`%xgfTP-!@G6Z@8*e7>*?ZO+H&#xUy|y*#c=3RlzM z0$wIh<2N(cNH=F$0`NQ0C=9PtU$$YY5;{K0O_}!k2im01Zd>|&ulxB%^60ZAnv*bp zJ{aYGLA+QTL&V(cYqaJXuU0Be^-0pVL_uwQkJy%njupU6zA|P+rT*l6cGskpy?NtJ z72@LvjKEtvexf5`3z0;J+GbjN$Y1Tt=H7y#VonM+$C>? z-s{qnBAxu%P!LCrqO%njK((_e6HTQzQ@*#5oX`yYx}*s}K0m_Yc#e6A?AFFtUGK8F z&xne8OzUHv5$Phmc9V6bD}Z9mfwnwoxw#z8_Jes#8@8jI7wOgLdR8Mi`yJI{!7iT^ zwlT}i6m`ABU0YMc9g9_rW7fFHboedLT+`&pt97PjaxN+|+T*mhh9AlWPFr(nBaSk4 zS>}ZJ+aYg#XMfH{It+~4hWpy1Q?iA$AIDaUv3I^1le?3+iHI!e?YI3ynvCVpdc~(= zFnyfXTYYGan^A6Klq0h@Sp?@mWUo`13?(=>?|?wDnLYamm!cXM1Phi!AevmtI=)Qc zk+JsaSKMehrk<3mXNpV-5kF}($oxUdG7=#its6hYwOu82iq6QxnUUuU#wFOKNpEoI+r(qxh^o2}Igo>#*JImc~-H%WUkCF?0{hI@$%N!YOi%r{KGL!)TBG}#o{#BU}+ z=X5vZ_p)pKuj8&=6V*lU*W&5s}(*WD7oUQx!@4ZQ_J9#lO42Q zL=b(9C2XaZDwj!Mcj_G`$(C}z*4sH3c}C{`AEEqGKCRyhwk)f}hC9NI2&H^deHv`9 zy31?vj8uZ7SjxyGLd9~hHDwUt=6pnw@ygYW2lAEc<(XdmU0ekbm_&ii$?DdnMEXmN zd6(&LRahoIccJFEp4w`9Xk^!)Kpc{L-JTnuaxfa-;mcSVw6DxX9dc!e9A(XUHXKQh zE8*5ll7#EC5}vqk^R!DK?>JrK(sgKnD=P6I zn}$0%tVNd2k%C%Pne|Z8dO(>H*_ozNM_Egby zs>9^It$^nV>T=%V*k+{L%61SmBs-Ozm{%KVYRNiG!@ZYsS3_n0iF6>}?f}M8>U`YK zu4TBt*0}q`tvyO2;|q%7{ZvOdblg7P+)I@Ut?KsRNY0-GAl;(C&+mJTWLuK3r=98w z;V8H7Hzsd!ff+3-BHLzks?Y|frBvICWx7Gy-sVQ#vS>Y>M>+m{wO89I6MR4NT>d_q zaLPF0xZ}G~Iy;^Ty-wSu*nY*r?v?gKmyK*RJ!YP)e!h#%SSp{s%vamg@!VdFNy>*W z#F(9<)>)oO@SQq`8qb^zrX_T-Tbe&Q+l}$6--kZ?-q(6bzT>=^f~wLuyx8!oe%^DL z3T8WXBI`Fp{RhzSYQk=(DWzBB7&O3TFezOu?~N_DyS*W+3A0Uj_sdaTzPj56{t|fSc-~f zM&%LH7{%6Xr9a~_X6XB6(W5Me|LwpKiL3s%9j!CWe_tnXd!jj`Rk!y!bavSfC}eW` zYwk5>mD!wvb}BI;A))npgpda~${-Izn(_R;NQ z-DXeS`X?#+XIv4#m<6D`mTgMVab-X&ZgF)g@_Wz*!H{&Z@R(^;C^(>ZHY_v09YyPN z-o&rzJAu9Q|LmDV0JjkVJ-n z2PoU5zzBt*S0BvXaH{{)tADh5)92mp9^#>vuTi9-T?{;%WA+i*TCN(9*x|DN{mF&i+Q!pdU`o~w2OUhzH;q3pl_@_U z>1YqC_fJOB4OtjJ;Mj)PC%qGOTan8~EDj#i2s&tb^OL zg}B%$$NRY_fJxF4Ym5I7k3<;_V%AdG

v*e8^C0xtd_TDiEk7QfVl;fe2^r=Te$UFjQtWMOezo+VykrXmy=KFIe1U`nt- z7zk8=&>jLBxUbRy!hy!UR@CBI^uYjXNR@uV%QT+F`Go=h1?1Hy|9$m53Z&Erg!sI{ zZc)=aAI>27Cv>RR10q@&e3f#H&|`q+`FOn%+cV-4SYIg zia?)~)_{G;d~qUMud1YB%X< z3j2>4lC`!nnU~3XpfGt;)BOjYF>mDz3po<`k`Bxrjyj}T0%Ssf=Lro|6Hx73;ke0H zR!%vVvd#_h*BH4%7S}+v_W;~((XMsa9OP^2 z6gMIQv|Ztd!o~rsWdwI}jCrx*zf7Q}`vHAO3}H}c=BP06PvF-KZyRU>Ox9Nm==av}==^Dcn<5kpxv z=B**D^)gjA`^JV90xwpv(D-68LO%XaBcmAk#`#l-hEEM1!uqWD&>4H;fuE?CspsWV zF+A4})6W2b5W1Lt_O<*g29aYx<)<98@Ei#3477UJD{Of&V{y z^Si9qpP)CuLUf0pIV>eny~q$^qJRg6isGHo+nlp&WC@_#`0Gh@>qi3QsO?*Ge?rZE z>1*qhL(lNOY~Y2WPLgKYw-%8XS4l%C9b`6w5i$0p3R7x}o-Gh(ND9nfUdS8<|6pJe zA(8g+B+L#1mE{gH!FK{bDl#LsSK5(mT(x0Dhup>l{NDA;{15Zvaq9-8{t!PgUtqdt zKC<0wq@H1W1+bQ_KX(CO%p3?~V?Cv-W+FMg_#(>9$OcT{NT!D9zn(&w=)ayqM26}H ztDUQRytwG=SG#cb0NF5*Tf7Ht9Q;B1pwS!`&G(S9-5jK5NFFJeL0VGHT>D`>E5L?V zk8C}v!42tc5yV?qfl&|C>gHI3GJO>?dWz8T*AJ&lYvM>Nu6+)*<<_>r9 z5v>$ZdTvP6^K5&_VN5yNO%+$(W?MYnfEe@o<~uNR6RQn6puZ;ldyA~++DLM zI-{OsJ`S-d?!dPUANfHV8+mGF1h~$S9}U9UA}{S3;>#Uub0S&=qx1@pP)C^Bx8W^e z?{yuy)&F$_b_3Lowx(6P2YZtme8t08Pi=p-+c`X=KJk~kMd?hSe{wrkJT|z~1!@n8 z({^WXWA2;4!&Tn-iJM+jKm{kaRtBUNh#;Q7EQTc+#Wp65c%IOl@o3D%f^09?KpG-%P7G zDiE`Zgo?w_74WdOS<=EUwPn8*wC#@}rzc5XK_jw)MrcL?RwSu>u1aU>yjL&>%MJXZ>ZD)#43-DqW z`C7#@FvgdXZt20#WQifa5ugL3%l;u1i=#(I$M6gXdn6sVys>i7H*dPY{i+@iqW&I< z#sy0`$nKSjKlzDb6?5RAjog|RC(7l)C=u!w4%TjPhf4_Rr680oIje!vaQ^`8{M`Tn zy93Sbz8IzE#cIHR1O~h_jYR|$w6(O-t@VJv`p8a!#cZeZbdCCmP|S?vFootz;# zBuGC{gVH1;1T(N?^W44Pbo%o-ARNz{+!2&EMJkmlC0GVgm@3#Vl5^5B@Su$0Nx)6i z3LvITWTXByE-)hj1hnmxxt-(X)sF@TvVqEwMUv;P0CWn?xPSM{=jJfnUD&|69uPoX z^L5|EH8SAhh_Fthjr)Ec5W8YnEOupj-2ZtRSZ9s{ie@EJ4~yOuk>mp>r(h#ta|rgA zQe>ZahO17Bs^2~O15R-e9-0fD#`p=8{t*=YQYfe+0%vOlv9+V|oC#)XzqR2YDEuAa zsE;VwYymVrTsaK<%~!i>iWALpnXCc9o)GSEcJ3vZvO@QEHmoSJ4(Wd4@E06)pK1tQ z{hWh)nu=sgWX}Y;9DrwWUmfNZSEJu~RImUNX7cvoDJS;h_DA=&|4AK$-Q(%G^5epW z=~7h(fvOaQnqp(|E}pKmn-T)f z?KZ@u=|eieV@TchNeu-LcsLE_GFCz6kSgq-hMD3e7?r|VtvNuAeae5q{BQ?qV0C#` z3kk4Gm#{l4dbaE+P>dU&9X_eT?Sz~aQIT6`3G|m<;i{{n+VR+1$<#}q{-eYbHP>MX zGPdyQ&NPwndMDo8+>9iZ8$g*kmYV_57YAgiR@$pIG&C+jg_E&*0Q;R)*ieX(SLJk* zp5_7LN63#tz~U2uABA8y)({X;Qe#Ivh_EA{T^f6vUbt?SJ_6@f_Jb%#q8z9re;d&S zFj)pK4NFvKkb$v8%Dc^B4#}7TjLOSXG4S1s-c|+Pon;aVto8hvYt1()E8A|y9b5$b z+2=7mbNkKD7b6Q( l>CR@l#Q)#_M(zW_IQDkcB` literal 0 HcmV?d00001 diff --git a/docs/images/SHA512_input.png b/docs/images/SHA512_input.png new file mode 100644 index 0000000000000000000000000000000000000000..fb6d5a4b8127b1ba708b5817ba18dc3c2a39ce1d GIT binary patch literal 16362 zcmdVBc|26_-v=C#E&G-v`;smD3?jx@#=Z<@5N2Vl!!Y)x>?9E-lC;nwgvyeTB}C0`=hD};y%P$mQg5dyq|1H2-YaY2FL0&sO;5Z>3@*9*UYhnk9- zx{|7@lDY~~O;uXmP!mdf(@@sXg1YQqk0E#w|0!NmSp^gzXNAFmCj^E1NUOuZX9Rd! z0Qd_|gLfMT@P-1vswy6;njVl7;LtD_RKeK`YvD_lRx{C5hbXISfzxspW=JbbX*DBo z9N_Ek1^$?N;rxSWw;1_^1OKjD-Pji$( z;rkW$B4Y^qXC0APXGfc$NOP!57zu9&akTT-*uRDx73{Tt&3;$W&Z--O&c*v;2q75I zWh%b^^i+_c4K!Na6lW8qr3E!q^YtKxDD7VkaS0~lv=CY*R-gk+;7A*Yr8Wr$wE*pc znb<^aKYQD2AIPFf;B?Wj=@lp z3(6?aG%CWx#5ve9$k$dg+6f-*;}_s!f=1c8p#9ZstW={NRgq*;6c&b#cDC14(?sAk zkdeWfmfG5mM2&E(ASdmxKzf1*}^mNCS_ z)C$zaRwLLMPD1;58M}Bv)m5ROp*W<2x|tCe0~j&F#>zWb-OJu7($FDT)m+sO?T7G# zIr%$6Ox3)@5dr2#a9R~GSQDIu8OaXq8%c<;GE@z6@<&;Q!$Qbp2$*Sm zk4D4ooUBxhvA%|mHX;6oUMc~WFq5zdGE&tD>xcHo7!zF75T-Z{4Wys8VR#feR9nl^ zBuvdROv_BmAM5QMNn2rP5=txFFVfa08g6N$4h!UJwPgs>HynfZCOi0`yc`jlzQLMK0iiJ4D5RB* zuZ5MJF(OjS5(#e7Kq7q2z)-<_T>PDk0z%;qSd^)`MyOE;L7nVHQbjsjJEJ2t!~8Kw zM39YnC<$U>>*r)`Nc4BH^N+UE#DiOdB0>;wW2-196Bo5GTPHk%fVOdg`=f#*Q9;%) zLoGvJvj8LC0J4);pbFVJN+SwNwzR?!9Bsh8;1#8X3rA?9RM6VsL%3#?g|oMhY9z)^ zOUo2x;uIC=tnO!H8K`0%7=pl~G^5~nEi*@TY_wm1opS`j3mOp}2F91b@as0|Y+zoN(dOV$(If-{X@-sTwT0UI zsX0YP5Fnw!5RDKs6D^pvg{@6sgfAo#uZ6Ng`e~?Gp=^**WTKC^Ll{UYFeoe#7p#ql zaK_+5A--X76x>h?O41H7HTE&Hvk!6c!r|2{4I_daU=WCxI>y$)kEmhqOlvI({6eg) zP>z~j4rKMc31a5p1@R*w?W4%5+M#$GI1FcugM!O~YG^Ocm|r5~_kCq47krxh6yn5^SkvYitVhG4~7i!jUvW393kfCdt;$N*#m@m>&@x zfeBJEvnFbIVKg)hHB69>WUWYF6;rYU4vuuhV2DsttVs|t0_qZqwzVZjc%d~A{#qtT z94^XK+Yf3IV(4N$YZhWcN3Hxzm?xb^-TNN&zN?|I}@Xh^8oz7l}*(sGTIlI%? zOr6Rcdg%P9G_AtDdDQ#OwXap$vDBr@bB%x3$10Hz?1%2zl)Mcb#4I$<1#DB7hi)6Q$$Gw5 zT715G@yyx3YwIh0C&!Xmcq^=mw6sqf{}#jgeY}qWw?4fjX?k?6P%H4-l@ktKA`rii zaz8&eH~o6@!CIR>;O$Mrq1LmzO65yii>8&Bth{xH#S5{7auk+~6IL8cXdO3ieQ9!@q=*o)y{)nY>B((1CjMYtqyE@+j4IMyx zgpUQ*UuW$vQ0+)PEHtGk-Lk#CI^c8*mg1I0ohvyT@N4vGW4vzYtfgxWHih?8Mmv*X zQZ@Rqch;}kKIK%+)KK@(H=0_JOP;QE0foh3L+JH(wv(u@udYn232XYzR5khymMc}A z9dvXS%D;Ay11e_I7D7o8d=@$@9sB%>0=2&#Mjj`1k6bc6E>zs_V71@h_B=hw>&!~A z4d1FU9rHU|i}m%Nqx;rJ2%=$^Xe~=OfHRB5a_OXr#y7mVp*MC-!x!st&-x9w(PGP1 z)njJ8t@+1QU8n#4&I?6%u=h7riAPtDBFmAz#An~{x+!)1aCqe2tdwjsSngPf=}27& z^>BIW_qD=;xhQ6_dAN8NOqGGn9ncf?_e$bNXZwr&O+~_x{d13=ubiI<9nhTBzm|!fi`fF^zKwoIzxs?1&(}v z217MUM^H1hZ7p*yM(te(sW+K(A@tsj=yD5{w~q+}*ZY@L^`z8&hAKt`ij!ZaeYy!| zr2d@lkH}u>tI*{SRcD%>Bo9btpTdGsdgfmus4Aix{-g%i{p^V3kL1i7^{jf-$)ur{ zxR|ZUBu+P;L*uLKpJRfymO40#W5jN=hz~sr^JiDwi%tp5Tn1FG$A5l4YJE1!Rq|?U z;m5;A9Mb1j`1QMw$5h1F-L6V33mB^yehWcmsCHBH;^%{%RhvShvOD)&b3Dz$BubrtqQ+WcWB2u)k zFNx*I#op&rz*>IeW-rEA30{ z>Kll*hj_b>Wb4bRu;rJ6x)!##m)hDxzuiiE_T^G!LZnpgiJVIunR(~;7qkX5U(rih z>g_3MV=b|dSFo|SRk@ICw6S64F_Vd{{v>IcUL^ilC@=bCY~t-SzTs0}n#Ww#mh&vB zIWod@q_0<;M+568@*RqQRyFmTIcMEm@qPS2)b~jZY`OmA=f8@MLA6)2>lqlc5i+r6 z-?MyRmKZB@>DX!=k&qto1+t37?6*{5V(_l4`?vQNCkH2a_A*@hfdtPBHimBFvAio! zsZw>0=n09pTjhzgN|%aN`+kslh^m$vX$0kOvnb+7dG3j-7TW%g!g z&I$T-*6}w^Rc_zk$4{+%g|Ms*iw&hH`m`M>AwN31F%dBqw=qFzs1vX1X-ReL63i8v z{9&@qF_a(LuigFYu~A!=vd>o*_q;_jd=3h_>;I@Z|K3vD(PZIcRetk~$z~D=I*G$< z4z2Nj$6Ji~ek3*>6OebCjLZl*Gj(ke-kjkt!NNd{?!{hz?^QOvAHHsziamMg`up0= zqx*?7S^ON^@bQ>=?pax1(aie>kQ_5?W3gQuI< z2ax~31XBPL;^WE%_KTqi>S& z`;IGyo=3lC5vytYFK`7nXg9_E8*jnI))}N?v0q;L-Tdd-|EWZBcGrKZ^Uo=Rc@{Hj zXG`Xw!N2htfNWX+7u|v5g#S0l_J1l|BP&MX-BNZsX#DT0NyTa$+V7_SdB@s-{#rj% zap?TNt7b;^IsBh`|I>`l8|RX3=9^ILeE+T*=-4Cw|LRz?ycb15Exs04mEVMslMb<0 zfb<%51GDyHP|JTvaeAX8jngJ-{#X4MVww4sTK%{`rD#c!y|we5mkQF;e6MWD6Of(f z{=B;pv*6n;5^+7S_3ygt^?3knYqItK^xU;6A%^`NdJ52?Z1d#Q%gkSQi-@(t#D=zV z$A|gxW#m$3e8CHVHoVvb6sy$-PK9Qw?}DVL<@;W0Yq?wW7r@u#vxzA&(@C8A)q)L~72mD8$JfLAxgnt9RmnR0q+j#(atBDiOr1iW%+_ehd{CagPYOa`YuQ=Q#)wTQs ze=-;{!~5SMXoM#K7U4fD>qqrA#-~Sj0kCrINM)~#-CT)UiJZ!ituW0#UPqcXajhqw zd4=QD`6h-UBxR1>GZWRk}64*CBuNbSy{K0IW3T9>3}3 zKk@A8MA-0|x-nwYSL967V#{Oys>ZJ4@l;IH?#@;vz=jBgUVLrT>MVd$aNUjZ(9Ak< zohD`}@#=sPwWhE6t^vQly(0#kUV3q`@#0ZUzsq5ZpC?!f*M1H+EgoV&ivPQ{5wUAh zdMs+@(oiXa*MG45O7rjc7U48t3-bg0-xsF*c`%8IGaR;iJ5#yVR5VV*x1<79(=P8^ zHDA@&Tvofr!iS=&_}D*~to))lXuxnj^~Lt)>O?sKfW%@(*@<@ebc&#Rj{}v;L|STT zhjp4Oa^m>G;u~jR{c~LY+u~GvE8q_6J(Ag;(LWxzS$VBp zPbqw!%z2s$K;MMafMCUhr98lqpBru}4EsFSLQ$a$6L5+;wv)NuCu)1Sd(Lg?A6Tz5ClUk55Bf_>TruPC0^CIsy%*@K za3^a;-ClE@cG=~K_)FsNzG|#WO@(zOjw|#smny|>vmI2&0{lL4&XeD)UDo}eMP+Z1 z0VZVtFuU>OgMHlkt(|L=@*Cgpm5hR>|LlAR81s0E66 ztzIayoOBrQllP9|=kC#>f6mYdDVUiIPtcCyABJXUy^@3tjmk{xN#uZ_R2P^%R9qqrrKNKtQ z%(*s&SBBQ(28)i|2~YwZv$%;H&{#*ZI%QOSa|f_(EdXgZcII|gHy9U# ze%y?R*_jr5-JCl-0>E>|$-~EkFN;Kszq1;Wid|V$6yX-7PvO>Y$X}W=U5e!XP=hlS z)(x*H(pakQ6#djqr`qWArKOqeNrl6`iS#CcJ62YhRsbSRkxQX|9sbTNiy(D+{T+aO3?@Rtm)Sg zacY#jdHD;Q?7~_zwPw)~kc)GAnN*Cbm}A>iN8F38tsEl`QYPII#`FwbKs+ZJGw7yV zqy%m)xzGJwP|}aCzXv(=zR~%;wnIxh)9#mz&oKihhCNTX)>W(~A-NL*#9tVdtF))_ zi>STwq-?GZoSraR8w+aH+@55SkttXK)Xw5WEGkUGmFMB86q@?=sz(5&J*9sa`Yk_K z>F=%Z^9HpWTo0dhcD^Z8?|%8{+utiO*O!j1wx?wrWuzp1o&D%w6V@(OL!CQ5Ad=X8 zA|7*SSgZn?DWFt)P1Bz!9$UTc`R1;Q&~u=POt3k-v#y_J_KrM;3W<D-u9=(YItrrh)q6`6Z6gVW^Yuq5PHA(87)i-qvR9Vg zHR=7`8CY~j%vo--idGhsCE0c3*%I2BMQcyQ(dfbbC1IJLhdE7;=UOtOgsh%WJt=LB zDebH$rqVTvDlXqOS1O`4&--Ko*=hIpj=8@6^Ce1Dk_zH$*Yw$6B{9r`K^U2*r}K|G zJ=M-WE%R=Qmrq}Dh)c4!G&e)6*A>VsmztA4z1Fy>{^jo`i|7ru(i$1}Vx<$Y%hSAl z(xm5au4#r23D?$8p5<4F`Bl$OHd5GJ-Ky_@XB5h}sk&-T{Wfvq^AWSTe_C*sXO~_` z4WGW6hrD2;6B%YX&O9RARdzl&jHuIht=gA)4Qh;2-tLjH()q-WHeL$HAgqFw4)7|&@^ef7l9y?enjv}r@;)>&N&nAX+h7}yL6|5xI1ljcmQDYs|pWYQItF%3c_od zrHh&~e~v#K%M1AJj|$^c!iYb)mB!Q1RlO2(s@M?1+3LI>PcRi0AUs_Q7Oiqr&RN-2 zJ=LU!NFFwLfGZa9gc{7A8^)k?3XD+m*azW*jE&B@#oAAnSXjk=P3O52zTc;Ed0zFl zoXpswF4@kMS=Z8wKF*ViO;pvV2|Ss@n6qry7&+#n;ilc#WKjj>z=k>sN4rsB7Q@J? zD*@`KK5?TvPs%w4#CBbE;kjsFxIvctw%9(X{LFM(qA#@!kHLM_ZJjb^uQF_oUJFU% zJO+I$tsy3SY(N299;v*t`E=O*=fyVTt1k;7pCdb7+E;T+4M3(6<>u{7UIC#lX3jYU zb=KqUGV1M@mqId5*Um&tXgaIWeQ~e7Cth3ggW4X$|A@6Ndx_*fznm0&%d@#HjD2_o z&q(R0VUBtQ_{;3hqMr5=J;p{%fBN}lfcQRvcuD#ErISzma`2-MO?tbR3NutaJSll+ z*T~7totZDDvriL2W$wfQVqJwPeLj=Wl{vu#yF2>nvfkMO70-Teo8vM(QYU;yYRf`} zrHy##+WDLe3O#eym<6Fk@;D;c2IC=m{3bqX3$Q@9x6->#G=(r>n>i;ZoseOp{zm=q z&ul^Y2l1@{l{Iaz^iB9dZ)b=sM6K5ZJ3O$LmW@UUnN9{<-|%SQWiFARB9EmR#_yi$>h@ER?vI zKD|b?Nkh$EytLGN%%T|aZw4lI8_+F@QPuMNf7304S!OdT{$g4Gp60So&}r{nc7Vxo z|2pDm@n7YHf^yWl?Fsv;!~ZavbU8p0)59;6OaGfB=4gX*3ULqA|BGqDF9XaC*EJdZ zuX1{T5MT1T=40XiaIgIX33I@}Zj0RU`>%5F7eP6*s2`U9TlGDP$1M%W-Mfo+PyeeN zH5&5`RSc8)H zA9?(t9DAev9`FI`0A+Y$U7GYyd&O88A|vd6@0^DP-RCHjx*3I*@%`aO66cz20S&cy z^iX8Kp`iP+55e46k_#taUP&00rq5t#9~T4$$Lam=Ya~EFK3qWJ=o#8Aml&)WYEujf zwqWhDt|lp?dx|g`271&&M~AIQ$UE_BO612GMd`h#^u9N|?VSk-vw<6pzdt1mR;low zddCBB#QyjBb^sF4zR%~9^KB=9EVmA{OqbQ!H}&6cJ!<*$3JA}hgd0(_0$-HepML^u zaRGbnD1;a=_|SPE>~$);@cbLdmnR!v&VKpv@c|C+r?lszu*O`ZnFm1GoKySeSmici zt+$2*;urRosSD<(G2@DEH%*FFaH^;V!mJBmd~9dtrl5ueEbgR_vcgq0#0i}uoiM+u zy9>{LR)u#75%5N<7I5JXTE9r&ws1u3jd=o@<+2pzF$g=d0$mq`aGL%@uYIR#z4~Z= zOU=7GrmkrmBJ&AM$9o31Gk9fZ|2)TaU+f|P~2 z?q1N9;hm!{p565iYF^7{7u6sC8#h-Rp>xX-sHo&7|IBGMyGPsohFz!ajUIWle(uT^ z4FVHFAvi8`H4P&Z`4asI>H&!NPRN5?bb;q{H}X5m(qArD%Ko1 zTwc3Bx|`f`i1s-^0qV3vXFmbFMg-o?S?do#WEY`PwpP_a6btfMcR8>P$RFbixfB|L*q%PaPlq(MA`dG1o%`wwLnf@8k-ipRSyw=A?| zZJ&NOm6YjJq!k$O?zVCNZ6kC;@Gan?^jXbt>!I9^Y6bZ4%2@Wafl~^5Mi@9)2l$i! z&#`pP{xs>{VVWONZ=u}SsZX6eIOi@{$LBnDe;6nUJ|J;~RZpC# z^Kn$8e7IxEDI1)wXP{ySCIjB9FaIg9HzpciV%C<2M9$hP!ceI+cL%5@KDP!EsO{JI zo-A{RvG{iS33PNFFh*c@)S?>G91$l#y`;;mq|0vb=)e2*KxHE(*@nDj zr^&mgOUWIeRb<8AU5ye7i0lZklL(y;7}&b8YvP|%8^m`OMjjLT#T!~M?7*|i4UJHE zt<7bP$}xM!b$I*6>9Z}s$Q+Jd`{4!Tk-kS!uCX4+0s*BMfDJ3ipJrBX%QP>Zw&!Fi z`L>SV3m4D+-oLgVy7|r-jHhtcoW>J692HR1=k{ghLytU8|NQtMFH0EGKUyDD5}F?I zFoveK07oiChw1k0d4;Org%HCC9k?-=@H9v7F&H#i@*=nhMNvr?q#r>2NwQ52MZbj=CV6;p5^ugp(L)3SF?1~iVQKT zn2hfV;xO9WNFlf?>~q+Ks`m5AgI(Q6Q+~Bf`OxTahm(R@cUs}3@!j{T57I%8 z>Z-kt6Q}S_#3SGAhhA0#v4!?IFcOg3wo4Cy(jOk0OtZlRZ`xd&Snbyx;L!VR+qCjZ z0VH=~pLkCM2=leT5gkM)g2>gpKHBGXb?e)m?2sx|T-V__Z|AqKonOAID0PABXQ@A5`=)xJn;n@nph2%SQ*#Lw|tfx5E=xMK(& zfu$fV4QbC^;4;<4t&eoO$1cA-_8w?8Pd(x{U;b6*o%7@@VG@mf>lL;td=Bdc3#N%! zjT0$Kh4-D~=aynbQYu~fE^>k@z~ZKnY0r}fY)=2h&s`6_IHrOsqp~E^0?hf=vt(dA zi-{-{UXcUROicfwbG_x80Kkm`EGI!ReVeDd>jOsKqj4PCGhD7Mv5mYi{WoNp{+h^T zZ2bmT&8g1c!TrF3A1(Xh{Q>C_{16u;s>NECvvTdp3n{c`%5}X=F1vvE^F?l?CrU1o zT7O4D)+;;fBvQzc0DRK^vRdmW-tj;k>^jt5+Ti^Gb7e|)FH@b^*?Gq=X)+0x zMAj=-LK%KUzMy0J1oGtg?e9QWIP=sa>MOr47>GNcl0OZf*qgXpl$(d{8cmj6b+47N zy4GN{oMbb5FusjZaHire&!?Dn7TMBJKM~L*+t&%89_1VT z;;&Dgtz`NQQyb6oE6g(A@YE|fBJsNClS!Kz{8X|aDK_;ft3q@&{Np5( z%Ifby9;q{X`HJHk5GceYBa z!E&j6C>j5oO;DLk|7(3LD58ZbFOkCzKy&S_tB)UNfuKBsFstl0q2J460msDsRs|TI zFNurQ$N&AK+}j3}Vt=6a74s^kvs4%|U%R=q36!hipe>r&WO_WRl$LY;&re;WTxq(zR*QELmV~_7Ahb`YVHPw&{rWKgnlL zeZiz)7Y~Pf5je9!q#wg&>v_*RE(1}$>B|&r60^ia<$31LVgRnkW1ul(f&khzU;elf zAh{3S>fYU2myrfr0l;$e(+_2vN|>Yo$kSwLmM+}dom@{{nqY^Fdj=df6OY^|e^?bV z)!Q^s(r_ zf-l}4SkV`G4KFWDG&Pm7l-?@~X0I|1F917VX3g(dsi-Ujq>H>KNO(7Jcul@Y=&yxq zNq4dfU8;stj&1-j`UyZSADXzn#x9^}-&;HA3r{x~2NbKb(sb~+jo51jn~!iYSLVGq zS?h(oUmV2)>a|{U9~d5?fs!d-bEPdpwCF7P^gBQ1bnJpmWfQeyqrchNSWO8}?J=yb z9@AMiVCGXa;j1tO%He~i}SW8#{>$3N80I9bkV)3)}4A)|kSzE4=8wdR?a9u)` ziOh1?3@#|MAkVSMXC{;*;VJ9wX+^?poZo#(SB;Kr9wtk!{EybCxd-H+&MEq)v+;0IGx#zNSC5|p zkfZ+o7y6TX%eh*d!glicWPg@e zyGs((@HN*#cs?|lYffy0o&;;C5x+}SLXTA+y8=X5X^%AyXE1&7_bU-BR6Jd52AhyFVLtiz>nA4iepoUH zt26xxMM5Mk;1Fo>NKC$(wHUaeHxYz5b&hKAg8c1m8}PM>SL7W-bRXm?(mgzUmPTh9 zI%-JryX!+ToqSc{sNm|4IW<``%*?W#w%A+o`1p<=9bq1EYmO1j=;`BUw@CzqJy2-H zaw^-?$lIOlPLJFt1e9DZKYSQJ4)ZNKF6e6qm7D9JaMP@c~@Ua=fP7;e>1Nk7?k}{3BmqG;|y#i z>WHQ8)!mh^eN9GOj@z@qvkDoRj5Hv{!;dV4?*18W9Vaz(FYCVd-pcU&s9hc}k;6hi zA{W~uH&S&*_v$jY#nRhbMiZ?y0*`}XE^z|BG$I3b=Xuyuas{{e6`Y{;?mN|yd9MTW zStLtvUh6ySKwYcVU3~uG7j9OzG36JIr$wxk%Xy~}^(i#}@)xjl0xPv@BGVxZY@`cG zz|DBJ%bWKqhK2I#h~crErTNG|3{_){A|5>l7M%7y%JGhv#I3YM z6)}VzcG=Z4r}RGHJj9AWiVrbApJ-;we&-2X`ZaMataovAFFkDg{D|RB=c2=2iWI{c zOVA~rWEOYwM5C>q((~W)zArCfTgp&tMHQ1TFpvn?4oyf_Xz02T^6Estxe)~_gH>>@ zA}c>{SV%q5@Of${liFr~qXM{xl7#lY4MkQ=iZ?|zkFnl!{ZvP7@d*oinV-(cIac*H zg>s#lFn27P#MIq)F5=QzLX8MRW+R;cNe0aY_2!z9l0Bq|XLH7y^!q0`MMO(d#Mf1tbI;t7r?2CAg9Z zn#VU#9@Q}Qw%&);E(4F5EO?Zgd(TIRDEqBnFL5M~U+VEGRFZzp@Tj41@=({^(S}G0 zhxKyRWCP7Fnb3A@m(H86`3=9Nvcv5+|F9c>+R^YZC8I6w1wH+cDK;``gL~_H&5Lu4 zCmzK}=l!yt+fnLS+t#z$R(FksKi!Mnw?{L}uY!#==X8Y&XO|$ebeW9lS6tE28hH5R zS%z8!l}ofIS&BrTZ%(DYzC7KX9Z^N<v$u<+`;$c8Z{}??_Gt{sxh0uq9sSy$bOg8R!(F*w)m^*(9tQ+Wfhd3q3T|B&FsT~YZ60J;PI{=dkb#P< z-kt~a$@KznU7o=aaaeWLr2fT0u7OnGu7CKm{_AIaHb4D_c}qtzhd=L}g;VfW*B}wN zoq(L{)XTltZH(ZOL-|%)b018Lq`A|fipaKA@z`ML=FQonGvSWdpud0HNS*S_A~mW{ zAab!Q57mFQ*=IgwvC{;8c6|d&2iOVwuJNh*5N1mKZ7o03RLFE!);d644U8F+iHBlX za?$ylbQ^M+j7wgZ_}B#JCwtY;{i#VeXiCf!n|E%AUh}&ed!k%=54;Y#Bb)zWU2w6Y zWKLd$)BVCvmniS90 zvrA|4VY(#U0qLu5Q!)Yip;GN>FNLsKTso+`BZsdYzJ~IZv;0lHFrU|{Z7<5&ohuaD zmdHrtd39a~eUcktsnM)Sm+Dr+6AUl$J5KCH@WgHc4T%KxD8eg;AO*>fsJeZ z<{cX<0iT~Y@;b-+v$pax+?IY+AaF94OH7Jr@a^##h7G!uU$R`MVoN|jm#ZTTKgDoH z*jGS0Ik<5~*SQlf8nYzpXR^tb#MX{|PCU!o(YG!n@B_WLd>4*^>P#c_8%$r{B;8@7Ew4ud!pmK z=W;uf1+zj^IMN=QGmQ zm>wRcA9K}i%omN&f9y9!e_&X`LHM?u5C7Wj!q*`HI+F7_J0qU8r){xc>c$=jyG^`6 zO4bg9TQa2B#D{e~>u8>ah~BG0l~kXfdUd{mV8Nz{e8P08vrc&2)a{<#9g}`uhG8dx zlMMqkb-8py-3*c{z4y~{C=jVzH`#$O5*nA7B0xg6#>aK!&agRYj=rR4ray6hf9}3K zjx7FX?po63F44ClaqDB_@oR$mme>MhjeQf?`Wn=BnLl7%8mIPh<_dDFVqOj5_r5g4 zqGaF;-{RtcxrPF@p>HXswNb5Gs*8F7$R}3gJSm)0{_V*u3(w=ReQ=Iptz-d-3p$h6 zl8TNkK=6+ady_iWGPG3RadbiWBPTDP6HNtj8zHp%sDy->Yq5No`rnjum|80qnNwz3 z*3d&1qSF^x{{H^{q1bk%dkULhP{WsLfvsh8Yc3c+>00+1Z6=4hAKYd%sh%pdib>&| z=Eh7JAC&l3-N6wmU|At!NXhdkCT(^2C1(n62rGx6j7~H>B#Al%P-1l~3=TOr38Ds*#hnzB{ zQynzilXk>#w1BI^jky9TqQKFsY%BRUf_B*^vEEUhD6HSW?ZU3{5KkKO;*?qYtbXvct=n<## z<<2WAjo$WFU|S}axfII_m+xoBp#t1V1qg`oGn*?}axc`T*pzW7(`rTR)58j}P|m4C zmy#N~!#E;NY02C0>3{!N2tDfd8kKxg%-rWRV4WFNC4n{63*V=`jV5i|HzPUWZ;eGE!E`&ydgN3lG zI2kL;Gwk54XL zE}4677CkvVegCABSpP5F_9;{SIqk^}!QVyYOpB{i$6UX=DbP|u9)}%GqyXNJEtVOd zx3v7Q#?V&^+o3sK9yV5GwT29CCb*1(qH#kHmq!~sBa+dL5-g78FJd{e z%$P1E<5B@r-^B74_MUu5wG4P5NIHZ%PypY2BcIXcX?#7D`Wji0ufDuSe<4G@#&|oc zK(ar{l9(ZU^G`u)XWi9;1ebH?Y0GKycgi1@1qX&{Cf>s;T?Ofnx$kE$uMFi(GE8I= zPg}LA}R&%Pi&Dn>F0N;c=h4M~0FVHUs|YAVQ&q`7o zj3Nw-S8;W2AmZWMbdhA{JUKU9a9NvDdWOty_h5QrkGO&`uhP_!f^W6-zi?Vq5ncsF zlXM|0W4fpTGxwbr3^`Yp(vC;>6lY5@TbgK#)(9~8NuDc^QXjEU?1bc?+60}JAL!=2 z2%w4DFW)eTzltJDrrk@k#_O34a;>;R;d)?;;-#3>tq+TjXIf3~bMwM;xmkr~dn(L| zxSZ{j_Hgn2_1i!8y;EB;+Il{a?0U3DhapJT!ZSw@K2AUhb+H;@jI|34&JCE=^f6rM z<;s&k{a|g*%l`bQwy<=^Z^)PjrDKJb&+qf*LHqj{vY`?(4=kBk%;$D36XWhaBoCZ< zpAFdc*wH{^q!{d3_^%(zHoK}aHKXqcsI_VtnTs`vANk)66cFYm4!u9Y%v6#p!e&Og ziVTzKPbW`GaV2E6iarqig1MC-x=|n~-LlXYa}g&bd90%aUV4tuM#sj#p%o~bbqdBw zF{22blV;1bnQG4ZD$tg+v?BETd=Xk_n2i>?N{x`+`}U72&&a>~*&W8+<3u?q<>t$? zp~b>@lAdY0-{d)S4KMHu$@EP1T2ty%@4FJQ8~{3g)BN2O$@QW#>kS%lB;ld_26v4? zVX*pzqqp|t{g2T$Yy1BnCC8T#AfTT@e^1o(h5_(CW`ysso;OIPDG@7t`lV&?6Es1c z_A)?-zqrBA*W7Aup8ep)cOEekL)$!UBmS<ayx`^joumk_Re*j@@ K4X=WECjLLiqd$KD literal 0 HcmV?d00001 diff --git a/docs/images/SHA512_pseudo.png b/docs/images/SHA512_pseudo.png new file mode 100644 index 0000000000000000000000000000000000000000..222393fd93f19498d107f98eccf46ed70ef33c27 GIT binary patch literal 89719 zcmZ_01ymi&)-{S-aDuzLySuwvaCdk21b5fq4#5Hhx8N>8g9dlkzjMxa?>V_|ygf#b z-MhQ2S9PsgHRr7TNl8Hx0S*Ta1Ox;@T1rd>1O%)OI9OqzfGhWgG~FN|pxRcVqDs=D zqC`s04(3+2W*{I`33l-vvVBVL2NZ3K%Zh2ZxIVf%B3p^;6zHj0L!;w~s4KOQ#ZMFD6sN&yiaY@s}P0^gfJCw3RRj`5KF} zOT+Yh(~admHb0gGCfG-*$YGYxU!_odZJu0Ci5xpVUFoR~9w}7tYzUrw=sM2Ruz%ZI z(f812N1s8;WDdi|Ac{tf(#6>RKu*B4PqoEA0T~y^HA;}j#yZ+ccY*Gdr;>cxf7j34 zI>6ZIC|yZqz(a*t9-ko^1oxG*Y~9VSbq zPnh|lJzU29uyRvmUPE2&R~?tk@$P|vCq9>;j-Bt|1@70s6Hm1xWb3gE$NS@;XXm#j z$a&)Bg7V~jxQpRI_#xEizINAk#Btd8hVr${56ptnBo7VJ@d2>4hMAVMxx73G4R8(v z0vcfj0s)+X0tXIofPjD}hJ!!@->ASLmJjxyyI^(s;Qu{m{hd%)Ra9CU_*OM>HZ!w# zv2<|V!z`f(idwKz({k04m*X*UuwyVXbucz#@U(OModtx?lLt7pGjlZ}^0c$Hcj58m zC;2M{4{-kbG9wAmUrAhT_(`ECNCe=Bh=}-{P0e{!#3cSNJMfF2 z#M0H(k%y7d!^4BYgO$O-*@BUoo12@FiG`7cg&s&j@8V_eYUD|8??U=_A^#~y%*@5a z*~-z?%E6xKcezH!4sNdeBqYBp`tRrOcA9xw{Zo^@%l|zV@PLfJ?=UhmFfsnOY#=M& z@2fmYR-R_I+G19AfO&vA1lT!P`Tk1(|GD!|jsME2^-oR?F0Oy){MViT&8hBU<}B)9 z2UO`Q@K4YDU*><`{J)HRjK81!UzYgW%zs@4>?{Ds$N1kq6M$1s4zmCO5dx7G6ISyC zJ=TLve?NrEaP8>f!MnN!))NBt&iN}Es7PQ0ln0ExaBzD&+Nxeid;4td8;|+YnlA4y zLwTEn!}4xkZpI<-QIbJ}g#`-^BqD-=`Ogs*QO=BS84g_TIf<3>`R7r8 z7n4nLUTbJ*#4r5k!~X0F3hcsK`Yax$vOiVufJq^T)yt?-B-QA!(McqFcD~v7o?eHI z$LrEGex4frPuu$PLyRSTeVz%`X|h(%WV5ooJ6&@;l~%V?R&Wlmg%t!R_qQ2 zy*5YR-I0_Zg4T0XBPq0)5%_$b@qMrN+ep?Mo&4>(E%xJ1R1LsW;+a3MG#$aEFz{zA z)CxL446FaqD@36rKN(@G8UzFbUbE9~3_85UQAj0B_pbJ*3+KLhx%A%bjc2(&UR&`v z@4$$ELJf2NH8H-4fXh+jakf6a*6zXmdN5o1VZ2Z>ZvSw;^7?#J)cf`rJA&W;Ib8_} z=Cs9O169Gw^I{7!0{d;DnpZN5LodJ4YFjCTA^76EvWAXB!q2 z7+-Hs*RnDUMM87nwE(+Xovya(jOgI>2$Z?}+S1|4N|#BNIw4g6`=7qT0DDy- zb}X%gMI|%bL$}%YZN$CG{&CiX$-d$?d5~T+7aV`0ueGy2Z$4nK_oNc=ZLSBX^JU5* z)(chAVec>{Sj zw0iE+AQo!g=@;cg)zEG=-@{~b;+5S@{5!a_tL;7EvgT-~KUFPEc??os`F zbxzxZD6aOxH%E&Wx()7VPsGTQy99#%*@Z3Y9CJKZj!`qX=Z-`ubMtuGpt_@61v06z$Mw0o;AWIT&g6?j>`qk#MD zj-cZ(8G`g2f{rolOy&s+VQV!SE!OBI(`quL!5_yHuiRXGt)&tPtxM)~m|=uJez_7u zi$d%06MirAu3wRk#QRDuul~tq3O;t+ugPfr)ZkDi^)5=cJy7@URd>HP3>q(&9v3ki zW*z=mVLY0VyS1Mf{D@{94g@oo9GCr ze#w<=|Mp_sK?{4A@@LCWWfljy{?sJhm){=F!!>gCrB@j23eyveReE+H%YDNy?Ni<^ z*JO2E+!5^B>ON6u6{>mYyahj(eyOlfzUR062l5PV)Qp**z*#~hsj&o9txp57r) zDTJoVkzC;5L1-QdQ^gT!Ws#-1o&hCI7K<~_CRYc04O!Qsv4myzv2E`KT&0--v)p7Edxk6VY|3TkW3}=yrJOjn3SjNvd+BQlVu4b#&_pe7!2MHMWTt2_r)Z@wE zreQ+0(807AsB{;Y`vTh&NhJ}zfoZ{Rt&LsDeg^QVH?Rg__hd{SG-aBMrRF71%B{}W zon(vA7_7BT7N~Ar^6zQUr%MFH9?7xDl8K^H+cd~WR{HJT>RR1jpKBXUBx&0(dYB!% z7eMEVO)(?nUC_^%3UfY=!Lq1+!5ZHG8581e+yyZ|rjhi`*=M@V<){+^DxNk|lFd53 zotR#ro-0u1+K0KT<~0R-$r*b@8H2yMH{9JJ*7szXeT10>nzgoaqUy^^AcIZ&R0HRv zrpPyGiRC_yvlH7nPbk0W9lSn#pSMv|#bD(JOJroZJYnq$JtL-?JG-13MiZ=rbkOBRtU zn_e*?;WWn3s6QqV@J%`8!E(4d!84}s8xKS#L_|Q#7CEV8tn6rKlm(f8ZkTt^glu*d z1;cOk3MJ2pHNULcLI58=y=Bksv@kSAa84G~?X^{-5+{z_LK%>u*v`IfrdcI2)BRaC0lnJ0qIs!^o#!+N^qpwq2VOAc(}%{w<^@?P0b=6=J^ zS5g&8u2?xmQh7v+y4<2XxAE5@f%pB0Zqx46xab^}2dQ;t3$wt%jykm~ZiBYpM35Ecju#R>3~srWGs} z{T6RgC}`$cG+C3-W!0lOI5*@BBhNn=i*MET1!P>D#-g!?#DJS@szs=Npd37o3kxu{ zddm6bF_xU3FIU0*>8LiXfk1s846JJAE6GM|gBd~^cXB6GKbOnAet$cTWX*`s>%H>U z6{`(nb>SudwvWm(mzC8i%Hr2$TFu^9e3-AVTaZ`&B9rJt3ly@c(MV$V+i`;X%S|?o zwm(?X=nd)&K?!}HF195#z`0!Z;Mwh0(-p}=6lm3u9INQl)ze>Pk|+>Pel$c7F26k8 zsYbr19^-N3J3U=%e{ih_LR2&bWS6LG$<&!-A{H|Ba#_?9JZaZ6_ym0lcFW~Pa2-_d zJjM64$|UU2qAhnobhoO&w5Vjp<$X;>Snc!j=w_ap$LCeOayd*j_-!x}J)t)g_Tmb& zK``9pXLHb8aj3~br5ztx0N~h4R;8V1iL0ZYf6jwPq6)yhXkRIgWHMDMSB)Npq#>2< z8L(TOHFboN_h)L`%mg}vBnHXtR|q+TsTBQ@+0uTk_1m@I^@4IR1lnk6ygpf6Mt0}q zBR^|~xdbJm6i+@>{rSBJ!P~uO^s_w~E8O?+bLRG;sW52Fvg@6h52%OQvrCvs)RZ@c zYuH=nM26sCP>`zci>`DaONB1M)JWW5U$Mo7QZ|zw#R>{iO@#MFhm7&56?V8V3QoB% zn8U994`0ja9(p8QrkNLymhxtJ+f z3_H6aT0bkdOH4O{&)(Ex;Vq<)I1yx-&vXJv)*B@K<~PCRIa?2>9LXaje{NRBge zlQXX4i>595th8~^*oJ1rxBVK}+UF8nzoi>&ik^fK4e1j)Jl@}dMs2Z`ZQj_v-9PrT zKj;@8>bmBcJ~Ns*+1iwC$mR}hx^$Ikt8C5SkK`9s%Y{wt>(f47f2b?+Q45Td4W|VY zQ6zog9vLXI??h!c*UL*$e7&Ai6@!&jZ5UsQ=a_RTk8`@W$xOaQhklCKwT|IEqm_FRhk$B>eNDTVS$MemQ8QgBJJb_b<4xhW&+5H$@yk_qk>syx@g$Tlh;^|AsM*q#S%I#Og!S$A5DrI z*(BJr7nKf*#R!LQSX$%ic1u$$ZTlL^Ll%*oS1(qE)PtBIlV61z-ui}+Xco&pSkFx; z%LL@lQTlOQMyk@PCBKQ+)4VgY@e@k%QL|?^T|ut=Diffg!iqTYlj-NIle#3k?GsZa z+XSDtv`W*5)x@U~Y_>2C%F`?@E2|`Rj_bZIQ>4NU&ASTos7!72YHaeRy)m3hCQmle zf)P1}LWxs6D|BdIWsY-*!JA(ToZCT_sdEqa^!yLIIYB-j{LJ0lwucdlGoXuah}0ur zsqb^sA*~`_?`diu^izdP+LxoPWf&Q={5QDZVyVm6u7=%)4$vj(d0V58CIm=$F$-@P zS~oJw&AQA_)l-eM=PG6^mykY?ctlkZbozexzviBuk~>`9wy_@|O~{oh!*nok^frw5 zaMdryw!YmaD8^0?e~JrS({!(N>@}li7sxeDKbkwaA#8(gHXULJ;~RfO>~(~FOqes&>d6WFIrg`GU-x!z11FLfMz6P>X914$>9*l# z`vn?4nM(Dt(Kv>yhL28ohl@(qQwzcp8oLuuGK#6{=@UkBw&P=4_nM?2xs&89~BohgPHPl{gS+PUNPv-J(+pIK)?Jv+fa6G^mMxrt%2( z368_W&;%8xt|1RJZUsk8g#xy)c6YboD(RyHHAQ?I; zrR>ZYh^z};ACX%nO9z|-Onr)Nv-U!`|J$uc>LbzFN}PkX4&v~nYKIf*(h@4iCv4nM zqJus^c8=q@N$osy{LBFraSzUlAE{@$0r=I{q3&d{sp)6Lm$)+>(ms?I;jKR;&9eqX zR1mH7!lKbV*+H^aMil5rRcxd#G&%L=?Ffpe+%eK{JEy-ape+@5UM2* zAx3CYpdO?F;f9S&w!PGCid=N9<-XzM1^tGMh>j<}7T8db^00J(1Si?DF+<>AX4NvXl zNNC|XG1D*IM~h495o2ogvMmsIa7G)zn!BG@d6yCd>Uu?7Kfn)-jay@mm)Ubx_MTbQ zk!xKXYcX|a;tB5ILcjl>b+FD1d+YU%6nP6K6bIt$*|C<0*xaO=lt=h{jIJ?+o+~czf}H zXqWU(<2b-?C`!|p4zQJCT#SE>SE~4Yy6cfpVbsTH4a1NazHfQ_xPCE-n5kqJyypKk zvSr+q39@-J_E}4#fs6^r91mc)aj30kC`Kiyl`>v`sEa8P56B!sIqJ?!X= zmcnTzy{w@Zzw|Ykx$8k=u{I;R+-c|0lNNrybIHiH?6kocTP&Uy4FVwtpOH%S#!CH(PK*3mKD0>KLngp=PdrMqBFf2=65QY;O*ewTQW8_naa0m0;ZKCb=O~SvQ*Ij${~3w#8{A`Bhe};lQQ;}m*V$n3MQQ;BJkc099=UyKQ%(>S`Mb|YE2x=utm59 zVOR8k+jZ>v{QK{Wy`K_{An=(SXWBL^+iLq1gcdZ>$zayX*f0t*Mm;4@u~3ZbI@=39`TFP^rA6p8LyThZsW~X!*cI?nEEw_Hnw2nheC+9)#x@d@ zK!zyZWt}&4RZP<)d5N1!VOfN!zAur-VuGF(U zI6(G&#v7*BHjmb0T@%a3b7)JC`g%jGTSu4Q z5Z?bQ{b=3Mf_c8wQDEYNpYaSupyk5d`rfx=bC}$qsh5!c@r3t7>fZusNAUm4zD2??k2b^HQ9*-MDX#2J4mvSq8Cs~p}zn{Ki16T8G6{1nc{L>@ZM zT*ra@9sapLsYmICb+0_b(;H>RS6p_~umMkVGzVKs3+RS)K zukDZQLYMu?kO0)8!l_T;8${7A`x$*j$$`Gm_PloJj?FiAd0JV@&rMeH^3(v;)-gL)bM zae2GSain+7%}&!lK)CDr7rLNotQhy$ZL7CI1}r{Tg{AIxx+(4gDHC&V(F~EthUVA1 za+6XH&9LZa=a(}gWMlF=AV4g|<+QJRe+}T&wrA)q^x~24$Hs)cd2ANwG$@(uDdil3 z;4tXESAeUQD$GN_3oUMS`85M1&M2?esKyE6hC-)O3XC`J|A@i{&=QD!T>$9s-u*a~LC5t&CEM!4 z%unbN1Z`V=R@8GjDr55 zHvN+gKP}Jvw2p{HJ6=iCjwzvj$fNwG??o6OVEAZ+E@-Yx|kkXdLTN_>`2nK`wwH$$2cw#*!V z2KtyVeUtchGT)8qH?UW@1D>dQi8Xw1m{iHuweFirLxNOfzf=VIiF>fSUU}#~H!vZ{ z3vE$kz zvce>OklG~1AA}QL{qBTqK)TMk-T&RhYrwprX9X&0(U%bn905MR*;ESW?!!u7(nrZ! z!s+mLxLmZ48&ryQyxZ{G6~P$pLXn6z(CK1Z`Xa^s&Z4D2(20{mDNR7Y zd*eK}EuZ;SF}0GvW?eJhbGx-ytk-j~I5s5{W5M9NUpOR6v|cso=-KcU(!`ZZkS|t< z-Mc2YBfqdusOtqHZ+Z|S!q^yVXY-YqtbC&3@UTvn-8$U>Ww4Ztfu%)v^%Q;$MbG1N zTeZL}KU(tTcsDGww_3MFD}~5P#UY2;=KwEkAa+eQ>uaQ_-SYc6^DaI&-Rd+GX<1{b zu52k;j^pVD3kR({3TV1t>pIQHI7nwnM-MOIAH4;T%l4CyZb}x-*6x#u*VpyNU+*sI<2776{X?~VL=ny0x6iL;+I6- zh>RLT1tN#Ig2j+k)S}gOozam>aHGR{P4F;K>P&6RD}pbbkc89sjWpW~V0McL6LW8< zqe>a<)gdSxEei_-jL@+`2;_)}&B6(s43&X+3ow3-V~-C6ma*}^R9OEs5JlAufp~xS z_e*QF&g+L(gviIa&af*EfCR_oGitU+$I{B~hs@+{Vc3*fh!L!Cob!cc2w zg6%C4E_lNLm|g#{C%?-b{F&6w#!yZ=U9|HPih|lH(*~LSwe|M9#20GS!MOzjUm3fx z{w;vM4tk>ltMgiRmX_6U3Or>)ObtYAiT{gz0u2fz2gfyb1JII>`E;Z%=4UzG!rB%> z^C?V5Y^rA*`6J2B0G;=9BLZZOCqIjh>^Hw4WJvmx*KE4mJ|>y*AHLx)4(Y29kr39B zWL%^CK)@?Ax{cnP6*_|1>SArj4Q(|E*i^{cy~v+r(;Fhh^FVj7Ral2Q9vsL@SzV6x z60sX5!IIs04sT2QuwM@1UcIBpA`mI~!AoHODrJ9S4dDL;Ql*PBrPcf)k4AUs*%ORVy;ZtcMHAO@o|1Aj_`qu4r@CApk+(NKLEV) z{dtf>*Gp=jqmBl^vEFxs;Sm|)vDCSr=#1nE3YPXL*Zu|+k{XpH-dn(ipi+&$W4W0jqq@}yM0M0=r0FQL!4`6?b`1+^TppyX1-`ub#I@l=ew2~a1Q9ql!tn2lWHpmMS4eoHQom18j;gfAT zlaZG3(eAGJXc}Xw&0>uyF1v(g0 zjUf*ptgxL=z~^LQ)7bRC2_%NPf|E(6LQyYM3IaInLd7QUokbGAoxb{-`3kd= zbmp#!>0|*MGSENYR78|>R&X1-*~#>a0ZaDO7f!onv4ff7LalmZr{XC$_U{R;bfaui zHU}GZM&KtKU3pP~Y#tX|19T5zW}qR_*ADC7jNBkdS1;k%A%UOa2LDUDLW2sj*3s)W z7Xe7GDgcd@NheX*hUE(dfnC48_~7ukQjsE4D&Q^noGjK7Hd)U{-e{sQ1B~;mIUQN+ zlxo-r=t!`34VCieDS-EBg=gw8OeOZaxxO~VU%uELx-w@@KLZ1BgpYO1w0@7*AKo?s z-sFM4-}$Omu2R2#1V|_>@6R{Y+THDB;)y_Cu4fhT;sRD$-bBOTVv5a16*7!|JClFu zOkhf2_Cp~4!S&YKtaDV0)9zDFao*TO%lF1IO!nrpYToxod)iT^u4M+PDdzHRx&j2d zYOC|Einj~;5HJlXSmmg@Z4V;crco)2s8{Q#n~i6xH`y#ISPd@F8L+m4kOL0G7~B zjHH!wMj+r@qN%Qi&T28t0I7Jlgl8U?&-%_!FF7v8DE0av=`$MT>EDDm*V6<2Y?SVw zN)StwK=SfQTiS;oa6x8C00%F7|AUnN!IZb=c8jiWYbZ|fKFCnwsAa?7?<@o>)sPLt%dh$Gg@1r-dY-v~k5nTZW1<__G2mlAjj%OWnusT#W(#e^_$P z8XbHc3u+Yne;jSFP@t3{IF5vrj@`Hmq$|Ek?w?NPyBLv{jG-t+@{IK#Ja|yP(C-^- z2ls#rwgN^d5Q9S>P8EcR;(zcM`H}|Z{S_F(6n6AMGAT3zf<6aeyvI`oqK&4*sLe4^ z2-Zx}6gxOU|KX`ATz~V2V*j}DZcRy|ei_3SyA=t5^02_In@U2k44$A*7hg(WYqHT4 z!aQ^LvRSCwH9Sm?=wpTZ_V{9B-CUM2?=WzHuJKUI-zq`s z9-A-yM4=sqn_C%It1>GtU;h0o37h+!miOyzE6VP5+wK-djmKK6o5C!1f>~*DF@WFT zt_!v2esu{>&CxMe@1%u~zaNj_WCM6w8rEWXKi&u3w&(pX>ev%}zfSWC?T^}&H=V-D z7YFr!L;aOI{sZ^JN0f8MPVWvo>RZ&kV)=x_>I)yiX?$NEZupuV-O?zIbA;~nA)cS+ z)*i`o{xbb339>QvQnTI4Op)|$2CN6~867^N&{VT!4moV%SKvi#NN$zS;t*EZ=qgAA z)rD3{OVq=2AK)N>KimEMuUElWU zvQ`35e|~W^lx*QjU>+#p&zUHpNg#hw=&g&v{LcC3{rv%{dX{#KGx@N%%i%;pI*Li+ zA{ZlUQvg%!Axa9)p1o9*A|1!5%N`6(bWRg7(bm6c(pJ4yfN(1VNP7Fyw9vmCtS>!; z2pzZ$tX82!43+cFcUy~Qk26LUjHSGn)Alnd!h9h>J5}VoJ5uikKKe~bR0yY^5+K(U z!B)PQMVX<_M35)}Jk~>K9q|6ucj#0?xbtZ}G6M3G->m87&ah}aRvaF8;&lN6UI|iQ zeyL*K4B%j?174q3{j=%zc6WDgp_+e7zqC_mHTN*0ao9)b-hTFk;6X_{cX;1u4S<>V z)2NjR0aJd<>{fu}R6>?ydJs)gP4pTfcO-P*Z<_QfjcFOP!MgfgV~MCB!RNfFXSssw zJbL^#ua7vp_G0o^9K~`MtkXX)Lk_xcz^~8eMOTUho-aQ4lQPvqVc(v>cb&Lt_nE0N zc?qu=`XzpJ);&BTZIJKow0TO0txavs#NLJjCp-RHK{`LG9T%>Nqkz3_Rs?^+qEzIV zFvgP2-5ZK1TFR46G6x&}I@BvT6I03w1CRb)5UbGE5QAe3GltrN3mS58qE0Bg;kI?e zW+#r2Tp&);=Kw!tgU*39)5ny7-}9kcpW|VnI_CX(Sy z0TO(#5rSr|KKrO7R=Nw`T2voyB89w6S*=omi0jb;z1Z84<$rn0!uu!2v)LWLB-oiB z4R;fdaA~OJvPzRP{NsFD5^qHU%KJ*Eg8GJ7*01VGiAYikzgLQR8qZ?!@VIPdZ|_qt zF|bqvyA5?_G8=5lmhO#RpKqNMz^$14&!56d2(u^Py}mMSu1+Ar9s&xCa?xqTYe0Px zz-&k;;AeOf1~6?X=}byOhVUf_cbwz-Y{_2@jA{5=*@W$S^1J)L4uumWo0uY6Hx;EQ z<8OBEzK}9j=J5GlCF^$thzr&}XCz+B_6kbP#jW#Lmk7Guz2DFb4XLl?EoJaRyeb-e zhl_R&9ka|H$$~ikeH+FaubBo2@DO2t8HW9#lf&{c@-du{p*wyfXkOLnI%v74u}u1Y8b z9LGn%={WJlW{JN7vbJ&nNTH$6Py_U6>b&m9KRxk0qV9p2n8q$EwGZw+RsMAktog$P zUZ#;c0N|&pIWhs%gdS?LU+OS_)S z`?sx=ck1TO*z*=9gzQ&Sa>Z_(>Rsb6zR3l}6*7#~PcSX;Q*xMT?9RWWShW~8X~vQ7 z?+f^*647MeyO9*TS)`cjq?jwH^?4zrEUd7NGU4e+s#SA044!9dUUUEYo?Wsb7z;1T zQdUfPLRfhW13qq9J~B&7TW#HQ2V$q~Xd6_U<@9)JmE!N{J!mpej_!W-1ZnafBD!+2 zs>YtLwP4-PbLfso{O!F=C8gFp8Wz^}Yra9gED~dRN~z`-T(@ySp1if2y#-Z35w-L5 z2GGk*&vVWxUmc9yUWVObIbX@o^0JvZ&b58q>Ej*%xr;JD4r*pvsS^tLD~&2Js22Dm z6hqnJ_iG2~?${^H_oX5Ii1W9=$&}Xf@g1kiti^hoH&<(bLyP-smgxZnJvW8&(^j1= zAWo^PLi!!~8W;(KGFXN~BE3)l8&*TP3&I7wXYYS4NNxkSOGFZhLbDRj>^zm+8af z&#ygLsAfOms7cHGCmz|3rs#T0Ixn$DvfLoT!S8tFv)Nowt|_fa&zg?2h^b&~e(Yejlq${jM^aO0PXH zEZYl9^RAP)Oabfq@g~j8q6|K8Bz2y!3Jfxu%$Gggud*BYIH+?cHo$!<)Ee#hwpaa| zS;kpAbtZl^exM4p^?C$0+CE;7X~Ek5Uc6RmQ8>txj5-=2!w` zC(aC_gGjOLWC8h6SpF9PLC53JTNT5daLC_#$eaa4ci0w8bB6bQ5eSL|M*LNoVCEXK zCe^+9qwn~QbiJ=$5yYbm`5&5&8Aq`HCT9-%PteA`re&%{YIs)H&7_2(gGGZ9{}t#4 zvx1${9zZte3)Q~ItrIeoAyyC5rbi~rSrWV18hE$?;jrTLoms9T~PAm-c04WBT#d#T^4E;i{sbaD)@g!=1Wuj52Khy%OisXqp#>97eZrGYm`c zkXKCrSCmThnTZLsE)KSwF14<{C}v#TCaY?<&Culim@pu*8*i)SYZC#`_f0b$)~Q(V zG3Q_GIk4~puQpHA=0)jrDYCef^z>ydf#lusAJqZAjodr))-#IGO+8_sifG~XYuiVbSSF3=}Jj8YiDW= z>~0mWJQlw)yPoD#HEB#jeIRndNm>XZXPN?bk$lQGVmO1%2w)oI53$p_PWzf*+Ddd5L5)pad4!LSwTXHuTnGJpeaY?Vhh6Y8L|q^b z2x~q&MZFnR;70@xm-pa~6EuWAk6aS5EI*NewCIajAFfFJBJt5+z{)qsl z+UB-u32aV>b+Y6Ap-X}Em3#`Os;SDb6!5P7uHc6-b}%WElN*%iOJD)91SbN>y}_R6 zzT^*%Hg^I{Km#`Qe$_Im=7j_SQ>0<``Q~j5Bq(Ws&Kh)JqN}jGpWa159c-0cF>i)+7)bRlvfVcC7$;L} z{_Xd`!BXLEKdKXZa(goQeRGew@NM_y<{!%pbA;4>EI^HyE{#&Esao?aiYrW8O7gLi zj?>qH*4{&c3m~H(mS4^n@UleH_b)$7(r}Ve=_0u6(|^6A)l|8rNx_cz>a^{BH_3|D zk;|8H^zPs-Uva5ii!x%(5#7Nw)D$i{sUxm4fugRqY@>FJb{Q&X2=9|iI&RSOjK1v$ zTwOOh*3bUKc|7jcg3PsL@8moTS!&C`Q4i=~zubTF5`P7J%`O*-ZAEbCJmVPcNdFG# zAx!Kb^C{yBbg+8*@`>jArge#vV$ zJ8fb90l=N(gQfD+-P$2O)O>q0wYE+D(qeQd2pjII^Dm4jh)9g6-+l{DB)W*^Ph`h1 z^!K)}eRC<*f1`TH5Fbd1;Hzw+$-@3bh?qnGY~Rq8wTSvpV6+AW8ES!DB2naDm4XTr zVG$F-+cLFb&%FPmR?tNl81N$;QtAHz>!Oj7p*XPC;H@J6s5Q_AA~eV?CZXtmphpRy z6x?Y0a5nKjYVBqR=#jQTDV2W^rr#U;AV`5y5F|K7GfDnnEPmpE8X4-DkWv3>fMAs0 z+vf_ih~@uh`&o5WO_WlLWYGV=*^z(3 zAMfiG<}4i2tXr9XdjCgf-%pYv=ffZW2m2Cs`;DYUeY8=mQ4S}@{!nyja1BJomOwx- zXRpnB*xt&h=j}Nh0QtyrH|oK7us56K?m}H$)qC<_*GI=14Xh?J>8;IQy>0i6u;t5q zHZQ_{<5!iAeJ(c_>eg*#)aclnp@XLcvXdJEoS%c|Z{oV%@+WL~pes2ZT9_5}1vj5& zd`ukPFwmuXjeyCa5!WJXAtB)H=H>e6(4c&~B9;wUvONOm66HyA4es{3zn71sc9+imA!}u; z9kBc3-5kd~6!=E;Y`(9CW{$S_hWuM>#aNk$W#I`|FV+z6+piQsT_bMK6+^_XyRBUH zuhqX!B3kB*)S*X!m9lk5BRjv()fmmEN{4Xut=gXt=Lg^cLl@&##eA0!#Gwg72{ay9ZW-m&pz@Aa4G(10o8BFPoWkurbT}N4Xi_ z$76{$?EBMgUK;Ltvap$eUDo7MAgWJ%O>WIt2^bbASDbV77ad{cL7LCi%aW0&5x0Ua z-WB=n_RFD5O8Q?=T?q3!y=1)JzRMEm@mr3aGG~{JE;A(CxH2F{{a`IwL%NEbr7Z*Y z&g`VDLv-N0crZT`*(3WgAbmxUxAW4^bUoNYaY+J_F_+hr;Es@UwvCn94hD|F>B1eDk+LK=5kXTdZ&kEi%h4 z+uabyj3757lhJzO!6kM?y3=f*NDAaCk<2ufzXsxkCK4$MWAmYZcrAfd^CD)ia`--r_t*EAgNRb!aRi9+S&*Q zJdIX~UFY=4-+Qz2NP$Jd4$Y^vdoRgf<)FGyOEE5-j&Dsb6$=JU*%Ws+PuUn1q`wGr zY5@g@3B@cSEqb*N+pOTzx$SRx?<|sJ^X8ALXU6qTB`c^BRIvced>Fl8)gznjw6 z_vkyGFTnOJo}c;(<8zT})*jg+XES-i0M&_Kn!3wlQ`o@ebHd)sQ+1q=_(9OnbH3*Y zT0LXfOimDQ-aR=v*-R(`SZ&dTm(DZ%ilOf-cMEc1*iUeows!~Fm zgvy=4YRmX#-mT5+{BhUK^=M+lO|j2^u}C|<)N(*qIGo5k7A%xbe*2G@9tJhx^r(Hd zp=AO$?lv&2@g3?W0v$^Xmg_6$(osL`c(bVxzpbvuLSm|dVm>;{Zg z;r_Ccqfcuzg^e;Jp)1`-;#~9h&$1{qn@KB}Dp*CENR!eFK4;wr_z{Tm`u2wer28%X z10?oe)tRj{2vnSbBoT3P4TzIl z0&~amyOMRf`R@DE51(1t$5-aG>ouGnKT%XU?x!(zc)vyRZTrlm)0m7g@4=f?2IG0= z<9rsJ3w=@o79fusZEsHeg6I#QaQ3XFYM6SRv5WkF5oZ6|J@i!Gnm#l0Fa0KcmB}uW z0y!gDG>*kc8s{b|0s+sNy&*(Cn_PsFB7r=p9cG0t)wNo_*wRs^vuAD2U4w^p=Dj6p zljYfk7Jc13sne3UX1Q_WC(*b@I|%L%#qGUdJBxkb=Q5upCxgZtL(Lq6%mLOVIWr*r zOM_V}wu-$SBd0weHjn%#0*;Om)vk2rP&G^V8J2=e+=SV)vS87h-8+A6ry#f=4mSSV zKIvTE#uX{m81y`yA8WB_YhX<704d(=hURMtQ$e3nxFs7=K0xxt=4~^iy`Q=WlyBrZ8Fk zwIbk)lz>5F0&Lu2+UVNs4J{0&hMReK`c)2W-MNjta?>&l(Bx!WF`TE6GN8{hc{4Uu z|4PX3=`T}CD<6RW8nx=>{;VbceZsu%%WFb+Zo7wq7vhcDV+rnnw%*AAsoi7G0e6nM zP_nuCk7@$}I=9eY7nMUYtg(2p0bLG{dNE7Pz1O-tc;m*aDZbjNW=G)=8Uem`Azp)@ zGAIwbZ4p%@r7W(UL)CT0L$7Q#yOz+4C^CPjYZBaUGj5u1tV;!N0B?uD7c`H4MXab{wxC*3M+ zd5*m@#{%R;4O>VBlMOv@ag_`^QA);!BVLD_n%DRp%eOssMH;pL$J$#4RMmWcymUx+ zcQ;5%cS<+t0i=Kibqj3SqItM;91Y zW+eQ}TU;+|QeUWnze3fw<`HY&HSH1b+!O^#~fb8QAWGDe{ zJ$jZZ(T&6?l7znSIl7u{O;S{99RI;cT9b*YCgu|!x`rmY)(m*5ZNE9zY)NK*g2~UB z^jn*Vveiyeh;kZ8g=s#wInK*GQezl{j7`r^?Zan?hJ~=>cxJbWtMn^2n`+sSo(rdA zLJ`YAEdLT$_~B!+bE%)1}Q-#|?Kb;Ovt@SVL?>6}#Nq>Ak}-&^pk%05pFhRUN6 z8CGN=6{1jK0D#dx_NzgzkhAO^fdl<@<#ENs^F?ixi$ ziXGhR`#2on6w=^imAw^>x6K{!4^^GHzjLao7)HB{##4!8&*;Xt2kylOGpnis~zqOY}52gC^sGRz9`R3g}ixdccP(m{D>UoQURi8+HX}UjnV92DfS}_RiQLMWup}Xyvtg%tY!nb zcuyV$A*p^;HZ6mWb@Oa__g@dR8Yr$8XM%f4$+|7%tEW0fI8JzL$S|%Ks?gQGYxy=c zEcBpz?N^DM5>25f0fnMpzco1ulMuW3X9#?bq0Up#^=lw%?L0v*IJ^_V!9+g?H1egmx`A^OeCunq-x7h)P;On7cwGymttZhda1!$iSV@<94N*zTm>v*+@+cr+OW0qb^v;^qPq5+FxN04Py+Rd-p^J81P0P;kRsyHT`o$5j9s4p^Sn-`dz%3$6fJ)`IfAbN$H`e$m+;)774{@-#*tE z;+9b@cx;~3RU5xsID=1wmFr;-jFi)g3rdp#a@FRh<(V_ya^Ay63aehObBqX3?B!Ig zNg@^G2JZ)MKCJc*H>W&(s)HvyZ$FrYE$8>$>8`UbJV%RU~{ccbEBtlhl z2fDxh4JV2gtk$d~!zn?vjj@7mS^`c)MD!2>v;-?LMG zK0zHh-;bnbBTrYuMF zN2>$VPesX9(kz?k)S)l^3+far-Ea@(F-aQd6+H~l`U5%DW=e@8>I)S}f*?{>xu#vy zv#0|=9h~kB0gBOi473%>y@Uy^5t;A~Wagk=fibYNlJ1XWmC|(1;*@fYwB2tL>9L7$ zmmVim=U}YR*K|lXw$f50bUhmpO-8$eg+2zf9aL$kT>4u+nTHF-`lwJy_EBSGDK!2G z1W8I8w@A;dd$1=MDU|#+kV7c?@b!G-__%K>th*i1PGI34I%Dz-jo|`9=~y{g2igd5 zTjhT524fL#KGy76k!6@z5O#4TQ&Sun&$&DaTa~`TaLUik4i39{Y0-5Nt>fNiWQIIa z{SZ%Ta86{%$F{IrhEDDM!HT7NEn(l&EGE^lO#8EOEJ@_LdtTf}UVTro?}8AySCKvn z;J>T#0CtQFP?TPqJ*7ZvvRnh{T((V@#JfcO1#3Kx#){VjNCLF8QC`-JwEqk+rbYcV zvPqQ%sI+=i*f7t}(XC>?L9;jMH;~SPZ~DUqddFxovsmaYEJu-njHqZ5DWyZt{P^sS z;Jn3aONWU3=!iV_&sYr?F`*7($-WE(PBGN(+jrN?{m(k_~AAWaj2Z&X&0qH^BZT8x9b-x#tbcw7Yv%C&$ zWGc4whi*cMW=Hw?+Av5iEqy%1miN`1ncS0&x@%F~xgr-~$>?ftbarii$U~~$Jy)E% z!moDWdJ2OW9eW9X_-!M+w~UcF>YL1JavwnwuX+ff%BK!bQ;OS{xeLBYmemdWt+){2 zzA{>_>vC4TlwU!vBpGTl!I{&Q?pMVzHEAAvAhtY39d1ZbdTzSm=6%{WsM0y5+4D zQkvVS7+)v7qfJUNsKIc1V=h{ClKc;Y=I(c*->Q+Gc~C~jW^~0E984^L?IRjW#VZa! z!lcJ3iFMm0of9^EpZCj_D6kJi%fjfm%s%IJe`RDbw-8VzPUq7(-Y8>DibW<7wY(`y zZKPgK9Jssz6ni{KAWc@zz(>~72TJ72VIfN;U&@YU?o}SX0j`IT=yX#EMPj`ahxa9d zzBHQBP>5}nrvveLeFk{mp3~P)ANEM%*LUhQ0JT3?DD(izEmGV}6;)dr1{N!{i=Hrg zL?}P?QcExlM&&Ko8SdcSa5leCI(UybM!LS$EZHvuKWCBp{!y(Vgd!e`fRYTG!g*O) zTqd$|O2pO84)E_TbIQnE-k+{v<7K7AVsYN%K7b#v;%1btEAG?=ITI%tcOwd8Au>q0 z6}Qa}$hcdv_ncLUeAUwKW)GVsYCm1ZvKVa9ry#ZUyTVHs0Jttg7$zlOHeG{w!Dk3a z3kTc4o>SBe<7TOaN^Svq0wB*FPgS_mz@V`!enSi9_hjqz|k7`h^rnR0%b4@n@` zc*79ydpDPLxZ$RbbZT$uW0h2mr$}1+6-)F@$*I124{7C~JfP3nv0S1PHOVv7Hhcm=nS9Dg31FTlOjivOg+rVx;a&CO>ml>jnvkuuM7P{ll z%`9Eiu#(DR2O(}fw2Mb^<&FvR9Zs6%x@3m?R@nP2Vvoz&bu;lNJQlCSaIXNu(DR+ za+@CaS{rtt1voXIC!zAl9i{Vl5VEN%5^z^W?^rnxf8j#z=HKiMch{F*U16G+4(`m4 zyva)T>02lnzd1ytm_Z4Jz_rw!CCDdaWrS25F@@~ys;MTzTvyk`Z3%M@j4gi}+dBUxEaWV|%{dnLytf4r;oBdM>Gt_XkVAM@ z{mC2I^}sfV%m)Qskp7N#qkw}^NYswz>Qopk;yiyo8m^el>d}-yZn?&MN+>{ek<{Gp zAxx=@%yxGT5472hRc@wbz^*X}QH4z>gL>yoarW){HQ(*s;g5Pl`*bF}g&M9eofo`u zdANZsVcb&y9_@?;Vxa--BcpVIZ62=ECc?8jYKpTZvF@#KwEi&OA$tC;)il2nw-leEKEIOb5OqKnXp!kS% zsX!drFhGG%Q_}r6X9HjfQGf)>YOjpSe_4T07{9YN)ZC(J|IHO`5JQlK`4S0w@HCoD z`eg}upMXv+Rel#DUfQg-&QencxHo>3PE$@a`(WT1))j!dhG*XSXI5zp4Ne)+5~vT@ zABZII*zmiwUW^`%rGN$M)24tb=VKaMRGmUuELgT~Qmy4mO3!u*bFnow!#9(;sp2%) zu>L_Z7=I>=;0U3fj3pUt(|)$h^o3%mUhPj3(b%G401!mkBu2cC-@H~OWRLcNe7`m< zRE$l3*mQ%_v36UbMC73epx(%ZL;J8oP6%Hf*%>b=5>{&IomUANa&=yibak=AXR+jf zX8a@0r`3tc9FNNS&s35qCIoW}#h&LqP&Enn4Zv3@10{H+z}H+IOw(AbwZEGMI>+C`;KMPIOq}qsZoT-?FkX5`BVTP zrs%Z-^Iy)FLK(^u;45CNHG~DgGk0eOWoj~V?}Vm9&?s6e&!UL~`uXG0Y_^QuX*vJ_CrGD>QMgp4zmC8L<}e>!CfnI2PEaTAYO=HmC|C4M z<36ReTE&;Z)zY=?`$L07h5+ta#29ZP_`eYR@;m|#a|uw!Rti+smjS{Oy3vp_3aKbt zuhE$@Af?JM>QLtm;Lqp{2O1-Yry0A zfrQ^BN6_O=Kj<@zwt3LP6`y^(`>n`o>-EfuqTKsT<7f2d3!K6+o4c*x&*Dy>UrpER zjA>>!d%1rN$mIOFX}j^j&wOC+HcGJ2m zKw5svJ{pcmCo1%G=C9twpjkCBQ>uCZECVKk24m8I4wdbu>+=IA6Hur52nE?@b_r}8 zI-oL`*)Gm{Sx~2Src;nv4bEhwL;~1@wjhNPR&kOw?PAy4sioIN&98OEtG4ie<}*nt z$xwm(FiJXt5o&jz)27cofD9Y7oN<{o& zGIjt)Xu!)?In|;W(x)d0ydig8GTN23^e+MzIA}eVz`&KQr|#x^V;Wp+TmShDMr5dO zTA|F|QikZzB;xTpw}F-J06Kp_)+ATpsQZqfrzRFYU{v`xnG}GZo>Q|_vdHPHk=?(~ zs|%TY&UN>UmiXlWl9WliE&zZNiUK^&pIk;`8L5xH@}P7st2|(NjtZX(O-dIU zpk<^k0J}r_E!gqD* zTqz|zDjvWiT~zT^|7nU+Q1x4Y5CD&{6z+rv6Z9L?HsX_anFK|B3%nyxp)9yYQcy)# zTm9i9E=Q628b5MQ5r{srcIice1WDblV`!r^7HbZ+C-a+2T+ZPg0IZ(dTm99W>N!xU z)*p2N;CiI`Kf2tiLm$cOW3P5Ly06aDnqPKxJ3!#fUBSDsMz3R?D}{2zg*lW)ABQv%FTIwS>5FyD z?}~U=K`cN)(+&-)7gqoIyx}0gqlJC; zyIL*gdIAejh)Jgi?lR-nCdLmK$9P$%Kj(ul$@)0+SG^RLYm%s@bICQjR%yO4$zH%x zK#gT}6h{++B&$IO-9P>Got=Mv&)j8}#Q8z>N1=@$8HCqb-%c5ezBDWnxm{zljPC4~ z!@~q4NrOqGGvUyr`@oaDd^+wMY?(V z$^{^U^YZOOsWB-Y>d_~W1nO&Z!f{BZQrOxKykB)q(GI79s(fR5CH)A6=9ltF@a)Ps z)PJ85Ks5Co=(1jwRcmcH+U+V!62$TORT}1WTBRuSEUaU3*h;8L7e&-oY1IzOsWYDb z{8(H2feIzTMeBnZfH|)G@O5f@XTD55{CK;kBDrm*LYrNXqi6N1n|XCsz|H51y6kOG ziIQ$!>g<)WmEwp=5<@a*`6{ws>Qd12(8cZ(;j;U)8@DH_ggE;*o_`+=sS(*mvHXXa zv_n;WP6~;o3d{q4@P>P3tNv0cl&Qh)IKkQ>UAs554fpCpvHlH}>Qhai(3d;^26+{m z7?V+Qe1Ww6ZK)|(uoyv&S%CEY`W@C_w?L-A>PMU)TzC57^~HsDPhaR-;Omn;T6U}m zCd6taBHr6O9;bQkSsDOUJBZd+b+N4lBJ4z+a5#u-{&_h{kZqh_J({*Ny}j8W_NW1{k`OX6m? zfP=e!2mNqGpZDQwNW&)B)W939tuiER)UA`%%bUl*ZxELcN7V(<*;Jl~iO#E$_^#}I z@@ZP@v0}m2W+uyGa)HxnXgoe(#a^2ZHGtXv46~2Z^QDI8%jb+aUoecIZ7V*9{hVtC zy>oM2;4~=!1fQAY;vro-5r27L=3iuS705m>&7{|g`T;6FJ1@d;0)XZXoF_PY_O~R5 zRsgVth{x8ae&c6#^u~%1h62t}mBj2)6;y}kqsDqPhub?(#B4YiAqC>)a#I+9d|NCX zOa7$_-|fa1V@i169RmqlL0$|m2K{z|J@(gPy?uMVxA&`O;I#}P;%<|Nr1 zcM%IBa%q&JQ6vl{4lj3jwyA9P(9PE5I+qs8F<>#JsYoM;>ilGTTFaGfv?x@&I|~}$ zYm_G_>Z(0-&C)5Xi=F`4hIxmbS$vq0wgvz%Tj z#|Q9-aRx1l6009VJ`^gVsP2tto4x|-mIRZNggHY_IadHu_Zv=kz@l0dF*QQ^cnxpZ zI`&JJz4q5Pr{gpL(q*I8>2W`DRrOmf14zwPb^OZy@+;XW3l+JxD|8ZtJHT+WW!S4N zw)#SYlB<8aV^cJ-+JV|`fFRJ+ZBlaPfO)m!@xyNia`3d#-$o=Tz~wnjicUkKEK+F1gUk$V7W_DPV} z>G|P0fP@y{QZr@-{=^E{x!-w!dOP}5hpEBtACPaqJs3USS{37CGMp4Sy;ZUZNb_2l z0wuKXIQ_J=F`~+!<wBs%&YTM>T?yTH#B_O0nbsid2ysvv3wClpW`CZV!e_jB{f$ zf8NQ0JngOVHxQ?b`28hKJpqgFzsCrw9V#FKFW2m)TYp{TL%5@jVu=zWMdfe7Y~D~R z;0aVQJKs3*mJOfFVQRp1F4n5un%X)!IjQOv5RTD*^#1nF$=>;$oE<#8YwuoNn<3xh zssI3UUmL-s!d55>H~v`s0m@cwr3oQVx3s{!T1*SWF4=RUZ2k7E9110RKY?0awACS0 zDtr;n7Ivb)-1RM#A)p=ovAn#@uk)4QjKBx~y?VYR(oWDsy{Y2G)l~tB_tWI}a9@qk zjdgu&Qq=VhcT;RbZ=9`#;o)I&v5^!uBuy~NE}|Sj0X|b8gF&2;lX>+7$J%VUWD3zh;*{0c7v2r&9Epm9-*Mn^~JX#&*4Mab`ql z>3D+e?-MBF>2{_xZU|~I^H@ObyEw4kUfNsS)C2~HGp$ePmvK$j9Yz%Dc>zACs1TyU zaB#kW{J0MS8%MjYK&Gn)1-lig9=ZmBYG+K|a*_jS#gBy%=CgXmcXrZeLs5TU-S7Sf zwI}N|O`tT!W_~RY5}5xh{RdX}E7ro(74x)6VMUwSu>Jb+kT;ii*^say3Yp~CU#Lkw zIB6S`iT!f6a3yH(h-WyzxH1Q$lHM>+HQW0hOysnw7*<*i03dViL|S^5;L%!vJ`ZKu z_0+%}^?hNt_YH8Xmb5z446L!7$nm{k3UqW}9fu9#{Q!^m)=2-`R`3u?@+z`g?EU3l zJu62js}qru#yp_NDdo7U?=hX%;ShsbZ+DGn+MveZxv1p}u$Znh9ya?PLlz{x4p^34 zkf!MM;n)51?KR|juiMPhRb=*eawGlzO{_8HOK@JOE=j)I;UR|#O|*_%0Y8H0m-$j9 z+PzR&K#Vsi3bMasbEJM~z`=o&kwO8h8d3ly%B25gHIe{>)4~WnazZ;L5Ud`p#ze)J z6UTT&y1_jD0Jq~D_gDW?On)35vNugVwXZQwJywCdTmZ=J@<8F*0ayGBfS>7KJrXY= zA%Wsjnwr;3KV<7qd3m_|xpk?R1CMco+S-I>QdgG+C@8`=AOY*kKGG_94C=G4I=>-fQttBWxQZL+ z%ZsLz{}Z^(gsJm9HkH})K0w|=Wk5pU+kg8MgB1l}66K~By|>G&JIN6l<@#UHe`7UL zaWClSp|#u`KeO&;1rmso5zD|L9MNe!q3-;OE{CbgWpcgvnagfEhPpzy0Re7++#Cjn zChIwl0{abIo^}`oG5TRxHJ&7<2V0(i&ncO=N{6#pqMh*X)kK9LA@Pm=M5le%^YDP) z2A=X{`E^f7`s~)bf2)F|KNKUr>chcM{*^Xe8?L@phwY}{P$rceHfOqztMZ5mm@{OE zkS=&Vj4(%Dg&>AcrAO43zGGmuH6WYyH*)Wpes|`>hW)ar&gW;e0jK4uc=5r+HngS+ zaz2Y1wx9Z%NXYTWG z6{0NH?w@;>H09z5e{BU+2($>W6_jg`WmBT$3)p6udFuwwXSw#RH-M$>-zv<*S8{mZ z&^_nj-#&S2R_Y{#SeUve)dMv)3Pz1l?;!rdk7-b_a)X?%Q-1-dS;}vkyp|ZE59-^s zeL871W=x*vt`~U~dLb)5c|IqOUZ7@bYwHU!Yjv6M9=i8eL6j137EnIkY%1+cFNYtA zJ%W2ZFIA@)Flw zS)vPHGm0on_Zn|J-AxFP2kpdB(Zer4x?Tp{pHIKOOw(&rlsw0=WIK_Hcr^AC*6t4S zcgZ$V@GlvjKImN%HjM)*Eg)q6+qC`l7~pImb|G%^&wL{%sDYruKfeZeU4={FJsbpU za;eF&#PK1Xnxt?e8jTT9_Tx~kp~f=44$I-QY*Hoa1uwtb5&wI{UubuIsy1R~i|MQVy76e?mIY;lcp>=z-Y;a(|{YJ}r3m zTBR?4>zgtHI#ltMw>!pU$G0ylnI`l`;0C$| zqWm({;T}0&t~Hcu%p({Jhi00q(9Yil8%VIw3YACEii~`&D+O4D7R36V=ZFQGWeJ%_ z3ZekOW2c|Ng$*A5@5L1)1t(xH^6HxN*iaSY7X~v>n2mwDGXeU`_}3sQ_{<`dJACD1 z)O892*ujSNIu(+0ZMXBXh)W}9zT`w~eQ#?_$JY$I^%CN!!c7~_OhHaac?hC40K(um z6$9uylNBonMBngvY)QkbL+MYt{b3ou(<@7YK0>lEMopEgmlr{u1M#&|plL+elVDcZ zuVg(hvWic;vR!dK!1SpSdR4kooVfvn0VXPHPM9IxHV~mH@nnq2JrxT$1V_-R0Cl8I z@cCpxR3u>w366&jgZFfLzrI+Ag#Yerf^%`F18>AC@>^{`^X3cCFGX_@^->EA^3%1q z)=d;-5~(Dg5;p{Bi`3;Bl|s@1yNAkkGkm8#;lkj=oVJQa+cI0gy6H~cb{#bnf~>5}%R zLY{m3gqr_n50fn=NOW$h@6?hqjs zQry7mq7J}7Y6JjGB^)O&8oHV%z7#N3tTP1Dt=HZaDQ#wZFhx(R z0btPLn}?WkBW-J~)9E%eh8fdT7In6K7cHwLpL2PW_X7mI8cp~?RYnoE! zFLN#|4POJn73S8Wi5x6%Rb$Qkp=F|mx?3j<>{URE(R3umAOrVf58!X8z4(Rn<>39~ z8)ML>l8Fn@;>UMYMoy{$7+$3|Q_xssgA8BJ*rf zgl}08gl4@QB&3md zO$_R##+CrrX=M2NqRy01KTzCuhnVNn#v3t5@|VlAT=VOS0-5bYWebFFWA&!vWjkKA zKUwb1hT(JhR+Vi{GC35bngvgYnt&UWs)n(Yqi!)!ry;lc?PHr08iM!^L!=eZwu=@3 zaLz5x*1A4rytAKC=>yy{RdXP?tzr!h1Y{f9o~mCyELW`1qYq>{Kp&t4 zGBFq`!fO|Y;X|P(R88Cpe`{xtr>Cco%4Ro>S?COaX}yih2Lgex2cwWTmP1HfFTr9F zNu;3ersjYiNu94R?o<|RV8Kiub{8{G=1Wzj?wz=RjMZDyv5F6vq;ntOfkUi7z}?dM zwkH_y^TCp#8J{Q|&n>*HO>evKAWacR#}nBM(H&jxFT}7_%p)kf>l81E+d=*+0h`eGNu&C7@`eXxaA#cW$PJ}Et`o_ip?wTw7vf7~sZbTqTAHxSD zQp}I=_IqRMEOHN=J>FRJJVxJ9HN!5u$_KIUH<11Ilu=Lz&cXvp2VmzzOi$5S*dq3j zH~YiqpDuRBPu}|ey1saro1c%4BJTKM99QREd&FYy=DPUiFL%Q>P`CiK=7zZd$fOLt zg$Li>G-yqrQOv@vhwEZN7dmULCacgplbV6_pl0(Ug7nb0@;Am5tiAD|xVC%1-XUXL zL=w^N)+Yiwpw(;=3^Bu7aBwe$cC9WA^%N%0H=~Z$kbkm8g7EmD*XdE}LG_g&1-93L zeT&U*RfnTooWPq}u}bVNz}VIaC45fv}?;T zz5%jVL(RA^Kjy%b)b8SzFubFt3`zr7=-WtWzge^kze6|+ACL=nRRnLzLDuX6(8Dv~ zX@ax}#tYCsta4yk?+_8~u~~rvjxzP3Y<$;E$Ps3E$yy+6P_kDA5KQQiUlwajwgcaz z&?u~Ej5jqm9|-QB?=Jg7ax-`z9WTp6u8m9^>HY1fEyL}PL7`2;Vta+JR02;CfXJet zfF{!`n$DPjv`6EBzcWWuoH>7H%z%Hp8h$wb4)@)0^ST9pjI3d8X)V=z!}1c@U=q?N zJl8PFNLW>KM#%=C&6T`icX_R}vTMfkeROhwF+wdb_qcuz5HM5RCh?plzN5P6VsKmo zLQD*=AF!G5QFx|ZY?G8Zqwh4ntb5*OUc~ot+G;k+nx2Yhe4c}k*P+z}K(c^w91Ypl)=NqDz)JrQ;?h}Ii zrk0Cp;~e42;q5Ng6UR)m-2VA@0GtOEX2HY(PUlQZMexC=&KvnUgO{C(YfOw)cD*cXqKy%zU+wX+r&) zOmbCrkO%tTv4NI!uyDQnq2JdpVjt0(mfUn~l1rbHCm@|hkAPkDqYi7LBTRW=pO^F2 zy&-X4gRsH?05_@#hV5zy3$V228;}J>S9Ekai#K9WY-n3*8~Ll_eLPPIS8L|bH3|WP z1rGhRy$E0Spa&{03y$F3F@c_>TP}VEF%1D;70WLq-QZqJB!_}M&?3%{HPA@)wq5c) zOXCkqoI+lmuyoBny}{l!>ItUtEk87N;GaG|1+KbmEss#d{_RYP%7Wn|xxtgUNi)D7 z*^Rd_yi`_K^U8yv__@Wfuo#5vdlLHZHNT&)pri5TlwD#XNpH(m z9$2d&NBS4^+l;+)e&5}+*^Fuc;NKod608n^99d7@nIG?tZ z=e?r9q5$ay6ID14?a1pxZXd&)NJ?EIb{iMu(`aQs!&~chuT;Q~8)W9D#(~rgWNWcr ztivV;S#`(q{4eZa%L2ZNO)&WZ?&<%1AN!$-_k$}&3`}v)K}b{KxPK(|fhpqcvTk$M znS+I|YP>`$!mAI?n`Y>y9SI4>7UJ4q3Xg{>R{Az|GV3v>OjQyz;ZR<(Cz$h%75MX1Qj51iTfJ8o?Y?T zO>oYq3P@5VceP=ih&?5n7mV2~&-2iF_O-GmDjZn%3OhYODQ2}7fI8kY zC~Id|>UVQA7|Gb{bUb*^$=EUexeTOGeiB_w5L~)VMu!ES50(vl1isOV*7QoG@-_H0 zssCA;03S~9X1E0)x2_CCAou%1vuQ!?T624(dU`@SollsV{H`?8Y2yE#k?#hoZMe^l z;{hFjj!&SFdXv6K%wx-bfA^k7=o=2#G}Yg(*iIGCBhzmniqzlV({%3?uF<~gn zJKLoCKPDy&*AnUjr^W4?VDX<3dV+o67S1*qP5-kz|GzA-HChde9(v_RxtV;}$&GID zqq#uE?aFbx>q82QbuTsnkGmCKnJ5ZY-^F^A>AUl`%qCXS4W!Xj`xGFuu+33z^sg}x z1VI3!QOTmJS4hvaaZgr%Lsu^qg;FM1%XK`0W(>HA$#IRI=^Y>oB{l+1tHvs@X=>k4DED}-ctU1Wp#CG5e@OdqkozPZ0j%;ol zdV{RCaWd^irsga823d&Z%=X3@M5ab4S{<}yA_>L|zrC(~CT4p4XT^mvDFCC=W2OUyxmYh=YZ%P(BVWp9G6-o;TiUc3Kr(7&@ar^~C2(2kg!3e$RMi>V zdIAj&4MSv|NYj+V_XD$s`#+AR03JPXL#XZjMZgWpdAgUHxLs$-zrT#;9XUD?H%09B z?g|~FhQ2e6y zocameHMz62$9*U#zstsCDvBl6a$rv#PpH7m3p-qW6c0 zT0S|Asog6Sko zqv(4o#8FnY3b|!i{$px=OQcBEAZ|`R<_$*6PtH;(FahPS=&C9{R^MG#A354xn>` zQk&B;Eh(u_=iL^9Q@1`z#4Wg=RbR;Que4fUypFuL#&7HQtGXFgO9_E1`Zn|RfLe>K z0k7=_*q%WQVbS|k(RX$~l^##-45P^ogNDI8qN+c*7AQCZVerg%_Q|KNj6j2Dv~Q&C zn+?JA$Ja;BPwnk$2QD(-(>UqAwWsicTg;8*S2P26yaopS^Y=3UzEwc%73$YWs(86Z zst0IqgbX{{S9ntc>|7@iDXHEB&DAwEfZ;O-{@VbvC62sEf>2&Z@`odqJdgYL5ZoVm zKXv2rpNG{0?t>zHUKe)CjbtQ#q0>O5S?jGoA!@pyX0{ZqvTvr&JW7`3#JKR?{yiUeC03be;%&^-S%=w^Oz88M9sh zZF7dL(bzGz$P1a`-gb1m2`^}5Kg?oQ@{x&>P%DOOdIqA1 z;&qytMJMywS?j?N==vCXOtU>D6|;jB354z`$*zwyV=K#8z5`cWz$F(I6#TmQke>1E zx?P472HdB5&cdIMsxui~FzOoxaN0!sa$t}y%DJ|qy-tY*jV?RXU9JzAt`F*!;f-x< z;wKu1$b2_Pwh}(x3?E=x2A9c&yAHQz$-Da@?*fZt;$xVaQ9dw& z-v-h&cs?4}S@}kG`%gZ-ckEXXzdC!X9QVFxTTYjWsqS?AZll{Cdy*w_hs!|pRtr8H zOK$v$cM7Oy-M?L*uhBaZZ4`2{M8YmR1z&FY+U7lOhQTmGz$hh7f=gc~T|8#+~m@~>RW^VbZjHOUiXRa%IC$KO8A{1B?}e($HO57rrwk^FhO&i#FF zvllc|x8t6e8SY8S8iTMoDo5Pa7(y#WHC?;7PA$KC@e3C6U?P{mq&9awu(44-J&*Cp z+dyw|tL)`d|3FPT*rPQRg?^QK1Iov9G&Vv$6ZE3R`g)9pNU-dmQ1JYV$%{ke))dv^ z@c%dyB;3BJy83#T8_#Tp?;&e_%trAsN+>Obd%+k-bQ%p|(O~9TK8*&0^GFl0`E9=w zTKJmD6%l3EjG7qT2Dk;rqb)d@+tJ;)ABH~p$ z2DpkLrXsY}H$a?o400r`Rl~FN^y?QaZS7>L3sdhIe$M-?weaOzPQW=rFuK23Tv*EJ zZ**JVI~*D!_A1BqaXen)#4g*Lp>-cYNC(1I`Bi*-5H^l>LRGuCOndI)+ReZFdJ_l5qb7d|6x(1+5*>){0R>YY3;!BAPAJv=db?GIkgs;xXZ;c0OWcAa)yV|Ws#sLpp ze5tLO0*fwgjGs>Qm*HVq0-ZZX>uoS%DLUDb(Hh*{fYV`v+WoZC(Lj+qU-zKOP(D5UNl3PxnRHTstz`M6Eg_@ptw?nJyB10P|ep`B78tGUSlrHv7D_ z$;Q)DogLDJcmekQ0=)@tp%YgKbjsy4xwcrb;U6pH=bwE>GIW425=Hx7@@uHoUM~ zaCP9ifd%pSj=$<-_!bJTbTk1MX?1EnM6-OlQXHg+X5d>a#5KLUqEE<6`oXpqBu}!@ zM2~E?7Bw3j9E3|ehLVt+Dab5jQ4mjY$y7;e5*eG?fQt0q zXVcq*<-ql+u2D#Tb#`&*@O!3`o`N?V$6Xw5*Efk0_gKZ6O4sJIr2|*^4L2(~h5=a; z)UdFory2Ct&(mboa^9`!83SFX4)lkn#o z?I{3v)Dw9>O2ciw&}1<4MMmndt(N7ZM;Cj7^#4wEUt6j(W%vc{U{mEqMq|3j7Dv(=z$YEpR>FnBhXucTI6Kh5)B$0g;rYMUf z4N(u#5~$#<4{NPDkrhgK@a0WDc>m0GL(%sYpLFK)Xo*DN6n5Ub$X%r^p3u_%Z%h$e ziXg$ZBrt@)WyS@0#Uo4XA_3tOqhh=v6=LxRU$zLdB5 zjJunWl$+Cvf`w}Qt4ovVQWP@bfQHKAYuO~wX?%Y&Tnd??EcqL8BkhXcT!yERc|-3? zx7myy=9il=qZ*fIHt@*zoi7kz$D#d-#U}O84DCM$W6ZB#S^$yvko?51D6~9EAvsTETZ0CL^w!o<23!i`Lx2N;0 zJ_S7(&$5)Iqs@)34?kPidsc~ya=dix+0dsH<-~fL&E^gWI)U0<8f-FE&RuP0bRDb4;i;UDuN4n#i7z~8O{AKnFR;my{f$=d(BmO@^*H95<^WZ}v?X9z_xQ^DEJ#B0MC&m>F!q8;BL^UBWCeJy&mu zY}1#F7p=?SR%EUIbR3JSi;YF!GXR`~Co-|w4JO1%Cm1O( zI(LaP0w@?(z2+yeU!h)V1wJthI|ZZrCY@1mN7KsjuLzu3nn-8(9`2UQU0=>S=Q`y9 z%@|Z~8&?lxx-j0jUSE`w?|J&HZj(G7eQ_Bz zk`E$qL#ue13sq)L3y%u(a?bUT4|f zF+hY>vYFL z`}eh>`_{EEL*1y)XpNM4W_*5$y|>7gNWNL^Ipx5n#t%oZI`~njlh$d@(Ueo>@C2?~ zeFDO7dux_V`~lGRIhm)+lGNKrXt>H6A7AtiFQqrzG*HnbnN50y79lP1OBed4Z2E0u zbD2xGtduxS#TFLt+rkP@2qHhL&m;&RW`grhlJrfm$}0S39Z*B+%eRd(PlTc+f4axZ z-XCg$^9~bK_0yW#lL z=S1`p`z}Rd#x~vf;H`@Lq~)T~ULhV-4GYa?k{XtoL_;GZjt{A=%b%1^<`*U=4v5hAl zqM&&quLVCwg`y8V?_O&r)+Cf^Fh0u&##S8-&aznoaqw1OiNQ!u`4HIs8$>)o(5M5O z!}EB^#$F_U$qc3UK~Gur-Ue-_p*U#ZOWrTjxZ~YdcwMZfP4r1vn}Ld4UX|u#8S-0I z39f2tc^$~l=E1`1yb?d(=bg-Y7xgug4h#qaQPNuj=cY4k>OP>XP)%a+aY=;RbEpCB z?p4#QQ{#mtN*(-#K<)Jz>e|XKo^^fSWyxGD$b#sYvvvTE4!wm>%Ux16D?P*ec34cLnu#`5$B##?@H{vJ-?>qEi#2Ze?7|-=uUU{ zE2otRs&cBuCR~p7a7V=y$M^aGEzw!m)2a?e-v$yT&$}#kaalB#5_7f0RBDvr^}tu> z(>c@Tnv!8ySt zViEytEh~0+hPz*?|M7NKUsn&z)kE#w`zsaylOiUloxVRqFpc9B@p>)r7nL2$eGTLq z>)eJbX7!cr=`bDhUpARZ?C3GOPBZOwn#(+{8(jM>7{LjiNK>->lpU~3S8IpQ{DPT( zm-E&|+iRYeyK-nK1VV+5u;EeWkw+$M6UKjA?UczQl#{7x;Do_=ts(3^4;VZq^T>um z0!NF5Sa7}W>vewAGbsuOPqLI!o<2`?0Z}3h?hl*2uZl%=;Kz4Kax5?EQqj&L1i&FV zbuo->nvc>%iGpdWby3D16(3a@$LTYbL)?~sH%V*l>Ixz}aNpbRnNsY8f8cZy&;5)^JsYKJt}(k``vYAIF5l~#^*O~N=P_cK?P}|LQV+lhKzV9`$aS7z+UOzigAbM1kT6MC`dbpN zroAB8BdM-#`lj<;BDA+#PoO*L&sV&so_htbg3KAWq((%1w|ww)4w>jid@Q$)l#tZO zO1HphCa6`Kp`ZSr5pThUcQt(O2Zbg}D7TacS5(-o#k`|fsYSX$NBQ1bEBqsn$dHZd&4N&{|ZOrJqYf5pDY+&WRK7Td>}*k{gc}A zq{kcB=s!cy9?fMe@DCzx)yb;?=f2+z!l|6#x)#Fx{55YfmQ`WqFV7D>Wt#X8x#5lW z&SrETZjJ0TK@bi^)-FHPcnW3s#(md>?e{;*yP=q~)bllzXJn!lLLGvp`QDGN&>g|B@`K(s)ER(;Bwr3YE5kcv;G}=Rk9q4{>X@QQlL!vn{7ihVRa+j$q$HpYgwi0G zgDb&hZXp=Dna(Yrs>$-H=Q8}rr3t-+(fV*N+k?#py@m4p_gqUO7q4g+kax_W+(Rb4#(y&d&wq?vo7sf0iPz&9`9Tm!utw&+8 z!Q+8jO2TPVW6QxDM*x#4adj{r4|vJ9Qkvu?eak$gA&kR&DO`eolX3SG1Myt7Bb$XiQoYuzOOxC;9o z7FDJDBG4RKHFK|H{@K+-=x}2qa|6S8Wf=;ZXaiGtDw&479?G->Ghn%y7*vihij)BZQXbbMUV2sXP9oy?#;QbM z+ob1RNKtbDG32znrf*iv%hy4uS)hY2jn{IUoD~XOjgY8Wnj6ZiXXIUteySA$f$93{ zlT>=ZDctLdi{~~~cF&nw5ZWiCe|;+0pD3V%IZK?j3jD~@lUULnrzx?0n_DCf9|+k7g>v4bISfkL;ZGSHoY`C#>l9b>h&3 zXYPj)BT0ir4_gWA>}u(kPU%lX;6O|_N`H4vm!w~*cI{1eHI!=<{l%iM`9R0{;6dL| z#S#5m#(JrUQoe<%Xb<_l?Em|W%(pZT8Mjh-zA`Jdoa;?0OF@JPEIj&>+-OD%C7=|V z%9mIEn9i3aTL$!xh{|-`Qwkg==?z+^)at-nZ=20Wmhvi0T*rTks?*yotzkgE6k+`z z2M=_0;Sxnx8oq=g<1%j2=3bZl;It&WI%x|hr_DA#y}F|D_4RE^in({V*p_eFGm2ve ztPk!0OMyLradRnY^SIy1!ZVJ=#XG>;&Q{)t8Ci^JTWV{`y)rRd*s4@Kx|L zHGK&Ym(>^mKL>Jdt@_5QoU9P5&BLL@Ajq^itV2Z;Rz(^>W?*H$BmPrU#EJ&mx2;qJ z(}_Uyu;~Uqo4guoW_G=+PWf<-bgFpTT*?^;#>qL~8dL?;a|}Sp<>me~6BQaiz>;%$ zpZC)-j{b$9`#$mNOlT0fzsY-vojTthmIcItC)TB>MQehT-Az_dS@ zDiU`+S;+%Z>K4kV0MQDdFsCa9f=rI)D!S}iztV1wW@f%5od;Zo$*Kci{F)(rBAvjv zh4_uE)VLSbN|c1Jnz6;3{};HYRweHcphmG%cpTZ@`4HynhyT;}!puU1Kvc4TOjT_l zW$Q|OJ_XCr(bVO2EBJwsL;I}zvD(w#FxZY!w%?ZxB_J#z^gzTNG6Rk(R2aT6U~F%iqCnw)MDxkfwN2DV-%`u_1WCfhayNOs5{`?BWNswAG4_-4-q+Cs# z^(Pah5m^FYCJEgEu6Ka%c=KDQT>pq zqI-+0{o%?VLu{aZLQ_rX)V;9F8;mg`&g3#ca5`>B`fmpi6Au<7LOd;{jdJJ@gMf%9 z3F-l`(kWReW*0#Al?z~s6NxN3yTFuEYw>U{231fB0&zbnK#!ocz*OCp9Y@LZ1uiuf zEntqGvBv{AYE?@>kffrs*|pOdj3T9h7c{_!h@w4`##;n5O@mxOp)d&Gl0qV`&pLGD zfB>uzm{8qf5l?@1GRgP0u^<9ZK#I%~?$WHl!klZOe(nb>V=NmZC@$nC2oB)H!KmNN zGhbP~z$oOrujZ_m>2p^Uw*&~Z8v%joXZ*QpOB{8u?hoMqn&oy`30O_h*J|h}0Q#^O z9q9&KNhN<5;4LwA0c%Z0WU2RuRkW{Qo z8_mM842|eNNC++zM#=9FUw+}q(x?HQV7YK?nry;%W|-Fq7^HH56jW6V7awLE=2;^w zghD)o-sn{YbR85dA`PrloDPE1>~B@DF0YttvvWNl+1~#qog@TH(AWc5gp@Z906y#1 zaU{YIh$}1oEE|sOwhNp@u$qcl#jNf1da13zjBel@Hp zv#=LGBVB6SRRH{X==)M-EVo$D_CSJc%g^>Pb-cWA(mmVlQgK_fdX(53Z4P$l?WB$JY}C^)!;!e$b>Wt zd*kYKj-{#|ed`?m(RnX>k7C;^!fYXl7 ziViXEdW&4bEzx+zl``Aknmv$$>iVkQ7=%#o){YAmKyovr>_;H&>IwAyEP%MLVzhFD zHgpwgfcA;(3_VQ2^g^N57Z?gmO2VJ#3w0;;CX@S4&9Q7Ch<>#Pras&$@j$xdv{{cZ zI)aCq#jkG%81+5@>-r<$6jIDLPc;J@4%4TAR(aV;!R}^1|8?bu=Kpb4{_J#^MQ}F7 zYDMvEH2D-(fWt$UET9E?9KfGW-!x5#|W_=a0v9afH!K=SD4ZQP&a2eH<@VGA? z=#yA<-l{*`Iu3$;o%p+IppIsRF}F|AYH$r@g1Jum$b734N}0>9Cyc^FVp*7z3I32x zI0P?a8FF#eoomm+IYwgS7mD5R4eUZCuDuvALCpd(;|+gnJfGBLtXJ8KOS2%hNKf=O zbDc@8;SBHOx8|h3mUl-AawZNY>jeBFb~L^7(Scnr1{wIcJmc5`g^6iN!>I##J@Pj& z-HVLfME$3A%E{tRp|<_M<4t$o;FY%HRgv;|h(hRh^8<0{>`K0Pc&28k>r5Npv65x( z9l9RReY7qq?f$39?11n8;YjQi;tOFi^C64@R8IcjWCRy^2nZVxVOpKYG(jzwtWKZ{ za$U@|lA@gsLpHhhc?e+)h-#=4Vy?ol$PYBY)GCEn%hDk|YFE=u4#ep@P36K+FWyx8 zK59~VyFD!bWkhW4r;yEh46qjvm?lLOxLwMABsly6T-j;vG<;R+ta~ljKtIVTeZGAW zeYxSDD!jsj5H6ws!r6f;D4s*gK2GgZIIf*g?)Gz3tSG;Wu2@_)3_juR9O5@OP>KD3 zX&KYA93m%(iQ>}10CS8qO!<~gJgxIQ+|&Qq)v4cvh-GQ=1uplG^@LJJ?XAy;f`Kpe zI5l5x{PLPkLGGIEaU$$XEsTNMZMw_un5b6ZU_6631ii}~SHm2(8p^iwP3nuoS&sV>RDMJj3_O+8kzrYRgHfymFno7@zy2 z_nm9pu+0SPH1LU*OH6Vc+w>x*UC+2e1N9gJ7eV`kBA)Fl(|+bSSyt0&E|H}m$CO2_ zub#Yb7lx_+mE?7%h;Y`P7p~u8@H8kZaw+tPc=0&XohJ|9Xv%D9sNyUnT!go<;lh zw)q^jK%gqAJH;i32%6YCYN0~RZ*J(P#xN9iB!>N=C5TJ38@)A`!L=jSCp?xD8HeC+ zQ9WKrc#RDVqR@(-S}Yso`rZ;cb^6&cBuVF`Z|3Lsm**%SUm)>XgfmoRhP~5uGUka( z80Iu30P<;#O-`LX=l{7ASN6|i3ZZ-j^L2%vP#^(QgCzp?s*^8*A+fZFDFwYE@4kHj zr~KD!xq&3>Nk3jsBu|tI6b4D@>UB4hp`i<-0OS)>mhj7(t(^_` zhKG6s*O~UiSCQdUL)m6Zl5E_oP_^c4^dLb`uZB>=3~eI3zEQXB=sJN5D6v=fio(B= zG;Obb;{$Q=RdwMk&lh|B-+!t$Q>zv>2%*D$vl8EHVL^D+Q( z0i-ZEZUM`S1^^Kspk!sm*a*iOF@LI8ya7-{E;lA|_`wbk*`j)v;?uH>yaAU! zYDPtyZTq*L*S_r{=Oy~l&1NInee$UUi_{3{6D3jeQF|5GG!gPMX{S=2zk6|90+FnE zpXLY#uKtEPMK-Ao?fkF8(vmRUbu;_@j!zP$Io1 z42M9@v{@}itJN}=~ zhBX2Rt<ElEuH(Et7i5x^H2npqvjV`c^3BR%!vD#=#mH5#0jie4K9y7ZpXCtvigFTwxfXh!Yz_SL0`Wo+ zFd&8bMaS346S4f~tO{j;vzltT`MmY-;Q>p5_?H0;EYe2*qZI~5Eiy#|oYnq{XT#_J z4&Wm&0IDt9?^6FgtNoX=az1I%ZTQasC~1HJ#GTj5n_A?xOG<`&1y9ci?K>+r0K@F z=q+y<+ueN9ardC{u395r`0N+IvacDB>@Oa=S?Xe-IR6Kf>_59=#a)zP`aK(~1KN-h+>F3^bm^(lwp( z;})eS7G3RyZ@Gf5Tse4Fx!gyDZhw=by*zbq5WUo(U1rv`)VO7}KK@SVrRCGvlT~2N z!djG`8zM{l1#kukbe@oN?jMUm;6HjOD*1*f0rAZGZ~1)g-M&_zhJC<(k2F1~8Qadz zxjwY~E}q>*%u2aF_^$e)l!hiYbE&ZMd}?6ISJijnpee~tsKK)PfWKsB5hi0nwl>VwB^vLC?HeAZ6Xs>91gWWW zAlXPdm{g5Pk%el+gO2kmHHmArPAK%MqNy!%W(R%5^+;UEd`5Q@^fHw(HDHB^pqh5O zDF;#+*-T{MwI6!5D50ZCW0=|#B;ZM&oj|CEZt67-;d>}sU~3I8bUzC&H3vlNqk*5)3)Gp;!3n+j6V{l-Grq4LP`YDYHn;L!iF2O<^1M24XzhmTFAwnBR1PT1)QO(v z?pc3(@>pDuU)kvUxczub)1TW)wx+@C&5<%`5u+ShMIyZ_S>NGgu$Z0!E16QkMkrRFddda&q8z^E_o$C)ySn?=&+^awz^Lf^WxQZ$l-6 zNd-MD0N2JD465bYu|lzhxK!!G+C_c7=UvN(-*$Cxuy3WMFZPe~Dln(#h>Fwey~SSo z?2?4Fx0WRe<&|MCY(k>5p+=5g6Zmk#_z6$-pry4=Qpg;kCVzHc|LOX3==gH&ixHuCIzQa@n5YY*yv->ti3MBz3T+oNV0zma2G@pLpkZ-kK0Vue zLRwxiH~ksCzo_CT*T4b4o`%!-OeV0WSXjz_bN|Dt&z_|2haJftGL^1Q@k&t?-z>mI zBxHhC3L{v$w14wP*LXhNyx!^1foGyUBr@6@cSVk3{&_;AvxLw7rp`Jo0;UV~@m=8^ zrsMgw42yH-6j25pY#Y9hc4!Yt_4Y+diHYVDB(qS%;ge{S*1Wvrz1_Mi(Y?0SArm;` zJE!-g#bKjyU6ecYFgKL&e*1D26P$l9R7hCn*`c)T3Gs877Ycf7i}~=%8kXdiR8oQJCS6azB2J zI4>BnzwmBY>9^UEHvZcFAgL@eaQhJrCyI=$jB$ z*{1%X&Xw>*E#I!BspwG^FluGi*%f-S-ZAL4H0}+PNoBSBJcm|d9)t5PrZQVSO+~o@ zr9Ggja{A-PB>MD>;E(2vwxETzLF845+v5GF3^s!{>L*7{jmF*a?8zMbSj0e;vFUI> zu+PP@52&5?C|x4QTZ(wc!4yGb`+pomo&H>t>NNGWgk=VoJ z&SyEvqx3*@7=^2j-s+@D_q~B$&)YIhZFLMV5*TXjw-w|@Z)(uKrC#0p2H4p5aA`)g zXMFvvHNfEO_0l#!U?u?DSLw4A*N(JW|F!ygj4YkKS>(z4o7L_N64~|n)0r#NQT`gn zYb@Q0jYqalb3{N$c7l03l=Vm)F!>n}fZ&F#>?<_zc8I!7%wTENL;n8Jg~+tK=x;Eq1Q>$ z{EqKiiSH*O5}D|EZWwc;h8};c<8|O!i92-^q_E6(So(Zz-o6t5*-1lT9+3u!>RoMp z3|O!O9bYiJh%Ky00YL$lj~(eSH>=YQC-Z`lr4ErInmo|^eJCi6Jy(7Q9WC4#q#OdM zG23S&*BwhtwMmlP^;zxd-F&b+e;};H6DC9PejQ<3m5DiK!6`-NPUB~i-GX#d3HpxB zyM_gU`&$^tIBrFLB|%hemKP@}%F666Lzz_&x0t~3*ZqtyFWiWD{vzr)rN&rvRYK0{ z;ixn=+yh#C%tAo47{|ay==Q_oMX#fU%c(fm&aXFjJMF^z(w0MDj(whb=Z(GL<;dbb02>RjgPod}7_w}Xw?hN6LML5dU)o<{0S-@u4Qj8vMPF--jN zTo^(X1P<^AC4baFPvs8@_H^Co&=04*hi}A9-zD{A$r_C%igJ4NSu8vpnTa>sHABYp zDvu4PvOXc~LvjPp-wAo&R98ZGVNB^|xvVU}J};KaR2AQcdfq17Xu`UHk>wCcP&eL^ zp(36Ion!hyu8p|S1)dR4xze325-T$rKa(sKp4*~LR1LI06_0^Z<@!9U6j(bK8w()JW%-Z*8XOIF zM1SAZhms&{pRdJoW!a_Qledv!tiICyuL(Z=X3F267(#CxF9@I3u07l0<*GAWLm1j2 z61~l-qBnQT5qL_VY7$l1YP6z;y5GS8f9)mo^ko6yE6{>EMVL_ESaFyCz^2|^Fkcm? zkt&!;EoQFbRsDDOGu zSpsRlR>&NvbD~ezvI|U+TdgtoYIWSDj?X%$!eTevLWd_Wz?9~Yo-+jj#QoK<@LL0| zksg}Q`U3JQ$f9GyKmJg z@fGSC9JA;l?EW8v72}5eZE4#2k*F13?S{rR{#UKLwtl#CZP5oB{Y2TWOskih?1hdWs`US%|j z+^%w8trW+{+Pz=5mUyh^|zv9UEx)W)QKsRB+!z^>LU0N zMdq&4q1EV47{x7J$JYI$B%r;yx#S+x>J(|ZC#huXv@49vXz2bBKWK~iDRX9dV8*>`pQk!%Xfc>q z)0`_UuRGt}%i?<26M_8t>iEyv6jI62AJ3iXFX;GC2Y&b*Vf0}(L)`0Dett(Uu_goKf)Fc5g>E5nxf_gh-Vy) z&fbJNr{=w=>j7meS+rjd2A|K@GVj$(ogHSqQ6SL9i7AI=t`oTme8ty=j6buJc-IyB zDnmTuN5Gn5I*V&L4gae$jxI-q632PQPPH%fro~j}lfO_$zRqbvSNiPQ=h-F9vx#Ltixxb09G4 z%DtrZ<#wjfoAd{D&7EiH!k}&b5b9VHW8j z3ccaxt@=-GBS^#zo4u}G>P`!>6DKWA_CK`@v9&IoTYA{T%e@y_>E@0(836irzhlO{ z+7l0YczP1O&~Ld5Tc|UT!ypT>Lm&Og6)Lbj^rPv;D!}7u>FN)UxDPkOKZZFkyk3~% zS`H@UVh0C}NaFIc$ac;5N#=5|K%(tIEa(xBB`h)3tf7*Atnmt(NZ1DhWjzV+j0Z@9 zfCmg-*grJuP`asc%a_Y=f?s2mgFlh7>bi-T^d4plKY>jCtMmoRK$R~$*n7X7XvL(F zYwtJjV+x%tjRzUWmzyN|VsWNR%zxbMj_Xt#b-5RVIQUIR-Zb4G!)v>_RKBse)&7L z)X6p|9EVQ3yVbKmxy^@oZ+rNLuI>X3qYAa4UfZ$x`xcAwOB*CsIj0oja2hf9054p| zYq|}jop4P&F(9#muL(0gH`7O3p~{>r-{mNx#O=@jsU;Ep3qO*ppv=wMG&eg(?v7Js zdp4ss)nG6`=$AD)kP&DCbvtJeul1fj$7A@)XX!!hO?X=NN(zF+fzRZpU(*F_uw(`J_^lgRT zQ>=P}R-x9rSZv7s^`8houHTeR|5ocnrl?<Ngde z-6Q~8P}eSm;herSLC@GqGx=gNqQyq%18gCnA!{OQ|FecmIh%($#q-n<@`|dwHPDju z8(nMxygu(o%|Dq{?mV87E%Z!>1QN|e3GN^MZB>@G)BInxPK-PPCu|COM(^erXbdwe zz|d>G)o5f5(JWu7{pb05d1c{{N+h;fvk#F`%Naaq{DZG=~9um1y|W@C$c zSRCl_(K~MN9CqDz{-^QzQb(ZyDui2$$Lm<>fCKJ_B-RS9sh(F*O@LCT)OoS-fE}~;yHP$}yG=t;=>HIV#LJxtYEIR+a zstnK$<6dk!;rvrHey?<)?{3Nq;q}smhD%5s+_>G?TduCu@1bOqCIY?Ok#t~f45G-& zub0p1NShF-N9`!|mDwI_IDXm8L5l5oqt*W7aN++;fn22tv!2*zv9_n^V?tVxWr^Ba zxEa|jSn>=3HMb9q`0MPDmj7bDJbk}^;IW<9*E=Hahj^i9fp>ZG2e{Pol>ZJLm|D2N z)T(Jb2yxrGb!&V22+Yy!f^@!bvrF!qd%wl?Nl7+-i^M~`HmJhef|G3Wqn!it&nr;&=4yfA#RrCGge0O*JZEoZ?@i3Ofc2^ON(*^YVP zE@wf#$qK`KY5Fpkid@;jwqbVj^`Xf<#!!kb&DR)%-wr%~Y#0btMAZp3y2e;|$lJk; zir1M3I9t`fF&StCTKtnut|y&aUL8XHaSakUZYhFGp$A4MFl2|&0 zpR|=UIO@p&Rv7Q{KEwqeWP5{0R7*h|`ztN{aImnTw7&5UAT4GulC56HUg zxNSe0kDNxBzp*=IBmi3GKTEZ$CJHirKB|{!puIbDT5ER>2tGJzvsTTKf`euw?8MHd z_vXl6@Wl~q6syh^H{`o!wV_;?iY?UD%s#{oH=Ej~34LU_a65&5bMNM3yvc>(ZjH4p z&?$?@4~n=wvYree`g4FYz0QX|LeAGZ)|`lWQm$R|QBXXAQN6M-$D}XjHNT4uz^8nR zc;3nMo$~JS?rI^5OpsYYkRDwJ4E}ck$IpCfnQw)k=_3_|G7jAVY|R5VYgONLkV+rk zDvE-!|Hd5ti}yPIoyY2|pL_neotN}PzI@4xEE=pbdqF)5*jpC>KPu<0bJWadoss5% z`FN^AhCtC%>??$+^{uVE+BpE<3npu)q;YRl(U}-d1PY2uLdfk&+q3@BOLmwA6$;FY3#Zhd z7S9{NJWl;xOhwlPnKg4Y^Tv`l#CDM;<4Z$it;4$GU@@D`M76UZ%^4asTM3B9ne|y#_!&IS)b0|4w>6yt-;Y(&lmXu|%(_w$|lH z`}fdD#=C<{;38N8fmWOYp&ke~Yh#D)R(Lg&)h*wlW0$M@jMYpW$#S`U8m547o@_v$ zKK((b(W!_Vpfv$!%?dMrw+kM~OrX2KhDI4*S;l0I_2|A9b8Sy#?6`6Ft@?|Nr)2XV zs2g?*^t=81Sqk z0kXkDu1{7K0Rz1P!0M8TiA>{K%nxoX)cpM#ncKA>aqh;YFhperNE*>ZT+A&E;UN>6 zEKr=Sa(KTA16+GtHm9#TS{5McLRJt}*F6bf57p>7o5`p?Aexbp_+1fVL5_z9I0>RO z0>tTZo9}94eGdmXt_P~c&1kW20{tH^fW_<`dO@iug5nk?&Cjm&C{VL8)&q$wsd2;_ zbf6i-mOyb=r&0_XT+G`GbB^w)fL<)7t9NuWvk74~z*OJGO1|8t@s(^q5loqP zrWujgykEIFJz}aYB&GQnF9eBdO$xf8=`SUNvm2*rGi4uF%HTCo!pnA-57$p!ZfmlM z8~Fu>@%YsZM!k_wHDM#H1W1)cM zfIVvf4DW%A21V!=g(fBaUHJm6q%~kxYR3P&`WG+I?Mv}rQ zAr%2Y=>R()tcrvPvyrr-#;$Q1uqzWK8!RjA`PuKjJz!f?>`4|tP*VH@!CNT=ctN_b zsEGy3P6dtuBv1;Mb>c$ZO4tq>w;1I|(rox0(!j`TCU0T`8@qwb{hPCB}GJw+(8Sw)aECVzpayAH4 z?NU({3pVeD7E$H(SK1FJEv^zCCnREGoe!(_G0TT~U>esqGG1(%gGUOB^Cu%mt=<=A zA$MEUcidFU->N0tOG5jIbsX^h#183|wofXE2h2-veflFTmtZA4%|i+To^2Ab*Y8Kq zK01Y~1fAR)q1aIabQA6E+tC!R$j1tul)TEGgwH#*n$7DT0oI%Ql3f+)K<_06NGg;D z?3;3dAQz>M0Jxfi2zN|__oSioZJfCydrO-0v%l;6F-&oeUoTCr(I#BbYqnYUoyIfZ z96-aPX&30}zmXkGipAG6;`NxS(V4_IP}{oy@MVAcK)vtqZ)4$86ewjw?{-kW9N_}s z+jNZtY^($Ui=xkpyheq7; zLedL>?UL73fcA~s7Q4ye(D0QOuyR_?SDG%wV%}%KCQd=veSS7!_PO1@>x4#oo)q%F zvuIPr*E$N|C`2%Az?oWBKE;lU*g55bMMjIk5Z0br+&8eyb$sYGr1b6YjV`b}Gp=2$ zc+E^u(aHz?aq~7M&CgqsiI<+9zhF+o%DUsD&1ApCtQL}Ble~G=z2=qdP6W&AIS&{u z$7uViLp+~!Z(GGs^XRT9@%c*Y1kC#+a%(lUy0Z;`eCAuL&H(fdKbF4LNM)hUtffY5 zYkt`@9**_kF^^@vtw@#n&lV>m@C%YfYrjl8y%7eUfOUhkD9Vk(fi`CS&&A^FsXW=( zbClIHM6HRuDy@F|rL`m${BL~D#y+A9l2Y3E{nUSVflZ;a+ocFeUb54i@OOb3TqEYP z)(#aAj2jsKhQ`x{Zn-5UoU#Ff2F8Xlhbb_`V`Nev*_vA56uXB98;8}V5!Wdgk( zGk0e-{Wxm`CP=gt)>fg2TF_w8DaCl}d|X)wjUZFChD=;=Qx`@^=+7nreF@nLHdLkC zRQuKb+dGH^YIHKX%6Rien_9O!LiseS7FiuNI+y^8dI}wi2_2oU#pln)nFYDzb|zX z0LjOC-Dre!5A?iAZuoLj(CV#8BpP?es`V{FB5`ev{oV_btMIABIxtHjPkU}-#KwqB zJ#|_aVRHvOmm_QIcp59%8bZIyRO{{gzy=Dn;AgrzZ3{j$dygH&8&-}wb`;BMJ@m#X zK~eZI-Y{MA-oM>|;0U`)fd|L+2(=0;SJu3Wa${>kS-U1BtNb@uZ|CI#Bbk*ctM+ni1VbD#7?j%G6`^CXa__F_ zCe1ThHdlUz-5^?PRsKZ{g)#cODG$^Cv4LGf;WOz)6%@pI;NXya{lG@CyAf)PxC(N* z=@5gp#(_7YV1f0ihER5xPoLrh`?55i0WQ}5H4)}WW2&fUyS9RB$jj>j9?36DiMKI_ z1*%X8BwMV*Sxsb}PpL>nL9A}=?Ds5#Vn($9#UBen0_3Nk4xa5!DupPcAF|3ac!XXH z)yo*}lhETr!a5p*J;c{ycUVd7aDbfx1*tMvi_>-?ehd!zS>pEE*n`}a6?)eUp1+w~ zWY7OChAAE^Ve%a^^m`a5S(8)3*WRGcGfMvp|4|1()xd=l)aCrj^t=@2E{**IA{f73 zqyHOC4iMm|m4pP>uN2_)>bdJpayU*`+Yf}3Th-c%4Yy~;af9Vf*%rr$-JD_WI;bFx zW8>6k+{sVD5MvZ!O}T=tXy&`L-6^SS-1SQm4IDjQInHO3MRL|#$3)A)zJB|AQnfUC zt~!3F*gD^AcAXe3TzEGlk*0vfSEGgB&;{5ICRlSn^A(XOE@J(iR2MwNIA| zx2|Ys1v8Eh2e9($TX-Xc33w9s>PO${(94DPvSG$3`^+0uY#4Z>f%+q~-Pb-J8RQ-7 zI;99>Mx~L?Df%BWl`mBPC?Y&sCO*@DXEO&+($|8?8avl=Z>-+-#K!uYM(i)5M;*HK zGDU0aYHmltzvSn~ z3WGnP)h>cHSlF7>)oW3{ll)buXhZ7uXN(jZAC9oIaVm@G)`u1qjh#bVi<_KBG(OR~ z_c4nl4c_a};r$HCuZ=LS0NB#p!+F&T{_wJc_Ypm?0;$4;u+8U+=x%!xdM>y!zcLGc zrxH#Qt~)wB2|OIA^0i2~p1>dQ8mi`1%GYdh#=-t&OrC)aMR1W(SVoOER{+b~h=)R^ z;FTQ+q*Z9&3pW;{c$uslM2Yf_Y6E4nL81Ourg9z(5X3n9_IpPapYN@mkbL-F)Tx6* zUUPsBP~^>|6=KkNxd&@pz?(~p6b;g&ykAn)R2AWElP-hezvcF@W!O(dWpm!%TZjLx z^8gZ0D-`B!$sM?2w@Uj(=ih{8g&*QTu4r39|M}QyCM9MG?wo3Y+up5DcVHs>3G`W7 zXmA%%}OeUmmD}3mT%uG5sx{V4k%71IIFGaPAAdx5yL-!8CjO$3vsUhj+ z4V3XnHtce2oCc;Y6s@xXm2Rqw@B(hi47vojjH%PNGvF=L9j3XBi_=a)vI}Ub5Lq!d z#(C_Q744rAE8=T^g0l8=tKw#TzBBA>2_Q^>t>r`WCL(p#9 zw$#wu=|nj4z)DCFm@m>V};S-i2I=JS&Tm-Rwt1<+w?CP35xrwzyA zZLx0AY-C+(d~P9#E_?OopWKSSPJYc5nnS}s7~1`+IJ$3;ZShNkQ#fL#+>gU9k{cpU za($JF{8!>gA#_>EQSFW?`mQ`Fxf|t~k`1eY_ zpp>Vu#=suXA6mk605e_C`ofz_gLt_y!J-2?`?gto-@Xm2<%V=TR(PQuuxeZkm3;*} zu#Z4Cw_(3r8Qf!%u;RdCF+G46BC0paQ54@Sa0?iV4rj2yG}wIFZ2RviE0XtW8)hjz zJvG^m0pTZ69ee1C14MOt+gZ#_4P)judeVD7B>!iCK_Wkq9Hg7W{`)7OX~YXF;UCRA z`_bwz>fs+!x*hmyg4urx&p`Dx2QdtqIEOXqBq9C%2{{@8r1vHuH;5h!NQg#9BW=!5 zNN*&}djQm`0`ky1Bg@;C2(x3t&!@jYbmxoqO_rCt!wSaKA71V)CJ1=ThEJ>;%#lxK z7afINf9p?1Ig;5X&!VGHApA796FLEH&~2K*xB)2j{DmQzF$6?+$w}0t3VMyqx$>zB z^GO~ToQmvA8M7>4xoS&lSq#q2Gz=*Ejjj4V z+e+*Kp^*p&9u)E~>DoYMe6z~@dss=B4Te_0LhmJK!{f*7y(A%9%O&>J?s#B8;2+Wb zyfh*26d*&r_sfk-cHN3cBp_Psk$q|v0R&{Zk@#%q-#UIc&2J4P{7#s!+&cn_J(I4h z-qJw&dM&6`?g1JlK&rfZ`oBx*KX?uch^9$5CXy7HE59F7Tn$4-I+-6 z;1D3V!^GX)-GjS3?8@`(_gz`1opye}`8r!mC?-`k?$JlTdgrniA$jH<%f2}%E>~D> zcKajQ0tMeK1^K+k^LA0X8w5OcOtq%+)K5p*B9VoiQf)0F)2_4=BZ?xI{D{$gvrO7D z2Ff})2PgJnn)ei3)-8V7x>2D}s;ebrJw{`U!&}g#&0~B6=8NXxlpsKMb~D!=#Dk#c(-3 z0?H+MZyo3)yNXjtGtWPbDuy8Ud>G{)1fm>&IUg?u?rW%&YnMuC^c1JAbKZ?aZ6?US zTS}3KtC6Xh0Ps;cV3&h%jCqVZS#P7GO}fqX0D!`Lbq47jB(I6Kk3t?6Tya!i2$qIo z@|MLw;gj*7M)rC^a5W~f-AO%P(+3YfS$e;?rBftX{=0ZWKnz16?ave^=^J&`k-z5R z05QNC)G9TeMOYd&O2NopO1+U@(_JCa@8hpWc}}TRNR{F~%DnHc+%(6VE!E(-26`%f z=w)oCvRUM-LCo!|pDUNVIW$Ot0WYjKgyGP%8~|#Kago>KP2t`7Rxu#EnA4ZU9B>Bu z0PM88$MIsVF_FnwMyc8W^yv6h#I!ySHh*B67H@Iw>ZDz*k8*S*RaNl^LQ*3&Iq8s8#7<~;!9u*N?%XD3$tO#JV%>i0(Czh|4rSA>~3HKL(lqTPMt@I}`WV)aC;Nct?hh8T~9V45KvxC;? z7eCO{A+|xl-!}dNSals6a!`SD3D&~}1FKpg@uzsi1{)fR__16Y=SSyWCLr5+_SkWM z(kG3$)bk#L+IpE`CJ3oMy_Y=317B&SF>d-`rcX0J_8(kb&=8^GhovzFk|nkd0U(iL ziU4NN=M1x|+@ zT&)KCXjK9l381iC_H{@Ss#0GFC=$y9@Z<9XgXX7X!Vaz~dqE+!jgByIMD&o<&+Jy8 z=bn`fZTL~5-R{AF6arl3e{@l0WEEO*Ax{3$pFr!-!#i>V7-yBQhF#;vX_6V{BIP%T z(TGM!>6`RdoQao`=@F`juA3hCxShB&Eh2?gA-ha)>SYl+gKHk_;x&_^MvL9O@pYZ%)v z^>HNmYGauL;0W`42=^R`9Oy`s?i0-;I*g3HhvP`NYJSpv`cS87w{^+3yNVBv68Wr~ zhl1oG-Q*%(GfvP$t7aSOvlSAiGaE^I{}1wVm*BgNus>&S;HBrf?s&SIo`sz4VMkQ{ z#RK5@9)PN5H?F0-tG3r?Sr&X2jAC=SrLcRJCu|Ez@V-pU)LRxT2;#Hoc%T^ij4dZUV`wmbno{i~%L^HkH)e6w3M zzfT`4iRT$aIT$w@C_FlHyygT`dtS-Aa`0k51K9I^nI_m!uo7ZTaG)o`QwA4uLde$zT8c=%jLg6}{jc%wJ=f4QULG`fhdLbY;I7kx_sy_;sNcpa6t@oDI zG~L;)GU9i-=l$e0=L?H0%|6^ z#A1zX2}7cqTI=Mc0#Im7H{~5TfBT{0XK+wZgY*tQnva-Tmfn|>se_s9Hu-vGE@*?vbl*F`qNkS0=8m#tSA2TD1s0(kw2qJ=NkjfB}7 z4!|Xa0vQh9J|A%qZJm#Yu(<;%28)tMrdD-hM6Ds#>p6eWA*mHM>;>d0iU;uoph`Gh{wB=EQH1@~3Fm)4D zIqz^n!)>{6_&+Ri@KnuI{4@!grT$cfuEmc}44LHbib2=Y$|$&D(|Vsz%ou`)Ae{9x z2SuMTQF;91dB+=ZRedWH{13|Y)>=Lp3dhE^ydD}7hmlpV0FiOgd~)YeF&C9ABE7m*OnSAxtYU%D_a(^ z9$w{gDysF{7s9C)^FXcD4s9S|$XV7dvhQWK)CAnO7ekD^L#y5S&w$yAi4HR>?r%%B z?kC(>jQ&z6=gz3GmI+D1eRw5bA+Z8D3o?9O+*Xc(H-D>BFQAu#Vn@aJBCUbc7fUos za!+6`U0%6`8IwNCzV{27PVfiJVB`N0HZ<2QZzK%+W*JnhCnFVCx9$ zZU=vMKf>1_BmYz}*>Q_bzSg3g0}|Fn9bRsDyxcpt4eAcP+-WlWqJpXJAJBCa*Y%w{ zwzLc-7&F0KFM_8!$V-WC3V-`=z4Xyur;?#Bsm0$Zv`*F#X$33KnxiR}bXdsK=uHnA zJvDy1&Htxh`Yk~`H%+C~c<&HwG3Q23HMs_4pj12K+1KO{z-;7U`m-C?D1wRGk727_ z*Ij(q-fAK*UmN0YtGC&#AWmNU+;2}tX~rAzcUSx|6${+oq~j8-s|S zAaT#00}f%Hu=EBtCw8s1pvQ5LoL$F-|5a8KX{Ehjgpz0*^O)|C!})19Tw~ks+fBeg z)Xfis&JAu~X17@gudnzYpy!Y@Y1D?Zk_EF!foNdVb)RG?7CZerjyXpEr^^-)PT!+y z*vkQ~_9{qGgv6?@0fn^29&agLJozI{AdbdegFZK%4fLgV#-aqP-;|4*jN>-r#8b3Y z0C*irJ)e#w1c%-eje68OcKL)6&FYa7v3eU{Ue6Z~wuT0b(hi3`Wp=z!E#5S}{!O3z z6O~i4HDVi4Gq!#Vxn~p8IMQZWdWSudC|;i+Pi=Uv1te|1#aza^#+2XL)bbFN@(^gW6 zw028TBam(eGeujA7mL-qG#Idk-=?@$f^mGf+dddk_0P@=i;T=yovwdgXI+aElM`DR!{)wg%fd5NcJ<(U@m5V4SYgn)Gf67ui zdNuzl{F`j3Srhjmh`7nYg_xHW$)y}mr`@@0{je8q8@pxgE}2epCC8zx09Z6 zXkKRKd=qHf;%{jY_s8|322<+=Si(0?*C&MY=r5G4$_WqNHZr?RuK<+gZ`uUY_h zkgP2*-uutmZ_uJ?Tt4^dq5{}5V>={O;NRjr}< zbuiuWB5^tHF>Ba$&3pONWy;6v_b{Kcng*FYT&-BrjfoO*&(mJVQlqZ4%PM}ipX1^G z+D(F-hHZfdeaQJFk(t>35WXg~P_>XTOP1l@z!}2tWnM*Mjm_6yu`c>?_vP?RBc0iZ z@-{v`YqQ4+kXy07)gIt)52ySn9ZV?ca9)}z+BT{$8g$nC7o$7l@Z*#-lBa4^p8$c% zlw;?mNU8Q>wLwD*me7^AC0+t7b=oDV-?V;Xh() z@?omm(Y%v$=7!mHhX4A1L|3}!lNH_L))?vpt0KCBun+$vjGe{9w33145Tii!32PpZZ3QXvNm$S=ja47>jWk&A!?vD1I$I5Q6X zFL99++LMA5v7{WD;%`+fP*4y7P<`ZHwb}(g+RwFZ~c*?vJd4UH?w{ zZvn4e75MZ)Qtsj};#?3OIv%)6h#oq)f6fB`qnw^40H3yE#y|a~rlLba02gK{XgAdH zzeKSAUtexFH;nT@EQRCI15=VFZ%@-O6~_PiN+$dTOB8fTg7|VqFL@LA z)(C^A*C`GgqZE86MJ5wfGH#H8^mIv_-Bd=L&)q2_`3Vr4Zb5 zQqvlof)P<^@mGqr9lT&m-e#4rBzUNL($h3z+aABL9WWL^UF)m}G%9`i%r`!I-qhie zWq9J(uycBqS^Ns-E#bHo_F-K0F%uZ!;bi#CcM`HpdC1rOUv#mn1-SA!dG1_$ z%W{ng*(lrlmx$p>8t!#!zI0}6BlsQu}OWwU@rAkzYO`l_1Ox1!HR(y+OtQ^3$6{!%H(Ys|Inj| zgbj*ds^hKZH8z^pf%+PWK>{R?)h%n$j{<5VnUop#^kFO6bK_1b%Man1{buy6{zV2w z+@J{Qbvz$0AtW@qpL2+EZnN{II1knA+>#_v`))P72-%2?dz{l3R9FHQlK-td0CjKd!eX~|0zAd zGO>!}0j1Jszc9GJW;&ir+6gtA0B$7tHuV^A{#dPf0RGTsrto`ohearQd z#?>HLm1-^ee)tYEKx!PROM5)iRi}rg{_-VRwLsm+z6EvY&PwbvFE&}R>)`9oG{+~Q zTj;CKaXd%a^^%tAU(+!P@y+7)1YqYZdPIf|IY|OpD`}u-A)jE&+_Wq3nlqzLxbyR` zhxr5Skk($#;)9yHcOud!z_7_yPr=+ zyLgn0q;cFoJxa0GovU#>U1EE&o{~3BwZ5!3defJ2wFY1O95LvnkWEfoj5iZ@xEW*W zO0#lxFLMu%CgI?hSz%+#Vi=FwJwK`??IRGiBjG5^oP80wTomyn6pzi=_X@=Wp=2|Qx|1c&^W+sC^`+-qBEGxpjw`?@D7W(2DV@TF zaHlM)e^1IYYMRURQam;D;9LubIK_Ey{~b}ZJe4rnU<_@*+oMly1+LXuRMxK4YpJfy zq$>UDLNxI5CBaLUtJ57THgE4)J`PC)vEb%c*N-;xsVKeInnCM@@H}Ha{;Iix2I8e! zQ|)!l?g@AX<=Ei&OdfevBP3=EYgzHJ$*qsG7)UJdZcdiTI`6N150Lt?loygXtC!%Q zJgXxovANmoQlaJZH9YRVwQ}Qrh4zrUd{R=A zTy75NiXR*6szOxkY|3nMmdDO1J+@CPt%W5NPjr&u{(m7AnLmr+0+(px% zcQ{nq%eL2}=iFIuWXF_c&#AToE=b1LodOC~UcSwvfCDr2+R={Jpf+XRCJuP+cdpfy zirYr^X$;{-v}N6m^%OK%?Hq(ath(D)2XrnJ7?XHy3EkdB^08c@z;Zx0FL)}EJ;}gx za5e`81_qDEJP2QDl-p^l!9JEAh(FSwOxY-k-JQX96}s+h_LeQ#fKejnS^ZyHZWvm) zUzF3?&HR7XfLHWep4TU!N=wLy2tJLHT(^&)_?Lz3Hx($3JhAO>FEdQNzPyl-jTIya z%-HO6$AJN<`p9}+S{P4n#+{C(#W><^kw1nt8&h87C3>3Vu|_+C zCHw)JyxDjCxKcgL_@Q*3w!N!Y_S(Bj3Im%!WQ=duYqxXTBWeFKDAU3MCLWe*O85|M zW)PzB`J6GpzWH+bYvav20fkhIG|g}?TOb=Wu5Jxh4JFlP1?v%aTZ9-JL0-A&&|A9w zC*Toc1o7usp>zP^64LiRP~2i+zWrrkF+u> zUQU!((Wm#22aVQOwJ_L7&HfqO^hk!;WbiJV5e*{FMS2#^P0b&f%j>X)AI*To^Pvs* z1Qs$PkEJqrs~-H=Y5ikWpBslnN%Pe@Q}(`j)L6!Zu1^`v2C6((6R)W*HCdtgA*Suw z1ww9PbL{Jqge%mjp!br$Mrt|U&unrIZ8m)+8GiOf)#T4w+6e*V3$^d(oq=_ypu2}* z!SZEFB>rruB-FjG4GLYs6^M9dc}c6VWqV_!5gQhrHtB2a)zm~1t*L=p1~yg-1BnKh&?z}dIhPq;8y*MvQbEaa)EUk^A-rD?yeA^TnU?Y zI0otYe%3UQ%z!WhBsoV05d#i53vxG8Q}l-de)!n74nBCP^r*aL;iCzmHj0i9*Lpi0 zc1Vd$b6~y_=49O51cD<&Q<}8-UUYa{erVXQaaYiJFg(KIPGb3su<&h@$=>slP`&a^ z>jI7d^sqi3lOcs5Tk5PdXqER{<@anv6xyG1CgS^bzfv~|6AUU=_%A9m3m1Lt+O)vM z>3z{8#mvG(zx*stPd!sI>nTHIG#O&sNs(+w?E!w_HJUD16 zZbZI`os_=&XqnH%4(6GdXKk~SW_(v`7LN+m^-kdT_%_-4dR_qarAx|8;*^FX?;~en z^vjb(ZOVe!smJA*BF|MmQ3DTZna;!N{!FhTp;Hx+iOVlYD8%Hs2(LV)((_vE$LIaK zT-E3zeE~d0@J%d+?WYSxjN0{e$aD~#(ZO0d$99uNNM9L|qT9o+d~tN??Dj=~;zovF zohiJx30B=#MrWKd;nf#6oU$Yi|C_+ILb4J;>N;)wrU#DSW$B=K&d)O`YU1h5b_(@V zZg4w=oI2g|0%b1~v|TH(E?P7v-|D3l96V)eH-5ts1+PJtA+?g}wrj1pFP*O?`FIs3 zzEn>$%`dw+3tRqf3Q6f~qyPp2!+Yz&1QZ_2|7m)t5@+?|2C zaDY=jLokD9(~|~yL{+{+B1xN(crRF7g+P6P7Rrj)>8Pco-um^3$GX?8sq%Q3y@!F& zI&O<2)FdqzY!TwxyWuj#0QcZ#e=^I}Gi#90q+{KuuX*zLFsvI8>K#11%%Ug|SGndS zuex#r3fO!*5?)tXY)f+IJ}|e_TDeh@{*X)5*tF($Da~}SJHp|*xyohujNbIy(vj)3 z-WfyI`AiyuQd3xSPRHe?$o?fpQQ)<7pN~(Kug5_)`2MLr@v){({R?|U6b`Ohb67iG ziO3G-SDiPSJ*tDsVYBI);(FB5JbYcO(HsSmTr?@$yq;GvAFVQf!kKOy!+CqVUW&S$ zRpTPRX)i&fY|5O*WtcAT-gsDijk7tLZqPTW4tG`5cv-cd`W8^eZ1chHsryQ0p#IlQ z_u`_~o7sW3#pgV)vdAYYBs0=8BN)}YB7&EU4E&tpwZ)Z88X0zAGcQHryHrvF=VZig3X}I9O{JdRI{POv2Zk`Ug@5EE}U2W1&EC(*I>}B=pUYuN-UqQ@*9~oyrOPaE1$|^q5#&^@hS%>qg^#P8DQ;JaKx#w80nOQ3Ln= z{u;MLkM#6*!mDj-8P#g0yM4?MA#S21vD%TlxIBw3m+hSu0-u#7^7x!{e=ylq8^P-o zz2U55*@Z?|x>asVE=Q!!O%26aF@?@Vl5*QsBS)utN-T=Q4C(|HZ17ojP!mRH%WfMafAwS zn=*TGl9}^Hc1LbC5xmSO~}MR@kzP0$zDGQG6I5s z@2e9<*=F{y9F6aE1dT{2Xz-30`i$t%EBGk+tfW*QytI#n_imnCU;4^WvChuM`?BLn zwIL<(8M0R@?NHC4su8iXejz`7<{~GInwI3(U~}5)YY&C7!rP;FK4gltvT*sPgue+3 zlgG^Lf8r6@t#vi}o+rdv)+lR;M8J`1XZGYRST8GLEi;8uLtEEl+Q zl4%k3&Lv@C5jD#<_p1uTR)2reOzVd_B{LY@+``h8QQOLPDZ*u+GiIm94J-X(AH#5$ z)LFt_Yb4uH=>#equ2Ihp6`p72XZ<=n1QM{v%n!K;MhytfVP1rI_nJzu-R8kAjwY-~HD^&1B93Y=j0DUm|8yU zc4k3ZbFZs25_~+KUdHP$2o#IdVA(Bxi)GmoyV#W*U|ZO%gMZufi(m2G7*yFil#d)) z<;6ZSbdt5UkvHII_9IuH_Mvwtw=dXFute&2)t)X!K|D%eDICyNWMT1o&5`tS&lZ_K z93d=3$6}`z65zp_m4pXu{S)0Nd_E6Piw_6p1e7LyV)*e~chjA+ljy9{K2PWqrn;X9 zn!PJp^>RF=3;YTd88!R_e&2evS>zFUyW`J<%fFn3Ejc@c)G1^p{~{bNiUX2Hx8n-tI@G*5p>qzAL@oOK&8--J(OvA# zkUz}bSwhz$VkC!&&zCKIQ0;3e6Djm{fGo?r+fvkWt*}oGIqz8(dNv%C{~^MVa#s$@ zwRaQVk{QJt{xI0BNp-2I?WVdb?pU-}-h|UaqD_}kYmuF4sk4yXGPlhW!KQCQ5Pvck z`sJdU&xOPw>WNn;2WM|sZ;b&o z5W+j$f>!Iw7+huypc%iu$;>FfnGR@J-e%c6>-0-vy`shM)M{IlC3rmZ36kY|+CWja zvkW?^WW34TsV{I2^&rzm7tpv%$xw%Wx#UZ{CZ+OP4KE)N1c$-stnnkMhnm^p$ zc3Qz*{?w0y)TOiFE`-Z`Ap{{8Mrg#(ph~tH=78Qew-9PPc8Y0tJ0eyX#XN;&b$}U+ zk=VE*K0DQVgJ&bxaYKU7VvN?RlGpwko+2_e2DiD|cajn%lX`Hb!61We8GU`&+A#ue zOeyUnM`}s6uAJ=U{>XJa9an>eyukF2NS3BnCMpyGN8}QrIo_Yb4XD!_d8qD7Qv#f) z2BZXyC3eo|D^h-uDV3~c7GMAP!p*cT9Tzw5WCTxq0Vi-f z8Xghy-4Ot zYzsUN9b|ofBfP;*-&!a~?lYE>7%Lr9sdQ?`NyP(8fqE@j*+6>zpRcy7A&dH9Cvs}K z6QwsNM=l5fU(WpU;I%GwPfCqewEpb89JjTex;zU_DNhqTWNDOaL)uaB!v)J#J@z%h z6MDw*$P(Q{2;Q=$Ni7yPvsxPT;p_nuVvP70xelNX2e--J*#fICUBwrC&xsSL=bIsZ z_)H?bQVL=E(sc?HG~0KYNXOJIt1iq$D_1`kh!(m8Qg*%m&~xjbnzhc}K8a z%#N?roGiXAF*$vDK^2L1Q?U8t!>vN47M}qmjgYX#Q7Rla1rKfrx;y2@~{` zY6oICoL61^f@2bkc4KJ(j9rw)*OG}_<|kYo&lgcXWsLl_!|EKg4bk(ZZ)*y1`Z8Bi zc(AUUx5&3qLgi?ak!jl;2fii{u*;p_q8itYT)!T2J!JT=3CwT76iVd3Uj#$cCo_Ti z_O=L&z>mE(8n)N%LpgS`eb;?8Ht01N(@9=7*Y66z9Gft>w@R8CF30E$YImhqnv06E z(Sat2wB5E2jK(&$svO<-55w)xC{`F#*+MD7L1<%{>ZQghCoHxYdICx#=zIZS%67FN zhz!O9utmYIT!!QE)W`HD6T~t<$_}QpWsWk2$;){{z6emk6Jv(%ED|_)gCo)d**n+O z&EJFQ#0mXhH3Z6mQP^hjdEfd7R^;saoro36Z7?V-0L(}_Fri0`*g%%C33AM6-MBio zTu&_UoOShr4;*(y00p&brSLp}cb5;|m7(1>-AWC~x4I^0kNKEdIjXU?y3_ zp*h`oBbJ2V6?zt2$`RNhgwa}ZoPB{ub)7*tGjSR4$|$UFEAEkEL#lCT{sFbIz?>TE4&330ht zcN+%-5*FeLxAw7xNXCr4=jxVyGh?TI2C&lN%oiYh8N`K*CG#!QyCcsjrgvFfZfu&E ziBL8iOsJU%cq10sb&Jzk{<bxAxWnX2{Al?0`vyi_IUop@1TDVodfm9 zp3$Wo!~LIwlz)7@t}^XK?Y(#E@Xh=dU#R|elB3i7@$%Bp=cWbzWe0xY`Qrudt_AQ^ zcEG_J23Xy&!!$R(c#V)~E3htgHpladqN6Z~cOwa-%VLoKo%2v2Du_KV5hD}(9>(kG zysyS~qf_EHqAbD8(<$K2)@pHQYtGuMtNqy|W46t87rGms$8{=RPax^_-yCq>e;y!15y`*w`Y`#0v~4gbM~dQa(?2PC)qu7M~}6rR8+3a7Yqh zvKt<)3J%c=syxODbJzUclN5*E(upaW8rR~b?cUxEr(zxb z`Fc+854T8F;cRI5Ppi>CHttYt2!k&H$zaSR`CGsST>QtX37DbwvPw1T4{TJlm8bG$ za2_)byi}BwgAj410aI~g$pP>m?cAiWm;f$Hr;+DslQC))V;q`nZsc<|^JzK22gEW3 zUOM|Iz_3<)vVx>@9g?8R=6s~qq_0tDSvZ<0V1s9T%n2oD>s#Yte{#RoM{s%g88@ooDy2CgH70=24;4A z8Vkt9_j$Re%#+R3hD)H+Q1OvXklf1xypw+%sx5V$?x{XN^+S#Qw&d|HqN<R=*p>2iQyzfU<9qtk1^ zXQPwoTieTrpdeA+OlXA7DxTg__`V4A7-pJ@?SPvO$~g?>qd(s+KwxImsGi98gmb0j zju5^7{QmFD^@W41{OsrC6b0N4vxzclx?4Tf=?4Jbyw~mr#SP5gNT%!Ue$aWiRc2Ek zH%~lUKt4xbvv7v~c+S^JkPi2JA)sp7icxh4 z4|w_GDWq^&R)E-n{f6C)OKO9(h~EEX0kjoU&z9>XTd%#$D%ZGdd;)(B$623Bo!_m6 z#9$DRz@JYTT@8P_tIPpFM@0ZJN@*)8JSNioi;&?YZ+~uMQe8(pTeK*jh$7K?q~n*7v62zMB2AACF*g2!&&@~`7lY_JuFLis~HxJ*b+Co z!Q}jYJpipnstbrOkpR5Ils1>CFnpyTvD{GOvu_J=2beO}64S1p(0Gtt58RHXUXt-t zDs3Embb|_I|9uOe1q!}%?a~Jv-m8s6?{Ej^KcW%y0$s?Xiq7LL>PEX$eA zH(@3vFeqpuTR4PDHiLIZ`pxKM1L9>oi6l+@0VE7!a-x1;m2HaL7+^?M*crZ2)NqSi ztK@AvHDC&`c*V@y-ml!GjFNkr(_z?n(8&iFX|d3_H-Enu-}`>z&-_)2Z9rFX+dw05 zH2l`9tmATb>Uy&Weht0v89bDvST}2A;4-r1Elb7y2uJ&7VJj=RB-kF1!e* zRw_IGHH=-~dM9t6@vp&ig_I2;x>);+8+DtzugPcG96=MZs}&~`_rC<9L|dct81 zNgM{e<(t8avAiiSy-yXhUN=_CbNMnzYF=;J&!0%npK5R6P+1x3EdN?#N%Ju)s4HF( zNaoedd6TC8qQKgHf(1*?{GP`&>A2+o`c~l~cp#aa(UVrxITt#V%3mKzCRBAW#u5%b z_)(Y+Vry_~_mTSJ+fjv>EL?ng+vy;`ujc#z&}X(^NoT1mnz?D7&!7M-3bXv>_tS5X996!A%WWA3=F9WtSa$@` z{AQ-KJv#B*fztD>db@O$i8`w~H&gPI6@~#gAg2y+@~%5L*;i@5(*xiF?pN~+t_=YS z)o4taje9?F<@bxsy(iNoe2BSU;_4Kq@~5aid>E!5ZNvgFoxudcvV;`?(oxSf{D%Sj z=QSP*?Wb1U?tO)EUL&&_>kH}e%zgg78e{5osSio2^6PhguWoREVEY5N={PrEm`{^4 zgV@Jnohf#U*+`(Iu3+~GB-sHp^h_f0;1{R3X^3?NH@6E(Csc?x3w9lfQ2fAPOA)NO zRLyyI7_R=3%t*{2BA@s*qge<59yq#)ICQi5Tu#_QL`%s)bkH_F-Q)k<97)jnN8cp` zp1UIiMk>;c%C)E7q{q zCB$(9Qso`_W`bJbSE3woOSLA~Sa_oj+1V7dk|rdNBYb%ffk-oBAbloEDyt{wd6A9? zz%FG@okaujU!hvvz}p5sle&ZpsOB3g(Y$?Mf_lSmv8dL1!<`!3DDoZGcW)qzYX@I^uE}gF-`#A5^2>@hh@>q6mu@rSMC1?LDh0Qjqg;IV znG2)aj3SXYH-%`z#rYe94J|*xrDCp@)f=FzTPUps)6L&`!Zkk*; zMi*~J6dMnoWb@-{qdlvV_*)c4QkTSQ%?yS(%;SN-E_JOzhysP=eCKR=z3fu!Gl^%U z^}(7?`GWY_YTN5eF_0qE>16ZsPn(|_hBB~r%Hc{-Z=ti=@G*haXMBZ5s$1O-r5xI+`@s7+g{wiWP z-GU}xu^_akBQNWFkEzR6@NpI}r-UUZ3$JT%n~c7*3KD@-V+7X80c*I|JqXoYF%a`5 z#h34aYO!o|*+2-vhV?~)rD*G*%C>mEQzDKOal3QSsvjB*5-nKg+z}C2fZ2yGYR-X_ zF7rbRz&7tV35>>y05@=4m9CF<2f#sQ|D7>wrPlQ*0gQL&5}qp!tEA%BC4fVHGU$yY zw(lHsqj|okl;zqGS%3d0H^G_duI~%&GB4&I#%%tNy{P#uJ|(@pX=p<+$LEu!)5{Dy zE1P9_eW`|))ZTwnR#J7947V;N z=?pW|TD#mOJMeuW>8cay=8?-`v9XY8oYLu_f;cv^vL8`186iOx9Q9I0g@ssA4_P1h8x^%>mN^^z z0?giv+Yr`h_48-}rG2Zo09X$SfMPc(y~0++tNeQp$o1FN*lh*9%&!1XGzP9|~2U4yWc^>#Z?_%ab zf*M+FFAo+(a6U?RgZZ7NY>Dr<>?@oef>CL1e}1lZ9Sj0CF?+5yFC8W~CK*yCK<(SN zj$k8f{z?D^Z5jPj+j+cYPPLHAZZ&yrPfOSeK0Ee02{U`w+EV!TgQwwwlmEAR>(c5! z_~&zS`eO}uV%ReR){njDYR#n95N_2~0M)L_l`9@{zf_9+|uQ?UexJ1H)2|E z2RmK4h6^hH%)^Z?t$XgDF7AjPx7vnlJ00$*YG5pU{_3w-Qd$4qs_2RX^3u0p?uESA zbP06bmKZOE2Gs`AO8b3ogSFOLbvvp4DQ=>_HA~0_4c=4)z7u9Fs7+(%%E5~$xGQxD+k~c~yI75BWA@#o?z`l}r zTyQ?R`!2POQy^EO_VI**YB&JJW$I|*F=t5q3*Ucs7u~#&y^hdKb_8^}CrtZ~n>{?d zGKp4$zdV3dwk*j_2!=tYjGprAPvX%Gx;0$Xj$+kfiy)-JtU|@yXNulw_3Z4r!cku3 zL^zN08q;V#ia6K*K2q(UeQPZp#+ET*W(_gHC>g2O{9{Y*rE)^oX9FK)v0Cur~R!4~hu|7VMlLjh3?NyA^k zG-gWA_E+&&yHAwwUHi)}eM6cpDFX0v@!-iA#Pf{P`zWGH9GIE@OoTmUR=P{p5D-l z=QKFdO=NPxJ-{J1oD{|8M!&N}_FyA$PBB9uiB)8979ILLvvgyHhWm-)KZr&JC zuEQNmx#DQrjAZx|+DJPjz~}5 zjA`P|+Bv8WI2tg;J!Hfr!$0&abCIPnLh|C6P&5lD519?3^oDsfV+wBCm-}MYydPz% z@4r*(cwf(`UbEw#_IZ6+TKhAIkH=zpuV_4FU zE`J{=D2PfM@S<>rNoUquot~GhojE+sr*t>c}2W^yn(kWwCZkV*`pPJ22Bs7s!&7 z#t6FwuP81X&4NIY^t4pw=0^BTQN@Fjq;`?Te1)ES0*zYe znQfm!(OyQWYI#Q8w+%nkjzN`*M4>Of-;+6Pv`a&EYiZ#xoKBWg>TK4^ZY#}ku(54= z6us33SG{hvS~l?x-W;j{G;ZyMuffw<7n=JF-Smo_pe~5;)zDi-_I_pNax^{UTkN`7 zPuj<&+QVj5#3NR1aeN@%vd9z$V~`O09hH|&%QbHKuyZ=F&&W@j?$Jk(6AZbyFq4ZU zeQAc}amko+cy4_tDz)6|t~fd+({6GFqOiX~Gy`+83OmTP&&HNv%;J4lV>k@eJD6D& zDu1;RhO%vLM6(lCBsd35*-KXuwB zU*_Y&%zh$6z=i}?pzb%2y}Ss?3SlIPy=9)_{%>dq!;_Shq1+lNRp@aZ_daPus+?a` zgp)M>2_I;z0kROORZwwU;(p{+8+|$NT39xr`9S~xgAHSde)@Uh=aa?Z3GzLO)Y^hc z*e-`Z%$BWO|Y zP_P-MihO`ShQS~T0N@m~*6X6|u%yUBCd ztg=p3ZY$TjL09CdrSp3&MjCKHI5Yumw>xzhqv2ozeoye*C=j5QQ+vxZcbzS5;9R(QJ) z_|8mLIO}~;hNr1nbiv^Nc_+9|4nH*8A4`7C|5Cc4Tn1#&egQUT6e>zGL!>k)fnz+U z&AC&Z?sqe)I_Gb)CycdS2v>LdvhW>|ay>YK!-_?M!!pf2@UNXd-PEt?FTaI^N44Oo zRY!ZYHtOgd`V6?2#8vrdZ1R=SwZ=$yK6EUG z465~gXz>|50@s`2GeW;5Xqttq^FES88?|W^ro`PAJMvJv<4+*nrqk&{EMPO1chvcx z6Ayh{;5G8>*urSrBcCM=WX2?3?2g&s1$9t8!Di!>0()NcCs>VI^E@ro^$7SNB!6oU zpokVm5-Og-raSdXPIJR2?8MpAdZjTBj>t`sfAa1-3E~l;J?}5phC)q_v!yfVjf`|I zHS)ebT>=XKZwLmk0jD;U;{;ycLmTZ&BkcJmU!SBRBDdXUqn+#XNTO@0X=i#Dehf4R z@|{Cf-ZXuw6Pz{quL2ss$!8$Q@W+H@-i$TES)tWL4oqc2N@UU}me>dss@XFKQDV>a zawK|6*Rb{F28X789Ae~GwPs}eT~)BH-Z4GIEq-yTkSppVU5y!4hl<~!IDq;(jjy(N zTnjSa4Y8Y!3;JYrcRE22){)_N!vKsiyYDD4m)fkj2kRi8o|9IjJuz>r*bMy8sr$F; zbA15|9p>hfHWaTZQw8i4{oBNkRAm))o%XOWShy4?u(P$k0-rpMQHpWnqk#mvJw^kO zJ0M}ZMqxvywq*dVO;jx$1qN-F?vC&qjCbW(Yz^W8N^u&;ed&G45+EdGDHb$p{GamP zGODU3>>s8>>d>Il-5{YL-Ga0d(jX!&edrEp1nCk1L0VF}K{})xr0dWP|H0?JAHDzU z{rIl+et22u(}p>Fn3+BMn(O+7-&F%vHqpHakVrqyU|5DL;rS*XB&-1*ZXl^}D4L6J zxsUZ`h2@j*L)K`L*ioQ4y9}G^B7^L4Y#0|YNiO;y9_Q|Z0f}6OH|j9j1Q(Eo z!uFp;%_v`*T9CpKZiOtjV~2dBBI#1EFn?*R(MUl4nqH=hwH+ zIG7}UZW7>K#rs%Ooof)20|WX)^i=55VKXlq=8GtA1ymj?9tGLM+f-9#6umVLHchwK zq4$0S2)NzG;LYRc*5(q=q$XX(UtR`M9{4j@Gw4&}pfYsiC~bpqS-eTivTSls&2=#V z({I3lsht(M!$F-yGEfiEy)^*Qu_Z$q^Fl&9P#%%sML98J`Sy7J0AZuwodmcBMhM4~ zMQ7j2_J+!%Ik&xyyoxAF!xsOkRFr*2jB!XAq)E=QYpGr4EMT2p|I$uc+1~~fSpfA` zklT0Wh8pg}?_7x}CKaXp50nM*<|%VVb(e!*?=zl*M@mMC3aN*520I14#_rR)C|5*C zbx-64@Ly48QB#i6Mb9z!J@^I1o?CT(X`RvXDZyA#?aWmC$G4EiqUL%xsw=#~_~MuD zR=SE&P4!D}3nd&TI(VfA;7EipnnRHbmI2K;Y(d!XVnJZB9oN21;6|NUgIo%TMXX-w zYVC)wmxW&Gd)50-Uzm7uS%&KV8#sy~Eqp+cz>y?+1Y2yGH4S|^k;q-+Z%`Sl$Ds#K z!lk>d%*lQf-Db%=Im#!tj$uK=3Gr+}Rr2w6NfaAp2XOhn&rwz61Euat=PHf=dvI3~EYud~Xbn&6xEyedFI(8A}I7R8C5xj2`&$cH<->y@cytx&ya#@0m;h543` zSrCqX>6=L0>2*4&dN&JPvp#9uOPP5aMDim1C9;p>85uG_>zQdP z>eW&Ak_1NGa!YAfHbxdl{-++4jvkCtknSUA`{#5Ij>HGx9|fTW{n>ry;Okn?4Rln1YyWWG)%()o=aC?+Ahk_aB-{UX$v^jktyAXe2kaF zvJ3m*@xNzN(9VYh;4T!~32p!|(td2_YFvwtVOoCNDk9p-q&gI_$V z7n|nB9x(#_pD^^_YFaQU5B?_za}W~ zzfY{a)DRYeiKr-Lg?a!1@qcDbX3`oM^P=(Q^{cwGVB^^B|L~35IPdD)$8GK)6pm$5 zv)de5d#Ut{PVYZ6k%S+-e{Z`lS&YYGOfQ^N?kl&?WmA)nBa>8!WPc{ze`w8=C}7&| zvBr)tsX3{RHUBriz>2`-dB3n~o?T%yf6Yr8%+GrvslWtxTmF%VO^Tmf88= z9t$7+DQ$;6JelDBJu{d7Rs6lb}mluINr{=3tYomnd8l9e~Yl* zt=#|V8C0Ul6nQM!59FI)Ch^p zKeDHOTc*%d3#CGMiR=;uR_OYWLJ@Qo=LD{HR}ieW7l%MYr+q zz5iKcY6zYx2B$l=h$}#W% zXD-E?*uVr$=+^d+oa~q~*{}Xm#3?r&Ko)AU083wSneMkdz@MR$4D=qrAU)cw2)iq3 zewJxmJgNrejZerJQ>uZOKTn7|TgjTw&_}s}~e^ zs{oXV)+1eIN&m0ku^>`1C1|GA2W39cfxYDN47HiM^HV7Lpn z(6b2syGfDU$qxjUJ(T);Ni`_}P}?!SEYp7$8YL&ViiK&)AM)3~=I@;jU~yXvQL15o zUlldD1Iv;0+YwOxnG!U35nLe1qePia^7mDrCI|$Xk27V3@vnakg5XIF5dG<*a$Ntp z>H~28mIhCHRQ@xR|E~=2JmG}lgTU{F-@ibs66sX`9*_kQrKVzVl!@6g1B`t3Pp%=( zi9<@b@{#O!A^-m6Lp24N8ZAPS4K=-b)}46K8xn4oZ?+zmB@B!MI(o_)Ku}Bp2d7VK-0XkmhWuySt%$&9>dq`0q7;5XwSMr z<=1-Bn4bbPYXCV=ptut<=*vjvsXuqAsKm5@5V-3(XaJX1C z^O)mTUCVeJ+W4$bj)(h0SJhv0U&qj-&c#;mdaMeZ5qObb;P37yruHDAlN4`6KBoX)GOXh_e^?2)(W7LJWUhnk7nDH#x-9}OAI0Ou%EYGKGxUMP;+`d)VweW z*o()9Q|V+eKN|iTKOH=Sow2aPeu51#3i1*sILG{{@U+n78JcD_cR=oad+yew(DQah z=i|o0ir0k(x1~ zdg&!5V}htZg~|_EY--A95ZbjcR(YLagzNO;q}`3g)Y;U@rrnx(mo$@_{IB`>^Sg!( z!j4}zs|>9%9+~#C9##(ELvf?4ZreObE6ih=l0{@ZRX(l7T|13dJ^HpH%am4J{mkOk zr#!)v;?9|?tO;*ZXqSWp(vEMl8+s%Srk)y z$+@9Ut*FnnkZCx}9pA_FZ0%S?K5|OPmyF2iRjVpi_N>)TudM+Zj_t;&+=F5#%Tu0| z7>9znA*jKcWhxD!O)g_KPDRMry)XCT=-}}v|M|p=W+HDl;9p7#uPEoib=!CR%sIu=qqWR|XSA6(AOE67TY94M@u*QVo?(GxNJ>2&l0 z`v_-OUE{h3z7i>;Xe#qkk8?M&C-qpF<)?4-)(6esaPGq+;l^^f3HvAq^i4gIN8x!$ z*~iQi)AAjoXLwd#0kcp|qb#KF_27)yF5G7YFPje>p0_POus8613H++-{4y#jX04aR zmh&^D01VW8o|#E2BWv2T?J}+5oj}&|;p-k6!lGgW=`)%R;>z=`H|N&J&cnHuG-WtG zVJA-lx1QQBhLu?eAHE)-sg2mN8{vs<9#F%I+&@%Hi!a30M&_!$Pfe*b?mE>**4m1x zR6>(5POigZU)ATh)grxH@cnvIMq7m#)325ptB@9&$mpi4g+D#BRiwwY$r*5tgB}I< z&&OYs644i3*}BOa9*>Za4%kVfFLKt(O1|*_`A}W$#&wb7M;w!O*z!`3&4B{`^2cc} zyT;fq{g#LGg>N2jILSON!`idDwA~4Ro3EA`@wP7iS67NegZcGG(m`Z+j74OQogA9v z=ch+MvDEtDzDNzQK5}%pKmP+w7tILs#9a4#MPh#C>1@5k37k{Qx}J15-W=dD}#JibFJ4N}ITf4?fN67C^tDbyy< zZDnLNy#prD373mba&XJU z^h~_?Q+N2w=a*-H4St&poMHvbx0iTy23!~9;yE_;4PpbN`ksS+zO>?PRFxGkKB;nv z!%?P2#)Ye zI}%J5#}KMe`ec^UmfgZmiNkzdemP6-a+X011i0`2wPYkHe;~2eWa1j%Pi73`5J{0P zvmC~6;?dSGzM6to*3M7rQWp8WU(OQYwxIprht3Cv21T~aG%{G+4^ybie73(5G;SHE zXpSI%%KGu+rTRS-E2@VG#mD^yea_&ylP*0-w;_F+ts%Fmm_fQT^A=2=hPnnI=*k>^HfLZ=wc-wUexi z;)&%DXhjidVFUD02JlY-^l4+PF!}0a+UAEiA#OykW}0kgulK)+EK1re$rgsn>pYBV1h(hC+>ffv#XptQ-MRrY4|h+)>j^3H_9 zy8c*Qtp0S)t$4=cboQW&CbDa;UKrl}_WD$Qu_Zu3cvCD9Ao>zr0ZN)0crJc;WYV4B zJ~P0O1{LSCn)>COR_(O(m7?=b#@yTg^aRYOt@I@{t zmP);w1wMq_onTDng|It8p+yT+&?QdK05m?y4#X$mG@6{;NUZNZ^ves>m2(6mSX46o zfmZtLPshW)$F<{=^=@q3&U2T09Clm3Q2tqESuYXL$=d=bHmRjTx&XB#W@Rd-_D1wgjt5Er7=jqgc)T1CM2>Zg){fG83B3{~=Dis&zCUzw0GRvkW=fYj_GVB_O6 zPDHLFsP^4%QvPPnY1#^5C~zPU>p_w+5!)-;!dDd^=;Y(Nc*k`<>n^AN024r??`~N9 z&FN@e#q4Kp^c}E^-1E$EdH)KK4^|RQ)3`c0^c!@59T*Kb3_49i#-(+RB?^`&6M#=i z9s)I9J*r;oIk>@_VUT_{{MHdkVKbG?p)YK2xnK*K1Nk*zD8&SF!!fnH0JI(%AoDJj zvyP07$ES>Ks| z-oHLPqLj_#nrl;FmCMG^=O9pK zlG**fMs7CWP=B;J+QUEN-tn?gzu7yL*TSKlg%JO&*$dS96?TEnBP+1bBP?G5%nRNY zl({EpG_e7uIGq9J#f>*L-&cc!(d^L#-+(_(2alMBH6cJ()_xa8E3sSYMz4DVMCt7h zm#2cm!gzeKGudGr2|?VNy1-E}RS?#McmN)<Nx*b~ zeEMn^fF$I)D-z-+++K_~h8fIM*`&MGIUBFYJ+0R&yEpf`3~+b!<-y_$=e%yby=){o zpF@Ib+G4@XQOW?MzY92rvfm}`mp4zhT!5eB;K!-(HPPUntR`&haGYiT7?1RIHHMfl$p%7l=J zH$4S@(Y-xcwoZ_B4q7X}wE12J}UNg68;qzbk=c~y!VNvZaLw#Kch}=lu{(I=jf|-Idfj0cJ`GylYLzrxH59%Ia%|}B}ZE>v6Uylonx8D4j z2Va3aPxj8JT2+0in~Bg*r$lCv=eV=3OdUmA8TKmjXbAKp@&Dt;x|S(8Mj* z1h}s|?*g&Iy7vH@9`kg!x)sg&=N;>4q_5tv6-5m*ll&^4O`9H;MlS3=fkqR|-EW^ zR=bCtWQu;yuILq&-cK$li}TKu$xTNXsqq2swywJ za7N?sMayQ?t}Jlt1&}gYPOtAX!EB*8Wf}w);%|M8v*ieRlC>)z4iU@i z&ngOwJo{%aEriIHdk3HrE)l8&nRFkL{V71dc@0F6=@lX3IO6?W(BXw^KLa0UbYD!} zFM6THo;H(BR&8+9e0WQk)Yx-|P!Y2IkOK&>zUO&%fp%5@)r6arl7YwK?(NxfB)up8 zY>y%_cTJec_0dQa600~d3=h)Vf@S8dDem?#@}WN;<~TOj6To^q%A_4kmELhM%<|*R46ubB5lJP<{7hV?|3@+f+5q>(7 zhqHvWCLTt26G0(TG@I}BWb*w@Z!A+xQ;b%PgIQzE0=(o*CXM;kBcZ1%Dk`Pe4@37f zm3ZIa?{_yx_^e^i=>&Up4(hFC(+W$&nDyOuD*8XI;_vq~7eH;NA5`0$X8r1Mj?qTa zTkIrv>%|fg>q3;Ai=vX4dF1l|%H>P^h_ChSEdUkI^>s8cLC3j#DdrS(G~D&{P8TR2 z_#C4$EzyH9m~6p7*IP*QIMJeMzrT#KRO%nN2_ysryBR!aIR4}_(B<$| zLC6=9HYC4lQDUJMf`?*gi}xaV>Vjas?Iw_G$k-1vh{{_W!92)J33##8l%chCqGe)m zpg@cuU1(x(%Le;j6p2r29D{x?TVqTBA?Fdaw|m~70iBZ6MtJwZ8!xnSm%~+3o7a{c z0$pXiP^N4aeWAbX3ibdFK;F|0P`>TA){o9%T$q1OJEOPQ@?Ykqnq z@)7|)v>H^(jaHG?R^ZY!q1~;eBDaEXL*kj1DOzw9$ynuo2TP&|c|M}P$gFMTlK0wB z{E1LT4Giw(2)W*zuZ6$$3qqs$Y*W365`)e49s++QYg|IqhAyqqijUD+EpJ#@JM9>BD8ZrxW zr=};JJ?#&baSs~|54V0-2VI!5^?+DFc458Zb^K%1wpxTg#^0V^rRG7QiOs1d_lnaiFJv86*A*v^owAFEeRJ0y)m{1Qla8Hwl72%ZZ(<74JfadpS z)|B-?x1F8`uG0m}ufzcI9gRbDo5jdXDOJE^^E6P*K={oO&jPu`1Wg-KJ$8RnDYt3n zOVQ$=drK9sf(9;kgea>1Y=T`G9|$x2dMG&dSQ0Dc%D8q*)t{#6 z3~zWEtT~boeE!IiIMCz~-11?QP{3AuG~=8xU8>d}qtcwz!V@guG>#b$=y$pN+~6X$ zr_E~v8GhyWMCR04Q+s$O&OeW0Xl9sTFy*8v1Z&s}5q9@zrRp(NkO(@GOaMj1Az@D6 zQ$cp0$Rexf?S%1ADP4#%U^jkrIUJr>{Z@S%>=W=sKxhA+(|Re~^1F7H2oP>26L6#{ zNm-I@5C0pzTj6`766MTB#yM3?Kc%{Ia~-7IdV6d>c0O5F*g9FJwMPGZ!Ib1-|3JEp zR4j*SDw&V~($sAMwLo-(-0s#XirJUz>7ZsiwCbw3pYzvlo!^1UdGNi@n`8;zaNv5|S z6nS|xryVP%@OO_*^E8wW+(p#c*B{nw^g)6W?_+W^f-+riTVKZ*dGAi>*+#kbxLz`h z-)7{ZqU`#ArsY-;;RiY5<$y#73-$k=+Tii3r;t9-qMVpN7GUbHay#WBbG$8MuQX7~ zO($^t7BPtacETH+EGR&zoim7I^bC*ECklOg+F3lFO^>qwtMeQphkm6)U;>i>Vu!HJ zqu)!GQUOmEMp=|q_v(3!zZT@fbd5t|hS~$Rk&V)Ry3@Q5b)Kdce?;cz24Eg}hQHPn+ z)Csbul=1e%N$LYDdn-R|yH~5pEKj7rzep>QK~)cY8gKjcdzNwSv7TdTx*uKo`ud<6 zS8}CEj)%x?(t6J{-+rGs@iiFKMpbtig~3^4*)M3RFkZp+bRiGt$(mF}?R_`PPQJ3eUX)RL&Z& zmTnP)`GvS&qaB|q)u1A#wxSXp=X&*3g?h^q zll9|*$Ba#H&%K6zinPbQ6rnB#0J!4GUFIDVGyqVLJwX(nZ~IBR?fvr>VD87ev)WUY zRu<}fhr*_sA4rdl`rq_2gj$(C(@yzSyVtqd!PD*91 z3f+FvP@6`gvLu$2zxIrH{`pOvW$0LE=lfq>90#9o#TojNHGX~%r{JxBZZXEl5^2(x z^sL6=yKV{fbfiefz6b1KtHNC>Lbd`aWl?9bUwq+V{7iZz05oK?;L=_23aA* z{#YD|BM^@GF+7^cs=LD|omRw|-Pvn50&)m%^EAtU;+qdI^^6BVSaqX&W9y;&nc4U! z$@bp~JIX$>eAJ@wIEvPmix;nO@b#2$4_eIh{rPJnL_H4s$)oAF%fM*Ku##bY8v>k$ z^4#i*0^e+8&^l8*s0HZaCd%(S7h9;Wtfs==yCiYke>K*A*l=X#!D?|H-*8>l=`lTV z|J>VTM|&qdus*#;d#p!`P5x5u+Ol0EuHx)oYm*>>&go|pkCC6wU6to?yi3CKp43(E z2JZ8k{~F8WkW&X{T{9k*>v9gBmo*4U$G}KvKp}LCfA~C^O*gD{nD|ju9s8ic1_J(W zL)j-GvbZ9-we6bp8ocCr`#cT>qRn@#Fn)1?wECm+;2WK*p&lqX-x_7a9osi-+Z#)8~z??;&1 z5w5o9b3d-j-xSy64nSQ+ZrS99WWx}L6)+B=Y2FX|7*oe*Il;Ni{}m*EUgW7t9R-ag z?^qYVsu<`MnJO`4dW`j8-Fg;q5Vg4OjKc76aTnt$su69Niwu_}m56h`DC?Xjpb}`4 z7xciv-Pd|1DfWWJtGyEG>zDgwdA{RN$@-oGqZ?)DDrY>SZ({0bQe7vtQ02L1sQ_Wo z&{papd2-71$p=!ge%Mde=rApJQdq+#Z*?47WUZOt8(72ai_!R1OTua?T7BDFZG+g7|?}m$fhet{Tdly&GE@uPFGOnmJ2;4(z zf#cL?6})W*v*^q4QeU)JutCv<6*ml7vP2Y+;m9Fhh+hN$z|2f-Wf@MOd_j7H$~A9q zYBp`K(jr$|(IDG8?h<_~lkXcg&3xrcbcT#p;EAIdW+e>*?G$Lby7|PX8#kr0ZAO zO`7}68eZC^&L+7BHXqbNcE;(Eh>R~JRNTZ7Ja$e`xi=s6v^x^=`?LAKZ4X)D?s?Kl zj2b$6K>u9x3(E}Iz0x;CG~i9=lP^!HCax5eZBUxR5Es*qvjTEf;i6U(C7;fz0tU^; zi&8hnBsFNqtSJD3TdRl67dLQ)i(!KrO%qB;}FpFoUkYrl0h@Ejk`IHlgUMIpy>bBJXhCb#cF}kYVW%@eRY0_7}^CgMCL?G zO?Z{L8g4R1vClpr)iqI)e;?zfo;*C)Nc<8kD|OvK#JrOpQQuAx!ZSWG$@h7C&ES>( z)0~UwMW@N0`={@f5j$bu@LA)ge&C#PgNKiV|L15lvgklA0<>ohBsoNtH3|c?7x8lv0yk9aKv(O|Upq%$NZY z6Y-8_&3d2SUeASA^g^MF~JSE^+o>;3K7LOKQY|h{eLUGRp5t zmdtG$@xH2!p^a?{KYcMf(_w>>nyLZO@0W2?SF%k%FG7)nnb+c~hWn}oH6$rDCs#(j zUcMQ8@$m&x5AOGKr%MjaUEO-i{gAqE_l=(}6YA$-cAqUF&kI+wOP=pBG?VM`LA>st z*#&$BsR8Q-rMXKYX!k@K&5;w5_9O-elP2|(7p;e5;1ER$Qfid!LW6^R?rXN}YqbwG z>4z>MKJz^Ic{8e6{q|h>elnHy!hrO)dY{>~cAEeDkyPHk8}*)svdU%R&c~`3O|EPc zlw3BR)zd$&A1+QIrmMAKF9-A(_d8pz_Og95Xm;}8YV3<)x$sSI-IkF~3xC<9UI6u5 zh?KsI{qsJ0-$W+7Yh9r5$7<3>#0@Um2jtFb-Dt%=sStu^aTIl|THg|iw9werl$JFe zzxUog`NEf;_(=Ejt;*V%senpSWh z!XdBXSTF*#n+HYRX>Y8k2~q%fHT#ux{^pX)3{uN)O+W@J$Kpxlu7!;*$r1jO<>oY@ z&OW#(`FJDZy*YuD9agPJ<_R4b0?O=V2AsN>tqbwCJ5rGQgJxfgLwQOlCFxL%4KtKf zUs)gTq6QMY4%uMp;4vV9vtRHI3FO2Y_=%}&4h8kJRayTGcaY--4mrGUXR1qGgn5L= zvS^Mv?j9jn<+2;eTPU{0=vTsohg+T??~A+^2y{^W7r=I4?UI6O)fTD=Sn9oH{LZ^N zk15FCU_vBqp8IaEJ4Fv{PNj_-Eb%tT)qkRAOx6;L4%QZ9L|{#B`00Y`wWGPd7hNHr zICNP(P~$rJF%}~7;gY}GKIYawtZKkrxbX}-rhH~vKh z&vB)eV;MDB2C6`&)OVTAEEI(IcqQwb4+Wtmr0v)PSZ!JcRi;K9cwA0%PZ~fuWF04z zjS_|!N}=4AH3#fcS8>QY4D`MXXJh2O6D$(xTAQU86Fu4(ky#7$7`ygE6wL_wfc?rK`b61!j2-1Eh6^mSV>?taMIVB9Ny zr>iq9vgVtJ{#;n%xsUy@#C2OQjwTj!$B__>(qmE#dOB@fEeN~>mnD-cdMI?S z=guaSA3!wtp|3UE^BQL~ka{TQC-tVh0UztUl~eSImc_+cmp`oE}>ZYyy zk9YM9EDl(R#NIhVIt^KYNekZK^&UyxjsSG9S9CF}{rLR>aGD);5l?pxrNSoCn-sMd zJcQx`XZhshdZ3NOq*0UtHLjfPJ>y$&>9ikw(F*sqvO<1OD~GG#lUtNYeqgYH92-ds zG7&Z|(`3`yC)Q#^yhh#gxdpxA%V&FKY1~ay6%Qw0I5$%>H6;{`}++`>`oHW13Dt%5sG_@shthAl?$DzNQ_hG%-dp$W08|W1yU&lviP}NNP2xIG|8l_T5txf z!i%0cY(4eU19Aw~D7lnGN5Eg_P17g{O{8S39t@!un+;`3^@(z#jfX#Yj1rD&IaOYV zjW(T=HfVCN6zZt(oEk-fs*Vb9nsT%$tcE5@fKM*n>Xm@qOgZu#eekoNIK_-DaPKg4 z7R9xVdpeI~V7?V^hg!-B$FcT^B!wkUEp?^GaCxxHnv{YySWQFXk^S{VUwa4OU*7Za z@p(s*fcz=4da|LWm!pM%^BPys6Cx)oL(H>_8`179fW{0RQCuaV2@}k!9J*!j`jR)Cj2lrDQlp~#PU9G0wQ#Zd>Jf^#` z>ctW$YupLo-jC@U?QyQco|($fn`f{b3WIiUl1T-skBJ$yZ=cK5_J*lc^&5QBy-v*5 zZ*(*I)&9{NKCJpNNz)q1QpJ#(w^=!$Y8yA8Rx{|?qpSxUJY3|r9R2#y=~A6X&&i+A z;45$Ef=Z_|;=EK_ss!V*+g3Sx=@5zNDwfSqB3m!_f=2{w`)Qz9`!nA;Kjz_-DF~u+aQj3E&l?K698Hetl+qVv z8jsPBAg|C>YAnXNJl+?PT5SA?dHh!CXT_s;2CbSsoPKvX4AmsjBuzOYJ;YvnbX=F^ z@W&knD2C|!p}SsJ$Ymp6IMxO8{KDZTE4EC;s+?Xu>W%Y`hYM2-f;LQ@9F2(NRk`Jd zWDxVFJvNNE9L}~fJgmQg1FS9DD|v63CwcY7bLs{JPmMS+zGh%OiR|yC9oN4N#BTXo z!~qvxFO!{Q<*o!164l{B+8pIOB8mmla&-i{JNB2Ud%=O6lt@S%G(Lf*jCQrMaXQrF z9aoJ;yE9hIdRz%bK{KA0eLgZlmFY7BxJ2B+gS*!V%q6(=i>1b${c^>6F~=(o9~%-* zhR^-4ZyW2jij*9s6J{@jJjLn{0|aF*_~bvjYUuxZ&=H(^{BeQk_qK@%|KwT9+@e2I zbpDJr4@p|K!4jcDa~2Gy*g>zSf--9YSdrd}b)KrXhw6LJ?{h<4Y{QwT^nC8=<@q4) z$(KN-$T%8jM5WGDTsE>dCa~s#rTZCSn>`Ydpm!BVmkXce6U!C~J=Z)>!H-!wd<_g} z9J1*9AIcuPAA^RwMGMm2R@);S2J zo~?OXk$7FDwJu#CRLG6*m8s<v$WpP;9Fvx3xs5fodC{ z5(g&n#vUi-bkt3#wC{40t<~!H&WC|Coa(K>_88gT!DtX8{GXiL?|Qr-!nVx4NMiYz ze?c*KFFsEAdlLi=@Pa~j9s1`Z3PoZxXkBg}{wI<7JDth&9Dof1Y~YlX{>hL3PH~ch z<}hd}&%aHN40?asGXs}j{d&UB5sB^*8fkt z=|ezV5=UouVhIg@V|F*6|JJ{cUUIHNkIAr z3mhwAEoZ8^v^H@nhJkCZEZ{@aWd}Iq?wIiZ>4gHN3c|^I21)B$1xd#QfU8jb4=t|>JOQSRp^PYcb| zYOjJQLSE#*`BJE3_Qm7)<4%3MEsacw;8lrikq&jCcBthPt=(R|(>c9@?{+J+K+x}*7TeaJ)UMBKswhTy zjDd|fHfl&L_o zxz~n1#O@Z|8YIPM3DIhtYuN3Qjh?NQx;bkls*@G+)OkHG{B$Spi)0Qsi0Wwm3ZjrK zcb+RpU7;$HkA?h*Q+VA4iKdemH#N(fx>g=NOm&{iL#Rn|*qxaipt%GjVp%YU*?RS^ zb^tGs7o|?OQ;Ow^t3c2X1Vq_@RcPbA3#Ui6AR~ef(`Gu}8h^Z&Tj*VBJ-ZoCG2LHc z*cxkUWk1&*N}Oy2n0(PdCsa4->p{>O6a@YUKz2|L5XG~AS@|$9WobO=RDV1SYA$p@ z)RMc?fP$6W<47e>?MuWQMm?zp<_@ENLtR7H&nQ4??*b&=6jsj#CnTb>006)&rg)y#Kf~{NRndL3H9fA_$`#?bZlL9I zDByOJjwAG1t7>LRKAGo-u;;Fh{#2%v)2sfJI9@~F)QH2+WS^xcT@X1Hf zB_K0a^17eBbN1SvDD5u#=6D7(jH$Goe3UO0N@VTn{(!lt5acK)*nnF!mppR8^jvN( z;}!_pt%bWiL1k~Z!Ff5&ZFhgSX4+?CK=`tt0#GVqikC*sXKN}Pm)g+FA}usZZGbZC zfKb|lKbuyRCc;{J{0kr*5%_ruoy=LR;HRWAydy~_k$e%twR*bm#=MeneAjHUf+DZqxBIe>sPTB*&nSBb>wf; z+x-r5{-+G|Az1VSx~5E>z>atq+_n#@r1|K#s#LF`zCGTyR#&V`Qtm0Hxw`6{Y4Po? zQO;scAO>H&VdMpnH?P6T@B^=f`o?H}ygv6&H>RF=j>o#Yci@?$ooNkY2ki%jTN<1` zPx%Smjj`CtMLg@u0U@Q8!7~bgO=>Eruyk+GbgNh5w0U}szFN-JP4+2mOB02YGN~6N z1P1GTUwma~=Hd4a$;D`EZU4_yKx8SK5dfb6Aa9%sn2a=W{q8Z)p}Y{fE|<+$cM@JQ z?uo@Eqooy?(tFYSJ~w8l0(fK=WD8Z_qkfSZz}zJHroS9jm+Ge-%;4$R@mBFpD?}ro z>hnS4?c|!wrfK^7tAkK9|Dy~sCqcIE=0I%1=2Emif6ALlPugYb4+C-AYPCL#Sek0%YE|nAYfr8k(a|jieV76`1`{iS?ABe%egXV7Y`qY?5LY!6weO zHgUEbI=3;EXh87>$8x;sq?h17S_~)i1{>+efL-q_U+lC$e({X%Hb~G z!)1Umd(w}o)<2P5yZa5fAYvohc3HBtkT#*er#V1z?uJaRM##$-? zwfh_viY3Agm?sgVvM7)6&)}!w61-U2nV)~4Rphw$9TaYRAUeDea_?#sTgYwZxCHrfDP%_T-V3MI^&b|y%Mpanm3djCV9 zwon^Ck%Kn_e}Y)G_0VHPG?S~Le=4qbkVz(V%CN%_%a0ZxzAYIz>V7s=Udwz@c)u3f zZcB2ARJ`+X&ZdeP`kHH%iLT8eHbSx%Nuy}W#Sx#PQ0Ip`%C5vu-7j-J69+0c(vIhP z_DX&kov35+KQCb^aWD4v-%o#~Kf5~TJ)v58zMx?QJ;Ki(e`3DcIQxpF_Wd~;E2jhhq<4@b|!`P_zX!7?$R&5CTw4R z@jPoDPEgH{?8mJ=n9^CrKP+`UsRz&B}Z z5YzjzH{{QCZ=_*1pZxoX$<|DVE&l)qeq*RU1c-jaS(1xWFH`-ucXvr~2YC>e&d#~& zJ^2&DW+@;Ll$aehl#c%OkXfTEH|0!g4`BQO*!z?}1C6cb9#VHCF(Hc5Y_+)DvA&!*48qW}N*{na@6uJNjeasHpN0L57lpjTC;5i9v><14(Ih*Esn!ypaA+bo&40AV&RgTe-d7^Qy0%`O~AjnSCMCL|6hOgPuEv n8~gpeKxE;NK;CQ5_smQFB5~H`Wxg>S_<1I!C|M+K;QN07VqrQX literal 0 HcmV?d00001 diff --git a/docs/images/SPI_read.png b/docs/images/SPI_read.png new file mode 100644 index 0000000000000000000000000000000000000000..c49b063fad5ba20de7690ebcdfccd0480849d965 GIT binary patch literal 65934 zcmeFZhhLIm)HmML%2irvxf+hj9BE=M%&g2@Ek}`}xpE-6aDu6onK{zb6cux(hFi&r zX0A-h4I=J^qBsEo@um0oJn!#$KcDCM4_^4deQ|T$*ErX?FV6X%?;+}enW4b3v&Z)B z+b3Xj@Ajj8`wsST%WX$^xbKfqUJl&DexFB%xAv9vN|3o9-Z)tsIh&g9yTUCW*>`xq z@V(M!Sy$P920#1zUv}FuJ_vbY}XLAzApeP)?-)l za7J|Ic+A;rE&Csy&_8tI_-!7i%!R<0?amF`#m;_3=BUlgBKja=Ww*H|EZ7D`dKo4(ajH={+nt1f5W_fKsHJ$#npN1(GbJHl> z(BW~(|JcX>6UBcj(f`wm|Dz=TDGD{Z6NkM+CKsT3Ezfgm7gJp_jWl=kwg(?S-O<~b zO*E3My@c_UhHv#f&mIYp4rQ-?@7R?Np zj`FCR8(0IU`5{GucTWRrk5Hep$;sxOd7u!V7bu^GHl(LdOk`iF_Xe(zI5uPCS&u6O z!OS_&cRx@Vm0u-34|sMe^me(@)xzL{W9$6&xIAJyp8v(8ghl<^&WrW>8Y%l-Z}r+~ zYV5trtbGy|s?;HkpPfZ%+s(Z8K0)yrb@n99!+JI4#TI>CN6^jkqEi{&@-y{SOUV?b zg(t;l6PE{SLTCYFbQj~~?wDqpsymxRh7u9CxHF0e^tmf>9D4~+pBDx&whwDI9i}IO zUr5j^p!g!S+vWG303-MZWJ7#tPXXL9e-=UNr=}G0U&md?*_qCDi zV2V?U4FFyC^H`3OGHkq=k_q^AC>i8gTgBe!E&yy?GUq6El(jQTGC*XWeB;MVFjwF}migmf&RR1gyNe1W5RTA9itbAg+J# zbZF>l!y02{g)~QhPndWUmy-27-8*a?Bx?x6^6m;8&ghFcJ&)0o#81gbg*CX5?S#w1%192D$U>jh{Tn%Q){iXR4@D#+U zYj((*8rO+3)l*chKzGq7p_vE0rf-@t*xi+?-&cxrldNn5uS&buKl<;k?FPE_ZR!q+)Ffz@D~^Wtqdi>G?b4971Qt^P6 z-_SxV*rbp7n2&O&J+O(|W0&LWJDRtXN<(BJ^(!@eJKB05*9wTb^s!38)sDk&%W9cR z#RCKvRK|R7Mt%I=lMQg-ToRcpQFldF;6jE~chzd$vQoR==<%Qj)VUOJ=J-Z3rt1Fu zAsMEko-w9Ho^e-uTAOsmr}KQ|Ano{qA-orc_5&XE?pEYgR;Fd(YAF@nOHHc3T?EH{m(iH~k%oD7w48>$xs}Q@ zcXai;QL{unl5G$an$0>AaA}hgIsc_fbBumk? zk~ij{0I$w4d~|6bcPU9%hWo(Eb&J_&&3B7p*p#r9s`CzN?3ij{)}%C}gFe}2Zj>#g zv(|h=eXbzjP)sx^-?4w=g;6%>z>t4=Wv`Y(BgIL%m^az0;mmaxrShpi#Q4{*_IByu z8;KfAQg@>MgE+n7Vzs|Q)xX= z1BqG-Bp@n%Kb^b$2+6f7qijuu*iZ}!=AH-Ie~QpG0Eo&5MWya{xDp=o`om^HF~I10 zri8>!$EU1Y{fGRmW07Cy#AJC7P`(`cQY5(HUVP{)M7Sq8NyA=k@jdTywt@&xnFsQ= zxUWCnKGJgI$T$9z9%7a=_m105l1h)g?&uN}ztNxa8X`ek8n&%V`Vuo^K91sS75Lq} zfjKtbA#gXK(g|*SZj$xnHX>r{hGuvqLagTM35bt0_SK(j9aU!8vVagfanJyGM?KMf zrmXKBuXIQm&9zM0g|F<>z2-8Au;OcbcRAg5`y7t+9;3q*38^vi)sDrN?lXDaGu%!- ztrs=WXDCg&5;c$70&Q0rRpFUN@)?7dqX19k)?em;j4lwb>)#Tc>AXGnPeXz>+@I_H ziX;6ETVLaDu7NRyzuZr@kiJ|ZOBH}>j))rtB5xaGRXO+W5*a3{2x{;|u}Bi2r5@qE zyFD_(DU9)yH4fdQhfZikofeO>k&$A=U93y;#h7N>5lRQyQdUk!2HlPU$aJY+Ys1C1zVpO!_pqR^ z`KH3A7%yjgk)y(XI|5g4P(`I~QBVcV?157t(pN zBh~-BWckX?^JM_!(C|0Eduj-6YJ-o$r|*i@ zQ(ueC5%cXxa<`3awBdog9}qoPE!&$u11$!L@LV$<%a8qw4l5S$N_TWKrXVu>hF$YO z`t@0L=#{^Ul)*mI@PE0a(=R|Dq~0T{$cdqv8%8+_bO#qA<#87+Y&RZp*^qvx)O>xn4KXv0B#n)XJ zgZ4Z;Y*VHY)+seQ>P$S^5sy;*tH_ctq%Vd44MteyRGU zN+r3fjVE>{VaJ)+`3F@!ryS*348s3CMRe`PntDrZw6+JxTH5){92uW}TXP%1wTzt8 z)x1WX8k~fL$7=BDK}&^bxs`0VFR@LzijX1NV1SWRC$?W~->Y#9$$#9?p(ypK&Fx3P zSH)WS5Hk?zgA-ABr+$kFW>1TvS*Ok{CY!q_`idL#_~T561o2`sSH-FbN$;&ds4S1l ziCEB&i_u-@xOQ10&l-7}m$)Hybp)s-*|169V(oG0$N{(zFm-&l%e~0W3r;0vwrzbq{_$D~{_wxalSWgtt9)c2!F+Oe{f8Ox6PC4fe< zFN>8sp%ehS+&yga&99}xm{2ySMEAWc&aMxEwHOkV=IT4IWmMQWeI=IAeLuMy&5)eJ zbB(o=R@_n&766GuHgjT@O)`D04_woOC%?)MSZL^_tp;WVC50x@Ig1Uf{+vye*YbUE(`O6cB2b7!+;%X}9+I2PdO7K-}PIABfl5C`@w`|I(&zhpI z+7LfzgbpWp*L*F$I-m$nSiBssT|FAxIDe%(&x%?PtDF2Cxt!3aoD4<~d}sH30!Q6h z7X8LB8@3`Yfl^;Iw5(YXPSkIRcuDh1m>)aeJLm(NUG}HgjYB z(XSW2x_tP}6?=TsN@mBvJNMErHKQ*rgNA_ac@T{Z3kddEe$x!u?PkbQ)DykUhNa&Z zh3DMLgIa>NPbN9m4f>t_UM$8{?xX_az=J`(`nvK|rImC~f~*lo*64IVf{RgNAv)8C zM_cW!Q7@pX%}Z;}d*)!un4d`j2|`UhO7I!#gI(Raa8p@i^Rz3mPPWe$pb_icMf#3$ zmxOdHEGWBRAqvKojW)5wB~e@nB%^o9MwH-^?V-e;%8aI)OlHTH)C}AyQEI4P%kU(W z)IDli)`d824g|?vbqT*Otb6$Pa%uI8x~bkBBh}rKr8_V5c7__RV@LC2xMp1HRz{pB zss!tRVD{oxC4b*JU_sKVTa|r(Tf5$(XC`~c6Fk^(+{-~eKu-B(t78We&&3x*tLK&%;ts*aJ68(GA)FEs54Pe; z*8?S8Mc3k}0aq6gi~5`8Z!fDQ3Ml*2RbO0^T(vQ-YoF~c_f1EHE6?Jdr$9JE5jhn4 zkTMWj;RFwuHuV3d=T8bUV`BD#ky{<1!G1xMuDx+=<7To#fnLxMk82X)VoeZls|l)> z7d^&SYk5@Jqj@ktJnsxL{Rb~K-27ZQ!==U9%j9=z_)X~}vr8ZdjY3QN#EsfX4r3;IPloVh`_ma}KD+f*%fVP1m*&OO`4C_2j1_REzIGjRWgoy!KNA)qIT161k)EO~pc9&jkC$JU z-`=^<6-Y4H%46`>+OcUCsEwxX$qJQ$$>|HEkoRZx293Ic2}V>DOXE59qZ|4b0|TO>N&X zQRUs6-<^qF_XCR~?HmR^H4238a{Y7$_NBgfAtNkYhEfFND%BLF)t4B4x z@YNvsK4xyDVACya{D!{1le~NOF0t+P=f<_4d;@Wat(<51lh=xLOM*4;gPw8S&w&vv zXM1a?6j40{eX&FdrZ)P6XxlYE%tNL{wsCJiCQ3fW88__oKt0=}OE^GUdE5}))GyI{ zY74xXHezDoJEd;+{J~-AATim{$5<|eP5a5}kSr_by2A@(?TH;TUF*1M=@9&=R50ZP zxC8Ms!4|vrR{5jAuR*jVi&BZRZhF{k-W;-MAYBChsb&VF<1g&AYcyT+lxDe>v6^{- z4>nOnDz%#HrT{{2lEBPc`t}GIHNIWqKh)7W3tbw@!q3S=7ik~WdhbmzhcS0IpfyqG zMn;>mXJ*<)KySKcwddZ-1P9`QtTt5%;NlOaU6p~Kgmx13b`syh^8?XQ#&+fyXwU#M ztu0??7&T6BqQ?l()+2Yh9_xr!){yxY(I3kq`JDrpU`(eD*=keD?C6g++20(1o5TqY zOpBbGc=y!PfJ`<+ntNOF;bOGywExXN@`YZ2GK#1BV(g6$Kj&^TfnoQH$2`j7`7o15yf2)NTsR^cp`+Qd5Ty4?98voUL;C`X_VEt)RL z#V#QqMx5}YsJ$JO@@M#9%xEsdf6s?p79gdaa6)Pxp*b)65ac+W z{2_ub=)TC}i8MI1qC3Z3ybDzfCS!b&-O7_Of12s0l<}f7^<8-&1!)`DCtt`#Y~sOS z{9kld{K~>Pe2xUW3#Yu+q3P?Mm&#@iReB9wINlQL$r`lZbf_6hNR;if^Jk3h<6wZOy1oRU2ZZZ|c#jHFe12)o(oz(Xk5PO! zaBbrAwGQm`8H+`L3#!MJt_eR&%Ki;olBwGxXB=jC?_E3cW>ey^$7o9Hep6)2NsYU_ z$n4HvDap<|Q;CT;aOs~PBN}{`0rG%a7KDrL|B_m*g~-eArNbiV{og^b%BoR8WEVuk zro8;obfC4u;6EU+!r1;Z{QXef2Gy;*mXWBdLF3w^Z575lV>PKF;tf6oQ@d}mE*ZeBKql7%T!HKTmefdvpuL9n8^20HvmqsW#a`>fB4y-55A|Rvb z`hCrO4;mWm#Qd(g6??6fqlai6O&C-{l7nY&MIp6@=qbL_-m0|0_t9hKsZWpk02d9c zzo*+;Mh-IDH$2w=`#fP<@woV18%L{QOL67Z^TC3Yu8AsBW)rvG-KN0uXf`Fm)*c5- z+vac0U)F>jVCApivje*oF&`x;^_@^{joQXqOt*3SXpO_GJfc6W)j9H^D8W8Ly8e9V zz}?98)gVFWZVg$ZYvrw}^KRrr&`uH`$_Pdq7+hBwx9Oe2nWYhD(r47jA!5}@x~tW5 zDLny3R+X7QfFyJ8wN$o=4{sELKN!{eEt(zhcZIoJ3TEx*$Npw%!rIj5G&27nHJ~rE#w}sq@fl4YFZwL>u2{g>1lho}FW(Z`9&X&>>6J}&d|Y5! zqJS};kvon*maSStyg`I&alzlMh*kj&4-)pBJ%BQ%Qva~m8hDw;Xx3*tN(|yyzobGp zgjSy|yX)jy0l^Z*6K923x%s5)@OdtTZ>v|Q`p4{DhPbQiR2 zt-2Bh0|7yHwMU|x4&v{8u>$iXinI*YE@XnVQ7v_6u!4l-&wg>S^D99%H-YnC9D+id0#yD^t2B@;Ce@Aw2ME@Ley>#6UFL{K+ME{P z{_c3J0BttGMC=!#*Q-NPBkG$0RZ_y&RN~Ww-OSn4h+8xUaLA?V6z9NCu9c^?(!^SQ zOzPh`+Z1sl({K3c_Mw|kvozFEv*bu8nc6o8|RfoPRZcrT8wsbdtgiL`2&Qb;lP9ylVFbf?ou15!r32X#U^k z6U>#;(B0)KZp1`UE|d`usBo7T2Bm#IWxT!96l3p@$utA*%xbMlRGola+?x!f^IzOR zURTYW&2I~SwR)Cs@9h2zKkLa6Q5}YmR(VsvOluaBEqlv(WLKueuB>y1Uy$c<*DV-Z zxpAxCce6*s+`{+S$cy7{TA@*I^zX*2eoJ|E38E04Vh(2xpi00>eFZb}5nB zEIc%nthW9s74Z_ZU|`N5Je*wcqZK1gJAZC# zR&RaNkXEugoE5w?moh@TVS=(L6T2#I4PX_ZuCG_xAl#hd8K3lR1d^kuqeifX-P{xu zgsk?m83h5rb0*iaqm_z64=B#Q$CcpgaWZLGY4#*7&BA0VPn1LDJ7+Ma zct)W5w%|qU8S0%oa>gu^?1SRlcd`9Y89MvTHLnLHN_Dc@2#*PKMP^}dQ{v)Zi^XW6 zZ8gjB*FgMU{ZjH+0N#7Ax)hEcK^*p}NW9EE1)5GA;tOV|7xK{<(~+WjWchF=x7BWE ztm+P5u-*;;^X#aE%*LBco>BpXi^ft}5q!N(bVQ^Q-u@`e3E#+sa>Hs`o+@Fw?;EL{ z(ch)m`_rAP1(#yV;g9g}PUsx*Py-{({GCl=on7honlzmY|Kh5kJrldc37L-8vIQTz znmrCnSvcpLya!s5<}uD7)v#qCJ7}3c_RoKaT*(h|-*uN^Z&wUtKDXKubnbVLKQL3N zi8gh8FUqA~ir8y}L}MS-QCs8(AatEfSbDv8&_p%Uz4Nrzh0cGP5er%np%Vbma0D*^FbVHvmL? zX0}*axHLiYLS+X05Ofw$rbDmAp{+!jmtMUp|ODgEKlRMM;!83>4-D86d*^%7Av05MEhvA~)kNe__mbB@95|q_XCgcJ&r<|k?Hk^?1hn3#1+0DtzKnG{Z{6+#b#N!diK|?LMSPDwGOxGwWm0kDvC2sc@nj` zE2Nvs!A1G!Qc*tOy2AT4*gz(UJW(9n*MUX&FbQo!`FzDeoXxG^{sILc{Yex?6}8tQ zNggH67d*Za2xMgt`_+>?Tx?a9KW$HS&Hk@j^Qh9^w?Acc~cz=v>Qq z=$&lyY*45^mh0*-A-XN)Wns!*IUxP_5U(cs9Y&<*`WA^FYth;C9yi+xBRHi53Fjy` zG5$hCg0i-sM_uw8MEe*-wU^<#KjM9IVO z!5)`WQLtKR6KG-~1u)fX1#Bsbh$TK+JS`1sHJwg9M~=RQ=|MQXcr2{jn@{Zg`zy0F z>J$?|ueq$YC^F_?n2rA`qFx;vnFXb69xA2ZOpDvpU`mu!n=b5xZKT>fJ?fxUjyVdQFM}E@KloEp9zPK zLPv%MmceyqS}#!5#cw!KzQ1?q17j z-G?0En&+Mwv%p0ddkjAxTtxNb0keE_71d>Kf%%`@u2c8)r2OI^LULpjYaSN)|wf!0$nZy z!asXJSfLO8XiX?H+za=Lc83R4)gcwUb@pmxh$+Q1gj~;40z9(H2tIl={el=ZLPN@< zK(jf4bQ{DKI%T}T?rHXgd*sAhn1Ewvw-gls@DmjK6C3n4QB-rsHG(PP=o99V;Q9#|}3X|qji z@;`9BJTf{80Gr^YnbvEhzQcAjF)yeB=C0Q|r09dSJ<{-7vYVHHyVl*8Rt?Lm_QiUL?2Hr{ol+(LHRvY?InMA>5_!=^wRzHK^OZq~^5Z%bN7T$3f#mRfxk)L|cR;sj?mXa_-tC{EOM6n!gNwt%oIIfnVWq?V48TRG#x?ZH*tL1^feh5Am_9|2EjNK4#A6lyu`c`-k$UFX5@#$gKk{aQ!=vz-+CHtG# zyZ*|5lI{yjbs|O~=*p%Zfudv}F*qJ{VC~7nE6NMAv!Hs9#>^x~<$VALym#)1w4;h>)pqTL522lIr>r)VZ$$Yd)_g4lDg3g%!d=WncqJ09%V&C5 z?2V_aSB)=mbzHOs$UyGAO^Qlr`c??4#4g#P;3o*JW;%L)T)=ue?TES`DtL;0#9`11QJNfs^%F=@Z5}R|w?tqy@3vQyLjdKey}LH6Pmh1{t#$ZW!8P`uFiYK7 zmA^(lYy^YrA8x-Wb%RwfD~DJ`OHK~k!d@0Q(V~UV*awjH+vW~s$&_FKm8X4VId zzRWl^5Rqh}G1fHsRG(M@;icaytF}ZVPUon~MFqsKOz5%O;AGwfX1zv65C`ts=r**I zTwm-0%KV;b{LQkakss~7f4gyL#USe8yHA3ODpN-9P|?0+lch8FU+G2+ih2QCz|C0> z+nNVmUkfZyW0EXqn0Vl+73k9NY`9A@ONJdG(-$cf^fF{+PEF!WhfqpWxYtj0Klh}e z*|!#7o8Eg~e7(_-kB$mYF!dC$gN%)OrBbtkY~z>)S2zNMh0a+z18DOY)?i!|%>^=^Z+3t0LjEL)QKy#vIk`MaI# z7q3e}JhGixMXk#O&AAb4G(19=K$v{J(gdOqV)fltvw?Y@z_9H#F7HLdOnT?HDH+WT z`7&GMY?C+~2B<&Sw$k271~(YBCAVDiCH8x!Psu#EU%p5*{_xWiCF5Pl)#4hkv?ZjN zCb3D}TTk1HHGiIHyEmm!N|=x{&Hoi>-&E7nvOf#Og6>mbm#-62*~$U zFW^%DQRW4~mL;F#3s6`?DIoO(zv1_TNJ*+YOElG9q;Bq;Y(HF6nhmMtn`W@mcG@2I zA6FYnKfXW}F@qo1{b|^IP=qGZ5-QCmTS|7`oD{kXhbX)gAQc(JPgn_yLZCb;H8saF zb95g%*P(p*ppNn72XjhY;@4zcwjQ#(r1jq{Cno%AMV*rl)i(gM?L~^;%vM>PvFsC- zOOo8f3(7z2Louah4J?6%Di@woB09}5YhhUPM0faM9mYVRo=n(dL&l;J(XQcw2I}JR zvFxDsQuF!+p^sLh*G{byM;#A?cWPEHhJK6;k)^Pn3COBxE?P;l}qRO(|x zglx>83GHxMRl^M_ZzssnodSvHihsdcw(j(y1|3`yt-mUV3p8D$t-H31C@U>TtmPTf zjs`cd(ybj*)>@Be1%V8SF_dUfde})k;xM*J&k0X0+c{EK!9pu1HuOEZ+_rL|f8~O=KrI!&-mh?dQw=Nf`kZJ3 zJDOyYcJfT=PZ-0bg^`8&lB+1E$OGr7Ai^I&WaEye)f|2N5!0f^d@8;BL8s4UH~s5+ zLutyBgcKohidDNZ2b`t-jsDVsXuc8YxyzrBw8|Jy?szh1N0O;q`}PK3AS8b5sj1z) z-hUo6pcuI*1~AEf0EVV+8 z2t_rtcRkL_QZpBJfmYCXRt<_zft9zOeH~SW5UXT%%0x?&1cNwd{SKJcsTV_rh|rpI zXDr%{J7kK4U&;{REoQ~}#Ntygqt-@;es49^e^0i3+PE7$vUCT$WDvYscK_K9VTO5o z+gz{eO5=jy5V1iaP;ks4CdlG>W`kGt5Rvb<3_I9j1cQHRx!X`Rk?zR}RA8(Uzb6Qm z&W?XgJHA%4CQeO9(a^RZYLSU{d}xD!32N5ebt7NZvH zf7L`ahBbr1YTta_509XlE2)a;18PhGVU^0q+cf=a^;hjG(W>F^fdMmpAyLRI!<)-1 z>+lDQmitO4Xxn2Bojf!1v4=s1MSD|NWTeP z9L9}H!tnO+GMhJs1A1xf3e_o>DmmGT)(p~`F4GgG9k7JE|>W2Ubiim zL}mKWzv-iPhl>O>s~t`jg0g4L4_v;P^s)Lp9pGh04>6aHBfRk>r`Qr+qGrBkfv`XI zUUFF-MgE7b6HkuRA>Mfo%>+IbF@!G-TfZ=IT^g>xV)B8m?;!-(6r2a67m3S#)jsGpQAwAo7(#JY*Bm>MCX|SLC@$|0n-n-oq)6(w= zOAmj&WUf~A)G@97+&A2%)Lz--zQ!OGI?e5-GwH}da zASq~i^j`nj4NJ;5$jFVgMpq?_yQmn;_5P^cFn~d>O>Rwnz7bSoM8h8d96cRDpPr}^ ziMmu^&H;A%XseXpA5M2&<-!LM`k&7bja$u5 zYpHdiOr*xZQ)|)Tl!C{vf~M=9vZL0m6R8h|lo-_{=6#McHau}16PH4J>p67()oQR# zOG-nJg`)No8JnK2Ub#%0SOZMENTRT5dfnO?i(%`ZG$hT_&=Q>ZyZsq$Q8o_lVD9`w zW5z_}p4>6QbnZ#7G(#B~#rAe!)L4k1ByXx%Rpobb!OXJ}b66pD+JCKu*S`_&vC|?p z5kMUIC1-+<0V*_+eH1Y7`XB?++8zFVe$t6xM;F%Va0xmIYJi#THBDT(+^J~8%VDOb zgee|%tZvv*t*}>xla0YeR`SF{M|nas-r6s3nSMCapP%S&)8U4^hm4xB7C0TjM*6jt zPyQ+5jSQI#%W0`GGCO?s+Jm^( z1oW9#5GM-jjEpsZr6hn^Xbvwj+n2Uc{%KJ@V&&{x1w!?Z<;1*W>$eRX9B-@_3RwG( z)WgkuDFF^uxdZisM@M6WWnalPj<3DbxP7V-{u6OLR9m2l%d*NZ4VhDj9y-|FGMhta zY7zf_e=Wswflh>CTe?NykuzB&hX8I`L#`epLeKio>W(pkZ(kZf{nG79U18n`7MG4h zYkAQaB3)%4b&0^p)IYNgs!@LlZ9O!lAgjZc1BDDA$FG0K-(KKZ;ZgI0ZzQ6g{}>(m ze)HT2;Aq~#8lHpN{)aH!U}HUWBNDZ6y33=XT%<+qoz2S!7)mVlY(5AwC-RGL<_Lgt z!sL=CjbMRkKe?g;+|K9}s5G9*hn^_*)o2~r=yqQ~S-?9A1eif`u zvBPiBbg6+jyH8O~iS?JYo#iIG_AsF=Of&`m;lu2q2u)t%&4>oZCCIDYMk*ZIqs`b_ z*Zc!xPHKPbP_?*ty2=|rjmu_emJFV?F zicTJVL_kcO#Lpljuum_JWo{QY?%EQrgaq!-7N#J;QNz0)`Sm|}@WwT;cKiScq z2cz2beCWh%V%xPiwSSxzj&Z#w`FslQPmC@{)2TymB;YW845(S)>BIWNO{|R)Aoz?5 zj@QoOx{!a2wf9oXSa}v0V>N(^;ZVRM`99-z&cb2UUE5s^<5Qqe@9PqK&vOr2LwfEw zpF=a|E)+X0b>86;ik4IF2Z;TksCM3Qrm+I@oj->-y9|Abwo9y>7^S5~JKw@+?#2?F zm_7Ps*R+-Tdh{6!gC{we#Q*#+0L4_F9mk60$7@~sVY<8X=Vnfg*D@8GD7M<(5B|v; z+3m7qUWRLv287&FB7HdZqCP;7^iwW{6zPe+GJo#N=F!?R(VP~!6mw^d+JIJ2dLmyi z3?&lmy-;C{pEO0RbaH#F=++PHzQ1+ug4$Nb`fPgb{7s*phKNfAY)5(upLD}Rr4YLa ze=|;PS(tI3;>mZ9JxON=yOkoQ@C&OL#==tNv#P3POL6b1L=c86St}H|{8*xt6k5gJ z1C(R<{OF{-x5vHa&IEa;4!bjIvFw(2)fgey5# z8B2muX&hQB+!rqi)V!pz*DNnj(|pyUh9NDqH$@p6Wg}nynOr~PlKv3;Oz-36A}NW# z)Ai;VvRWqTanuMpG4=!$yvyj&lS&ERd4gGaS}S}f>d=nQy6CT0e`=ixTv3<29suM% zS2oE45x;rcn`73xWc5f(BDGvz@^j@!eVP!j-`hX6*)F#*wYK*SF_#RnFX$nH^?%wX zPFG4OTNUXSa^^O=%KD%_W^2v1*q*n##IMAbb=5ND?10$#;b3g&imVUI0{Vr%sg>Y@ zW$7ewiHH8K#*4$cnjy|x3PC+>D9;!xO%HCKjuPsl-be3Fsk$C@sSV!Q$et%P#5O6U z)A|U;dz+XJ%aN2isB$^^V)6$>l6ymVuxF^yMd10!mDyoMLd1Px$$Nj+7P#4LHrkOA z2l;Y7zYJ8%8tGUFK>7$4NMCtvcyASO`N{xI zKlcZJj5N!^qkc&Dn?i7I#%!Jy{>Yg3Lo<}Yd^%!3&}J6PVJm%s2ss!EAtSvVP{>e` zxP;ppePZ4rbEa|mV6au=UuVvMD;=_s3jq{5Cl^hGHEpyT4rr$6eMv6<2#JGO84)1> zZBjr7dnT1}q+3C{Ll9{gI)+B1yBSovySqcWyN0fzhoR2q_dn;X^Wv<< zi&---X4rc_&vVCheeQ-Cf_Re#CX;NBhAU+!(A>#L^$-76r3Abi&BMXX0K~|}1P1!I zO4s@_-cH$jT@gy)_F|Ls46@HDvM*`d4^+ocoo;KZCF3sr5HB%}TiQOwh1YOJtJb2_ zBD|?sv^jIW%ftQysQw;=sadD1K3{duG z3;jbPi6VrlBOkB(wlmY5M;INEADzYT(`F0(4>nL!kOP)n{u3k(d8?Cl9WO@-p!}ZvQ(P{7!fezo6G4ETUn5m|*UV zUK>m<8feyXw)~Lh$LejK#&3}Oc|PR+8&Ni1sT*JOu@jZrHgA#oZw)0PVY7?#&p~63(D@g%S#Br#3ERk+e z-{u=Y?Foy84#Q|O7Zzu)EJFQxjGcLyE`jZBg?o&jIoHMrHo>78t||>kX4MJl8~C3> zZB2)Pg=2n(=$C}-bKtaREWyXwtmciOfO>4Qz1m*OBe+?{iWuXxc2mUlxbE0BRwPl` zzutLkU4*n@Xwz}WjPU?Wafyr(F>f6J;0&iZI`&HJ2XkIF2PY$vJtVi)bU172csIb& z;d~?}k#XmJBdnYyc?C0| z4p<02x_^LwrXO@%GbrWmX&O=&LzkF#u z3GS`q0I11pD|3|!<~0)?YTMV(1TUgXRDLB=56Eo$Q*V*mUHUX)Qv#O1jh?dVTnCaR z8q}b;>p0$?tkNlGQ`x{^HFFSW6wG${!(qckTGeBZl61IEFx}z)E`D7_+x&uT!m(DL zK^WSownsnz>*76E(pE~ls=+^9?kqq@#{_sswK}_!F6+}+w9TR%PI)naxb7Wrs+CG? z>)QKy9mRilg1>Q2u3XvD4IwYuoVG@$QzPZU4!h($NRHx$Ko|A{BMcWL{7sYNDHD`^ zX&vvzzMpud{mPB~9#G8|itsMGZm36XOBAb zL{QF@YCC1V4&3=jVLP>{8`86)nL*`o0nc0nqdn-(;;(;E|AX_~?eYd?S*qFG0G)#z zvPDjDkfk<{SL|BmE~iiHJ6)fj$%7Ou)nQOqggYQ{gDKT&uuO>5+vy7!)=rJrr2dn$ zorR`DMw*x=%v-#48j$nB6Z=<%>SZ{6c!mzcJzaQa^kY<3Wn#_opHHV|@bVp+)1E4r zAso&|_~n6Zsa}5o%cBnNo-6_ERk!sTH?!Y{y%#hd0xn(+1=w^R<4-U3UZphz65Q8A z05SDa2QSm5=V^r+)#HJJag$c~$tuX;^T=1Y2Gn{lLfkL1}nQ;VCWJEDBgwu@Wl>6_VQrUwEnYd>3xayiKgugt z{-(L%%7y>G=py8u|r`fD_{G!=;ss$T>5PPUd`o!pwHY7p;Iwb<&U5bpE0I14~i zxSBWxD@) zXql0>ufF3sOA`>?i$IAIsqZr~nyy5t<1swIuMfb%x1K4j)b}rVJb&MtvW@^O9w1`& z8<2y%;#{vhwT+L}u^VgZ^s5iX+U8?Uv~qN8VZUL8x&Qif9qnnp#Z>YK1*GJ{k3us= z5Nb4deNqB8pV5gF&s)6xn?Vh$|Hg6a_`R5B#P4pBZ?lVu$+r02Ewr&g&7*{Kv$j~L zzwHqN!Vbt?AN3~m8z=2JphbbUVYY|K6xhMuIO~lm8wkp4^_jg9#L;fb;IPlb`_Qi~ zaAEmS(f!W^q=C#Up(=K7L0#{_n#_(rvSRtZfR#=zYhbPpZ^9_S%5vzOp!o zu*5wnuoksl%j~k`G$UY0{T~Ou2jX_srP9#5zyx@UrfG7}rBcT1`(2QkPIAN7u-dsf z>X9vnXD*BO(&0`K@iw=QVZT^eZNWPK9rfwLYq8pTv(IyP1%)Lk z*(>A4E741yXG4yn1{>)>AjGy*0z`vakWk(*hl3cyP-H6QNV$X00|0=D0vIT%lC?<{ zLp8P@M{h9airqCSxq{$3wd!NL>MMJxeU_X4ts~_>Fen-$fu_v+Fo02#^FNx1Mk{J+ z7a(xRI{zu>HreI-bu!H!)KX5g6!W^5kSG?%v!!MWNOx}?cgbyEP%2C9I;Y)B>Geh~ zZNMx6LBFNYzj8dEr46D~>bn8g4sXOr`8b=pk31n%xmKU{ZO@3Wa3d46K@W{qSKk@kcOuce|0_I9hb7L<&whx zu-`Vu4Kdip+S<5z!;l;n)_UV`i+R1&4Z!@nSb>jfvq!R8oQB1^Alxag8{@*=8x|+B ztq{kj^;st{Ia-~R{}E~mN2O`qOa%ZG)qZaw&!S!DG}_+RTO9j+6=%dU&r0Hl`}$1_ z|Nau57&>8 zs4O1$zp3vV%yoz+qg~*1h^C01rA-XAB2|%QA1Kz*xp0i01MB>Ah_?R3Zyjp`EW*c~ z-x5#r@l&r~hud#-Snf7o&CceV+!zu9@t{qA+8|S`9?Vafuw%v963XA_+HK>on;>F% zHP0EwGiMqLIUkdriCm=y5JKss-%q#qG=P&3Jn>Gbu^&jc%MV>%T*hW}F08y=QW}5_ zZ69dZ)gB7ql;5O*CtUxmR6Js}n4)GjKGv6+UzLrJg+>V`iUbkNoyxXX2b*~R#7)f4*$vgLSoWMQ*dzE{*ddQJ@D_N`B7`q6pX7&Y+ z2DXOum%d{fj`Pjhxt*P{QbtI!rZ2m-p&Mt7K8iL=+K)LM`Uc=gZvtQ9EU~6^C=QH--wBqkg;*4 zQnmu}M8^<=5#4R=i&r149ER98jYpUP2For$ zAL|pWImv&RBoC-RDD5ZQJuRJ!L%90}n6-$mrt_|M#!K|rJR z+0xd_QpC63dK4M#uK`>)WO(!Vn)6OjBd!1FF;Qy>^kO$Yga4mP*9eNM{lAw4e;pu+ z;hv0RonjqA!V>`NKkG9#1)bMrRr}7Ly)nw!5dOa8D@=U9o-lj|uVNaaCe9`bcM` zy-p}+{0%%K_x-nVTBYmF(uc#}QEYBFmgxfTfluxq4JNSp?|;MhHWHiQ3>JXY5L95h z*?DicL9i90(u&}e+4Cp3tNG0c?ldK!9dd|>x7NuZVA)sfK*iU-=5?ZS>e_z0)N`?A zu3BPr+I3O4)!5qZO}3fRMAJxgi8#;MbQNqi_#$dQbQjToG~zV`-s0PX2%~c82kjRZ zSV`*wt=cQKdc20uN|A3_%1;MhMNDOfnLv+dgr*6F{&@D03+cHi*bZ^c!-=4wfzL(=T^D zy2P_DEpA2L5=8GE3833cxoq|ag5GcE^O`pJN|#4v5fFnu>MN;6|6Jt&*oIkiM5jK2 z$Zfr8WQ!9F|H2cY*DE+&Xp&@;>KXS%0}-;p2)gyO-NT8@A}j!jhu5kQPr)_uh}4IP|R?L;)Cv>0-(n zY7rQj=7)60wEcb3OX}}R3$IylQvD4(Pqz1J{R+}-xPana&mFM;#I~TON~cBK$ojP=XRL^{ZZTtU z10Q|B&G#3jrO<*?(ES=HX1n-HWs^hsGt%wU3u&5@IiR+-xax8xVYN2TcVALzWR0lN z9SO1p=wrL2Fr-Adc$mqMJ3zDx3iF5Aryum)oy@ROZLX&sn}(d2Bi!Rcm4%FKJJ#z* zyljfO@4^Uc=FC#(OB9~UlwB}2K0|DQkSy-mL`lq~A{O7cx&%p(9wNATtm!92jI>@Z z)Z5qeo^dYJg)^=Ns!QJJiVj4oy>LI}*@zlM@R6Fnfun-HH{e(o5{@3?ci8ifsP2xy zyIr!}#sjy+S_8)Hi@W(e+8G3W>G8p`muXF^lAGrVB!e2Ftgnek+>@}g15r=OOC7ea zC=c!~%u{i_CA}J_phUdNCs7#FhcDCP8^*JV4NV5x&-8R=mg zesdD0mpZ)hT;K~W$Kf-oG3V2ur7^bjx*5D@Ym(TS9W&*p19jLUd>yKKkd537!+as? zMS0NtPo{sK!3?w!{b7+FCoY81bM&;=pG1%q&nw#CmkYL~PCMS7i}K)8zG*Xu7%XRm z?Yd$uV#>MI&}TAR5!uy@jKc9Qb0(sk?DW3hvc1o_tTY`GBU?$aZ~XEm7*qc3$UtvS zZU)cb*P$S`o0V0;$P|>_FwAl2Y(UXz$SH_aYRzLMK!k2s5+BHEFrt)*X=;yocIwh> zFax~KMv8R^6CMAF`8^{HsT>e{t_!cAY1mwFSnE7;yklf;BGB9*$(23-UC95RvfyErz$odCE%3PP0w~O4 z0|6c-Oy8`o>z{NHdA+?DgnX}>fo2x1%L0l0UGe~h=i$CVX)F^O_k%($;M2Y)dA-8- z8i^K5yYrY00Tcjb_m!Jv4P_s`TA))lxqaQjRx|tOo}atF{QfBXfKjoFDgyRM0+ekB z>bTLDE6|5|b2;zk>ZLkM5`}U4*HLLQ3b%S5ht>VVSSD2~FINB`$q&K0RX@COAhOm? z?4FPal)xSV+U37%K8E1vkP6E1oUE0`|HY_r+RaX66nqoceOA^vge{_oHvMD6%_*5kGzV=3uK1pxphS+`(Lq0rwa2)DtCW z=s5umSug$|(iI{Nr;ZG(9`~#DzxwW7FamjWz(R zGR=lByuy6uDyF&V&IQ-H0H*Qcc&d`E7gDH%dbH4gU5LKfjcu}0;qn0TgQ>ge^0OY3 zi;fH$%I%Xb^GCO%TS2N#Y166PQq~dG-hcjO_DE~stgf~ZXF`mEzwHDrRWjen>8(Gz zct2zyk?QYUy$fZXx1 zM3aI$EL-oia8QfweL=~3lWAWl6+8L7X>K?|@JJKK2HVQl)F95%xPTeqWAkF+ASM&4d5w(K`E2@U8(>?ML^`5=89CtM zV;!P@5X)`xzQ5j{*@&)rHi>D0E{B#Xs)gXNi(5PuQGFg(H2k#fgg8v+BenFo98Y_e z&)0Ow7=^49=J>o#w_0Wqt@VEj6S+Kc74+PC7Rk?gXM=251T*|L!9Ne5PBZ8u{&SUQ zG*S#EDSUJlI_WehZ3XJZq;tf&>I105i>youS(tjTsZX(-HoYR;C;bfF+dUS)>@_X{ z?eZjhetgsVZ}t#OkI%5v_c2Xliba|eq24(|5xWvF(?pW*0&)LF{{|#Cm+NfO$g`ho z6=uV$(eq0H1ZrbK_=+GrO8f^E-3W`p^?)|JYX$$z9Nxn^*g2%N>lv5?!X@EwpqYAA zNb>zuu8!Vvp6#St6*@74{LdXONNrggFZ-fS!#GgnMuUSI!RaeA62r{bF~JG-O9U%O zZLkzk@2k4be-RZSQFqR_U8fA8)mx(r>Ewq0HpeyGNnj<>x-~$JCr-Pr?&cm=O?3GN z5J{bj6YZ_y?C5$J4(cnESqP@-GDTvh2=k9bTu8r&MQh>A7gL51FrjkIt+Q~v7m}o; z8k~w~29jR$ImE1Z};dI5UTInd>cqDMhGS`<=7nHF+%D$Wky2QzpfUPsJ* z-XW(&;fKw&(y+LWle+a4>65-5Xd4zrmYH0d{0TUs>2zf5S=kW;;Ig=-9Zs;gsMcNZKENJ1Sy?Tm>;ts4(Dnb z+Ebu|wQb6`YbLtPB$bBwk^-SnJG|Ji8O5JU-6cyI_1MP(I*l|9Q$cAB-GUmeACZ(_ z9Yz&))LRLbp1qp1a0U-6j0p20bA5&YvU1x34c5`YVUD+gKSb}_*K3h6E&;VX%K zE`Xfv1*>0u41wLZVPnpt&+HN(R2jVSA@aoBbr9xt`xWR7WO#4}?pW3Aq*T>Q& z-~gD!?2b1*{-%t|`OOLPBoeC2)M+&pkh-ehIzcO&OwSTy*xu?KtL(s`)!qpw(Q8Ny z-(b*+d0UCg!{EE+K`mb~>q9S}Zv5oZL`|nc+yM2G7R3T9WOrtp`;yodb`;iL{_>Fe zet<<@i6ss0kP$9xYB)qV%YINj#i>Bwc;rM2?XN=h$>QvlarN`^O*^;v9nojJpXfdL zV1{TV5v@TFZNtbxjM;dhWyJ7ZoI0Vf^!Sy{LOAsD zsYFt$r#(%A70zX}XeC}Raw6H%l-GVO(q@jhrJWRX7k$`n@30nh`WlD=vo%EdhGM8uhTCJQui*|p7mw-Z{XC14miwQq_9Fx|4!hqX$m zork1U@ZECp_=MW;*pWhS3NE%-{R_W>&eBR_f!)QM@s(z;P{=vjehxQ#Mk0^Cc{XH} z*7B=V{VTo~Rt+_`;_MC34bXmI~I!^nq%)g~-klWOTLySlve6> z6dWBws*}agMZ&>CX&2X~vldP5b-MvlwsjlS`QZV+JD=EpHFxG*>ONJtN2U$4)=WS*Qk=oF&(tz)g!k2lZY5Rrr(co*v z5Q6kQK@!fI5h?ojF%$q5s)AAW4@kE7hTd46k-<4e{Z=oSo07gN;$%B%#VGA%>;h2#-Xif^W+b!3dLpjcn6ZCE_38Krc5nW4?8#9a=UvRDrys zMHjHpFf=>Rlr?2|*4mk5D&ANGg-pq8(@3KiH+9h*x5XUnO&^UCrOVhEDZ@}`D^j$* zF?rVNLk5E zhL$mo3sr%DLLSt)G?C|9eNz{hLySj7?34+n^9@28nHnI5FlvN+QsUxc%)RVJ=7n9l zW_*(&|YqI%+ z^NN{L!)=aqtaOfEfIO`9uhdIsBT%_$k(o ziR^Q*KVe83V5X+s)i4*nLc8};*{M;^XvaHRbHSe^p~J8@pkF&l^q&=8Mu)T~iAs!) zX^;d%Rcra@iNT~t^RIW339)+6IY%^t7yZa^tDgKDsC**x>S}(brKaRq@M95GP3krc5a>l%&F@uZF7s&MhQ5k1pg8F1 z`a;Fu0o?%^nOh%iIPQ?~n3BF%`cyV;Fc&s84*-=djoO%#`S$Yu{tzy#BzjYMi3+1P zZty&L?;RTstx{VkVDXEk572wVlIdp$Zz-8<3cjyi(>r$8NH3z)G5p4$VEkYISX z(}_MYK-nM+E(3i=$&lHjv59pTQ!3Myid&U6o)yv6S7#Qlp~Aw?b@DpIPVwREbh(7G z-VkQWQu~S*GU+Mt-?ztnF=n{Yb)o6ANf z)=Z4$xbjN1va1FPBV(nT87Ubg=h@oV@eFY(W)L6pC9#Druw=P2kUOMEnMp&LW29uq z9{AM4g*tYQQ=i1f+dyX+FllSUNESwm8yLcd%kcyz)iqIccz0uNJ7Oeq4|`MWr%9$? zZ0Sdlqarj(eeWr=taf5q&%dkqv#v7<8EqupeI)(|Gl4V!$5rdW=EDqW{Gd|bH7{_zp8{#&8oluaqK zFJ3&lkfs;7Hc(kGMWFUVp$Y-j(w{IOO*6 zfblPB=kS{Y@BIt+BBdHZqjiHvBY*`~MbQs+)*UK{mD~w?Q_iYMMm9=rFwWYu&=k7F8bYh2ptZ zYh>O6#jjG@Sn7qNd1uKNwij2>KmEP7Nd8E z50xdmRgl6=n1AQ1P6+yTPTCt&A(I)#Mshw;IIHf5t>du1(MYf4aNu2$kApoge<_ob zJ~P7bZMbm-p5(zW$Vjv!=Jh`D-)n&>@QXGrkZ~-ESLCSy)YO!lk#;uFS=Q=Af)P8d z*WV^S*cbi+#EGwU=(n0!Ev7RS$iaV2)<}xTlJ9l>QEM5ahs&#{#msAzGsI}HBla-H zjtb@kBUvzr4l~8w&HkR-cKETCJ(q3GqLw;WFstiSU9p3e(~}rn5{O)^;t>g`vNI}H zu`Cyg@ibEC=0XK<1WU}tm8jF*lRf0RC9M+gGN*_z z*F$pEeG1Fu;N(=)^N*~j`P}dKbpNY-pT-|-%aTO6Oc5hX zWlH#wY%^Y4?KzWx{~lTIO}F)}yb!v1({Pa(I?ERpmD#r{WYM+~SDnAGb=?y2*8tZH z^Yw({A8T9kC%8z=Z(^QFPePOt>(st9r~!=@6&#zB9HSS`iV2IP(D~=SrjzOW6B6-0 zsU;WHqpc{+5Js3aK^!aImJprwrj+4can1Wr*7Gdaq^uhl8h71wi$UmAm$s~7Q<*$h zge)dZzL#1<&+XP%6u`kt+`IK<3=JP^6cXv_(XIHRv|67v;|}b`WQ4^su3Ep2*qCVJ;!iI|BHmE`Cxl2?E{tAs{w3}QFeAe)r98d< z(Twvq1tnK+17l_HP%`8i3nxVHjd?X`iKhqs=xtKhXNL&Gw?+wlZp$>w^Hdx~ zW4aFG$64yRRcUrkA5-nd-LWpTgSpxlY>Z$-8QM#`VPF!%=5BNvB#)4k0m9I39tlC0 zZ*a=+y6Frq9>$EPM`^`rWK`?AuQ{q03iNaBDvNmOp$a)xzBW5~RG<63`@L-196wH( zC=_*n1Q;tr%$HK{Z}Y-qi&x?avIaWFUly{$mc6=yb~hgE#7m{~su^lixV7OJz5`0KvKwQB#2kCO5A1C{x((c~d;p)BK(ie2{kif(Rf3L!akmPw?HkFMRZZi}NEvp| ziq{q6%_0?NktE+D(Glj1jr5GS;ziCcp>+Lb1~FjV6#5QL#@8`bblX#oeCTtAP=e#h};bxNWCXFqIf8>QpxCMZOyIs@3-}inU zd>5e{7O!9*&J`mF9{K@JrnfoIA9}Ar`da?76{iWRqJ!Vn6{)3cIjMs`MquXal9Bv{cd_>@l>^VLV< zmluADk;8Tx6Rby?_F6>?4Q$|YxCfCgj4#`I?dw$QA|`nIJ`qJD8-l|}d0W=GP+7da z5C!m^_RTErQcOBNJizOm(&_Xy{42$c+11{N@HEd||G%ugp_hrH8*;f8!9(V`B4E!u z$l%fxx60J%+17sP*J7^HsAY#8_uUqpW;j#!91DAD&8(ezcHg%>>jmCru!yk0!|wk8 zFoMH+{6hsLw$)=rkeNH>ES)2Fp<=ya6{5dsAyFv5RxuUn51q(ILfVBRStoqgA>uFo zz21?f4iCxe;Wk6#g%C=ah+eDdtVwe_A%%pt9(nR6g0Ujl6b%c7*Jm4?_BE;}|GZ<8 z3HfXZAu7*+D9L>Cj+&Kg$8l9Z$dou{vb$g`&toC&m;_m~#;e&Q>Tk7Cldbvw_3V4% zD>3X2-TxZMzK;5vmjb?YYnYHf1uTJ->LS4A?{JGqY7O(}autT8i$xuZ)0p@7>YqDj zo~DG+`0?nZTywkP8e$szvE%xRsvtH54cKNa<&m$&aw;-+NZ_dfVJ7E*%Rv-nndrJo*IzZlcMBK;${pnV!?Z z>Oe(A&jUAYN{*9SZ;Vv$^vi>Y$2J;N&7SrCcOs}+CK06g9Fyvo-hr^Q;)jA4*A91;n+~MI4pkTs`F}B0!*Kg!a@x9XIsT~jS7dn$S z!Pe-=s%AKrNFT25cqSAwNRL+I59pF__;SM3`a;#5(kDlE@OD%g4>0e`9j)+bM=>rE zt7e~G_Gh7^y5<6L7$w`Y)4N3s(b4NW=u8;rbxf7D55$;wWc~HH>czAfwfaDJ6^BIp z(Kriw-wobkoYFx{#@HHi*~G!4q9X`}j5yVkavVtLZ5adOlT4ylI3<*cZ*Y(u!_gSd zQdFT<#Wy-5VK;JDi1XdVgW7zZz@w$9=KT?`Pdgj;XR;l?=>!KGW6Asdx(jb{mx`1y z3N;!#<<#q<5{}s8vcY%FrSo4HEVeFSl<&fRVk10OZZd3%Awj~CLOXRuY5Xr~UQ)dj zdMVLSZkKoVQbP4Qug?f4IanbMJ3dLuKWM*;RQWty7~^M?Pf$wF@H0r6^hSTnX8~>O z1h*&Y>@_A+ZDVsrOdn?Pv3C@b?%2(r#H;I@c4l@y+j+Y4A<5}(i??-G5TgU<41F(N z=@c%hy8F4bYMCoD)Bhi78CBb#rQbupm*1o|%_o-~jOGfPlo?~;hfyk^-*OQfiKb44 z^xSvg65s#TfOoV7E0erPV;&v(tfV9}{A`xknn?+0?jvwYV~ajitWMxWC8F~T8b+Xf z@Rym7RB00h=5CF!{Yac8$1sC;_(Tf5z&A&O6B*#kMU(n3JEVW#3D`6vmT&CwA=jHY zHWqotM{xd)Pi+&O%P<=kCy>nZ`$CJjw33kTGeVW2Y6Ng28kkAkmzR|S0x*D+B zB}R3v0zUfdLM^-}-|Q%}Yrsr#ZOq*l_#qBMr=z~3f1RI@H-cSQmF<8c>?w^E0cA8tgXGHSH*~)cd`LI^Dc8ga$EFX_ zfDC}(d?6o0u_=LF#jUFfieho^@s(m)G!IO@`poUzMca?(W<-aOq!DN8!%dZ7L`2w7 zp}(wu)?`MiDGtBH8Z_ya+de4PJk)^Mw~4RLIb5xIjrE(a8d<#%h%fuk$A4^8>~p=z z6aT-zjY|6f<>9Je(&MC64d<8b#NX3)S7k^sR*j0vBdEiP!#SjAHtJN=-=*@5H|YmR zVVeJZChJdyPJ`ptg}xa`^m0)}^umYeG`u(t5oz@_w&8qS-m9~-kn{Wd?~smnIPB-w z;aD#TURJ)yEZV`lH!&qr9Ow^*h+Ow8d-A{dFq-*=eG}w9OOPJC%+gKjjt!V6Z%bxF*+?~5q2mF(lU00%re0|9Ta%R_zEgt=>G}9{yAFvN0DdNf8HR< z+9q*wW;B74-T;8AduRI6ieG=ruO024&ZIFJj3X8NI~0;$_M0sTY~2a4f_8CS8a{Y5DlZ6SzkS4By^(FUG!OycaUepq`U2D48S=o-!SF0a6~-ib=Egalc? z(%6Y2@1@cG&Qo^P-de4{|# z0s0omF^>rkQi@`6@S!k`q^^^S02Y{(pM`eUO9HK#n-063ojX z5XL_0<@|qetYe6A`~dB}g@grUjm6f7k$^k=jps(x7K4ZD)G*FM*qTTous?C-RCd|y zi1w-CXv(@74Qh!}-Oq|?lHUVL9}{fh|5xx!l6{+r>C)D#aJKR2S zfA&10K4x64Z~iuDRwA~eEg0bZTDaIv82CkMRybY-BGPO0=vC|ot*_d&#UfSa0-u8! zQ9drG0S3rS-CGs*l4@#gsf;fa*O9uJAxoL^^YADoS4Dw)an*0wG)EY^EG?%Pv{%st zBdau?U|E4*cn1BJN6cP3-&=J3Lp?{CPnC19GzJSAei!LFg7lG7`(>=U-1>iP#u8(( zliM4|CA<-6iX~{>YueYF+GpdIuASJwr5oFzr_XltSk=r%CoH|uT+v)}X8O~;zoGQfT$5IE$U-BW$4ow5>~$Nh|rS5}ptViRilPvsJBCEJ>_ zaOyO;8Y4zW8iW6g^1Mf>!=mKlQUN{BZE?Suc=8U7{9Kgu$B9z2<<_Sax%g88JqCjw zLm^VcAM<208GTbQe~|qDzKFwI#Po6b!y9(?F23SYhyr(~B~bTgHn9=RhpbH7OcwT@ z_S3IoA7ZA{GIYx&k^)<-f5SiW!X;@NO8fkHK8zIyF=@kn^(syRwe5-BpRcBks zhz0t3(W3}n8wP}FJvrz13^HIT`w_cFxkPgR9qpZmJZd&P-o}Ec?53ze&C~lrK(R%r za=KV8Rkn`Fz536HW&jV&ll4i<^?wt}4A;hq4T{+$0u~l)$?o#>0a%7tJJSXF?SiL( zyxrNPTkw<-z9M%y+x!iRwW5B=S>fxlqe_V9Q?tp(2CuJS5{Q$+(4T123gr46_9J)2 zr=U-`Fy$aLbW$7K?YL3}Q*X2?NQcVr=k@ofG*Bfvt1of=giq=d?u~W2@mVAYM_5F~ zd%p~yZ@#5(TLq zlEkx>GN<_Ng~tTzlR3v%;?rKXhztMg~A{nb$)=O3Fy&#MJ4)RHexn-RSmMuo*s|L)OZLhLhk zjKc`Y)G(`2HtrjBoX~t5{+~>?nXP|@a+|l?QkulBgy?QwELC*$2s&Drj8Pu4mHIKm zMLSz*Srd4V3v_n0tCEY($F}HP@KDnY-8Nr&3Uo|+*6iX~a3`OuD_uOvf}bHo&PRn? z-I10^Avc1I0j{2iHBz08Hb$#(4X_H%3lX~?RS8W0g5Ornb|#g1AlFt|QR1g8*l_6w zg$GLi(1UjIgeIjBTqczBvRcuhWD>}MDV!Eg29|FO!uIUF+}t7e5D((KCFT679}OVN z{#er~E4eG{=*_5E4ro4G^}m0oemP2Ki!j;g#B-w1StVXTq1-I@M(wYza+hVTw61vqSziw=4&%IeVvfuH^nb)6wyE8PSSsl75%?o<8WS`G@J>iFAmM{wHj2gJP| zFxlQlKl?U->+JbZPzRSlzg>O%t~AB=w*dsxh827+>#qvJ=u5}_rcX2=I0wv46@AcN z03?sFdo^2Gs<9Bc?};%?Bhk1`0k;(LI3lYiq`z`4E})w1j1-LZ8bRd*7BH{N6Fg9& zu0se^nHOyVD*XSj07~=a(TA(|=)?7Yjs#$OcFm((L_?WT+O&w9`k62z5%ty6f?|nW zT$ibL%Xj}ycGs6r6ig(Mfp{P-!T#yGa=g%!KjQhrQA@d6s-M11FYC%f;^kK%N6XKL zSe{Npv7eFoP!@dNwYZJ*P^Kz$m`Ic}mxc8N%H>HfC$2N>J6bp8b&(qu>%7dI4Qfg| z9n;hrpuM&<(IkX+k8AFe&l&QnU)1C*bj%m;)|6Sfs#wP24dN{P9@d`JK^55fXe5Px z{GEo+<0Kgs|3cke&Nth}sm+psD!y3_T6oTeRJL@~_c;7W$z!Ey^IZHL^w1@Cv(MPT z!dZgS?iSEKsF~kRi}3y?p6rL~ULI???7_Z4b3F9{ z9T0nhvKhx!#Bt^_+qY0~vFW0;yy>*i6(O&u(beww?|l4Y1EyooDosYO3SDQ)c`1Qf zTSiAc6f=xHw)keMpUF`j3AtF(>GRR23L@a%m&lW-@6jvxK3eF@x7TaHZKl z0c{_iy?$)&(xxZ^|Ewx0HX!LH#wD$I0+D~3G=)#wAPFWnO6~I>jv8j?kzgsrk0xNj zQNKt?h7^8wANWzMv!hcjxI^Ao;jlp}0sOKm{>RN8w>8vD+68lvDpRw%zc0vru=f+s zJCA4ok(ShowaYL1fNR7(tlC>^EY3BuvF8K6ncyrnx?CL--hy$>*NDJ~ru%i2oZ2Zb%yV1ADGLBnLV~H)<&&KQmYmu=-`c{x6yPjytWF z)#tWcw#MlF$uQV+K*AN0-Nt9SH<`ceOBa{efc0P{Yn+OH_`&EOyx&pXcn}LE$q2LC zQ38fv#ays5g7)5QKYw)t$Y(oC$xWpB8v5|(HrhxVR*H3a*Z%pjW*#y)_&_@vLqz9n zTkk5OWV-`-oc$D@ym@M8LrD<>s8+Gfr;D^&th8$Ejd(qyfI5w^G3@ThWD8Zh82_X{+0=f0^VX%s?v|iszn$DL$)!($%#>|~*^erh5 zT@E{^kf!5zKAr8`9Cyej!R}OIpa{Zv^P+{}fgB^>$BTn%aKLg+;_btS9|;xG>ZQNy;LFt!SAXTunG z-^O2iF_zGaP&;f0k5-&j`c_{vXQ3h4TUDz|gE^2VhEF zKXY!{dMDHkY(4)$B>67f)>GVWQ9AtP=AjveF@w!3kIVfH)o`pC{31 zxXr>`2J9y@@m2yj&eHBifN5`~&1X))1PcwgL?!AU*yekMfOd$m7zWdWKM^=e@Tg84 zu&(l60|U)j5kJ{&>Nm-ceJUzYhqh21VCKEPNO}5H$h3Pn!Iu?ah&GnUYn5GzcTE!! z#9BUQM8b?)vuDG!7YjCxilc*ohKZ&$`0*RTnBY*-aM}E@WJKpR?7fj6KCKX6EoZV`kV{#UAP32+pHR+JN{kJ2{m7h}@HqB?S`%gSvqDv2! z`-5Gf8_=FXuaSTD=>~LjL;Q)h(3W|iQmd8P?J zn%NX%r(gtZ_-NaRMfT-9sA4aHw@su*9QysY4&3j+1lz_vlh7#*^-1T`TSg&r^bD>2 zgeJCmnt`B$=te9r%cUQwe`9G5*m^<=F*TdJjm!cg?^>!7XBi7?aH#Ek9t@fITyGzz ztl!rzI#W@W^Cof;6NYo^v%ex@d_Fr9dH0EEJ6Y{f=q^UT6zw4WzpLn2-{w-V8RaqH>Jd;a;9-vvrnXM0R*BEgE}C#!GK1zy(73q7Z6_(1MP8I-uiNLdr@-w6fbWE%$^05$mLSqVgXp}+N3d| zvfB|QvT^MxRCUm2qFqxImaMxf2G6k4uM{W8gc2{9x%IwRNC-A9ob^xo+PdG2@;h9p zMK5_uV|S}!)0~u4ClH0gj)Q1*skhE+(hyU%twlM5?_r~L&l!yHn<`?2Sy|6-eyE*U zs%IAmhL7J?MPi=aTT`zx0zWx2CVbDiz;%Y}K)r?)W&b!y%q8f1)?b9_QN#u>Bf0EY zBu5gvFpp7-<|EwBrNCdwZ&})6in@$T=D4bR)u0DKAV^6?axiUfzg>}JX~&~#ovsK2 z4yyuT&ay%-psT4mlAG7@?z}WqHN4->njVeK3A=q3W^-7I6rur1LY?nd{pB-oS8K1< z7Q_5a6j4s(iprH$k3iJ&!wzC=yxfANJae0B>HIJU)}cs#}dl8c$0ML0+i8r zD)QDXYY~C`@cS;X(s}ZET5~4zXE+;9r65!NUKKM>pnuz|9klvA(PoC^%a+5>X99n-g+(ixs;RcDF1cxNkB_QOvbZE_-2VR)B!bgwbHd1&v? zfL5CJ#e9nwQZtYXFCb&oNZ0L$R7vOO5A3qDHcm5@`42Oey!qqZ?-N6s37HIP^mi>{ z8RpsBHUn1~kKk*{P?_ne)oy=mlSjcx?xTJ>At`JL)t8z#V`ODQA zy?s#;+xHB#CXi_s!-NDci_}6HaZ=vUHSq{r7khd|Y2KN zyM0Z#ZKs@TK<=bt<_qLpCZj%0@?a5eN@TO8WJBchuv(9JFPsgUMnq2k{9ot2xJB5)E|8zTie=|yd%^#iw?1_}th z(CfOTzd?Ka^j&+fNn5=E^t;$}goT7GR_^oM381{*!2RKv51L9M-79d+^2tQC_`TQz z(2&kxT7$K8hi4??#pIv!J5n@Vo2v&&Z4gxtgE@`Q#>8*!CIqjRkEXY`so z^4(V5zePc9htI}iV%wbgbpO#gmeuo&Z(H6V1t@@e707*%HFb#}sv$L%Dh-q*tdyWEFD0dHu_+DfV=7ulV$7zBJhfuIBs%Da8woTl8k&>vbgyMk68( z-IZ~;iE+UcSJX$Yeir6PHUef+nUggn;q0AE%0J>&Iv&4AxdYR<;?;G|v7_7v*q>PO z@#u7X7bnA-u}B&Dzp=Ypn68e0uOvZ=ADd&BJ2ieCF86KVlaEiGl4I5{(N86XDWlbI zPiSn|gafxf=5wvJh#akVb8m2$-NvO~l>!kEQH)MKudaQ?;7UJ!Kk`|QmG>kP7o%Y5 zc_N>BHAu4IymnL6ASyHdpyGoCe-u=#@j1PZh~e5dx%<3rsM}qy-wQS<-Th79_59Wi z7?N9V)1Y z3f2FiOuTFy5>HUZu5|FZxI-#hyy>ST)g|62)g#Ech1TZj)L0BD%UX4Qs^ov>BX@*% z&kB%3MBWvMkvFbPqbk*ImONM~;ch0*ljN-$A0oOEQ-%qT_b@}L-Q%!`~IonPIl{E)6ZknR2l3tBlVj9ID(FeUJ#raP=#(N0q2Qb_O+d>xd2Q&&EU79aBHx~JO_LQGgFOm~5-&L!)P+@P*iw~LLY zmYGt+4paMtpLGf@6h>nE)6`oTmd41BR?R{%Ss@Gy_I&b3qh z9L?7^0Tje-oX*-}XIy7p|1LCrYNaD*()WS()DNLpK#*G0{YzG|@13>3l@RMBGl6!q zV?EF}X`kFpS{Y@=+L_$a+$!&%uZ-B#?ACiZYrwK3tNNQPgE*YJL<$#h%`dT@qz$T& z^PD8oU2{rWGJCa!bb@iwx2ammiKLUWpKnB0l~U%vBEeo~qJR;uju|-d8u~pFKag)o zxV?HKFMSc4vAj^OTT7JYGlaY2e4CBWo!7Z8m8;41;LfvB`?G?EG%9}sho4oy9>>%j zz&X%r>sH`Fs~17Mk~wx(>x+yFodSB=8D6IX#rYfK6$OkXF!tnz@j8o{35{;E$Q<+4 z6YgAg3c!S~JUNNlt7qFn29sJYX?QKw{}Nu@?T0ElV}|ugsXr_(m0=-VU*7w)Z;S*`{0?8k2G4 zM!^sM|7E#xx_Hd5=xH%n}9jo}(g zfH;r3MPgBv96-uf`h|Gg=olT7CW^QK?2X4b^S6oDX%7(4Ymahj6;76+ajwvaELN1c z)Im9J#|+T6a1=fxFz)6WrdN|LZEL9!H%p9Ch%eIxc5ZeA8j4WP)|$#qH|f_ z_>|{FZ=qday*B)=2wX>j?O?#Y&xg4eFv5(Y-)oJusLH6@_Go8Po3quiJDG}gm^)S% zd#Ex+s1p#+J(slohLx0Yd?2j#Rr{-^tjzTLQzSbJXC>n4)G?Ic3{iGblxT?Pau99k zxp`LiSzy?$duOb*Ogj5yp;YFYx8>JYrEjXPd-2^lR8iE<6U99RIM2^IW=1@>3riPB zxG%F~DdTC%aLZ zp8It@U~x?8hY$b3TYC8uM3QyL%XR8p^|geJDm*(Q-hNRFYO$h^>Vj84D0Og-Y$520l9e=} zyjdGHvJcjqZ_xJZX8r`d0gAZzZo258d-Lps^BMbJ-lh`UT*x*4=ry6HnE1cQWAJH? z^>=(`I-9c?@%WcK1&HEh^cKQSLuLHU5KrSmAU^J-uup~F#)Ke-qCFzMzJz6CPg6_( zg%;2OP1v~x8j?XEeDRdKh~!R1hfD$rmq4#EJ*P-Jx#kn0oY)@PA7LM$ zRsy76ARhlHM66kr2tm~yzJ0&-IrtM3ymg88LWZ9b*DXy}k6A=`7_Ywup+uD#*;8(S z@v9_bO*Q+|*BgIRzXAm5enze8557nP@Qk20YW>O+`>bb~H~w*u!RDXDyj9v1h3W;T zZY!Mnp+9Av{7Vs*MSQ#M*Poxnz>tOoDP=27l-iL;pKCIgjkPxNyH!#soCPg5_-cs1 zvFSoy_P3*sa9irdy@!G>zdT0=v+oEai5i@}FO}5PN87kM`jG7Wl#6+mXzX zIUxi%1qR(Uq>A30-FFcmGdaXmIGRK=j@`9?3zKLoCM4Kx(8*w*Dl$K=}JE`-aeLXH>F=*B-5hzVKQ)cBsaHNT)c_=*4&V$&E2P=Cd z1XDl%K^EhaVynZH-J7i}8zRcDWBp{x;QBk zXMI$M}x48ZiU7zTG!O7U2E8Vq))*rIfx z_^sDBYYW~2)o;06G#VCmgXp(#iW~o>hLtzP4_n*L^B!demU{xQ@fz$&KEI_xMpSw< z_00ewmnnQ0t=o0cAT;xfH+orGwv>yIEJYPP`;TF26-H0qbu4t9Q6mJOYhycs{m^ze zrpBcXy-q`HeV^PgX<0Y&cq8H|1Gp+%eS_-=eegS>;f0Nf`t}h=;BcYakFm6`t?Bgt zgQaV}mtn~K`B^x)({fch_kB4`h&UlZrguj%yyLNz9kYKdCL3S zke&uTx!soHSJ)Ym^TA5RS?wA5Q3fB2+0P=GmKd%zV|)-!_cmBK=s^26i|n%Dy^cIx zmk6c%xRN_2b_j_e#kf%F&q>JY7i67}iZ&B-ZHal8jPH&62yKq4o&4ocoPcd0uSqVT zfx;JYMHoNegue__9q$!?sNQQP#f31~0-o4lYYFg`tn<)LHmp!M{vv1#y_T&$=?w;Q z-Hy0LOn1XFLsoc+nWo6yRvuaB{hQ4n@+1j!&NBB^?`wn>g{;U9+yKOBMk|sPR4>C6Sczu{>)xEE9I?`VgEtGsL*%>EH6hRr ztFMKpLJhK&3birs-1SK)r?QFw{y@m@s<`2mJ4@6-#yj3HdFJrq^ZnG2cAwmW{$mmE zHkbP!;0}rJzET;Ow}PRxS%pWHJV-ZY_q{k8`V1uRd4YBKK20~R;H}osq`ih4DsK+d zL0*F={L^`H{}Jjs1aDQXR++okyt+`nQqXYm?I!qOS?5vo&Ae60Ue)5E(tah;TAk31 za8BWAd;^P5uRvIfA8L^2aq}nfV3eGkLjrTdtt)}h;{o17-$|HlRqGVTl?%Pp&g+P1 zgZu?_)^+i&>^V4OXsKb5=0{|#j;cTM zU~c&Jtz2r*<32`@p7C*WlaZ?QRT&u_{~r)Nzgf?dx%Y=8_so8Z#oS_b2Y^<*5)W^R z-%7GM{x!wFkx+H2zpmO}o%SC*HkVG+TeDN$-4IP74!@in^JeeO68M+YwH!pH^3_+` zw92$FxA1>yWNrAJ$@5}A?8$C7TL3NGdl1Br1z^!mAcXHJ>$`6;hAkmJ6MsHx;&63c zwKUKbBl3CrzsSxP(hg3LX~A@Z8|o6?D2Y3#6*=sN!&cO&Lx7lTr^o$(m&e z0VDw60**`FTS6&M#~3gBznuV>@5?Fc(nxqyJusn~++3ZW=7Z)uR@3kBfCqw9?PWQl zT*&_)DXy%1XLP}Zp{90W3*WYqi@a%zy+t6Ql7|!05;aS+o<_s4ku6HxV;02LC9O34 z#%*NL{i4>uw;H7albb$zA#?XOtbhwEVRNB&X5{eXouoFhsNkLhc7ta){Scqppb|SK ztT%ZYIHfCnN@XbOQrRdqWeg87&M5 z8jk@&3|IO&B=w`Mfv_)-jd89b(XX}o7pkcd&u|Se_mpAdyC)fNqra(DL5fS}g$nA0duVd*8>dMy%PP z&93fmNarm|&h{hV_-3ALdK_Wmt*i@x<*WsT9@2}ky?3WxNMhoeVpN=5L$h2`QL%d_ z!tVGk=T^;z)!j6smwUq^a24(5ol)w@55>QFO&5=HG2X4KvrXHhCCT2BPRZVjjI%4s z3<{*HCcuO%%ccT*)(!RDIRQeQr6fd>)}4@wp~A|hh4ttwlG8H|#aiPTuTq+!KC$&- zGv1^sTin!n8ers8^uUi)IbKqgF6YB{S*OUC^-Us8PHL%ncu#F5pmFJNsoz>)o$WPV zxAUX5ze=0=N^;SVfb>uF1=JHZkB+(Q+z3^nmb8iKWE4>HyxiFtIm?v^#t@zK$ z;UaQ5T_M7BA#P--KwrJUElQ$R$w6=ui+FZbU301~p7tPwf@wo5R0q-Kk;j_*tW>rR zhlF_&r9_?<$us~_vM63gi(fiEd55j%gh>-yT5umtAYxUb0kjJA*i*^2S}?XngLdb% z+Uw*f#GachD0<-Npw;Aoyk=J;kP8>gCo|b zdwrZHlg!(-U8YR+NZJg9^KXVs-A&D+nM0I^{raIpp79(DgQB!@MedHrq*V}{#3kO_ zmM66w%*yrgU+4-yV(2;GQe5FMF(J_Y3s#)u3=A3IHeN05hro6!mnf=MQmmGN)YHSO zn1hcyyR=zSJlL&Q>qRc4lr*jxT&ZDH%51%tynGdYT(d5*io zPRqaY&ALCV*6s)wr8AbP%U7K*B=Z}lNIV?(C>H%aC;cnmdv%D?LyvN`EH{*sa9uQe~+uF z#a8z~iH&-)pmB1Vmn`q9wjYmb?MZSizi+JfJlnnN%Wu88sZNc4E-jA{%Z!IneS^}t z#$N}0p%FOVsCKHEjm!04TOCjDLJc7JJvo-=sUvG+aKD*`@wDG8Co)t9UkD=O$$t}F zY-8t6RD==Qoo2$R)N4RKY5Q(r+smq1hjyl`ZyZqqQ9|G`Dt474Q5H)0idA>lJsZUf zxLKXe7G}&jwSn;dQXbP%PbjZrT?tPr@H7kE@P?1p+HoGOpTa7v*V)zYGnsAzi2TF6vT>7@gMOJI5XP`XKt8<;+t#-<1 za2*z6Z&uTm6ozMrzn-eN37jm7r?fc^pTj!rY?C=becGI3=^`I_DqS&>*Ikly+t4a3 zNQ1p@n)Ar2Pr+dMgu@Lnr_m?sETB{Ao+x>|a%W;jY%RzEgmG$jS(q-e#5#dnM6Rq! z&!@68y1t97cn~qQr)vYNpQ}Wvn$I$Gx@i)6vMYl*jpfGm4W)=fhgK!-$6R@9|AIrnQ&T><$V_zeMY z|597zVd%GGCzhGCQ3WpP%Phb@&i3s-BQ(t+vuS;#FbU+Y@|~{Px`DnEGbfgM5*&E1V) zZdDV*4?~!|_nI7+B1LGJ64w2DKb(BJS9B{3Emi`K#+exF*mW7!(WX6_HAdKwNuCjLO$L7yPD82uc?wfG2;z&DT-O~| ztAec>zlERA0ct?ggXK=gVP%nXk(D&hje;r@h4gaE{?7xGwp{D5p?AV%X~0)O)x@7- z;o;{eYk#K&#wW#w{{cf|z0(^fcd%m~c@qDT;aj_j$*B=5znWODK48_hOjdUft!*wh zhMlAugY88e`X&B~>7D-kERwt;+pXn=TB)YCXfDn4!8%*C%)d@!~UX#2B>hF}EzWHJ6lK#t1JJc^s~sJ4^zhStytTHx7<2bE2|+ zDEo72eK@~6QOjUGTZDLX)-aSQJSW^wqt}Ms)fgecdFhTYYx(15`I3*WMC?W_ud=RP zB7UT8`N$O?aSRL^G)!W6Fuhs8H z@e0JaP*;IqzuX;v4f$TJ(I&bYKeEB3U+E0T4n+|3EErS@+rWfez?HqY90K7(t|-RI zwgzTWcKm!SllK!*uN06&6c?YjOuCzHevdBO%*=*MdqtsXM%#8SHv5$u`mnyu^buC1 zT1B^bCRORauonuuHmnBuKH5hN(-U8^9K~&8)wfHFW(=cI~=t|NdLQe1k8i&@v{-Z07oM5^nuZ6lp_^WvG2;oM= zdp|!wKd)_uJt=gfS}FOsT>*miDbq!BJ2Lv70T$2E&1jpKaI^o)>gF^|+ACA3El%DM zd@DTk*G=c|hfA?1T4pPt{$L&}RL=h2Vn1!%^l(|b}em_;T6(Yig=HK8bemdXE-4t^y* zHWNzGG-<9IC+PjaPf_+u#>w$?YoheZQcz&J=-n{K@U3~1CDC{k0TqQb-rmoG(u>0H zVmPDix7MS4;clq*n`%(DE(5XRjM3o$mcoUzD7_1%y@|ZAqN~=?S&zsER+pmyOSD$m z1k%t9d0bJs0`?=>_1F9ksxU@~)YT7?ZFcz20xui@**uKuWvJZ zCDzfgvBCPXNe+)|Vfzh8x81GeqBrpYvMK5c+T~Ok^6Og^cc+HM`iAviic}WiF|v9j zfVr-9llwIvxFCms{Jac9(}koqf@XT?Q)f*D#gjeZleswE<*i++nicya+S}uM&?ioo z5|8x|BBT(d5R4L1IR->tQWU3Rzk`y6IQNXBrB*UZNqVhJ#^XcfnCl5t8Fa3=|Jb>M z^dL~epS6FWe0#|;StQW2k6&yHFEgMBuMPSrfo**8AZObdyT!n{6dT`tC(Tm}103Ou`fl7AbBA}Q4aGVHGT z-jq+p*lMrr`A)h(C(N5D&ws~}n_@D_^>NaqS6l}rWejKiYUWM-B)ATCVM>d`ux+cX z8jens!{e#XrF$T7>T}fj2WS44$s3_Z?!clKY;Obo_6kCem_6^2A#MLI)t9!|>GeYF zVH^Rsdz%o2sDG?I=;<5l&bSx#PG+X5&Z2#ylkl61F)6fphR^739b5l{#z5i1=beO# zH!azB#+JKpbL9FT4Xk3vIlrwCNOkoRT^QB}A1s!$C_UKY2v&s*tcaXsf+zwE8>wn! zzgn-^M=V%*#7clQ26zd>DJeb?S>OB04UQB$LVWy?8vwzzR)hx}$bEelNS2s8tGbeX zT5@ko!-hSKc13~Sy6y?@2d&{I%2QF1r#b0=hRxT)tEr~O%}VakJNo#*qtQ z*!~RIJd&0431K2g5F*yCU-lu~HoW2ulK1@!-mo4Aa3OY3R~L~7P4lp$KPB&cUce#; z({(oNDVNjWJ8W~GiK3<(Dd0E5vv1$f8A`^x} z=uj7Hi;r+uhUYsAN!@t7tNT$j$p-fQN}Kn#I6-IAh#s|g7{L{fS@6|`4E(75`+Ab& z8qAFF=aWXg3hSw0uPZ*g@KgRNG&daxvC-(cOL>eG{`s9L^a#>kk+rZ7 zOZFh@i8>VIUnbW-8&MjwxtKTPqO-H`{m;3=<+4=Y_lz z*8icJxKEN-Z;_JGB?9ib%+1(QYMgW=2!%*3y0W2XuJw;lDPeL~uo`}>>aj{YLAyzr zC~eOHc1<2N3vr)sW>ECcKm%mKt-5y8c&!c_&_-{c1U}Wt{_{5poPo*k%jkr zBAeWN=Oe%GgueD{&260Is>3oFs~3Zp3&kt#QuJWR9!T~p)rx%Zs-Lc0p?l7V6(_4{ zE-MMb(jdIu4Wj3$dXa&~@5o((@)2 z=SJv#_^zCE8AC4BL1Cq&TyY272J?WqQj$QLk<3v#r~T%^n!AF0JM$s};u(FTr%tpU z^iq!FR)*)>_D93}$RX}?4r#rXcyS^;CCi^BNafF|m?S~T+?G;Vs^^@bR-D$R=F8OQ z2H)XXo}}mp(KiF1CPD>=fvfZ6$Ug!fv4Cze5V+q$A^TMSdj@0)a)h9A{`hDS;p_uO~%A>?qo=Ar&)5(8(QewOKQ19LzbplPTjI=W(PV^!J z&ZL!7-p#^1wj633V4}Y-qFx_90>jZ&>j5lpH1|s%AHwzDwRm=N{Ns((rrUxblk77? ziE_eCxI3Pm7qXY&ESrd0L|l9n=CXdt?8A4=O+3&(JLImgcmS%qqgy<*yzp?;x5+ET zAhGxXt!@X()1U|0BDf|zF?S%@Nqahf&)Y_Tbio0Bu7085dBLc6&m`J6tN1{vg#hH~ zJBMQ3=69X<1DiPz8&TcawAWNwqwayEwY@Sgl_ zNmP^cryAYjBM(#qPX?gj&6g=1QZqGIrj3ASgTMv&eM<~4kGj?QKT_^+mS?3JJ(>pvNSWfXSuTM2NJe4>vj4hO`{^PfQhju%h$gvwkt~`)KN-DM7{StlsvYeE^YL#;_4_EIJT(1B?*-7z|+Z zY808DRBU);<12oevSm4^K+LE3C5tV<3z4q#?NboKrSTm*+AG$+e#p$ctU1O`cUFP< zy!dDs^eN!~|}~&z8*0>Hxgl*~G zon2)0+o&$pZ?@e9T0P%3eWkk8$uOf}3)5u(5@5P4oqS>XHC<0#KbDQKF%(2P^8;)G zxA4=8Yf%1#-FpnabaalGHr{55U}6PIK^eM99Rqx={q9Nsqba1doyUJ0HnU^{De>uB zfWXL?@>#!}Kjfh2*(KK%aT(gVu-1Ig2I)!a11Z62`eHib1m{bW^oIvk1PDLesl0{% zbIXbj5dE^l@__Ga>nN1Uq8G&tgA|OraVfm;k}Q^nJuzO9|rOX9s)HGgl6f0owy{$q%{xIdXgyy>SDPKMlKj}5!`thKZo$V-I# zfW}2Q{624OzsrB%URqBU)JiHB>`eNPmhTz4LxL~B;u*P+N(~);xad#YoXxd(3`y;B!*X&gfKUFJ8=B9?g$+Ql+*t~kx4?p_GnT~iT5Vej;Hd4Oc2UHQ7 z6IS&I_nbj*_rCIL%5@F{ch&XK)1kdeBAZ@#cd8QYbtn0RV*-P+)S@~`xY%!NA&6d zoAU{f2Y9r5)+V;N3_q#L57uyX4Nysr4@xB;Si zEem&u?~l7lL>|O=qwtgl|G@y-?8Z zJ(uou{t%Cm&3@q90doHU5U{%sR1ZJ20K+Ohih9`Qd&Xisn}_r{{BXH`Lzp{#@ioIV zm+1@gkKb;Do^1NTfyyJyznarF5GR5xi z4N&;mCDL%NY_}H;x%h7jJLh}-9Y1E^rCc%R>t*K+kX9(aE9$~-=SJtYv@;UOZeUwg zJ@C^1xW2t3#MbRJCm^lbxb1Ar}s>bDri-X(p# zPbsbVheT*MxsO1QjDu2_Tse@AJDs?+BQ@Dl@q$JY7?qm;Hm@e?vC>-C>Ne?Op+vFT z-KiC!)WQ^zOIq8~X#suBfst>rhgh@~CiF?UN}B6m(nXB}1>` zF77zOn(LhR#pQ?Y*IqiE+D{d)$v55dKsmsgU?p-aO->vtwmG@=aC zu$HA1#!<-(tVJ&Vyot_n`)WPkL^YZJZ4~6fqT!AYP$JU}Bp2_1=dVuZctaT-ze-o_ zw9>+l7UY5gYXQjM+C;Uv9`1po-j#9NnVu+qw+ozcAPJXY?5LO)3W*+(6VcJRi&3lz za9binnLXcY_cDvxXJWMX?Y5Xv;R5_m{Uw3jndKOHgg%2-ms|={OLy}+hRqzN2HVOl z=x!nt3oHr5{{ZyYNCT1Eaz`wtA98s%B9yjHOvP3t(FrHgpWHv}4!!h@#vFo(mXaFq zxwQa6YQQ*ceDD$L9<-j&mvMc>eh#D?=~tYMzB5luuh)>Bv{n3V8_$g+*oER-M7E<| z+>~rzl_7@lPaEp%XHWM_wzE)HMuyU(nAbZ{Oel}d7iu5!%Xd4MyA2JsK)H$R^QM1Y ztf`zvHu-5}3v=NRXS9Wtj`499OaSOl&|CO+yMhnpcYQvag_88ZtTFI5LkKSRD6ULy z2$%uWAVtw2;e3$0g03WM#;}S+Z+>vSrWc{jtyyDSDcf7Th^ujDL$1Eshe^e52kV!e zgi_kpE7R2cC%xy6%RA{fFZt7$RM54CftO#bwf=5%imqTBqBKd-*!&5BS9yXkI;A5A z&@cmr!1pk>nIZ)1`akaUjF`w#jKDG1Vg^&vqhI#H)7g?uszxwLb@f*QqI8~*ozImO0I*P)Q%qWqxa&F$!>e*N^l%->Rg4B_w9U=XTr6h0nGyUKLf2Ng8ekHr>RIwqb#DUY{wfI#=Tw6#?ZuOZnL04>j*(*2cY z6CfgcGT%P&hG@`lV8jv2=4j}CH954kU%VIf%19)V8v^<S_Hb#I`Qv@CdK{}MP|#V`uu#_| z%6#5LfV%H4wd0LxaoccxO7PJ?cj^uCwwY;i0B4kt zSDx6{Z<>~Aj%KwEm}u#1_c@(m?T-sqpLuU@Ms?TUEoUTAXw=1yrYmMSYC2}Ph7&wM zWZ0wVEP#N1>4!fo$6cEPzP_2Q1sbO7eOQ&gwIuXG0B!`e{z2Gg3|LUNrexEU8I7QR z%sTx2L^$GuQg;??1f_ z@Jwdm*W{(+fR-ECaU#Dij(qp@oBkVo^ltmb$u=0M{mXG1Sl|5@EZil~FS#^F+-t&b z{)j`P&|m>Hi$2HZP}*d&T*R|A*v~sz`SU{EH+6*)DW`w#w($1Hdu1d8*2kIwsneUQ z=%kK^w!xmE+>;%Vw!zhrEvXx68#OmxV7gilE4sr;$q65AiH1ksSJ6c0noCVSu+Gz{ z4Hi(p9$SCSgY973=wlXEi4Ql-=w-A*#FeG6%E|Gn4NIz<4GU%cSu%brXZB#ahIF~1 ze``~*_Vd1_hts0b`rlFVao4pqXnATkzO^u?)G5Z#_XCJ-wn1gqCF=aD--peoraZ>@ zWNYhNVJz$+oRhLnaIDxr!}FsEVuR2JFmkrF%q&mIJ0}H4xq?@}+U<5F1nn=i zcmH#Lo7dmhnFcC;@I6nWcm<5el#<^Xk4A)d772}!eVo{xu5q*Tdr3S7D8Lfk{?NU_ zY_xoPLZHSep(3gx_OA7{sfVoG6AKhRq^!sTn+HO+E>6bzS-^&{G4k(+ut_+FFmY(envtKX?W;LO!=4PLgfPVBkRcxf7Hr={zZ)M&OGFTqph)s z)9ER%&tvmPd=83pP2cg8R>jm(8Ta#_3^<7RJSZG}6V11;SjOHbK?)Cw9;SSS1Oh7L zuEx{KRt{LGSbvWemjeFAnK{Z3M+srm2zEIMavaF``kJysBv_6k& zLwVzFi7kB9=whbJEy?xQ*C@8L>ITn|$*aKu+ZiKeixV#pZ=zR5`VurdRe^WaJ|0;` z*4&Ytp}*tam~61#SI)9kYrZO;ym9cn*1OCpPuk%$v-PzRTF*k9#2R-^`{kd?ij%YX zF`e}zPvv_iZ<95jxMUK$Jx@yw?;&F#zg0LmZ9e*~rFAWV*pTj(Q4r-T7H$HCq1W+! zsfiZ!Bty8{JwMt*e&Ax^D8VB00*lYFkuI#U`AV|7qfvT7Qxvu8(rD+1ZS4?zh-cqs zN?pGw5u?TX@0>7s+sXw(#8d?uG(ImQP$EAStd1r+`fTp0La;DBU&5Yt>D?GC{7FQT z^>H-y9m02_+hm_DE}t-F(OxfoxBl5kA}Oi+T4)S>M6Nwj7?KGOcO#ttOF+1zC$P6W zUSgaeHo!CQ4!ecnXsvl$?=e{R*XAWdo2sb6R#>0Y51t-Y-sG1|RueJ(Z52$w14ha+ zX|3~|E_9}8n)w4oS)U~AZY2okiAdVx~76LcM8)2&o|EA+ERP| zf-ji%3tUEGna11b&Z`8OwuS5cB# zb9z|B$arXQX;O0Cz|zcysNpQAN^^(B_C;;dlvD4z5iYLujwzA-lhC5-T zhV{;&qUnq0!G!*=pGOk1yUT_0y)ygrT{GKoAqHf&V*gjCml@*i&MB>92c43U=E@M- z9odp$Wmh~O=+qvfJhpZq-!+s^c4LMT;A+pw)&~s-0UWX2i*BN&=M5;3o{;BF_dwKs z#z*TkqshF1tUrc%HmuErL}|Bs0S2#5{o7$D=Pkh}fB0D5e-)MS72TfyeG#K|NrU7q zwo3C+wD@{EkosoS=8MqPci{kPFkA3AG}y*m?9G50_EjxxU5c_ASxt_h8fO;LGvz7B0xp)S#znT6u zw(WgVXMEQFoEJRwZCETT{r#tnS1w873eMC%jE2j5{!0apB}Jv92l-@3JZ$m>#*i`B zv%^wRXP-eZEw!ae)FPs;f9sR&V%mJm3~FsA1bgU}>Yz8_l00nlc6&K) z32IhuieeafJ6Uea39FQ=d#||WLWwn>3{{elugE2(_4C_!l#ds37PBr2oJ#EpfK%vC zR%vcMgjK`>612;!o*;9q#fl24D+rq0X|)Wsxgt5ZD{meQbJ^KyuBDvGjo*4zR5z!1 zb)Asg_sNc@eS4-=qJOvMYDRQSbQeD7ConP1Wbw{jJnHP@>Ue2VbtM}6pr%7Z4COT4GJs)N*3n%-{MMODbA@LK%3wW9rQ++`axxWTN+fnLjz15mbuq)jzwjAZ} zyE^9SxGB*|(8-YP#%G;kE`)h3*oM=GfT~z&W$_5387DGgG53Q)h^kg}sOb4vji%+s zGap*hh12;!$`iYk&#%MLG)L@5`hT?;1unRT79`dEgdQba6Q>**<`9TD|5ut|uHN{T z*}JIiY_!6-S6Y0?e&-!esgQ$4>X(%}%%Yl?wT0}R_uVYU|0Z|OSh}P$HmD}uYT1da zn*@om-;CFZ=ZiObEGvl;mzt5?DwrpGR`8#O8d=f^1Jp*_!SHhzSBm%m8MA__#ly&3 z0-psL2bw(E5N$eU1D+G6N#|0M8m>{B=DQ&{86L_B4GHp}37Sjl+n9hGOJuar?&wj& zeV}*T^uP5AT_G*8IVE?eTMy%<$jZ)5{qo*vBy?q&N#s5^xlG$11&-xwlnKu;l;&Fu zb7vtoc|seS+yT1jGwvI5C;m9m=|NY;Qu12%6f)xgs&cU^FXWV5T_8*fVsxrfq5y7N z;w$l$(!J2!4G*J!+UTVTSlz5?3`f(B+J(A+!|v)8C#kq+GZQ9|K>0pvpJ3tNp11{X z0l9ZCS^M}4c^N&MtVIe30hRrCw>*zFONuPct%Z4rfGx=~t?!X<0encC-l6~x_Mq66 z;kwc3*4+lH=ya#Pr5#u)El^rE;kJU}xiGhr1}wVyeiq?PnzUw`rN=x1hrXe)9;m|wN;Az zJEC4IK%@i=d&QGL>KQ(Q_};f6UT9skkM7c;m?<*-KW%+=TvXB8u7U_igOtR8l!SD5 zhm=TngLL;Wv~;JWlz?rkunwQ0`#J3D|j*Q_P)>ZUl(+JD6=fHo|=PkL&prfNb`Y`JqPs(PO2=&{zVf^0j z+x!$YX}QmX&lm8Dmky- z!SgUn#K59Ooiic>5QwkexHWeJvH;}UG(It_q!DdEZ;zLrX;iF!)>}@OAsCVb^G5-( zG#4^d?a-qzp06TSe2rg~xCx~#Uo&4tSep1M7T%f`>Y$d(lN)Gt>*mrgt&RG_4{S6q zcjCtmiM#m#m{YlH+DM&+tRmj7n76Cmr?79Hh)OdXGxn$kB8vqegnQyor@hJ?lj;)X zx$jC)KC7QpRa;s`h5*fl@$Lj3dZDDMJ}tGA>XR%4{J9qvMjM5{S9P81NH|HJQlN|f?0MWeK#Wb+8vtfM*$Ujhu zr}Cy>e2>4UO5SAdg^Oj(JzTSRj+X8hm+u2KjjHOuvc;m=R6YAp0WFRP8N8;z0*$XB zoI_tO0pC^NH#ETs2i(s9W~YmnVul1K-o=}{sttY9tnMRY8$FNhnK%eu(_lx_8ZY0a zg7ZRL@XuclThuRkm0u4SBA^f@f0@b86l?ojpjU`VJ|xP$P+(Z?9GC!6dkjA%77P%? z)Lvq?8LV|#M}BD5Q%z#PsLFN#x?quPb;jL7&AIBuJ%md|)rKwd{S|RfliF&4WPR44 zZJb}Vej0~TGd+5iwP-O{J>2!>En2oV3bd22anqRG%UQeyfnkUKNv-jt>6LikVdH+8 zAY6ovYK^z%oceYBo?Uh+D4Y{#j(4{HNAwVxkL+Tc6y8#G4d^5)qU3;dP8cr}>>tA9 zz8zp~wA;HDE6}XHj|VBab?mVKk`XWZ!+a83f^>7VZH}vF(RYp7J&6fmO4_05`D4B_ z?C2`K43VGkE^AKv;;2!|01qo>P;n31oNxZYM;BT6*nH)Y9CdrE#*Yjv`<~hpHil5` z8EvaujzwFYMXlPaSu{}bKop+=oWQcY#DWr3Z5T17%M)ghC= z?M$6Hglev3>i26RF}MR&v(KgoqxEof1Ob373ab5CjYI{P0Ej^ITKAi;Oqvq4aKJLH*S5r2 z)+=V}$U=%+E+IgO$37yUIP5|O=)+pF#qxN5RIB@B9af11{23n`o@o7{zIL&bPYD&z zcX0LD0Wrd~r8Y0JnQ&X9kF=?vttw6ctXfF3xSUdMCfVMah{vBB{Gw#8wTeT`Fz_;Mb z4wnG!V!@JHuI;L>klG=fYoBF~ zL|RF+*s@VK_O0fvnEQ(E4l!JR8fzw?=XtW#T&jre(O0{zyujs8M4M5oDvARZI;7kdisjJoZ`t~F%e~41PB=ZNF#%XzD zhvCoiU3}tMrNIN~!32&CyTwjZ4OZA1tuNd&%%}0B zHH^8rnB-1v+S~lhZ6(glHWfYokyOw%*a%UEg`b@=s&>(QcjsNz0*ePZk#k!9I@*57 z+?VX;bB~mtn6@wol|_6_|3j8(jFJMW=AI4Dd3b)2N-Kac*P`@iC1n#6jn`PTjVk6A z-R!YJKwbwdLPhd_$t7)zqhDRGWA4< z>GSTBRg$y~T=iz6cQmO8n0Sl|+^esaXX5qFrmLte_Po1Mvd$O|AtA~~wYAnO17U7o z%@gJE?j85vg1db=9tcQ9(+QxyxqmKxPLlSXlsB_Qt;NX8&QCTwy5$^udq#m-%^Oe; zXS4uX_%N<$_1tyaNL!Qew~OLSGC=FR?#;-V@-}b*}=E%Hg}hY16vJA760Vz7>Atx}D#W-gvSt4s;+$ufHrKFd_>^DI&C{fkS-YK<^lIk{it+bOc6Xj@ z8SEs4m1(+WF+n$$9FSI~+jPqrIxYy{n~rl91rkyVU>-^pf&4B)FTe}xLF0RC@Xika zkDHcqXa$s@<8?OLLPgzqG+6H$gt6Y~1f;$ir~I6W|6Q_gk?%xkDT)5*J0l2ECD-b9 zBYrc57LQ^uxA0iLPcxvaIP4m^*k-2Okv`ny83zWsBMaxFsNdU|@3Qr19%k-fbJr4p z>(32rttpWNEFsnZhP^FUDmqD7fu}oIYZ-8^drbXodnvyvyw1KZehg411OtfxKOLS0 z^KZ{wiX}g4V(Y6#w<~U@*6SQ%=TVXIYIDWaRE*U{kC$k2wQy+W-0MkhJTZ|}56w67 zL3Zh>)I~N}x761b*^nN=TCoAh2HyaN@U!?3YqkcUvD`c{XacgqU5#(M z?q)I>Okvq4{z_~mXofl1p}o;=Qb3i`-@{@H{i(T!*IGJ%uK^vEnlP^>32z*p4kke4 z{i&B|rJY4|6?}qApH*V&3&bx-fSQyH9Dv?zW(*MDJtOtF`|!!9)IFH#8e`xyZMmW6 zbzM&BjmF>CUN=BQEyHslXHe@lY=8;BjvM>i2%bz2R6LY<%nMMndP-^C^ugva4=aPf zA1FL)H-MpTHLI>RyXSjDnYhr?uqdI=#N~Lc7#q+2$&usc;)H2m)fDWP6aUjq2k|a6 zzk#?;md0YDDwO}a!<-e;|HWeBS156nla$ACE>)HNE}e(>+IzxA4|=y2ttFSMVg8yl zRM2OdkyZiyBOlkObBE$Kse?I9vmt%*=vIF9B!(xQxhalVlGjs!kc=rdbl7j%%pl|Z z7>cdgPg!pXKBK4x-&>gx=~hHEwGdw z)%z%*{a0*cB#}nX%`3sHCPpxgBl+qlW0E%Mqsk@8m|kOz)zq$l>qn2{x zn}xbnTSLQ5`wIq449e9UCrL8|d`Nk&-*2Rj9suNCH6Z_+8{`=Y513z>-&q|2kp1eC z5@f%$e!~|Y{Uq^wA|dftwbb2+hH2b161N2$0(F!)#vN8zm*%VolD3_BbCCyN^Co0o~>K)+_a?>h#7g^X2+N4I6Q?_dhlP z^L?zk^cT)0&(4XPPY-QRG*ZM4fo^|Cc(#e3BFYKOjY%AN@OuoE)s7`+a9qS)% z68B5M2HND3a$S!Z*3*^mr-PME&xW+P5j`pa3?}3L^pSA9RGG~KR_g)VT}X6X&;y`| zwzLmIymbYruEXbTy1XK`4F(nIkg-=hPa8{_OVLlN+Q;d~_{&;kk-FV&Tdjnwg>LjWP1?0b3#~=O zfOJjgzMgh`=Csxsy1jIBJl4=4m(nPXP6(Ab^0cf&S+=Dq)n-p5L9CCZSx#YE%vgVb zT?aivgUqJ~ju!&kgC~-IW1dnEpJvkNFP9hdZ=Pt?ePy6ISVU;j#ISeW71y*doBIBy z1f9LWh17M{a7k&4gMfXBdjYH=4@eWIzFw+Sk9@}{9^^1zu;flh1dt~jCA3AmHSD%SQ>7ay8T)z<UVX!pA!? zkU9Q9P0dmTIJuj+JDnw(R#>K>qFUCNr2 zzrH%{1s#((sQrXa#M5XvYk0ua!;;k2zPNAlOL0yyWLa*t5PO@-7?;j7RUZ_^qkgq# zL@GD_W2vcFz0>l}zSPuI{wdt{j(eopJHU_jfy3J|OVB$D|<-- zYx0L7rGaTOx8{MHS^8iH&Sj^7FOtHN^F)=Y>@p&UoD5fs&bwa+9Y@^16(E-deM_N>CB{zB#@6BTw|x5Af~l*#INLf3nGJ(Hv%@TU zO~DNw)f|EX#oa5XFXwm*ejBI#tSUv>KiX6asdp~^Yl}YUBKcVP1Xo*m2Ek|0q#Do- zaaG<{skc6AHbjiqg>Wyk32HN19bM0tt^FQVO*TvHJUntIK1e^6%rv6_<;Y)i1?RP_ zb&S{;k!%c( zYe~O;@y%x%I@DUPI91V&_jZ7)SA*#`Gh8EPPFb*|Qx*a41p~l-c9V@yVuQ+8|7Ss(bgtFM9q^rPCctyX4bv>1DcyYpNe9D7`qo_{e(=Yug3rdUGL+(oE6lHumtk)2E#?XYXt*x0^jEN-CVPS zAC`ym(FzKb2nKn)%vkF^f;BV^m4YjbPC<1599ndgFM;XKlv~$_SFLmM)Vk&+S*2gd2!b6F9G?z zX1!3ucc(azLvR4r^kTV}>{D|KA7w=1vd!YEL7-9FCi?EX)k|{4jM{~)kfFU}^dp0c z5xkP~;^7CcnsfRg?$YL}uo*=M3@LoF2yg@+aY>N&=FzTNrN_r9ceiVN#OTU5!!5k{ zbb?+>pFB=m5XN&XjE}G5AK|wvoIYy^NnzaYMj>94nWQy)HdLG?FKO>!Fd6527T-?W z>eoB15QXZy(A+o2HktXjVB6b6bLHGQXPWOk*1AFko5jU`7fm*fqmq{Ul2f73lh)8BN}j`@yy2~j-W~8&Y2ny0mfmn z0@`P`^2=X1M~6FvNEXjVgpO%$rDZ2kZQcYB@Cwffq018k%W5HFtaQt73{`+>_w@O| zDlI1(Kwg>@1maE@k-eCBN|1uB0g7g8TU4wP$3Evz@RV<-hzbxh`$P+{^lGEei(4)W z-__KSM^93{7baBPr`O;0O4%?;kJRIuw`P0F3ZhlmGaE2bE4<{@9=Zp@QJ`7ebHhze z9=``2opQ(t;GquQ^B< zaFbQHpGgYLHlno-2}Oh4{m;CenG$KIAp;yw73bF(gFrWgkjDLKPrWTJ>*;>`@kP8< zSwl}btm|70ZoF}=I`cq(5WE3Nqoy2Jq9CwpssW}Y;1ZW`W6e^;a6`8wSi9|ufyR9{ z=G&_2()@!+@v126+I_soa3~c(P^vWcZWFX$5q^4&rYF)Tv&dplH2gdb{~P zEn^qG%6#zMn(9-QO#DrUhh_N3ozs5K$X;ST$K6`>f=Wyqk`K1a=qgNe9+4WhdPF;5 zZl|BE&|-FD8!~8FFE_GRteB!^X`(yIj4ihp*eJB)4aW1{XPzjpw_# zy-Ec)?=BF-OkF+(-reijwOs6NH-Xq;i9w@68{Kz5Gs&|A9fbC{_Pc9S;^*gxX6r8w z4c0lGL)07j8hfV$mnrQ=L(x?9ZL{cd5pu0>za{zkfZ+o_kno2%Kl-yl`D?~KvVvR6cO z1)b-Ng8tG~PL2^Xb3;CuzvZm3?mtd~f|>Bn$6WPd3dVx&|ClmA^trWZ7I-X82Jzn_ zUcygV)v=h@4Y|zjBHhUIz-RQP^N&7kxi|=K#|XU@boNsO3!<9X{T)j#54d5x6Lv|v z9UStII+~5$X;8X`PWR+aL8N}K8g&g8*J+Qt=M1u=qG;_OmGptluMl_>Vcbu){KdR#(hp`kwW9Z9g&46OC(VFI z%A@pT#H#huC+4G(w1}+&A|3Ka^X0u=c7=$S(am+*#|?)qlvDd)pDzq4Y~MbuS4Xz= zcwFgleHPjXC2(@+CF+ISbY1`X9cQIUh^C6g=q{4Mx131(hDO=uT#7BV?@WXRd|Z%J`S|_{p82n{};`3k~uMfBYHGznKy}2*~eM*L}R)sBVfZ zg@s)=`+KLsDYm|gvYQ{iy2V(o881(Cwb`} zMt#J__LTIUYu-8}Z=1MvFQSNYtP^dnBnycWE>!q^%=611d(4=G{)ROdZkuY`f4y}d zBpkED({|15vzs#a|2``Gesl(jzuJQ3J)gffnjGCfcLSn`p=^xKNd86h?|uGj*Uv8z zjBqkJQuxOQAF-rgVf%@b{m09XXe?>$#ol4rDV+bg>G^|__%5uYn<95cn`MhNR_i@Rvc8p)eiC^@IGlr8~_>~YKNxqd@~xxk#GTA&8ujes^y+jKlTJpyKpvt6_?R5fmcz? zji;YC{;<;Y2M)z~ne^-m$Tw;m zcm~75?%vRsFc%8mpAXqVst*9=#%5(NDLr5l&}PX1Fno>j)wLlv0Dz(fWT_;<`qf*j zZmF2vuUCTyjv-e~uqd}?W7n+bWU2qp3Ma1epx+O91OSsA4aM{sGN@Xp_P8Iaa`GBaIMGZ5&-iZ zVIs1rQSyEQ+~;!c*FjH(>*`NV%7b1#djRCk$2H_t*8oI*3{WNOe0e+x7E)R4UfSr3 z9~hTq(jDeM8wBiWwm8a*oOS>xQ)HUYGXmkSn~(L6`S;~Cp6H|V|6)jlciiC zZ77^K_p(z_15X{;|4)Y7lIG>I&r_;_P0PjfCa@@@eQsk70PME`0Nq!Q*9+8eJP=-^ z{2tf)%#$X2dn60N6q$kC%>x!RWxsQz!!RAX##|)4W{5Z3TL3`B5#x0J!MQ z)Dd_nkHDR-?qRZ%1_^_}iET4q1Gk9s#R?*?RBS>M6PARLg3!Y`Y^oemJ12$jNW%Pi z1+!}RxKVI6gz^NQD7PZW+gW8sgp|+(4Tyr|JDH%qbttow5NI70f;zj(`>evd=;rnU z2h*_yfWFV=w*%g@?UJYc-+&aKT%&uSE705%F7eJJMW>P7;l3RM^4S##5pe__NA)gpYvSMM<7_{&w*eD86zGOgVAVWhO>Rt;IkmkMJEPJBFi4dX9x6csai&d{@g9Z z%Q#Ty(rScpdrrK0Cr!tDVrEr`%sbP2`<3Oq(M>0JEnj{SGPc{dNfP!0$%p9;sq|1Nud#L8mc)8 z`<~%&z4M&LkbahbA=#ShM6mcxLLXez_A z9+aamjl)XKuoG&YrMm>^$J7J>mz)#JfxY5#y}ny4DPpB~5foHc+`e%xKCJhY8f#ZT z(Q18G!c+q|0i{24Wk8`Ic387b?Ub4-ha=^p>+bU1($#bw_TO3Wn_Wd8tzqu<2)fP( z0G({T?K)bDlV#Kdc~LJ^>-*C5{Iw)e@o)8OPv)qaRhvW!i81Sk_*sqY&WftegO&LPKjS(A;7I-TalJ zdkwba;y9To@XGvB@du9L^k*jIa?x!$Y&(HgIV?roKoF>2GfqwB=k+L7W8&D1)7J=w z?q_Ms#B@o|bv~&4aBchy$eE)Dl5Rdjfoe1Y)zA7#aR36O#+tpHF_PT7`dt}uU;4vNy z!GC0T9mLP{U$qM6>?v|T*-#ji?O|E;0sIUn8@>&#^~jyX{x4KVK+bwj%6qTlD6QeOSXMCP@Wa9nT+WRqUMD3lFG z)|YSqT@<;bw2Y%cu98ZoI*ZEym=4|?F+SXT^WpX?-@v2 zBt#j1ulQRAo9G#R$WB6fPZI*qx}^k@Az_V9ktU|z=f2TK{*n1cu@QR?FE z%tRe4yONw)2dop_TmfHX4b79oxW!H>@lE(e+F0`#c5R6m5nI z3M!T@eVMi{H=uP?0;zi;EcjIAw`3je&s~wlcp#46SB(>*^FA!jF|?IH)VHe#QSiiX z&z!Gq?u-Z9SREHDp4}@<7%p0z*mEa4k-1kL^%0ibrt%*9l|CrWfd%^D0)L7Xh%6$x z)#ZnPP^Y02>{!;t~n2YHer$v$WNov*LOSvk?8eE53agys)1c!51 zPz+IP5QvpgSR&(6IVgu@L8vI6?XZtKPLS>QGMx3VMS_nNg0sg>`-iX_G0#FT2@xH3t*J9`21|GZxZ|L)BG%6pAe@VfD4hc)~kIq%|?{$|y2;IXMIa0Ol86pk4A-wkPwqZ#II$89KI{n-k4L z?r40LK)zunyCMM%-YSC``Q#SDneS|<5&ubn1S!Ng+MSC=Qw0;WB^(~{hM~Lgl>BH% zhQ{g5`=Qsj;TAJDP|*|#Q5y!|?}$5Q{LQ=acE32oRXI&$o`)f+=V53$*h1ua81nTM zm+Ua<6*Ho+knEsbjZ=_`4qS@*wI3%clW*m!67#l?ql#9f4&LvDtS{E# z0`tS#nX)TNgE)N~H7$#LXdkO8RT5y;AUh?};Wfz8tAcXQv@1HkV~{M9BUf)0EB>ix zH(RmXTIBaL)nWS8^c4YBB~opk3U*Ou-XwZjo|2F>_l@fSWp*s!jyiu9rNb z!mDt(WG}O`C{;VYa*>>dh$aQ0G)mL;nG`SP0ghyKmsaY?oOmx{5DBFu#H>F7v5~a(=tuiWYdA$mZbgjVzalZB=Q;&;~`{a0NHU~AL_<~^kN{r+|@d%6< z4-Utw2n@eH7%L#ru{ZT2V<-y&g*hU4D3aKPk z4>84~Y-?Hzql&~07*8KWT5sTP3X?Bs` z>zc&wpCquDjNmWv-e32+uTfkv%!LqcgbH<0Ym&;)bf%bt{28~PWa!-l8Gp?JSpF)o ztm!#9peZ>;S{rw)1;b4cNcBWO4u~oKGK;tmiOt0gyl$0zgj$fUF+qq+Qiu$Ou6i=+ z)F`1f5e2itf#7({GLTRWTo{6KO98X4_}WX;^Yhvd$4A4U@}!6HjF#U3 z-ifsyUw2os7{0L)bfKTP&xINOrA#)7 zY-oDk!cmyGEGvayobMcRA_G)6Hm`vRIcgEE9Gg>y5ssoEA#YAbmH!tFPrMv$ECaMV zHb&-e>|{(qlsI}jnerD}XoB1)Oe$ShDCWB>9jm%2(%1=_aT&W{@sTnlZORa2e=w)9O_8ZeaIeWs3 z;yxczrN*fR_U8_rTkOpwprHi*8K%h*!AGVSJv`A9jrzJfVth#2kXQdW3+H5lOKyuT zdr3}uP$?VnA*N4#cuER&r&lsRG~?>lzI5Ka49X*xaHw&L+@fnYM;Dr0I3Z%h#AAnd zYBgs1CHRNdkIHb%SZSX(9@0UshIZB7=@d-K&PZ>n{31?k-Ejie=KWv5jMSH+e(D;! zFsOx~T?P1dyp7tU;C&;yisV16W{hOWLh7IQ{ui?$y}#TOw|^I`;FP(c+TL$Aq_5?2 zt{7X3Z)&geg+=AOO$1EcNh&px*)QXiad?r7X-8Wh$?-ZGjrn599k#Br@lOBNxQ)cU zRXhfZ3nGq9@pE!QFpMQI=3O!{P{mqaysi9pF#jM0i#a~R-iYh$B|iSmw}ALSF`Rt#Q0m&DuqHieGkkSGdqtYmcC<5bX`82Rak)!lI6KA0f)py@M%T`cX z|IN=+eVDBjZ#+ExM=B^(Dc~Q9ZL$$YGr%`+PFel zwcYGd8Ms0LM>3wobeTEI#5mz-F3*wI$FF z&FG94Iv*)7Bga7ZjNeS<9%~b&fvhNc&WTK^Eyvm4evLjD6(d2oH2Px5)_fQybB~1; zJ+7r9q7h?s+Zjj9B8>ki?ukVov^)#1sPXl1gnoX(r^r&kj%TFN~zU*TvgfecqT~ zj-fH0+n4>Bq8JHz&oJAM{>Usu)_-dT=o%a>`P-w0dt$EfnUIPh1|Kf3Cx&^v1zJl5 zyr|uJqVAYCwbzBcHolW0HC&s+6AvL*_$KorHD2R%9;jV+a7*3~NtnFvE1STut*Dyq zygE43ds+(;$~}p7puMc&kb3tdO}(sPLZ(j~JEE`-ceF=)fLE}Fu6HX!eIuvqL_tIn z#?7`IN<~me&v>J`&<+(r{5|296``c@0h}AfLq1m(pNZ$u_1&SjfV6rG!WUS#u5Mf=(5Lbw{WF zsFgd4uXy!4`BJu?W^TwHj+uhb3qBUP`~!Z3e~%B@iRTP2y*4dCsx|i*bk}L zR1b0Xd{#?*Qg11PPLIKqdDs$NlGt<(NzPKf3?%`A#3CiZaPw z9O)t-loHfB+^52Y?fTFmoMqDfP9Y?wbxOiyh3Vy#o)r z(%i8*xb4nle?*{>%w)}X={zEETA+`8o@(h+45o}Hrujp>qB?7{XL&{MOQH({QAL9H zg6EPQn4FMsFKgvwL8A#ClD|j{eZk}cI>u5v0NpS;13k2{bw{-BEG8gul;@2QyZ4Tu zTyCKLg}iGQTZ2jC?Xg4sxC5EP5cD28da2ejAzs?V2?Z--p6Q_yiGk3@8hS0|R# zoBZ%(0)O#((VKFzBY;zBC6~#&I#ZGt79mZ6C7AnNL-1JWt}(ckF@n49z33% z_5x>e8a=2u)2qR&oYmm#`G)g6@wXR-`Je|{d5{;=unt>PglgrdI#GSr>sM-9h_mv0 zn4>4&rL#9;QH^sUXskNn+y*pH4T?!d{JTWMEaty@@$dgcpG+1sIHeYqRqMTUyFW&ctETb#_dPH2+YTs9Ry^7Tj-n#E`vo^y&b@J%Q5~{3AYI0 zu)nsYT1gF}TO^790AZa3zIF`2M7T-QKd8{y=zDF9#!?ec^a>0aJ8dWnCNXUTN0*$% z7bqI7UNl6>&pkukPaVUcb?6t<@;{> zDCX;P5HjCB++hEBrf8Xf6u|+Y;JtJ3P@jK(`#*r{P~l}VOv+m!ed1lBTII2SRPO(U zlp7piN@EMS&YF(^mHr>V{eQf8e*?g7K_`u0Y5xr=XLbdUa#Fs7>h1r!b3rlS!m@Z6 zrk>%R|Mdw1J^(3?Cb@(<{qwknB>XooUc7LU5f@SUk8=nW&LRg|0VBjodjP`uKQ;m1 zjUa(Tacyk1K4=`t{I78Te>wQSdj^>nO~8E9R=;a|u|D2SJd8uPF%j&u!ArayK{zJCP7y+6fh9vh&T*C`_uL=FDg^WAA!EqqLb*ztZKsKjO zRzeo#Rs5^3;-UC{Yq-5C=igCNoR=zkdB1rPBbQ!c@s4qQdw^??^@I3@Dn33Ybz;bm zNXsEKn|S(yw9&@116M>}9Rkb+&rS8+7j8-3->noZ-oJ4_SZJ#E^_(R4G{c}NT3RwG zDl9CYnVG37+BN6g8;QPpi-bmvg#17Z3FXo6Llr4BeIsZgXdS*eoC6z@#GQ_&5G*b! z>0da_=hKyu33HgP=mN{dw<=bdSxUEGUYU6G}bY@Qd_N-#cFNw5SBl+TIMp=vBsJf%~N{3$Qc@LdcazOTtY8d}Xat<#wgJcd}{7tMfz@?AyH6Gig+}%#!lOZ`8msuz>kmuC5#5vSB9V z{g@(9(TJ0x8?nhgS*>zgw@P3uYS<_TU-i#AO4`2F=m>*R*(u@W<~Bb& zV=C+K?-&10N=*ELv$&;Y5$v@bp7}nH^+J^(2n+^)Z9Kj@83cJ6M&Q4q7WCNF(W$TZ zIkVMC)}e|f=f}UVIl#X`u9MuQhN^ifxKmtdtoy9@8UYX6@ay)& zMH@8k*5{Sh!`UNfcr!Ili;huIQM`dSS4<$9%C-Pz&Z2Rv%lB3TU-%0o{KmV+7*v^A zN@jYw%?OzJMXnCpV7*2+BaYveLSN|RVNwt>_Dl0{b4OkV$a}c7TpjmvS)4RHKncU8 z!bxZC$2XOy$;5eQscoW5DiflGnjJ)_lRV%uTjO9dgqECFd3AjdNJZekAe>jJ-xAQ| z?%{Dv$xe|cd^V;o-Xle1pmE=3oK=Ei5(e`aZDq{oM)CLe7v~r^rp)(3ad&q&8Ewve z&w)9<5+mw(8NGeEQ&y|MR!4h2x%rkCG6dOPXz~pXEkamlYf&83JpmylcU02Q{xcK^ zWZrs$-psj#yt88C44G}KaJGE^vKp;oyjvABscMqy_Pi4oVRZF_((h0_;Yp3lnmppP zK-7WA$gh0fW7fgMW81;WH;q;2>#wo=CtLrFs{alR<}a;`A@X|JfmbV3xPjeni+(5l z^iuND3OAhnulzrOQ||{z{(C4Q1<6y3wLtLYgSf?ho#GMasYDSusKt>EithgDFlHl$q*FJh`82;uxrjJN6ct-~45@7)nBmg(~#Q$Xl z0WH4THKNRSeo@08e0+R%0gqR4J==1fwl`DFLVI?&)Fz(lv!l0YyE9Qz*-7c!2iu?5 z6QBMhAlIFLrkp+(_xMjOBk6{OUzqy`x0z8echOJES8#A?$V8Kuvy^`O@%i)TANGmI z)O2E^CD+MIDvBO7-w%jcR|N;%T4Nr$%oHz2fK$1l|#9Cd3h~aV>vLB5^1l6Z?8_akVbwA2nhTDJZIAx z93E!z)&}>+4Di6P+CW5Ys#Z?GV20?TW8K;lGRji>epiibhF{WtKEA$fMr^EJDDgZ@ zIpn0rana2pb`B2diCbm4uOjlmi2eP2dHIQ4#fW5;owB+*Z?*iEczod(FN~pSU!ON= zw5uIZVdww${xxd!+MPw*TjPYU51joCvj>%4e*;apO&=`?%q~vp zy|z?%P;%+9k?uL;Iw+i?+0*QgP-KCzef#xJb>EBts#;3JhhHksniKst5xNRj?@eK^ zopo$*phZhD#n=qVSGM!@^(}w)fKNh?jucEBLPU5bAhTePp zRb$@X#)ip2CrM%Gqy&!JW3xs06qW*OpRr}WHG7~_dWlczb z&L71r@Xkfy4!9Vku$43cL}+nCAfy8SQyeJ)6pQ%k{T*TSp<*S0lJ1lFlH%U4TMD>n zv#pG$z;oVxyi}WV3{cPw?q^(^;j@E zB(*R-;CAHc$o2&YJQeFwV@1AEvbcg{X_e1xChhZg6g#*!N zmysI%u}!}g6&#KzZf~rvksFM~_0B_WQ=H7p-#FFo9gu#d5e^o~ao?`d8H8bL0`nFV z?WefdJgaE$QU9`3d$e3d;j0n61_T^^?<>nIwMcVrRdyvSa}4ap09 zeN(%b@<6^^AYJ}<1HKBqF^^gHf}lrv)kwXvaFcDSY_;>T7!H zt9znGG1CK?YEzs{8VvDSx`k|u%PKo0y%|xDj}9N+>EKUSXnsL@Q|V=X{7eNtyaCXj zQk4)!kQ3$4K{}DUhV_v)6C>4yZS*0RM!_4)g6C;!E^`qs+uc9mgSXMUR~+f zd#lI#c^>6#nl`X*-v4RXCjzvdI*yFQ%B$*_kt2fALi31G-;##<&^;^97UIOGOd1&t za5~ZH^H`qo@ldD2?HybDtw?&oDIVf0>x^@YfR;hr{d%uA4gR;O*Wwiuf*#!CvyC

xTtAGhbl$?VKQ$4q-lfnFk7iKl>~NeH|l&;V#-!1%@`G2a_3GEbZ)l(QbsJpNrdqHFy#1L3 zQx|=)7kXle5Vs93eS|yf2bx4?XDA1+GiZ7?j9kSQ!0k73kF8xoE{x%CH_WEkjw=$q z0VfW_1R)ND1_a8e94PneQUpsDc7i9~m42GvEl%5G5>*iUk}Fwy>N24=TqaWAD+1eI z6OX>Z%h(G;*w<{BfK%3#Z&|fwuW0uw8_7F~Qx>-@o(kWTr#IC&N-2DhyxE^|>oW9& z^>K(FSI5RLPU!IUG$h{KFT>>&_@ul>f3uRB17<%`Bo!v>XKv>tPHLG-w$*U#^mEST zk7$%YSHe{4#cg!(n!V?WiLJ$P(;@TGznBKw=l<2M2#^Q8!i&#yO+>q&(bI;<^ysaa z#iOAHlMJ{LqRFVv)FZjsG_Je2dst`Uyi;>Qin*Ws5Y6cJR>TIhxA}Z`FPY?|d*S0T zAJ~`kVd{;U&@kHqi(=L2LDh8^uDHT%)Nv*m-+t-g_n4b*0(!W0#kagRDN!2j+LZY0 zN0Ozq`HJ$TylNUYHb0Av^dzIh9osXEB+BJK_>9(XQ{A?72p*y?^in2D^O*`SKb@=j zRzaO7Mz2Mx)FyxYriGV#EFZ^#5@TT%{!t-ay4wbJ$SR-FOC%Cfa>gyY_;MZdy*YQi zi(o;u2%{hR_4^%ZTpE(7h2gOpgn*#2heF#239q?m7*-vYyR4mLK~;a!u5hOsgEgP; z6(--W;`}-_Vw^{UyR~$qX(-y*^kY6h^L{BHe_3(~XlY^S zQnw#X+mZ0eM-=bmGIm; z3iv;IrLPf|d|}*f4;ZAore5l{&(aSZD*xxGTSnzh=We{vslVHFjFD~;?6C}+=O0?KYRXj7fx zBxJ08j`aPfzwpw}lGFw;w2vZv#Axx(c>U%5ZU>!-G;&Z~7ot zJdAk)Z`vP9qCU1|H#`m^X?kH^@SS{Id_2oeyB~{Tu!wS}wOyGCtIqU-dy#w78R97r zZs=}SBT7ag^3pZKwZZ1>i%QDx`QkP1jNW8lb%Fnj-0J25!<}F}&?R+OplIdfj*Dbh zOk!XM(*nEKg}c{hI@j4O4S~e4G3rma@)wzM_Szaxd<@TaGuGB}zSlMc2DUCZ50E3e zFa5!b(q#(J$tOJra6?g;Kn{&zXL7%N#fw9pUt4g27j3$Z$Kth%d;1lh7nyO9V5zkW zsWQ78E+I+yId#Si3R5j<*KlQV5IMHX7D>j+V9vH}gD98nvopOx&Du$;H4v&4bdof4 z#=lB*%v~7adx~|*mAx^|z64q;{LYhJ6BWjX`kcNEXDnEm={^L zv#l>TQr?F0U~c3LHib%Ir7P8mco~|jSD^^Upjha>g`iE@(Se~Bzt5RWVuL6B1AuF6;x zZW!u!Xrnse+6$YPz2@giZ?1}sqv}bkC=^W!Sx}On?Al>IS0f#xx5P0o#1XE!{*W$M z##*&;4B_r_nmPAREVJWYrpGxhIv(T7<}SjX;F?)JNI|`cWWuGOK{dorW0Eu>G^*;2 z)H*r^lGn|4&c=u~17?3rh6EoRjazj%li;m3+$JcML#8(liH4{;*hQ{K@cRz~Vf^YA zA2^dp-S~MRX>0Tm`t3e_x$Ozh2WXH&b;fYJ*NC@#xH28zjmVPl{fBR49rM-K{pkk} zpHRlpAp^N`mXedTEJc4ps&XBA>doMH$3QCY8*VX5dttMu(O9~}EuSt{QrKGEUYip| zR+1nmctj`%M2UyZ#axPzp2v{q1SW-Mg#fo>CxQe&UASSHJL70gNjHD!&L!YfQn zb<6y5l-8<1r1yBH1*qv5ALkz0{o*?nG7H(X_My0&Cve8UK_>qiD{m0&zs zheWOVt#nanh530mqQLdy#4cqz>PDGpQM>dL-V8)X%ZI)5YL(TsedRGo$mHy70j}G? zOEK2lkpCPrNr1VGRXR(aA%yDD+g3RYbGy2V>2yWe{J`sIdcC6fExyZ$Nn+kA|$*_451250@rL@@2br9so2v3wj{aDZYc`s}- zr6_RMwBN|(Cz`D(Tv)?3anvBfTP9!EjX~tpBh&*&u^RmIaPP_)Q$!KdPgzDWE*9iS%P;n4a}%X?v`VvYWGIk(YzNI`o%-4dR&@#>A6 zPos;vm;1oD$%Jv}{#w2`L!ME3i%RK@VAq+KRsFM_XH8~CJE#=ZK|3DW6Ph(p_7lHM zSyb&eYcd%HQZ@;k(-WEB%f^fo6AjnYEeeny=QVYVLVQ8eFI$Q1;)iJtrLsvC;QtZZjJ1W%D(_r2cqgA|n zgi{`{lPa=$roZKMcFz5x+&;AI!5iOk*Nb7-Vs7a3q$g_zXG)Q4Z0pM`U_t*w@ncP7 zY0456zC^wfOEqDQbPfLY4JmK6tHPw0QCW(~mziNYa{0?D{4A3_5m(Kd!NME;P7gV8 z5L~%^awO`o4mnhxdS;QMaMW7)h7r~^L(DH)6mrv(NTJ*5J^F*GGcg?^FSB^Xf)hx0 z!>@!$OkTVi{Cwa1OtJs?J55~?4zs_HN7bcl;S-r7?dI|1&4x_C&Sr2+j)zZnsxMRsfBW+1H2heYQHso;A~hFqQKU_D76%%~#T5ZfuTyx$cqU;(9R z$j<)zyL-HL6UB#m7~)yXRWA>5|0$8E$G;UIU$FV)%r$a^VbiUsUKN9(n9sAt7B~!Y z8}(02wIQ;wY>*BdW=&J9v$*Uf1aa;&a!vB|bi2hI^|Fyz<+tSe=IbTKaS7AlOfQ3a zx)mk!XT4guMqhvzCFWdvqEh>x?V4XG*3%u(v85R#TffsBIe;J%a?8iOOnprKjy_k# zz@hpJPB`?*M_ms2DW?a10l6!E@q@X$ir%S5R`;V?avFZ*t`J|OGs(16hJ*+Fd`Nbk zzFd^psK=J>WORL|9CiOaNcyxpMhmwQP8hK}Sf)#Ax%*H_nk|I6%n4FoU%%_Shyb6# zU^8#o%XMn(Q|H}Al_rLV@kZiw?}yN1-To`N4q}r0Wlr&VG_||WZS^vpRX8;uVL#RJ z$g`3Dn*PA`LSbg3ey}R0Wrc@Cy9SYPA)K0KzP2Os(p2e_G#R2Xv`PLI7yE$@0zZ^^vf6sv5!TA1#Yk z=RA)g!uPlz7ES*$RrCpwkv8r}iM9h)f-Qg7GjRhBgFSj#Yo~w@e%OzHLq7WN`qn=Q z`!DXtvTRg|oOu={b*HU<2?gUmatSH6yxgm47+xr+L2JOOQAtCCV4qfA2!~XX^mp7l z#m!7k3@ilPAUHVKWZa;JfKtGXaZ_M=&I4H174es^U&~WrifzvMNI$Eg?Y4q>rz9tq ziI4Ft*aGD`8wr@DbawE1-8?*A`}#KU@bP8D z@_4N8yeDh9Q)@tepn-(!@Y!(1M`_F+)vk)VmeV2O#!LFzqjn z*K`XS@ZY#RysL+?{NpaYET2Whg4LifT>lfLUZrJJbyecQ*bAKfaK6L=CmTGJtt*I92H4N-U*?bR`7t$ zaMJ+ua4L=fXr*2A%|)vCvWg6M;D?;`VZ2B@CWXbPIqc> zy5mGF4{2^#w=W8?OOQ;~@yeH7Z3_`~V^Y~g=ZaftGAeN>r(Y}?Dm@HLn={-OKuB1b z%I53U6%#0QYqGi5gnLX6lMsER31~0$BFHfB6p{t+N_xM1&Y|HK&#EgA1SzF>!t3om zvhJvj9@%K~&`QlKW?u_ktL_g8k>+wWt38nO>L%!R(2eP5w{;oDwm2`smX~Pn*?e&bciHREemnF_0C8UY+ zloT~4O-WdgX@(0>3YCS#*U`x-6lL?O{LmDtcbO8U4h-nI7O)&m@d?TPRz zCY9|u=s8xQV=nk%NIzD>Wjf~5Ao z%@FV=7Fjw*j$1pIapJm0lTtaBkbbFohmJdC>~@-YJ52r!qtkHAC#e3}Zad+nOT-0+ zXq#wZec>Ty4ww6nRAcdYA;yfGGNZ&NgkdAaUhY4m^w;t)A-)%JssSD1-hJHiIlJyJ ze1#a~=4jR7@hsk^&vb7_4b(3txpJzupspdSP@mFzzh{o)EuWpID$dD|E5l4Nm~7&S zl-8S;A1F56Tn_$H-O>647M z4a@W9R%JMHXYOB%;AI5#7BsdO?Gj{cS1$yoO26sJFyH#ZHO|!ZkWfT3v{0yEm5x8U zYH6Qu{b_Eya2Pj2H0${ff9C5{{lw@l>7`|^+;3N#g@?-H2-&UJa1%|LRVy@q*_LlT z?LGz66(27m9LvE{9#7NvA%{H%e$oV56 zmud&^%a@MTAOwoNR{;2hPwU;Rxk6&iv$3Zk0z!&mffx|uosP^~H;<%W80QkA)+~*? zjU!v%HR;rXcGfbTD-y!l@p-tRxhQ4tk^?Df3W{)$$Hs4QlR)9>IVEkKZkjqg-)xc2 zBp#%Tx2PgRQM0Ah(1!|=7xbb!D z-G)i4peudMqAY$gnD9-8MpQnnNAk_bIQ=yloA?I7urS_rh5cjZ8fKQ%PfmF}+{Ba_ zIN(T5It4-yxdp?mX)#b^>W%f2i@<)>;ELNPZ4MPrvF8}gSWXGIc_hlAhPvgTw|Tfz zvoA}zwikT>LZ^b_z`WBZdX>(U+?V?JS+g3?^dXYZ zLH%qv2Pcxm8;I|r8v}OOONpJnycCC*8(+d~cSqY<9r@Fsb&;H zZ>ur)sdPAze3H^{jf0NF95{;un@UD4l$4lcv&BunFC4i1Oj&PUX>i0|G|{b^Y5?M~ zYymo{Y!D3AU-MsCaMWAhQ2H<^1nP9%hkdjdl@NZowtuuqqS_=lCum$|$iqb*3u%)} z@qOJc^JeoEY#v5rnXyx;03LjN3a)IYZ z8-r9;wFWObagWGf4AxWZ9PyUd4EGxK41Fyqf9l5V_9$=JfWLv?U_+BN!?&*YcUe>= zL!;DIk4|r}_V_l~3N~G${oLas0Fv8Ks0^JSccstwDLqx(d@)W0^PJ^(ogF#zs&gGV zS-lNACgP&Nga)$`c?qlNLQAYqg6TWN+_jHS6F;m~f@|swpDfSq2bjCHnnO=sX8Sc@ zt`uPIF;A)k@c1isX#V~mOF*BdL+xU7e zC(VHAGsBPCja0L5prW% zAcd6#qNqs~c=>YNgQdwftzAigD*mA_ou?9n^{$or!U2o@4XCJVkGEXfr^K zLh4AH3@>UwNhU;{*kC2A^Ek&dj=?OqSe?SEI;SUWX2MHzCQ&p`(*eA?>8w%x#hpioBik^Wg%FQ=Qy&c{t1i#HsPBIYWz?^=39*(m?C-tYI=KPMn>pTiyF( z20@~@Cl)%dFYQOrh0re>jrj?L0;;@i<(Y_9%@zLT!{y@hGtWaEPwQDdKN}UlFsA;( zM(FKw&>Uk1Gk!h7|K^pW4Pj<5@bA?=_E=p(D6!2lT-3i7^#{Jf?e=Fm~W9!^eypGKpa7(dg8d-coP8`4HyA?ATNJvany>dy8MK zA!{LWS;JpT-?qW+Tbb8(owlgLVC|34joF>-9#waBZ!8Pp)i9Kz1s>iDe<%pjD8HZ@ zn8HZ44KD=VFdLT7Z5$Y`Nm6*ONh&6?wR&gN7(wuQaDkru+lpSpORy0uUO_uGU?rs~Jl6I*y zv#z(77*GF1zHFrAlm(PtDg^BtyMd%U2K&Y+V4blI2aVqZG8P@`pCO(u++Ym09%*E# zTjaqjmeq_pb1*)(Y#XK&7#z4K)sH;amCqQVxsh*~nWnk9iQLNoBX{I@sD-ib3^^th zEeOiDfQ4gzT6ouaUHS?gK(%{DIoM^I5^ggZYNvsEE@97)9I!_IPqHBmCB~=I0`BLb zWkNQyF{kKVw%l$4N31D*wXTiou#*kk_sYSTy13t%>jX@FsL?KB*gjL0`h3&C;I7YB zE)-BiVZD&gr4%D+`gUeI2dr8*>Nhm0r5~gV2K8S!=II~2K%UR1bJP=LAHBPX+yCG){c6gKbM$coj5sl@sWM_C23)_G`D;L zDTTqf+!3RMH%k+AvZvAzX@z7>&qSk?lZ}o0beSNEbY4emm~B#Xt-wWKZT*|4k1wLQ z&|+8S^GCf#GlrAIg1om6PJawNfPh)ug_yr%MeRNx1M>dvjx1Nau+YYI8_SMYg)a~Y zL=)F;dUfAHjsoH9yd?zUQew6=J&q#}Z|I`n(lD=_BkxlpeM!9}{PgsFKLLP)V#a@e zX&87t+7IhMzouSLpDsDN>7mkpF?cgLJAmnrkT6Mf`+D##?gAEURRfz1E938_obhOH zKH7BDTcSDJNk*`dEDYZ)rm!n|Qs)$V8VHHHp$Qv__r}0N^cU+bm7gvcTv+4Yu*2|R zxpAfh{o^e8VHxsieX@&u?p((Q)2^U%sq{)%8kda*`a9Z| z8hQd^CK*+6`A~%gJ6eWO#)-!^vURIPirsJ<)qElFi(|fHpmWx4zRvzGl2xH}F_dBbJebfu^I?A0_OvwuZqKepC@ zWBQogQ{ce36W`zx<0lW_1pK1eu%Ez(sIV({&Wkt#FbE0c5@#LNO1C?JIN_Qe{ zF+STHKRk~faMtg&8~BXp@wjCpq%A<%qA17Mk~N*Ez?xes(9>r;Z5|%3 zRQ?;c#PGUk<6IUIlEWR4!lc8B}E zuue6K01dg}Vucx3q|AS1;qDJGSd&lMx2j)u>xYtjAC!Q%v?ejZNeMXj8u=3GMmRyr zA;ikz6P5PTuIJXz6)3-whKcc(cz&UN`6sH(*yZuiabr7v)7KY+d)!=!mxIL%tQNPI zu9mYrb!(JBd57&S z99#_c{|h1e0%ay+sfR0n*85~eF@aa%x@@8#i%o9=uSR<^Qe&iX+7tcDe$m=%QoB6^ zqJBRAV4m5QCR@)n`JTiYZT13wIxdYhMdRx3p8PW{GSuTcRVO7KxibvR*xMod(c5ci z9*y48+B#Rxw5a&866^iYP=C)>(nYPNj#6EI8hf=jHBRM*hVDI9{Vojmi0+IaB$Omc zY9kBCfK~Rk-Og@bodYc1DW|!eull8(V?_Ev!#&%HqA&MDpkKIqsUw^qJxF+Xe>kcH zuvl}CdQBt_0F%FK1r!m#u%T$5 zo3yHdN&Sf;T(7<`J2WkpSL=f7E`1PwLY!l$Z$JYrd zXg2f-S-2+I0vRZN;r{8P0BprDnr%-rmC>-A9C}~P zqPTL1ZCfa|$L%$|0QF$1yzOS0{pN?rMK@?>f4*T9_P@9@jwr8>`G_ZXlk~hu)+{t3 z6JNOZhsMV(0I+W5WaB!I$Jw~{KltF* zAXLHxeteWW9i=FYf?cpe1Hms3QDVBhytKEr?%LkowjYOT!m@DvyY|1WL|0kYRaaHL z_ce$C%Dk8fHo4{4xVT|0iimT)9c(+h&P}J`)SU-Giv4^id~Y!sr~~3m8lSL*JbH%I ztFDdvggL`E?Qz8RAsZY)4-R%KIv_?jb z5I>vp$J12(K_|9apL<@%-3$%@Q>n(h8(*)%qhx$?5?%Z#hs7XIqr0nxpe)yg`{he( z02tj}s3KL7iFucGDS5OG45ClGC%JPBltJuIomKfBkvP{E`CJv)6QlDDp++X zvF-Gu;?##abbC;nSz${JTjW5^DB*J^lW=E;!sh;d&CShCD~ozLzG%rz0%an%NvQ2i zl@0P&0x;y2g-;@9F6R97v<(7&z<1#Uup3TIv9YmvUDx|mJ?03M#jZL8^h^lZq8=?j z=pES+CzlPf*m{1(xkAF8ojmyx7;se%7wOjZ&i#V1Id$0OU zoEhC@FX_SUgqB!KNlp$8gWHzaNJMcu@i@XTJcH;h19RQ+VCma;5N96o73z z?9bKZTsi**XElc6cYP`E>08kuv}-?u7M02)Mm^QbeCKy|Q?0-lT&ilV0PkyLf7-J8 zf78C4@lgt~Z?0&d06^Fc3}BI)3qDE*Sq*|6CJj6ds+Rw*vTtJ~TFf@-j~Z!$h>-pm z`@s5fB6Y071`|MOpnDL9@t0q@hcA}J{D^qr4r3u`n1hzB3RQA0rb$ zIfGu^jRGqE09^oD*4NE(cXZPOtPBg3@W0ptm%lj)MyUyAcXY{A{7pGP!{@l8yx1GG zXd52$dERW-A-HojntvbY8RgGZh2>MVPQ90hk21eCmXhk~YN&CX?wv+w3SgKc0_16bKEK%6-NmX@ z3xrt(T9*0m+3k@no`+Hh`-}x#?-1Mmk$NJZemaJfYp>&krFi&C$l#=KPg3A`HEr*vA!7zHTRu%_46I{8#{1}VBGI0! zLC)p^YxxssqPjd9|>D1sGi{U|%jIudmw#%5M9RG5-s$nDjniRq-3b?g*)Yb>saNvJ*sh>P4;jOHz z`yA7Gyh)+>aJ~isnJaBe5?%?cE3XQpl-mlwFSX%bSB04ZT_o6Br zUM%#8Iif4;_Da|ahu8hEaZkO31D;!soo>F<%j_~9F1N(sD(dxhG_+yI-m?vZV#WL> zp~9W&TBi0>DIh>DaJad&bfEdH#3^LlXPE88XLpJN(TXl&Y&TijUsdCSF~-?~ijFh& z?%u=QIoCn#)|T@Q_Rj_Uz zp-dgH9%&jv5Ib?|S5X~&2GmYiGQCUy9(yR+pb^_`_u(>BesN&|Y)RN=3D|@+(f`FJ z++QOuFWW?)0uR*Q&wJTtywxwrIXtqw}!Q@jr^(#BasiWcvdMAHXh7%Y2!TFB4oy*XY~m4syO& zXE=S^3~aPZ%t%b@ZC3H_=pkXJd^3h%Ed27*kATbxi)dw#j{UM1FxH4k^K)z9Q3x+E zlyNLr16_;oqMBdf*(yc6bcP<}c5+z?bLh5z@k&W0*PLNZUYh-dgDjqmVfL%}!8AVh1sB%%w*<_ZUe_k_ z4AfFc$pEbPy$)Y5O^Hw52b$-=WZ+1uo6AsOP=}r8Je(;?tT^WA_2;T>9M(|Mt^&hk zL)>;Xa+cJ*5#mu@pXi8`OhWIv$izZ>d7CEY?Qw0RbR76uS)eeZI^Uw7D=|qd3V;|& zV$q(-i4h?sVDIPN!u@N;kog%SlJw*D{yq+w3Shs&9zd)QS!1+HcTe_TdT8{OujQg2 zRtWNE_LDh_i}9niFEs4`)g^d0=qjUh_A>2Ob(7|)*eKlstmUP{6vd$ClFN0zmei{C zzdiprepfTxDCng!%tSjV8@k|oMW4AXj`Rl$CbQ>(2CQZan1%ouxTe;KInkXd!CNtE zfTH+OH|cmhU;neyg{c_+p9(R@iLC`nCMg{NGZ4-24)M=-!WocZ*aA_v;InhkaivYu zy^pPTT0{RdsOKhB{@*-BdJ|2W?mKZ3z+MA&gb%i|O05DFi*qQXj? z{Cj^-RuGqyEsmlZ%;&Ld)Zs;7q9m{9RM~bKpOAyTW-a@ z^Nf&!zM$FK*(%;DNk1lF?iaA>#4N$^L(DEZqN1Y0PDeqrg8?uu#IBX7-Ru1VQPz`D zmlhhCXdMt}5OQT3{wo0_LSp$kuI|2x{?=1pdeA~ztVs9*&&Anb(=TkH3 z0((pt<&Q%aE3F1=IwFWpR3fuEVbW{Ev$KNG6@dvN-it>cFbUI3{H)XGVsxMhNWBTJ zJ)Jzv8ny~%lIftASCMp&2$^3-Tc|^nG$5Pbp2#~E-$GO@cD_ZQMfG<-ki{7CMNekP zNzBmO)!U}f2$zP2^fZ*^57aj>I1UPp*J6|LPCaCAj3pc`HE6I0vNN-}A6QA8nIsJ2 z$!b-87cRbMMMe$^$xiC@rC#;%KASmY0_ww!SBp61(;*nHt7~$n#Jvob6c`)~0d^(sPIN z&AX`&oM!+#Nu1KE=NI^Bj!ic0yW2ESUHw9bW!zq5cwDTd%%*!Tknm=xglY7QYd0S! zWqS1kp{&Rw`N@yFpe-a6O)=xXe1b`%z@`%2O8R1o#s!;$LwoPuXLl3DR`X~7kn>;v=tc{wy4#Y+GRB;{ z$0`3xg5PY0-zkIx3359gK=@Cx6JBb!i1_rE6HScy7q4IQB0ikOySJQ}zY zypYgb@PIV){}hh?I=i)y4#++WlG#W8YMurykbW{(=*SZM+0W3hw;mU1#lMs1adl8P z)1Ti_t62Wd>hFJid8aorol%kko@gp5!T7lepg(#)Kl)2u6l4NsW|TXUUSy$h!xQ{( ztRxb6n2%RFD+jaIG$5g2VS7N$%;qT&G=L3HnvDwU9uh7gl94Yv!L{A79wQHc z2n%{^<>x1T{tx9kX!}|0=QQXp33O(r7@823ngy)gRS52Xqv;b9M(kE*(eg;r<;nMq z{oXWS!S|pV2o+P=QV}C`^FY}UBzj%7_F8XhZqA-XtGH_m{6u3-v}&xPwsvxRr;|V_ zDS=4{0quo0e0~1Kfb)Iw1?*oTVk8`af`NsF_36fir{~_sBHM#yNIgdHNKp{jsVPte z2GHc;IQc?o?P`ObrOg)JriD06riB4<;1hZ78KJVl+0&c)m8=6^RT~YmZ$PBX+%Q*Y z1Gc;QjiWUCB2jidRc^MFHwIKUlP`eC`J55-|J8El;ZXJOACFX&r7UTAlqXxH$Wj_> zWXU=-Lx{0u&Gy)`PKtI*mN7&|8p}wwtYZm986lDES%)cO9m_E0`#H~beXlEhfB*je zKXcAp=X1{edEf8%eO@K@NNtR!Xm&@wt({bo;n#rycc}fcgeM40-Ml}s=E^!wP*2_i z_%DQ9=bgs=k{c+oCf%mc?H&AO+ej~fWaluHk8E^<2R zNt(IK96x?M8~MQo6+|71&#{tOexzWAMXZ$`<(j;?Ihk*p(J|=VFnl8P*Lh`fx}PTA z)7!f;=J>?{h(H8Q0NVslC4cMhKRQV$let`SPe9~J8?Q+8Gd*HLliGYzFnZG)9(YNh`RLYA9K4aRy z+WTXCDTFvGt~F8KVo)p^N)2S{6i!<%lRGQPnP{~zWyR3dThGrs>O4(3v*U3G3?@-o zik8r4ScY%QQI@FsXEmz>9?d}}R@UL475lz-qPLfEC56_N9n&2~MkJ(I`8BMY4!gOr^COif8D_Y?8 zg~H0F1igQD{`3$i42V5D%EB&IZOCEd9m?O|auJdHSo)ve3lLl-@&<7$3og)^6u;v_ z8p(V>*DvkYSpEI*U6JD)IB;<6|HHwH9Ih9sw_I9Y!ectQ!B;msZe1xvg!#~a)w17# zW2cSp3t?n(ltfDZ(6Yj3fKU4RdhzeZBsisPnnp!(FhrgSPgjJwQ+M1y7Iu&dK&Lx_ zc|CKCW1F#=3y&2)cV-{Y2?eOh#liAx9sxYhfPQ%@_8RC9C_O%B zt=zr6i#&&_N@f<=R4(HR3P=|`2mG7vqO|$oBDKNG(=VI(7T6-8a#i6uXncL4z?GHq zR&Ezkq282bZEmm?_v!Sh52L^O%UW$CHm1h+9F#NC*d0uGj_u~iD^vj#u-Uuu@yURJ zLjX9WvX=WdZP|XL3g*``chzj=5JH|<8^ZXxA8QRBxDf`>s91<71K%ip>gBmt% zJlxz$Kv0ws*o(9Px?M|7Y3;vHh@mEH`)YD-*ZcE*rvrl>H1>l<1|mgu6lkD1)>6Qt z3Y`xf^?<5FY;0`t*|{P#!vn%o2}LlW;(;tM?@tgj5SLPazau&X9HJ(Ur>1%SYL6>6 z!zQ2U8oHdSn^r6Ws5mRY6~O|U)w{Ik&zsd&YvOdMa&fAw*WZp?iLzv@WuMhNiQe+n zse0^mgg^P;G#WM)?voThpDS_dj@QQO1aT`uFyBhWlJBOwGCtU?Qo9p{+&&S#_|W>lAh zN3Rh_qw8kzvS5d3r~LfsIo1@t`kv3^J6W9>Oq{D2d|gs>umWJL&# zvLe^$BswIhqp=6iEuG`f>XPoeSK6TUNQIS6jlZNc>7Ci!Pq01OS?#I@uyV_jI|n^vIM5i+YGM2@vrwb-v;l@W}P%`qPI zk)#61^U3Ky^Uca7Vd4+MgArTUKLqEennO0t-WhGl#bBMiwzJ~;&qp6RXkA1eD=Lm(KdhWODSvizCI^= zFGj7Ghu4B~Q#65zq{&sJre?iIc*!kRclwiqyjWA`(+mT}*1C93sJKFn;=>KjQPa7* z2hQOdKiY6--*~HCQ;{`74D$YNbJe=XqmSSk!Q32$5PX_=iw|g$^$2f9)%4{bKqFT8 zPPF(qr*$BjCrvbjq8^-#PzpO!4Jc`*ESbP5_*(Ifkm#Niuzt}wjCCAOUk9FAv>+B^ zlnDq^vqFg06!@^(TWvbz$Hgvpu`EORh9}rk-A)fAo9jkAEQ1w#hfN%xy@Q{f-2Ph( zNi!pDm5=pA3);Fq5tKQRePj{?A3jI$154!1$~HF=Jd00>J?0bwB&i`oG!+8jt<7S$ zI9zpMi*@VcPnxM;Hy*stWuFNztXKwgtCM@KkUtT4q_RKHys4oOOsPXo61Z%!7s1o1 z#Rb(P@&iZ10mQ1&kFqQO*Vs}iBNt82UC|K;8VSw?)JL%*pWAYwKO*-5)05S7s(x)G zRM-9)(e@w8P>aoB-QXYM5-b_Z%lA%hz1yBp-){D&@`$5Z-JMPXOx|XbV7;A8?Lrgw zjyiLr(}*k!mubaa%8%A5-)4+5RXJa{KA~i8O-e*gT-`l}6<-)-GDgQN&0F<#!?)I3 z)s2a>4~BYuakjBda@3fPq#mNRHH*Qes!b}fxjJ!T?|Us_oHr>zr~48)-Eow;y=JsK zD|H%VOMx?01lyKgl~n3hhmnR$)(D!(SpDoBAhs!%}il)LL*3ac{;p z4aHRCRQnK;I@>Wn1ctT{Og(Vea30dB`rZ`zr436!-b<-^SV{L#huY?^Emn(a8P65p zFWQ3rQz@tuI&aiA`#bNOBJH0Yel3`RLFFxZSce#HvneQxiTMN4;>Nxv7vV0T6g%+O zGOi7S0W_r<>>i;K;XRkL`>|W>u5Rzj`)rTv><2>hs1Em~*K+ECOZe1o(mA5^tptC7 z0E;sNA=U>oms5nVgpf~5xUKK*<`iI66}m+~8ZfFO(QY{|iQtY(N^Y3FdX?nN9YN`G zt0R>!U7?xjcEe?sCkU`S0T`(0#g=8xQ-bU$H&%kT*%c&t<%Y{KPc^|f4KrfuT>O9` zb4%^AhOXgk^|zexkT;5d^JdN_xa1*bCqe=XeUo|be9F{Nk+mG`GMI?bNxt#5*pJ-7 zWscfjN=bElCX0o@Sf5GlCRUA9oAB80XL$Sf-KtKmV_|`Cv4!<4S63Q(&)BuMIJr=x*zGB zBa)GbDi1SjBf#FIZG3%rW^^Mx-7=>jDXgxj{4XS@G7Q$;K?!r4)}IgdWeoJyw?vM( z!6cYjWDC-5#hG^Ap2V`YX?n(dn1s*Q?wMC#5 z0L|z_<2SF0ok1K6e`cDCjBegXnGn=l$vPDE-5%*!AZV@Np5$FMwwJgu{d|sR`v;FE z3!$-PQdffp58%BirEZ&ApKx;1rDpbdvierDIw#t!2H}z!L7z30*;3N_i*!sz6*Q#d?= zzG~=_>E4@YzC2?c&NXA-B7y5S3s@-+Lm8~lBxCFGk99`r;XihtWZxZjapblmwy;|+ zqJ5oB!6$buE;f#ytxnHgC=CA9n(`Vx+wA`Nb>2&ZpEkvIjq8$4y23cOTQU1xDM*of zRu_b`o4zCnXY3QN=zd5uE#S6jnKapTQwnWf9oCY6s{~PpXnq}XH07WxziEagxWapr zwxkz98S}X*$3WRgOYRQBR}KeSuP>nVHU3igQkg?YNn<3MGM<*@ZM4EO)bJ{dX7w$t z@9~=R-dt3-VxGj4k#XU_9J83&mi$~%&VlsI5K2B=1~2^4RYRMEKQAGHa|xwUdb64d zQ?3p<+dT5Q66C`+ig1bOtItokX`S=D|8iA!^^t zP|`G&C)SfzOXtuK0CZ04BprSoT^QS|Ji5_QBKc1IOk`ifHqGAcF^1`yf`{sOMewpS zk+C{xWU$qmuqc97V1MVT{7Z_D z4OyO*ZfhY^1*robnQAD6wC8wIU!qSnwj5^jBioWhd+m|?40oR%C-h4hMzF0g`a!I9 zjDMHv1oW5-jZ8V@3y4ExUPdp9fjq)*Q|To%iX}%P3q%#J7vVRLiGDFmenD%uiC;c= zt=zUpFpfxfE04wG%+@WZc7}=97L{|tNhXSk`1$-d)zio7FLstyxC$e}aggmfX0tr9 zR)4W@CS}eJRoL7<)O4Zq?bv6IJWa9{J=?ed#-kvLNY+1vGrb-z_>Ol}UrM0+!EuTsxaCFVJTu z61Vhr_5-q!z%mp+sAjtJ^vMPqMD6*(%FYO&@1y*_jB|fXmDI^459;+f=}vAsRd9HBNax8sq3J3B3(S)$JP zs$Ra!hrI~BKKg4;{)Jb2SeKbEpt6vZTrXXzA0E@JHkQeqit1)Tm0QIE?U|x z&?qwAjJ05$i=4oSTvKL5UtiyY`J5H&GIKiVCiZ_#P&+fet{@W=Raz3j4)=p$ z0OK@4Npq`j5+Y2{hpFc?XC7(_T=j|Gq3UxuSr~-K7Yjqp#V&w`m;Bx zWQS~lD+R%$A^CRJwpPhIn$VJ4VfJKiHsZ~W=YAKP_$8M7ONxq$5}&mZ(J$49dBEVG kkMCMTBFBP{B2%45bGqYUsP7E`HQ#kr+xW^GO}o4Q0sj{RSO5S3 literal 0 HcmV?d00001 diff --git a/docs/images/TVLA_privekey.png b/docs/images/TVLA_privekey.png new file mode 100644 index 0000000000000000000000000000000000000000..c086af1ec11e820127fa7977911531f10ddfa6d8 GIT binary patch literal 55761 zcmeFZRajij5;h7X1a}QiaF^hoV8JE01b26WLy+JBf&_PWhr!)7Fu1!rgP&pV{UvfD|G;!hr!l{LM3byru{TlH3Tg5_n!k>K&*As`@-BtD5KLO{I2hk$@mhJ6FRQ+v0g z2mXL`P!#_NQ944f3;u_{k(z|Dj0^-V_!<@h8WJ7i)pHZ@UkFG%25zYWOo#fX^(*}JSN~i?DL+5f^}8NC zS_nAXPwEa35IE@1KaeJA6X2Ud5E3FElw2VXQeb?vl~bNZ4UH8kNdx@Hiu&HccVtpK zJE8$AGcDSc)m?zKmBrrsdm6wV+7L`MEfJxpcZ4E^LL`vrlIRoe$G@Z{SUp*vj<1_s zbe5{6` z|9Y_y2^IJq-0cJD|9Toi|J&$)NA}Mke_%j;4^P%Ll7BgDA&820lYfl^wGR%mOH8($ zmHI#P`sZn%nU(kd8VBr#KZHIRmD*d(KQef3D`a`{_J1Pz-=p>)Au6IUiV@-eHEa?N z5aK_5|F>UmQlFVVIF&a6{~9(}d~g1*`2J53pD!!wMqt}W3a_w?jEt#;g$3sa2?+^Y zK`)PcGZ#P3ml}v`{H&I4>8+q{rVbnLyLo1}i=81vY@+p^a0DDJ+Yg`v6Tu|z5rmiO zh$s%$Q3C#{8Vg`xCo(U6NQmXW*w?RLxelu*?b+x$Uv8On+vojpLBBPUg8${|78oSw zeLKmW=&Sc~zsS!#kHS@8A6SM09`fq%&vHmkPEIV&o!H^Q7*v6ktUtCPxP@Qzi%A0M z+`{cjjet!L>wa|rr>v~Jx)#cW>|9`9+#^Aif;l2^cVN3<*L%rTq+LcJE&J_-zP8Cz zfR(sCrV2q>SXj9J>G7_!=*wjxE}+7Cv2i8+RK3bL;Mn`=Zncjra$$Q28&8h^Qt3nu zo3VOTrcM913Ulh^iPuOAFZjc|r=^pe^pmG2|5Itu4VheO&69U)O^s8+vX$L(>XYE@ zm@o>`u^SN3;SYxqf-gpV)FSfqX>Qy2u(R9oFtJUBa}hIFE{$mEy5%~+rD>f|Z=)|t z@9yewbt}mRIsS{A87R;DHt(d9__2IHv??OiVT60N#KzO290A)3gpSK@u5_=J?EQG7 zcQ8}Yc`epZm5_3DHpqmso#H~YTNZS)-Tn*K`(a-v=(_dkL2uwEP0B<%%K1D~;rw}3 z%T}UA=C&Vare#s!@%pvb(GlPnIbO>iuHWnSBmoWI(`RbFw6tv1rr<%4XV$7Wf^Zc) z4%?HhvmSgKL>MAh-K$;MGCA(epUfsB$;|q%YjAkm-x|XtORt>v5~CROguOL(={a;H zKZ&brqF8Vk<=30YmLQZn^-4f<-R50>TiW_~Yr05eTnBDMqN`wb9IzfK*wXoS37He> zv2rI==U$)deCU19e97j4*GxAuvxaw;-lT?j#;O@TUIfox{@Bb6E(0OIjJAdbo~V@E z1DD_h9LS-{{rV`wn{44jz52cy?kAdD4tlqZwqg^Wdu16FbT$Jh7Ai3xKp{3cyu z%dVF*^TcgMWi333qrA>n+%~M1fxJ8E-*{5D_+J{=KrFDuMJC!7M&ZXSZQLuAS6834 zcFgN?YP%lPd9>G}o{L%yFVU`EBUv#ywCOSJOoJG80|~BgAg3Iqz9xo4dibhctxu#zU}D@r+0)0w7(`=+pfuF14wSAl~arIFB7+;*j>v*!i}A&X zsrjJDBqclgD$#spto8WtQ-$^TU{oMqDu|vqSV0bmXgFWLyxT$IGeaG=-A4P;kzqan z!&l%U*=FF&yYjeTwFx*SP=o%Lfgl9Sx7KyqK z-|wZ4>VX|I%pM0_tQR`!NwTu$nNfm*Gv*`@9?KhO-k2AfaDd{=wA9S@Grlr*kvQFKb)x2#zt1!^2qtW%uam6tYR;mw+H5k@DXXT29pydizvllY4eV<6j_S@< z8m*cL-l=n)w7qiR!{~gmfnQX#_SiCWA38qoIL2sEUQ{&q%2m zp{_FLvci-mRj2%`O5G~zt-Zc4FzAy7q3nqZ-YVo56u`x6JFA;oS`MvBBO?7-$&e%= z^p@4v$`(a=i1ntfJzo(v-Sv8`Kfwrr=ARRiEKDE(_|Td4dP6&`o? z_V%2y(TMTi8%u*R^|!5Pujii_;dztbv%I5UYUEwubC=?}EVoqc9;{1HrXWya| z_94rzO82ya$@^w-6^xDHy&&j6lOP#uX-PwPG|KC~bZPM%hfU(NL4LYxeZo(5T?-z< zX~KSs&tVC>xw*M=^a^aNh;=V>i=f*%TW^MKPugLEjy<+{PopamgF_c{FVc>QY!8{2YOY%DOZ-ek%r1G0ypg|LP0C*@|oJJDGm5SnZjUF|8(9%W1fc z|N9XxvIW=maQ+BUn7;B1V<4T%}NQ6XMAh0H$# zB3C)J88%+slADEErJ)4qep`@O7VOe&V0yoFQJF2D?Gb1^)#I$cU663TYE%GUr9=a3 z={)sXWKg{Kyy+#{wAqpgrG)eGDdb5w9Ee(3SxINb1=0M1dKrX$lZ6-*BO>yBFQ4MF z^mUcN=Brc!kCQo(u_655Ie?9-09evQslNM$O*g|fBc0OH|FUHrq(1n{t9%G5P=A#N z!dFieY~hNDs5oE!xf>GN_9>@U#sqNaxGr$yhM#pQj86ddgP7oG=tay|uJc;*ql1NJ zy~WZrv*IOtqq%`H`+fZ6bU;zs5-Og?RUE}mAycotFgRMoFAaO~0yXbfO&x?)DN; z{~hV-_Fw}r?;x};14QO2Uqa*xmU6zg zNH0bz_qy>qp<^Q|Pkd~h?Rz*8+BoFjZ_`)cKIyO(9EnLu+es~mW$-a|fq%hGzgVd; zCMX3BY9Wu^+QxDNmr$*uTXsa8O&_qugpq%X{#8KNA089bTP?AlhU*Rk=6#6<)~^9zWB4{M8X>oz5CU#T>={4wJ?q@P94 zojF^B&T{{m&b8)zE*0*j^P#!bR9+N#@Yb>0{GsvRBfD zYML&v+h`^<>#1TAbw-OqE@SFv`4A&Zy}<3A)V9)|>2fF4&-L~_x2OYvrD>kP^#CJf zy_>6B=Xcq63f%91ydHRC&)oAb%oKoA6Db~(n^DzurN6-G__AH-QCeQV4VKOI`S#JD zE!_5la%`-L3M(Nh3f<~M#o8%V%xj!j7Sqvs3-`%%hM?d-Ex~|XZUeRKrE4T9L%vwq zB@+Bo%u3iIztDl=v=~D|=W#0*ylQ3jzYzbfnyxq8!s*1c=dDN$&BrEoUP%vcs*PJO z3?a)4d~GjA$GF-g6C1cmRpo7yk1x~?S~i`6@SDQQpyAhdVCUl`(w9!}@oF~QP>%X! zyX)-5dhmd|ax_-76`$*a*R~z?hyDkhnEhd?oehMogiS4#nYkIt!1(jEd)V;Ll0ZP+ zN$JW7uCYk6&K6#|IF}wHfbEHnDUz{HGz}u9=T_kV9FTM&*y1v4#3C0q%sz89F*$HY z{AhSx=T;PMe7irb07)7!b`;WoaTfktn_Gi0cQb>P>9g0ehl1O=#Tk9WH1xLpS2ml$Q4z~Mu=eeHCOD%MC2Kx(|DiVU< zDahZP9M4o3eDhx5uwKA@MqcaIhpUr~7`Z?>&%Mv9{ur`5Q?Pi zu4Qj(ihghO#|VSTx8iY@)wcfAhn?{(cPIXT&9NLSv$B+1X_pFvdQ02aXD z9K+JpPIbTYdLRthe-im25+!m+XJ=IpcI7V$efv20% zAn&_6?@*PnXM#i1rkOq2XT&d>MVPWo~M%mHC-?46rHK#Ke(r>hCzKE5W8}8ldbt^5B;;#Y5nzY{@5!_E?=ixbdC#3l1`|s z>NcBP*tnpXzEk1S{QU3ithc;KS7DFQ>pcI+E0D~$mA(+s-7SYBaD5S_*ROXSBubSQ6C%k{G= zjO6lvs_~R(@`X{hHMa?x(WCsC7a||zf&8mcHWM<+j!u$@5ymM_y zJ2vO{^-F#{YK^kLn6+>cSc|Cz1mVKS@k^n_OB1_0G8q8E!h$7KUlJ_>Hmn008XbCG z_aQvl~k?HM{-Zr0#jJT46_$%GS!3_ z90NiOUc8bYjJy{R`D3!~%Q|^#A?g+u9SKo688x9l!c#xe6NH}jCt>@C66@f{^$*hk zN)(d2uZgsE5HaOiMb+g7CMjfN37MOoB3$r`xj&L5vl_VU@?zauWCU}ay^*al9}!%#WD&?eYg%G<+E%GFdNXJXS?g$$dFt`-*T3ehIe_ zMFUUYLPpqCk)4x3bG29ruz@#aOiWXAIfb<9@}4swG4LngCj%*=W|sda=f0rsZk>1) zXn+|%1B*j|UuWHs`M?E@@;3Hx6%~Kb)6i@=oyT^2Y>J4~l@?>m`O4Zr{m8+3(?6aM zI3oQI!zg@nV_wxNp4oZ!PLqwi&Tw3pGoKsZB@n3d_>mqtA^Cjyr*angjG`j2nrfl7 zoYh0KqaCWVL|va8jp_21Jv*IT{Nw&vT*%HTHmI!B!f+dQ)*z2bcl`O$v=m9;@W< z`iA4vi>sO_#qtE7tf-k7g4ZbCy6bo+QE+GtFZg8wwrUz~$~pz7l{sk%tv&g!wse|N zpWMtM$bMy1G@AG0YNxE@{tY99R?*Y_Tvr8>Wa%{N6;ATF=R1y5HHiIag9n#sj6)Oa z?BeMWlRCy>A|lMpTOCI$Z3hvOvY<{W9~WX!LJYXwV_!9?(p~BM>a#|0*T1v;%u$rL z!K$3Iw=u%EIjz7$-fw|H7t}2|QSl*j>lACM-J4eht`2rqX&yJgzt_>ZC<#kytzpTo zBb(XNXrN~Q4y6QsyLIcwNd-QRf6ek&1M`E6v~xD1uV3On&XlH= z)R@QhaoLOA?4hB!a;a`=4F8W|!o&gl-=u=aXosB3OJ$r?D$r$`$0=`s>X|<|XpJN6 zwfs3}X19XH<5YS^RB2;=Y8S0-X##oD@AZs|H5%+(W~dSTd(9O+M6H_r2Aq}{mEQxW zXnB=|pIMWkdi>wOj?NmMgVN0#4zq>q5=D-pOZIRDB{eNB;kb3d=q>kh)KhYrQ_}fh z8%8ND3eQEG?5<$oM3S+BG1{h61OGcTW0q-c2SJ#b$rpJ4CiNMie<6>3x61f>kv$ey z3+pPsABHs7Wr6_LYdWKtuI=t>>?BivGLoYg6>`?S*fcWBdXlWqlDV0|cUhmN`5AxI zJ*(NYs+gqmdlR{8aVvc^HBC&L#PNRf_%g$we|PYENs4(-1Q>vo_63k#FSZn)hI9p~ zWwGjjWAi|Xv$>x8V1h+wzaFh@-mQJDqrj6x^r$?68BdSAHenJ+!UE9)GA5ogq z8r3WEo$uMpJ!zsRQl;(usq_gEHLY~z2xXa>NvqVc0UHnd12*|6lPW|*&z0N&)EdNT zBUjqD=~1P)Vr+G>gIgoLHC!Z^A54pJj2!tC>N{Hb@4lh*OGc^DHz6Y=M+{H@5*(hz zvvwQ`Ni2CcepzhLdvRw~`PxaDGdt;s;DsIA`7axYY-FKF@-p)wDMSB#X?4m6G7OG0eKwYIc8?f_tc1%KD{1x`^I% zo8V~i_#;?s5^HQCZ2WztyLA;fNPyOGEc2Ns+nz?R4gIVJG4${gz+D{_35WwnBTh9; zNLV53<>{49>xm|u{x%D(d`64o4WYlOO1$-To+=?KP|c+Q3U9^37wQS{qX|``wN{)C z<=c2(hAk`4TwAJ{tXTEncnXshLe+FX1+}I;sOI&DUKy7(>-@6% z9(>O8Ic~e+yKR;7hCs<7cz(w41h@6t6APB(71U=0i#3+D&fE5c==-JO@%z|;;tW7+yuQ@%ahym_~y93~=q)DB<=g9Z{ zfeDq=J0Gpi41NTDnadofW?5fxLU64iJsOGsP7s@VP*;X98`y-N4zw>QlZOP)>b%i|&`)KFgnElN9%BfDs zQ*R~7rHElW%Il%!xDRV;u{y(QWn3}Z3TzJt73}C5?yuGlA1|wVfqnLq33jgrv1ti= zxBupNaF3pSrCm7QHbCgZrO#r=V;IASiR|I}_gXbzfd00ay%5eNF` ztC4M+Il`WPNyXAN66a?7a8ypFVXXPjWuFT?tQaa*%G2$nx+Fcabkum!(f{~oy7d_8 zejr=#jsRQlxqIgP;zHW89}FC2=gTnTp=Pbm*M= zVssFOgx_S*V;pmv*-O*$>FoNdQs;KA&%qmT7GP-fh-By$^*KnE0pvZuIBYUnoC+dDmF3ERH%;|*iqrrgJa%ZuWJkQy*c^fJXDM|5o9pvp8D5-} zRo`a7Jt+N6%Smy(v7dMWWVq8HOk<++pWJLbRAL6ns-q`|?}uK~hgJ~QS}V=Ji`L2; zZhdd8j!Pfq6I`l=j>HIxw=&5ebFz*gwbNwuwFCJpuyjsg!y#WGH83nv6<>Jx-4 z<`=TX?*~{$u+S8kSv{OYE>_S^0e#njBMZ2X#^$1+cpwoTGOji@GPkZ_avnfuBzkk7 z-hRn;$p5JE5NIbdk1feeHHp-klf;e_7ZsgwKR>tY=i%Xh= znt1YCOE4@bkm*(KF(Rpe)U|7m0kNyWo64*Gu~RgI!KL#Is)a*bdjRo{wi-Sy;;v!e zL+5^4AV{iiDkv5n!UeJ$sYYg1YzqC9Z=GD80I-Uv{(jSI^OC2#G(g-QpUlatJx+U7 zR$Z#;ir3J$!dMQ#teTUj|v>!ds7PVW|Fbzo?DDBp^I!mzSt*+1MRJdJw~x z9&P0<1H8VQX0xp)s_J_=%yjKEOC&qK@5IqauZk|3tfK)x-y3mbl_MbXTy@30LNVSC zzndi-Gyr_P4=(I^oSnxGS{{%YFnF}i)oNf9J0}WGD7?ibt~4@nNTB;*AHR^Q6+_UZ=^=4}ZQqpuRsh4YQ8 zY8aaOmLDV%?^@2*e(9ZhHwzGHWH>#lv>yq&0`0zpm@~xr_hO=)SN0p|m*2BB1bZG@ zi3fahhxL)`Io{VXA*tHj?kr2414`|p{4c#GlnQt8L^sUGRLzw~7rg9;v)zpHsO|1x z1G$nA81^<3XHZf^qM05qvdAZ0J1^5o#IO5pIZ>Ui{BT4oeJSF5EY3=rBSt4oeRqmrq{b~S%-DkD*K|}Sscj?Wp zCA8skF)R7bm-b2QO@fhv6ndc?AZ)f;-Qpri=rF;8j-c6L{A(QL;QLJ+ehkXNHPoci zGDQY-fazCylg?WQO!WB@MUqg58> zoet#~o^rxX=$f_uDrm6>{4f#=@M$)C; ziqObE>SEVF1Q%w{N=AqMBG}(wHe~Q@j(GgXzHOvISSxWGFD)z-565zvN@i%JF412Z z%W6-u8Y`NAn@f7Up!ozPQ>kVdYoWM?K4l%Hj(-JC-BotV&S8fm4M=a!kuIvkHu<_N z_?IBDGWctwbCFe#Kea4`*K(RDQxN z-_gV2;fQzugJZHnV*`fwBNK5W64?p~Ln3S}cPrTQQ!cX&sFwaIw2(gm8*pwnk;}_V zy~$h|Jur=$QCi9vhR?~^^mti@5=89fip$^VFr8i+$MSK0@N#a*X~D;ND&7EEnnY9S z!r<39v=q=NK;eK;6yM%tY>rX21jHSc4;s%Z%7WGhd&^r@1{wOH9eCj`C!P59*c^n6~A zeS0T+S-nzf*?ybN%$izS><{_DSy^f``7L56Wp#|m*F*Do#@?4BLQ(r2kU~WQsUO+9Rh<7ubh@9w(cH#L#dE3Kekp)a%dQ*indW}3jno5| z#H?n9GQ$6-sCCFh0ApalP3(9W=OY;miYYA8?x@eJR?Tbb%@PK3ZMb+>de4vEw38j{ zU)8bD1J-^w6k&#Wb$q~L35}h+nx4;*TwQ@EnmV9{ zI?z|9+3(gyZ}WBlL9$SkA$-qfwWy&H$f4C>N0#T4oc-QBTN`btDj*<+jfa4dx1pTL z5S&ROHL!K^;1Ch+drn2j$5Co>Te!CZG$CMbiE--eFiB5@R?9llb4=_M3LoV9N0+l< zQLCc9$#w8wFJO3GRiy=hi!3?scjdg{pUVmBH{&$_lP`L%->?O0yKL&J1QJBNJ}9l0 zp|Rl{jNK!`I;Yzm&gGg7Gx_zgslw&-LueR<=6(ksK+7mHr=|3A6_3O@elFiNnl*fV zUViN$y(L4seMc%QmQUKa>Ud zF}#&4D-5^Ra-Kg+E(o(eg9j^F z&3kW2PU(NZn}qXOnOEF;30?f3Gj_!HD=@3zs@m}P1a@6$t4YiE#<<|B9ZX{GggDY5 zI>_Vl8i_itPgbjUc3F)Jc36?r_{xqc6O^smlQ($KfvweEs;0HJW24q^VP6Y>9^Kb6 zGVkJsn`(?}(4%VQbz0cF>1xX86KSCoRuntXV;26l2@r{R)tff9fGu}DvP=Z+_o^3I z@aDT{sA%9M1fZo)?y-@Fk6M)}6kSt2S$*G+H$L$C3oj?*&CO*EZGu`!+g+h;>_Ve) z|CEK!uwO?bHDFk!P?s|_@u`t1wt?=h>A3fl`cFdQDpF{)h3}5jOX15y>U9&<{loH; zCzWc!#KZ)%*FC7*y^h0-4R#~R=e1Awhq~eIng*B9QZ+BVsUOw_bNF*LQkF_b*6^|R z`?Lz)d7yBH$r_OkL%HJF)r%IQeU+(;ANH`}=*+Di_S&wabd-aB<9MlRUkINB>T1QD zB=;zouc(Q#NtFho-{(rJV6vOkxrsd-IBdcnwH)bphb%BkjW+J}oZLmGVBR_18$lZQ zI(~ykPIcKMEzEA=RN2$9R>$1(*bLJJGHU9is%zsTzfF?8} z2M{fqqZ>qO+5I9{O%<6Rp zBCQ_Uc)Q1MbHn=jk?KlGs+^1Q#&U3aE^OyOXSSz%0_(}jV!P8-RKjO|bJ`W1SubXG zAE{%%np9B{1=5-<&QWBnCXzeHz=!OYU$$e7XcJY4#3gXRg%_pGqB>h>wJzs+G5*-m zAeAVJskgll4fl&X%L^9A5}1B=yhG7tXTiu<%x#>9!q>3H>!?+vtmayh(VkTYizwE z`ai2K9N^Q+m3tWuMqHaFw}VCPlfARe!A@|tk065YtnZ{>&a1N5ZU@5m<2zl>Z1V>7 znmcoE9fXS!KB;ngWxe(d4TMmq(pttSU4=DcoHDyg)*19w5c=WA&a~=Zb#1|n8so+C z=+(Vl(K z$l_oJraB*A9cPPmgxoCU_&V*;vYW0EXq1x}4SAB!TBq6O>(CE&w}n1xE_Bj0hZgI} z5ixan#c4F+s`Sb+9%^keqo|43^A86#;t@=46|3-9OfeL@!g=y=OKDhOZ$n<~bzxy) zhv)So4mN|v&r_wh;DUCwdRx6MPrZ_QTh(vis>3SvT1$1%Rb4A#3Ep$UjO%K~;GCK6 zvyiS2oZ<2G9oL0ygFwyvA=UKvYLe3)K{Dfsb2aIOJT4R%U#j6#oL{*XhnF>9N|glN zEoDx`9^JYam~D?y?n=3(@>w?T>6){+sB5Vb54bDmdNCNPj%DwreRHZL|H*C+TbApYSd zbsnno7r+v0*VgQcnZG5Xv8eY;z+(I8JTw)R^1jA8~hb&c#xOsMr)j_(dy+{e^5Etp>C3* zOztvb^^qi0=+5)&bZ~Jz;oYYnY=bGZWQvY0DKld^ORl^^ivjS5Dw`atziP2*zQu7? zdTXm-t@CJIcQR_|e4ST-S6Rj^1FVctjQgz#T|AQ$D6Kq~NPuNt#;$kWvCAn|gX&FR zO4b4ejB(1VBGNDmjcO9eIT<-&8Z^uK(!V$x z&SC3DW@+on6;|2NnG4hsf2Y@$-UA;7J4KHL1k_Bi%+L8}ez5Tn#!oKtc%SX;o!q=f zLCK??Vtpn8g#_U01?FZ=(iY7I0LLx7)wRZ+r&9$mFg)ng3s0PT^%JBQOLq9yvM&3G zN;Ne#tKbTW52lD2CVh$s{z5^`HxYWB;r8Q+biTGvnTM;DdD8)Oxn-f8+tMoIY?7Zyr2KjqwS#?oYJ|6}9M^)P<#PohhRat9tK>1Y;T{?@8_1akm-J$s3!qI-p{2%o0u zyaJ!|km>TU2f-~Z0hNgv70C49!!fcE^Yzc=w`E?JMLVpQ=V?!T1TG79+zG*?r1Dy? z?}t5|t3sz#x+O$fvqch2t6H^cMD(lh#@vCRa)jTOIcG9CCo;-Pa!!)nHeAfjVx^jL z7U%OM7J+^r)^@q!m6o%IG@niY4TXXe)PtfHpsqBWt~n}+usCzv9$d5{lrpp1!bDSO zyZb=s0hMsi!=dm(oC#b5%;c@>x=~BSWr4ey1n9v`z2Jqmh%`mCyS(YC2OIAUJ-eR^ z_ReyeI??MbKp4#XFQw3_PWK|}E{UB{7~913|rK|PKxpa$w>kq%>JtE!0M5$igi4s?e8yWOGSk)eErghLQd5`MA6&MPZ)Usru8}#V>>^m1Nbf`{Ht( z@BrUNkSC0|`P#cw+i@Igvr0REq7LsV?9*~90?|l}@;0a+OTm<%QmSKnlu!C?x+3K9 zED&*HA4$KO|8Tmt%Hn#1|`oow7S#K|77oh#u4o?NuD`Hrov5a{eb=GDH)yG;GKH9`Bsuwi(sk+@aa}&%Y`+taE^GRY{K9YC?P4rl3cQsb;N`ZzYVFvT zPOn!31QIHTvNwDrwMwr`DO7)GJI) zKNY86;4Ag>OBn4(H9vm#5_r$|wS70cl%4CDx$u1lKGui30%LRSOHOTUK8tV?bE5^%r8X9Fm~q6>EqO0{#H-&fyspi{npq78w+>;2*;H|{*`e!l zTQo&6bk2aeo9Ps!N_$Y!U9D-b?Ntf>Pnvz5$vC948<|!Qfu+x?2%CP|}!04!jnm zF2Co23+c9B90)52CivYVJ`ZXpk}iO(qvqInJB4DW)%VJw)<2-~6IWo@gBHufq3W>8 zn49)cA-fa{2?Ey4kul_|EH+MwBK*90VkY$|cW=hbz}aVI^Ey|%sE?co@Cay}s$X2r zS%9K9I?$a|Ry~D#w*A#k<8x~eXNkAdLnY*%l_m836$h=@=(UMlZzur#<@u~<_Coh{ z6e|Zj)7s~;L_zAhVu+Q(In~UyQ(*T(7QAU81~pvjLnc^wt-MZG@3KbRV#0EO#BT z+45f&0I=NICJ$cMs?Qb?92^%BXe}$xlOv2H=1@7GYt=qphT>a7lw?0X=XQmTgc`-*fFh9Kv{dIo>tytu-j?JPx&mUKL1FpPE!mNE zXaMkHVJ<~M{dfNqt$G&c5%Oy=bAIjA^nE)R-?0_=L6!bm3MQC0a8p5@>mGQdjY1>8 zBy}>1t8SW0*U}CBaL}>2wZD*T49bfv3qEgI%Ny;fz%G33vq@Ee6k{LV{pc+x@ta(~ zy|?*vIp@;t{18YqdP+kKu=ACxv#1SOD3Ck`r{^h%T#AP`C0UY#izKd(K;99?Cn+$h zv__|K2}>Zch8QG~D$^6Zqsgj;Z#)S9{9gdOQtu}bjy2}urFIa&lF^k1(-|z(Grz#6 z)p4(0M-w`#a_(tg3vnVfWN1L{S}UOK*j(}Pw9*wESh>(XEEKDS�EU^l1;o#T+j; zONXS>!)Ln68i0~qG|{!p9v~WY)h%7WHOZ99)6^BA(v=Dp7!1MgZwf_V;XzP! zVNlk|VX$rlxU;qND#^tCWaz$j9jiYJo5}-JAUM4OGq)pw#!}<0$#$lgVJ+gC{T^w} zR@gtBf4x5j67y%&#gBuF0;z?d2pPJe>qX-Jd*ttigqf~U-iamV`up4ke`Pl9jGG>* z-uUXU0eUBDKxjaS%al5=1x&Ec(>m_eqsw&dD?<01_Bd5J9UZ-XyA4k4lKf3{jO!t- zrDiU|Em)ycs^8Mc>PmVY5(oMa88$+PNIQqa`en_(tSc{J<}^h-DR=GA`Fa$ zI$L+O%pqeq&d~f_^lwvcJ0NjL$TO7YK8#=j11`udWRtlDljl^pti1o%36 zWi3>z@OH-0zb#!{m9dUpNQ<6{aDIs4oQo{`X%Wi+T`SAg#usS*>Bm97dDzqx12#RL zd6s)DBRFRoX%n7w^xJuAI*N|?3!!<9dWkYN9fFUM{aqz&MVqZqgLa-u-=X>N7LT&z zGTvRE2U$kFRCMk0gtM>Bm40 z$c6mCt8;_PXLNoO)+TFXTl4Fv)~KPq3xwjbsOpW9EieHM&RFu+pxFeoV9OR+ga#N$ zW@<*$BdKB}M~FgHZ|Wp>S!f}2NsP%B?O z5IYz4YTR7XEAfT{FLl7HbVG& zRea;f>nw5AfKI9~#n!l;7Nd9u94W2r`Y42BEpX9tVCQ=7jJ|#oM=zBuA^sQ78Ln{E zyl@w@;*%qpE4!Fpk-C!WWHyRiXjyex$;_Irj&-9#Hk;6(_x34;`*P!vNkzR(%PPd+ ztUid^-a~rQByqG?*OSnWqx8Vxm#mxKhjp&VZ@n}Tl>2Vir|%0@NsZ5g2~0cWwMZPeIpn)#j?%ERt70Tn|X<9eO-$4InI^jyAjq@e_%Q zWONz-QbmtPH19`B!K{QL0!6uNgOinKq-@7rUjiRF!){{vwLJaQskHP67ZWDA^*A=> zbCMxki1^RTJ#x69;L2(b)e7PusqcLGxKSyUZS@*%Ijj-G6EjG1M{rbxT4>q;dP#bE z`qU!@k6>_upvFL8H9bUaGCNmKdI8k?R|X?y(F+-mYF! zuCeemaq+n=yDmSP%bcn}r_H>vr#$LuUj%aAIc0X*^mePsKWqtmPtw#clp1-DKg{Eu z_fMki+8P}%xxMlzpyz1OK?pdAj5!`C#hmSR<1~MApB*ry8~uu&;Aw{Ki>)njZem;m zuj<^(z8hcG>MQ|p-s*YIAV>+U-6SS{B>|r~bAMvL+_z`^U*-6PpO4G0IUj4_8}H@- zq1$HBcJRh6c<0f7#Qm1>uDNv4WYSDilU`bXaL)#Z;m|7!wtn?%PI0XKcZTLbtGSE$ z;ek@Ms#vr^Y~16pItgkJzMG3C?deJadRiTq{lJP?Lln1H*O4K94P>>l{x6Pm?9VuK z0mu5?^z>sD-66ykQ|mN21hqMx!;mM~h_WmM3TGeFO*F zp~b^iSFn#gOCNjj7i#7%>gJ&VSsd3(2|SNoHR6_@3VE(F#suneafOjp77d5amVrIh zv7L}d5lnW@0RMSuIj3%xuVMjZGKn5!4#Y6nNut9$}`#`Iu9)qE!29Dn%7Fz zQUoL{UYRc)KR=+`_)r+LqPu$5GW8gbT={)$ghH(NJfP%fTDV5rlTH@;3i7rXsIT*hF3=KcHaRs!tC zHAp!tlyS>EYgir?aJ|vuHhWjS`dm2ET0J0idjU-qWaqDIGM~o_xsIE-J!jh0gKn#S+8+n4<LS+V1Q9w)sHhwL{;j)A*)M#uHVY z7`KU5l&iIb0>3i-r~PD}w!7x?MPAPht8`vYgS03*>Fi6tbO-l(ieuKul(cKz$K{g| z_Yl?wH5sYL`qY<*Yqxa2+gwJvj7pP-2LJnw_<^6S1K86f337SS)m(K7S8u8lDCA@A zhxOE07L7I*%6xUNg<3WgsP4XvSy9sHJ4i1;-yU4ZvlK>qrhu1e@0@ev2gZX66MVKah==7 z*sH`TT$oQ9_iiv7JYNqw_5=0WAymY(XA{|x#~RLVkH2){qfCfhHq#yJj?z6Rfw*ALHQ*+0QiC&D~v68S*3E4bFoG zMY2%1m^Rjys~ND`o5g27FRSenmj60Kr}{`nb;@r7wfDItV+k(tnWd)1r9$SH=dJ$I zOUmTRkJ;&{EnBT7*Y}nil{@#Rl2)%1VBI3K+Y@D9PK$4*RZ7Ck;8xEU-49%9_0=m> zFseVT{nkZ8&GO^Z`Y4Fci=mPXPx^`9;MOKUB70&fI1MVu7D&UXv%2_uq@z9wS>IuO zyPRC7Uy}P;;_$JPw6W!4&*@_YbzG+7T7rA~3j17R6!^nEF}9e@kn6x#?)w#N?@za( zoa0z@PS7Ve2Seh&2;OfZC`<@kBY(@ppPygkNy3{_2h&mEw9<{n4rDsO*Lys!;H`|u;ch6UztOHbuy0AmRR$2+aOK!H>cOA zgLgZKRRhU3mYNYuzl=b4XPcHXy*6gv*%btE`02TRa0cmE8{VT0y9AGR4+Uc1@wE&~ zRYqmJ%TFsiX8pbEeNOVxup7;-%~4uSYJGZkt@njs+t{OS$G-97Ofz<2O)&;$3wQ2a>sFr!i#%?0Phdcqp1KTC}7z6f;>^6;d{`LtYuD#u`kYUVYDN85|asE^&IQN(e-~ZxhnS3*vYwQ z*UH>}{4`BSd}V;9)TG_gdyf_wd5cWXk(8T%ZWB_$j3u#`#y+&S%3~qZfU`<1Y@F8g zMJrI#kz#U!<|DTC&N|D%`rXMrgp1?vuoYw?eM~P(lbgM2FUq?gY5_ypnh7W6TBXY( z<~EU;=NNe-&m55gn~N%#>@q5t1wB38($dnmUd!U5;2j>>q(X!^K?P8k z*ggNL^F1H~1v2urDc%h{EqCgy+=dLuIe4R|&ki~w8)P;T+j}V0Da8fMO`p+D*zYWY*w$_9%C_5ZH;o2;=Ztoi(kKfZu1n;eRDXE% zm6yOdF<~>a%(MFkYId21d(IOPb0d?!u#J@hl=9EKaQa+7=oN_{o`2sjf9ufFFMRqPN{>$5W~AQ$GNuxsL)js)KSL|VEcTgZ(tBHT`z8ceTm9&;^!l{U&Hcq%3ix3j`{)SB;B#(NYFmpvc(qKqjGWM`q9N$T&8mXZx`zwk#A9t8|Is#1jq4MV^duH5(!4x`%t=Y?_k~*S4->qI7Xu0v zkv$91jgfA$(Oef3?wBT;4M-Mzbrp3S-^Z);n}WF+9O|C#nr5&PRaa%6M)dcsWbvzR(p-!f?lq2*7k%~=vOX>pHQaw)|NE+;sWS>vmi)sI^2ZDn;&3X z-PcI%hb<^_HZ`W-%FxFP_ay$Rx{*|GMAV*MiYyj)fo=I`Z(ZuR` zbuQPKIpnW=1=OrzCMr3fHznN*y3@5g^xR%JG&9CAdg9W_9{s{mxD-UAUQeeoDOMN| zTyI&z90MCIU_Six+YN5VB8BmNTWb-MuGSI?+sEgN#5o_mktFvKYxejvpz-+(D{)jGsbUots-I5?3J5 z3O31xh-QGmfjjkJ8}`old6k+r)yrdF)^m7NpnXH)_^Ea^e`^PN z^=t;g(`5|jraNgJeo~{{j}ChHz+CE=UJ;6RigWbx*c}&oSz9wLO6>XrgJO8T@blpt z1^=g$K}#piLZ62|m&|SLPMT(l5!|+{;Srk}nBlh0v4-^;1r@%qr*^NCstmU1HJZD! z$+a%A$&&Xhlmkyq-1Rcr@wSGUpj>mo; zxGpuh%02Np;to9@F1Y@d!s=C{jW11ge(=FB)8nTs%->m|-`Rpr^o)^;n=+N86$FeJ7B`UFTb~MGdd%@0$W_=xNr94g?r?3bPceGjGTt~*Jc`FDNu4ipN!!{c zXU+`0atmt9Y+wGghK04TaCUsakIO+Bh}oec@JQ-pW1NGCZ2znmlVx|z7NCtGjq&|# z#Sw}s8q?w^YAK7)W8Qw5g@K8>$caG3Cg!fhWW8Yp@no-rt3P>2l7`~)e!7SyuHTq) z;`2I43Fm{IQcV9bM>WUCC#^hk++uqFubA;WrVu$?hncH&3&-X`sN&g+_Zj3+6sTyI zT^Rc&cZ~2qT;%zf^*xG=H7AsKw$Mw|`|nD{?TltLR-6_wi~8Y?D0PQ$X!q9`zwv-k}Z;%?|Jltp^Mb$OifRi_^(7faqxb z-ANDqv6Lvn1jpO@CDkD9OGX>hN)xXxhl!~5r>esBsR@r-zH|At>yr6PRQq8TV%gld zp=WLUVeCdDce*(q<#pe*p=|1>&|@5b7~QO2t*V z{7sxui17}`!@58*v-)05dYH6HhSD-Mx`|CrQu~7Ln&|PE+?IdqzMrshVd1f67W;9U zi9pu;lADRKdwqq=)6)<74tMPrphEoDP{!wf7I$BgP$cKK_1W9S22>A27lbNz3%%?Yi$oD1+|RI=B|y?WFOs zD>#y!zS34S%cP4%-)&4R&wd3}U#Z)E?_2*^of#IwfPCoZeU#^4q9A#h+|NmVrb5)a zaqBRJR~RNP<8*URA>C+GyIY}IwX)^j{NvhN06H~uZEHueh#rGTY^Vc+3;rF~Ym+HX zA&IBO5-_95UzWy4Z91iTj=JKj*V_43AId%rh^LZP4zS?o`mY%ojVwBwZD`}~H-znv z56LO!OTmihncOjOU0EmSEOuTs4=tj$7d&(fWZA^G5R&BIwrHLuVVYMeqqJ*TE{a4B)#{gANmQ`_}M(69y4@Kpps2?9SS?62*sIp+kKnE95L} zUotz+_S*aj<=wZsG^;(NEIru>ztP+ca{H}@Vc9i)k3b~csOXBE?(H=*^DbPKM;SO) z**I&r|2TtW;r_z2zlhWv=A7SVP$h}{l@2)$%GelOD`XG1NGGrCNUvKl8I-1uZG zS@zeLNq*KOvqh}U)bQ=f(G;=HU)|8%-vJ6TU~+kO5}2J_y*yvbi@#LMKReaRyn5O$ zPXVWYIFFMFyl>9wTQo%=s3m&sj~7%^SXA4!K-^`+4SjUhMTeqqV*Ha^_Ff2|AauO+$+ zT+Px?SozHL!YbX5bv|0%5cn%9N%MO8bC8vBVQD*EFysH0)$%VLFa$DO5YiRS}xB{ zG1lD9Vl{_z$WTeG?n>R@_9pt9$e-z9(DJuTGWu$<;+`Yq3MglOTnUzg*cqr4jJF@B z_seP%N$&5{39Xg|`}xuLQze?%gq9ClKm=FWSr~n9W71(ed1+K+RL4Z4j2sxaB%Cni zP;Ac}u_}gR$ z3L(L&@=zMr+%=*RH}Rdg5NeyGELgLNdf+=nLUP?iIHB-nFVQh1e8mvl-VSv4v6j^# z$x`Q*&shETxZGK;;s=-AjN{luqhoXQ<4hTg0UmSnbW~-jGAYP4FIt^xfx%+PIkBIM zh?lfNRlS1BEcX3XI_&hjdi68YiSN5DaU4ARjqV78=f;{ym?%M=@&S+y)T#ePyFo<@ z$*p!H&!1>ro%!VE932R(!NykP|7ML!vz3v3bo0Z2I~?Xy|LEnHJs>?~K028CCBfvD zqb^~#xuGd~=fKm>x7tP*KtpC?4~hg}F^*!g)yem45v^!-kC2;6Sa&3%$-R~YN0w6v zoYbZ8>!q2v)EL4TE>WjT_K5srFi@yr!1~;%R@VI7JovnDo_KN@^pjqAn(_y6J8hjl zAh|y;l=)+G&!p&nwO7p=p(7|kGm&!5e>vVF6gAdhDw%yg3n||=sKD2|qVF$^h!ydr zt$_VIeD$UwjKEH}`MleZ+r5XgM}l^%`N%Pu8F$1R3|llem9=;o{e9&swpeD=mhH?B zrGH+tBkx0p0h6d$!$$M(ngUJ{!UOyJ;LJ*_wdg7GNgm{+Z+K-ew+Jf)BvX-xQn_u^ zE5aE`Meupv3Rm~FYL)-3<0E0_gO#$aP~wxQ5MZojk!h46z_G`s#b?#kP2^;M+ZOM+ zn9Ti~d@p3EiCUAV_)>{eZp2lg8H!3bm=G{%S~xO8mIVM17rXQZ-2Yo}3IfKDE@XK< zW(ji*R4Htcj;FJb{Y7pDK%HLcu{8e<@0+Up3o!cLA8C^BO7{LP;Pp;XU46clL_Ti+ zPyQ`1T9g1xAnt&RgCmTts^y*UkxFiq2$|#z^B=%CAg2cayOK%9@7WkUY2O1G)c7x# z#IG#A$2uEuSj7LyJO{EAdH}9$Y=!zmu>$8;0#w1B7`9#jigf7sYnbZe)A=_+$@)JZ zEL06c-Feo_(BAu5G9FoFFm~0#=_)lfHGQ!Js5Y6uy|~{Qibq~L_u-(*1_K&E92_6; zd*^fFisCdbR>waU0Z@S}N$ION^f}VOWsB?vytsIGXS$&`;?&0JnMn)fb$QvX0PrHCIkr7glB?JmS0R&LK z8S)M1uN!87>_&200Xa7}7nE1P*KPh^Oym?H=-hItjeYE)L;G>>Wzbt5lxB`z+-)&e zC(Ww&apl(ajKcXZKIlJ2?3XCX((lyal%vaCwfwJf2r8}Q3fZx`Kjh;5ri7s(U;n&1 z0V*-DqeR+l%~AMU#$&4E`QT}OQ$9tZE8X(hEYSg7sKYjEHs zgGL|fU+3g4P0JK)yiz_yX7mjYUiF=o@jw3pFsISeo2@*0s-38rP-fj~Ha0J<#G z7V}?1AJFA@Z(ZL0I9d5WT~2%J^8fn}Rh)uYUWW7b67_eAh$Vf6Y5hmu{t|{4G#tGN z_m*!b-Y$f$KBAEVe~fTnQuh|R1mfruZE_~SksSGCNchKJy{M+5@YUo$lW{snrS<%= zqDT}wfPo!&I%#$Jk39iI>}Rr&mb|3JMUB~Ls-lJl_Fz0QF+glbK>hPe7>I9*oam-X zue~=#Lm$_CA`ABoVMZyNjxxb>TyX)EbnzsorR`g)hyM?zX$y#Y{XEd}1^9pP0L@R4 z`}Ox#ADzL&2s41+zW}hjJSVHIY`(w^0F%=d2yaPN|LVV>-nXkfdg{|T?X*1sYH**0 zz}4rArAdEIRaMo{h0ZfKqw9m|tqI<91dCih_rET8U}h8jgRdwAT<(BEk`rR#G|7MW zhF!CqtC@1lWHpoKKJU2dov+mC>q8dI3eox#a0&tz27q7_L-tub&Q=`(+}>c`#mN?x zTn0wm`@QrU$F1IzzCY1Sf!#IaZ|yXxtgc1|=ya#-zamh{dix{MLni-3)P!S!p6^=v zk%829T^`jovniY|)lfq>?hWM1OVbKxgz+lm%1J@HO=Ax@rDQ|Loe`UP?}AAR8TcV}4N)MGP)mu?nB$P}l!bf)(GABYV&r(igk@ zd`c2^baeXS2ziZZSLLbR|EnGUl2#)CfSect{kXi)#c7`94EpWFJipd_Jj3y*ti+sn z@9(3Le`YqE0uUu6qGNzG*=5;zKkndf;-eaVz)rA=ibStnYs$=r11lHDA`t zEr9Ne5d@HdQN3i6DlGrIfk^^k!T0i{2kQ3z(_WzIined-?Y6(w;LnEqj0AQ&3VEOZ z%eVsuW&jvKC0m88r~g?zId7p5hzzU$|Iq&o;Qt@fk*L$~KP4)tmqf9^tig<_sF9)L z=)!4>kV%kvY^{NAOjiZ{DDyUSGp>R31IXxLg0C(8Kj-kkqQXD{r3nY88|f3?87U%K z9{y;Lt;JJ7PVnB!l~2&$RSQS2*se@W{1XI_o+U``3m^stSbTW(o6((&6#+*B3RCdY ze~fmJk&vN+4!1<_)~*VzNCIg=bEPWp^a6pC(Bge3*I(t;TlZy{TR@l#q5A!=KxSbu z)1#I|Bh!ZnW_MF7cKaCWp8jc_rm!E#TwnPFA_re5*S$dI)ly$yt?KWrGbqQcXF5Id zqnjFRsms9xZ&gvml$J_ulWAySf?)z6pxD`e0sfmTF+X^ zoQv68U(NJ2=xn{qxyteIl~>N$=PNJhEhsExprxe^t+%E{MFIWiW0@l)tBMTsi{DVm zGCNhsDYsYf6q}dscD9v!PTN^Gnb%&C4?!KigwS*5$17^u+~Ha2EF7H6VkR zx{r~&rdrF*53&es!^9|2DtLPf(xhKR>AOO_N1LQS&t9312^c-r!Wc~xJks|oBrUkA z!h)W%(rN-8^3jRREQ^l_6Ae3(<|`C4zbZA9ZTnIVeQ?kd>QI9->rIA`d;kr30$lOa z^ad0)l#nC+w_zxW5V!l0{8i(jB=X0?Dhx!u{03E!V|_2Jiri@1ceF5w)g{4+y;p7w z0+b{2glT;s@VQCBmpC>`Kj?b=J*5OQH4~0h#2jBLBK=N$BEIEOghWZ|<88BZ3+nHx z378FB#Vv!2lPemGe4I1UluyDxf2xl0PXiH#W zct;viXB!yZ3^OIdmvG>65}|*4iVImKh`eANv!;l#ore%ZBU_83&6>wQrR;Q*_0A&y zV0_cT5|WE(eWM`X^dx*#jg%grDn2X;tyIbLYBO7SJJNO9x(1=mGR2evr;@b6m)neD zlgAIi08E)P*waDZ|G}*N*9hI|VM%V&XEqCM;0h@eidTx5OH_y>LiH72F*GHXERM#BsL?6jl zP#r&f715R8Nsb1O`cWa6j9h)%-zXn(hXm0jT6TY_1b(73lW#jaJ|uM75NeqTA-)6c z^3>BvGuY`FQ%MjtiCG_A!9-7(>8`${`K6v8{Y-f%?%*`~SSU9m91k)sR|_R zthuAwp9h-=;)^ZBr2rpr0aEJc&sM+%1?7Z5!JoRC8fO0zd&57o5z+0B8i>J5AmUVy zxQ}jxx~bw$iy9*naig2MI^*sd$U3zkvk%w98_xnKM?;XDe(5X?o^vm0ltnbnfAZZ( zYo5x@K~}^$@E9#60am=sU4R~;u_c^=pJjP~QxWt-h}k>rg-1-(1#m&;NhdO&B??un zsZvYDQVWLY>txL|^VBm1{mrK1P~r)dNEX~h3)EY&uu#g6)+Z%Yf+0 zh?})Sh}-kB2%y7)&QuyC6eB+e8=gzb;~mj64X8R#34&rFw8=p>1uaFoD}L~0{fj{?oYs8?=OuK#3&tDM-8bg0VF91g9y0k61P8| z%N&!Rw!wCC2u1BH->1Y%4^2qp;C=ndK-_!JsGAGJl}i?Gz6AcNWfD?{ZtH4a_x$Z{ zL2K6@=EzlNo0C}x0RvtenpT?bgq<36l_>1`oIur5hU>JhafL2B!Jh;p1Y2?ckOTQ>LC=I5izIZhj)@Dz1b-n1e@oZMrhPB^M>?ZiHxDM$tjm?|i3!yN0Q217%9 zs9IbbuM82j+Pu=#=7ef5IKTUed`O21GhL~o{WeF2>BesP-bjO%u&CWnAAc3N?rYOK z&hP9CA2zY`+Nv>Kg-TZ`#DMXJDrdOR(tCtdET~XPWfOI!#wJvh+NMiumGkLB$@UkH z`{*wYg&F?}h!8%^reLa@VT^h0Ywf<+(`i8i=I_4?0YBI?7g|%qg^qzgQGa$>-;YADbtewott~ zR4>}6@Rl>cVS&yU8cr1D)KLFEnDW2v-Pc*w>$+D@~(aGq&Wlx#yc5EoOzE@Ia_dJM1M+ zA8PmWP9Ze678Sq1pcX|3$<6x^*5?IpVw{WT%ugSK}fF(`BPJ|kKA{cAYvU}xLzVRBc{NTwcER4_=m+Z)O~jn4)5 zoVLLQavRPF^Hy2Ev{9 zZ&jj4eR`z37h|Ipp|_XfxrFbl+@9kC@iB%DA%lL0DlOgOR(*yvGno%`ZQpAxs$uM3 z*}%4I>bq3^h9C{1^8LqLgf#rIuHyU6`HO%B>JCAKyTbaM`+mK?k!9klbt4u{0?1dr zh*;_{nK{)Kn&}_HX4~=@L+!V@1_cJ6ac{jDSF85o&rS#3dKPfr7c&yt!O@edD&p`> zVC$9nzixSt2G%JI9Gb)@n!Joq7Qim0BM`m z)^-V|*VVE50EL)Y$EwfkkCgwILcJIe@RZJySHjxH;=vGEN$e~zKH*oeDsfnpvxkNp zb?`O@mh$Q9b^V>3pFT2qtkd|ZVKMRAJ0-58L6g@{BrZj`b&0#f zpMbWOwb4$)N|nCUmfbe_>WeM=m%8(FWzO4ii(HGllOB}_X>OZ&4*%5lWJ%HUzeQLt z6(n@~5=Pbkb=1zW*I{sfor^-(f^nWv z4Bu1x2al=8>a{sm6yk6XRts}9Ymkm3+_aJ(x2yyiuww%5xo#E8B{{coN-blAe8U$? zzg){lxww&A`Wce2A1fk+UHBht()&1=l|Ao%l6wI;w0fS&{?&8yd@%LW1cQ}0sgcZM zlsj~=eG?)YwRQoXhoJYq9i=xQtEhLt20xiHAkTXZ%+l%*ccza~)DONbu$Dqhaw+5r zdZmt`oe-YZDUDEd^H$g6^G&r3Q#<|$4CWUh_|UYlXeUx%w;{^+)u$evJ}<=$*(!x} ztgNgjz!j5C@Qf`WuZ)i``Gv)!JJ$cI1v8inD_%@!f%RLwE|r$f=g^+pXN$C14@nVX zfhCL0^FczCZE(gbMvrq}U-@rYJZ41@PSEh`%1bZ@VT?4MXp`KK=4RV7H|*5JF&_-O z+0ypzxO(@&H>IyRAzTMVm?w5(V7qLkssmcrT6I~#(bp2r9`2+^d$~7+AWy`PJ(j<9 zEe0DNbc!mm+!Ot1szX^@Cd6EfpHz#smyi_xpcQ+Mij5LW^?UB#L2zbk`LpVIa`~#@@AkqD- zY_tY47ZT+Rcic4cV2IchykfWEQYhxPE`Ch>$Ut8#7LI%xxC}X2U(n!bF70-Cqz5}C zopL+TJ6(GA*PTHxTUSa& zya~N7DqCN{gXVqE&Q6nhemKomx419DfniGs)9R zdI!e0p3o}gL)r184_gPBFlIrQ=wn~{2k(Q{9kq<5)j7M=gr;)iTS8~@67G?=!PoTC zSt4&pyVwe+_DF>uldK-9EQy9P*O6@`%fkzLmoc=`pBAW)-NI9J;_00li9BGZ4>zJHfKGBY zm}4GO8D(3{&BfrKu79>g1e|Ro^n=9 z(7A_s5RVfYscYKUo*tgq8#VZT5rfHq>O~}NMX5$zm*hIodze7zk6xEx{K%dI180>% z+ks8bj{u!^s7WWlgLD~`zxVTXjM|=yW3C%d;5oq~Yh3V|(z+8Z ztg0`R=>1(CeQkuUlezs`gt-Q>?$1|Q$nc;;nd+SF3Y-^oL;><1pqGZDAY2|4j z2i+ErmSGQvSiaB5#lDSAkoqgjHo!$7pDU}fGiTRF-Lhe3$pCMjpWyM`zgmJ+s3)bT zWgXpB`i#O6w*WIRH`@{RLuN0h2{QF+{pE*O5Y^)KlBS@NJ6}8jIC}y5d5NMB;r=m! zew+84Lq6NHMvQ*8MAm|iLbUk0$e1MRAI&dYuaLjH2v2|Gw@c>_rFx2)!C9o5HdEX7 zMiN1UstTKjoHyGX&}B=3ad4>EVTM*XiYB?9af^zA!e5Ke;kIje8Y3py$yE83C|ve^ zfc{kM%JG}ep%}J8k6Xj6#5;j4+1Ut7IlqY1!5?0z zC_#gO$H#77K=zMKsZjvSLFt^72c-hfUHAD&!#pWg%Vo|GJbQ{H+I6SJa}i`O;Z$vZMGY=J9w5v(l5xaun5re-i&EVnf8DrsM3gBUL$ zk5(FvBavKFOg`Lf8)r7mkWQilG$riPG3@cc=To*0^1kUFC1XbJ+9z*nI#mc911EBb z08Uy)SDWp}hb5bs6mC2}Z_<|@8-yft9(5-86breF3ATFlRzDMIgS4Wkq3qz$yP+3{ zbhSbAwr32OT(CvhlUbMw%;h%2G z4~=#a-AerhdnBr;=zgY{Jn00P+fN+fS~(nHL>(fNDELt@x>or6>$-54Hr(rP-}+Or zjJX9ST#F6ATlfP?j?_SseLZT%A(ryXP7P-Qh~HvQa2y!vc&SU_iw?#{ZRIyLAZjI6 zYk7hKY!r6lc2MS;#?kR-Mv|odflgp|-&&U?NQ$@es}XzfY@WgRpPVwEjr{V9dPl?i zca#)EJ5D5^yR}`w>Zoh6APn>i(ZtL`!yfRO0u1=7)=6mv9rRd<2Civ!84%COHF!M~ zi+#L??k9xos)o}D=`Lafq61-EIfDiRF0(t|jWhi#)$fE3=cpW>%w45J&dD$l&83{r zWY@i?xR83E$ss0rWd1&*39v$C=4L3ohG^({h}hZ=_$A;hEwnlw;P zB?%3Lo9Kt(K*Al8)exT@ikX@hKdC}!-p4v&saA1gSDW}ncS}&%tY7HgU?6H^ zR!Ls``s~KRz}Vkrjx09i)g3l=FDAZoW&*v$JhoAzgbQzLDi1%QO3fHV<1t)O=Fa_N ziHP@IdzlIku*aVohA>?+`;-gvFALz{Cw|_cxDbSx5Cl&o2>G2W%)TlXXUYSu)Ln3Q zCpcCpT!*(PcTQ+>&8v>i9(I*ni_6`{k&teg&q%DLQV5eb|7%)mzaw+1nuVXPMf4dmuxaAaQ7qcB z?-`(9vyM1W@(OGN$E8`Y#f_nu*|;+y=NHpE55MPkX8EANPbeK4wyDD1?@c*YA4i6#rAF9$#0Ki|Ve0@cHoe6AX5dEzDvA1oIpn zZR3_~iS8LUX@bzP4mk>5FQQbNFKk2(mZ%`)#I4O^sfPvjg1E3!w_f(q;o&J)?tr~NbQjVyX+E{xRVINPgmiEhXphFkr zimwLWFLVplDgIJZC=(FWvwbP0Mup7dfroaxbEj81LDyL@q#3>JHl)lcwDnwet6Ek| z)Z7{XSNsf?ujslH3Zx{oCSB*X!c?cFV0N#&Gh#lPoEoEDG5ayFKy^0mz$Uk9BN8V9 zqXk)wr$-QW9{JWp@kWyx@_bW!P)XG=AmD*~u&H-E;_AmcrJAbMiQwH}@dM6x(W-`p zz0Aec)gwBn!C6a!%+!xK5SnZ-Pqr=6EH`w~z1dOkJgf`{V&qk&a1_^;vBYH%TbPNy z@W(F1_hhLUO&b=feFQxqTmq|CcKg|aCj%iy@*5V2f4};C!F;n&LicEaQ+!0~A@Pax zjYbHNF`!k8%bfkp+;)NS>z7BM(ZL4Edn^J~C3W4Cvx=UiafqQeM)cbx`r;%Uxg9fR zkv$Uzc1ycppRo(yxl>eq5bqFy1S-ECaCJa~vPE9F@?lo*25H+--RJ>4<7bxuAnc=Ci-%BN{k9%AfkS{Prr^Jv5g`*WH?VK7Vu9>(`E98* z2_#>B+(d|6CCRlP(cquV;E#ZY&8L(;35gq{DChi&eAswxp2j|jwW+@}-Oz$!UI%HR zW>{(&9Z;twZl2_dF&hVMX1 z0^d2wTo!c87_EPpZII2YRRA?c!1-3=XjX>LgxE70Vpw_4OX1MLin8f@R|{T=Aw2Ct zvIeMEd$ZgdvlLD+dnsS#NpD21uKN^x7m{R9Z8GWvwup?S)TVsqwYVs2lW?kg)Yjzc zfM?TB_3||m5PHc6SOZrGdFI7M(Rm3FoKPk+85hexDksLHMuhRIijuZD+GL#K8}b^8 zl$e+9&12c8n}7xG-EC@3cC5m) zP?bPtw4qj;b)ojBMoh*&6;W(bD6tvLqg|$^W!V-@e%NN(Hf2aoh4dlkMZL^ilyb(1x!7U7+H ztV>UwBG^`zR))19q+yChaU^2$Cm+&;43nQ^jVD0lkdZp`Oo8q&Jd_0u-B%jH1aA=u zKP$}ekeizLazZYuN(&>;3YR)$x6j7a052Y7?@lAl7?)$#C#xM3ytTP+L|CH$-l(+& zINo@chSQiBSx{x&F3fZ{FL3T@P1hSaR6$Heba-j%s&|K~L}s*e&|$I{4`}mb`K~MX z*2CVEz~orRPB!f{K&#zR3gLFhcR8RzV0=V_d8+W0BW=|;@HHch{D3mDAe_G#gH3V% zjv5Bziv_Z*N7z1R_jdPUG}Q#InZ>JpVm*)8omc|%!COiZ97;RXCY$|O2^XgLA z#8;u{?n^1~QE&9ZU&<&J35Egpj^MOr3yX6uQLA-Ut)BN^NGGC{;5&CE%r zlTw23g^i{pY7^!WckWvIPIAzJ&*KG$E;MNtb-X^pwOe(EZF$+5UK z>@{s1)mx2K`F)nAp1%T+$)jCb9d+8!Mj+X^`S~5mjLE;Ago{44;~=>g74*1oT!ttz zw9me@IEG|%vMjuk=ZXm4^GwU~gn>=#dTT;`oD?UFm~A;whqi!@tDgLO!z2fsB~Pu`9gBPc}NNRyj(Fv#Fn};A=N^whYl$anp^OMpE{@J_ zLPK3B@Vd+>x;$QF29gF8gh0rm)cUHFRH)*PRBF5@7Tswtw4<2^XB z50K@|WIe3`UWLp8{VN|m=r6LxuevxXEex8;YuewuAYhZ!kQDe1s3wqJ113x?ZybW; z1}Q{Gk;oYJzI2U=UG(4;6yxLG?|T~VCu8y>F-w@qNJX6VVHzYSoCie|&+z&19F&U( zLAa-!FJqHqL<3&@qrwEbIR`)b9#LjcOtw~&H|MWMA40OD(WAfD5)H&79iFd7wltB$ z{Bk43v_Ia+%5V@Azoq8VKxc-VLCf+<*?=sM6wsx-Q*W-P^lmss8M5NWVjzvrVVyOr zfFr0Z>q4A__Fu60`vmftip0$171tW#?N>(#>M_L@P(ndx%719pEC8*;s?vE18=8~*(~|-K-|M; zBj;eX7`T_+J|wV*|^AP!2>rNEhau9!H}MzD&ca z$*@ptV$$s#<7YW(GF7*+6Oe>}@(X_Tc#cBnw0}sz-3c9|VwB`MUW9}b{Hx3{qIXS2Ll)1?` zY;`TIySZ`7c&J)%(3*asayJJHR-eq}h@qXir7_PEW~oDuv6ueNC7n68mqDiQNBteR zOAaq(=)Zk&u{=zOpAvI$Qb$1aZR!IAwJ>zy9HaoV4LZJFOonv>oT8&e2V^DWwQvDP zp!h5fQ%|tlK56|AARg)_er&k}V;ho(;7gp+pdewOjn10iADpAXroFhi&q5SfRvN)ted5s9q8~=>{in+wI??HfS|_5#rFk zPQlPs|9!o=c(-{`f$&Xlys3`&V0E_ak`hBeyLr+;Om`4q_E$Dv`bdsBx!@K$s^s$> z7p`z1p1T~?Xa`!rNjC$a77FM!N!0Dv5RLeR@FX|heepA!1=CAwO4iU3H;YBTIGkXw zC7H{4@GQ)ZZHY*}r`F&KPDQAmcJ9VO$}!nd*7Q6pW0TY#W_WdiLrMv3Eycc^;vnMQs{-k@WV$b-pr5~C92p%J5i@GVwmw!Tv5nxUXo^$r|?3ww@XFfBV8ATBZZ^ct#Y0eT@ zpMM!g8Zmqdd3gP!4@ffN>?os^&OMSM9Rhr=^cWnlu_4NfKRO7C<&}LE2e?YDrLiDu za9O12V(ANGt-h!MyV6%m-1)v8L1;!}5tOYgZ8@C{vJg`p zyw5~BgD;$GDY_JE72$j^zBksvjTKPoZ>yrCAkB>wJwW6RS8Fiar4!!gfN@aVMHQP;=?W>ySa$+Ygs zs9QV@toT{)JC+NBV=V3NYl0E@@2tUunY@7)to8Y6oGlMwzda`Cj}F=%WR?Cf3He-H zf?4w9$e*V4RSr8tlCshw6}&4G(;Zpvi?8R&#U*o+$`{ir3}_&GH)+IW7efp{5t|GV$Ez7jU&N=#n|FUl8Yt6 zuKKmmYuf@JLA#1?E}fM_x?yVXy%3dBg9?>VHKY}=X2ZH(C6G;YMsRH?Am%XK>xbmE z=B0Vjs{dXpx8L|r_&|5nk02pUYe(Tgw8}(cOn!!t+JqfIEg$qLg;Rtp@Bt#@?!)nq zX=DCIBB?F)JMyE{X*hfbF{W@wezpc{_DUbaeP5)U^?hyEskDxpLe+)hR7N}%whc;2 zUdFl;4n)>w55&!$gV9tky1Rn-x<$E4qsu;pZ~82v#khAY?DLES)cX|>Guc1f-R*pz zQ!DRu%!q4CjPwmr6nN(k$t*x=~&2;Lf?b(k8p4rPKJfPjSlBuqASB=Zstrt3~%*qGyDKNqC~o{Dhst6iCOEXgdICTWFrfljxu zrzD?ADp_(F6gfoD4@gyP=g(dyqF;WWZiKx2=9F32AU0-&y1ak$$CkS0Kg+fKu=|uTS+U)TV zRE1j?O35p!8SmEp3h4u{!+Md&V4k9dsg z@}@u$&H`WVAmuC9qH^&;_XlPM!F>!*%Pl%LO9+lCPSs+k34OHTBx%NoKC`k^t^8v?Xbm1 zZHA5EF+Jv22_>OaZGz7xCCBIsBr^b7_b-VyI&dZ2q@+iHE>S-+G=_oa{b^c_w8j<-c~<3QzY{JmV(z9!)MO zamgh_ zcLXy)TTprUb;Tso-Em(yKE%D(q2UJ8>fYJnEh{Q`=1E(4co$kj$k;^>XB#(YBq^3Q3>!U<+4rYLNFOfhjg{0AR4Z!1 z&G>1YiZZCY($Kde@3tr`tvuPf+Fm!3INpiae z!+IA;+0l_xB9{eT3K#FmQq(Mk<=Wl5FcyiLkx?WcEv#^N8Xf52DyFE<6oL(|4(gJt zJUL@97YwyT`x9p;=FeZ&3$~yjWq(MH;0t11vv)PZQs+4`qdtKlXxGLLM<8d`(&x;X z6qZKH;bl))RL7cqdYNW5x`3-AS6wg@b;n@6<#;n(Zmo(;?On7|c$+fDg}gClcZG+#mNlFJ;fjwfak?V3nG zC2(7Z;QNXu`}uCjHauFY%i`bIJp^LMI#F|CWj}NVQBIOtJ(r9gvicWVO$F~DwZm>` zV(t8Ji1yhkCW;5qSdjH)_6803I{G1F3mBdB{`kReN&$=9ZzUZo*6`^DNt}!WCZ^}R zh2lA@CGVUKR;tgX;ReoymEV30UEE#zvQIFnp>$z@5L}*L{rfTaLPh1ndo2Oj!sZSR z4kuskMNg)Q1s>1pR(pbL4yiDD^ua5U z&%_)n(Q#6D(0co%WA9C*!E*F3@4kZHxhO|ZmU*9}!!fr-$QMfh4@o)9iSHRRS`==*MoAI zb28t7V&G>6i|57CZqg4wY)mH{y=A-J?2dS}DAGyO*cX#akIQD~;iLEV%yw<`x2F~k zoE!gjjG?0T(3N7TGcz%jtwf8=8yOjG^}R_-vi|w=XVt62@9y2lSple`HGA;9RBCc3 z7pUBvh=lKgpP{niun93{*>Ry=5%e0{KO*#ZdALla5gHY=`cZ|?UYDzw^nILBIkji| z=dXm>B!iU=2H_h?MwmZUf{+usUx$jA$2Ml&Vp6t#2VRApMSfjKpmR;W#95iV4 zqXEkW!!HFVmK3?wDX*`pPPtH6Zf)6kG$O4;A-r9u1`gAod$E`}PU+m$Rz-y`n}EJ< zg+-$KP{FIBmG@D1TjiY`xP$~gBt#dr2A81a{aSXTyBc4j9*`BXHNMmiLLbjo^@m`o zbPE4aM=zpgo$nOBgTUO{#x1Dx2nl^owGD3BEeuwY?Kst_mVTblkk~^YsLkBQCpQ+GuW@U*xZDJ|&n4LG*dAGM6Z!NNI1wuk zq~%ckjc6{4ikcdkNfqcw|A~LG@AsftX32a;7Z7kfdPQ4vv+PUxss%eu7rW*D{&0fe zcq(n~Sb<7E7((=O9=> zKc0H5C%dxnjGrzH=jJk804XGkfY!33_HK}QWze|yk#4<9(VWL#wFoc=o`Db>6aq3-qj%d)vR( z3T$)H%oRN7K;CBM=jrKBeQ##g`l36Ds3oC&!g zi`^KH8tRK z?RYWKd~Fh^?_Hbhbu#6bbDS{D&5Gw2`P?z!u{>Iis(&}R4nBI2Z_uXq$rhSx$^mjY z(x;iL8_l)ZICI@IGz(4A(aW}F)+)Gh)GkMkd4Ffx+(#ut{;e$X4JqCH*mDsi`UlYV zngM@RK}9dYaZPe_$Qi_<9db%#U1BCO_o7x2u2`UEGUe(8KH5fSz2yWx+H-4VUEPRa z?U(T5S822_w3?lm3v#6dX6_4mzo=TEU@D;!DL0>gYW$Ln(l)SA37O)byb`>c^ZIRV zZMo>r=(2w(8|oKq1|3at!zZaXmpPr?7nh6Gb_=%UrhWN2)*PLXIoAannOK%ms_Xp_ zmmbEP3db&v+CoNJ1D{wVT54hooeA5|N6f?#u4!2raN^a<;<*N*ID63~uL*NCl`v`3 zVALX18EDjHC}49EG#OK-l5)934GpsC>L`_Ja&QJr95J*Q&^Q**YSc@K5Myvm9baN5 zmoJJ-7KpR<4|!Qo&qm1m4*J|@!O%;LLYq)giAUqbWi;4qBCy`_(*3VAnJu^D!XD$+O$TPCkl@g0VCWFnS

&s}Q zIT{sdVI|$}Wg)vj5QqA8hK!ZS6OqR>J0o%Q2OZjU>9UL3^KU+uEEN;PV$*~c@2-E1F2Ny0LhE$GR)s5ewi<7hUXplpt56PlKO!}+6SnWPezOL({2%sV*} zZ6Xh+?C|dD=Zv%{tq6c&)WQ7%L{3q!KiytKX z1zdC+j>oklr*fcO4OBjtrH!Za9@hz$`=gB|C4;8D@k=7(c~n~O{2M#d7e<$l&U=ue zh9G%3v7xkyF0}?56eV1IMX=8#dA1(Q2Cpp^natS8=+27s=p^vOs`9JnS-qjPU}Yg@ zXP+{%!d3Q5-&rl?|AG}`eIpr5uRa1S%cZ<<%K4*?oS>G-{GO z@t!mcsVuZU>VV{7mf($&XSEV*p--MMG*)899r=3Tj#Sx(EJ9|}PMlfK&5&P67^$1S zGJ@e=LEmzMTSr?9_LB^V4R@rzWS`ft)lQS;KnmzVU7>eh|K07pM}V z9BwfG;K#cnwiW^W%@@@zCSkBMvujiD9d-TcN0@|Nr0}|Q`2cT29*LpS5F2?wfpPSG zwzI_2)6uf2&-dXXVS%w{hgUbYOVE!;p1W->*9IpA_D_jt`dK#~{>zR5FSelw`UV9E za_mapy~De>Sh&Gzz92ib9ceTaYP)l zE7gfsc-p2KUEk@_a;&+JRrtTE%YUVo0;hA#qjX}J$v106*UWKObB9iHpLUxZO876dVE48P(smT zB1E)L5P%_i8$A)jAIGS5KVCR?+u*JM69)G60*A+CqjNt~DY}*Mii1Kz+OQb$=K5gEqE<`d$~&BOUFXY!MNHokP3G-U8Kl!5==45h$$@N|7|BwRqVP7qh_Zh zR0pjPjW8l_KhUOubJu@UYm;crzx?jT1Wn^e0!m@OG#&j!4bdI5B9uB-R?*px9IJ1I zXcG@}KlZfFpxn z*?3YFrq8BGT%i@yqCUe&%#lsvWgJM+u9!*@^pSl}gvdl@-7DKEH0I^p{qfT8RbtxA z3v~*De+czoujUD&bD2A_g89<)IKC!xL-&TIzV<)J&^C&h)RNwzBfCRzv zf)H5)LrQetOb`Zfqk#w=aq0dGt>uwRofjfT7y(v~U=UYvIvziA?s`Iev)D`d==I`W zd&rCFB)^1UOJ|zbS>WCR(FSX!>c()wPw?gQ}dynXJq3u*eeL&Y}PMdAM5hud1(^zu@RQG z@WXd6nMEC+{md*RAR_yZO@lsDzo@%FBi(I}(a(V63lAS_!Me|k=f^2-Z)T~Qon`Xo zA$%%x8rOa5jh~%%5tUP??4oH-8Rabd54G+ABEhWra=`MmA~oR?o)TvUgAi;xXRcru z!-g@>H-C?DQv??(0RiM5sn`cXR-3xWGtL8En>y)~Ng|^~FX-}ZIb8zSWK<~iZeAu* zgq#z|Qhk&13KsXF>tgjEZYgr>yZC~kf{(KZ;odtFElg%p(X8;~GFK_nvXp)LZATTg zu)Q?8i(lhd`Biu$A-am!YZID_sKJmra zEATjF!To7*;3BZh3O7^f7@o|m%2jMtd?2grEM1d&WwHB1En;Ze`=Xpkm-%15hXzLr z?sCr~4Fi9AiaYT`B+41ZA{7d=>7L)RC~=P20EG#?@VSWk=6Sk_xxHvq6JdKp;U z`PvcH+JL6EP^NWjym#`5bosti8p=F%4a@^oYtk~NA@R4b`7l0fQ1Ct|b@N$9z>+WW&p?1a)IofI?1!6`OJu8A zP90^#R~`^7%9#t8s>DpR&6BrvPgvif3|h9j6F^&wG|3*~5D24`BGqfc!%utAUbjdc zJr$3EASCiWnn`7g_3*sV#=i_hYA)~Zc&qp*x57!vcsAq~A+o~@yMed4ziUuN>_aEV zK&$3x^=3qRvZje%U)Ni}97dqeW z2g+e>OKcS{F^?o0RyO>;h!SG^bdasT|MHQv{pCBIr3SrynHOS>SD34|YcEG{8G=9% z3`HiJ;WDY4TW%090MJWbJ|A^;9~P2V7^#SFD*jUs|K-MPC1Fe>fcGcCNgXP3p;AhW zDZ^E&w%RkYXkDZtHRc}u*FQiDzV$fv@32!U%dj*IdZk>ad_F;xACSLw>E0So3^lOV z;<^2|g183OQj}q2oKK^)&Y-0H<=$I0hW~5;U_C`?-vs`FkMUNGiW?f5HtjbP;yqGl z3-^i?|J)Xdv?v$|X~5##+psg$%6%aH_`fcLBbr85dt-HCGX5q+6{e{Fioqn)@u!u` zAJ~Bd+Px-KRgImpEc3@Wp3oXsgkEFuj(a*EGq^EZL5}stdSNV)2O~`V${qP+tY7oqrxQuAOZTec#)Sr7L zif|Ip(y%nu)q8UsNl-}?BHryavRvroTB#~pYd+Yz{PwFdA^ZuvryzTbNoMk*xEJY2 z!+!(_lml_4$ARa~^BN;Pd@Xy18uSTiSFO)Y$JsUF?o9KC$T#Wpsjn@I_?QiSkOnJ% z6PGlD&Zwf*8_9R9pj-yw7{;vVW9#k)pcWA|%pZz;R^*8CB{JW!ruvl{@#N_D0w=#) z-oOh^$N)kz$lUr;dBxyst}@GhN~G4EywH(cWsy4JP>$Jj7IH&3<%;{aZY=4lagus@C^Rt^rU=B7~(wOa@!Og!<4$4mP4xq>1em&KeWT^;M%HGNRzA zuS%I+z64$$W6LIfM77QOv5zxUqxH{^->!I?G)veK@7||vDH#WSdZmY0UpUQikBPQEU~34K;Tb7T4p@V^A2HP2w%7~|n9Si5 z^@{oeNkAxvC7loZCle4w5Et`}4gV^c7parSq|{-@6;=L4|KXN(N!H^7#pPth*_d<3 zCsVltj~8xlGnzmcR+1Pw1n)h+`q8u<3GzAKsb+kiQjr^6FBC-ZMbpy?`ES2L{F(0o zscMORt}~n8Mt^dXNALBRy8cv(kOvDreK+V8t?SONl)1e$TLmCr#qmMBK5f345;~nV zmWg9??vXMz&8BeQNI!|R^K5rN`JluYy21T>N`@#et>{F)7V+JAIoQCdsw``S&EP_v z+To^)xxMNBWI>4F!q2F+wY4e70U@)~IoH&fn3$=xIDJY$sQ;4k|Fe~x6yPeKaL4$% zlZ|l?J#!?dYle;YcHaQKBRJH{JJ0l{=xO*}(GOSyCVb%2XY}p(3{rgfy7S4~i zi9b#Kbu|$$=}d|ip3{wd(aQB_5As}NK($OxDnFBPdPa= zZ|*+cshrR&2Qy=2rcm%aFn`DJK`&H^bQo#8;kmBZQ|r1({Zq*iM!~o;-1|F+-}}lz z#ydad7x?| zIdP}!eKxc@V7)V0dJ-aXnXWof@cxe}hl1f`QIDVfFSYl33V61!v3JTl^|{6*yZ~KcbN9tBV;x0ZwfQ zAcd7jl00bJty#gEsj^8FIv!PyJm(hh6$xXy6rV_g%f1B^LPal7Wf`87esB}Uv2E7r z4C%A9fwn`=xc&4{_KO#EEiOpK#m8TX8X0blP`0+3DCX|6d0}Q&zc-Jq$Lo1!KhyB4 zT*+{AuD;qe|Eud3X``~|3h$KIfAX$hPfJVIQF|md*WqA?18nH&*Y9?p7sj-zD@U4E zjgG3Qo82qX;v>XkA}g#NQpB}*B+sf-(Mu_0qOqbZ@Lx|R)E_Q#sz{tx+-=0S84zv| zbIZTt!Su6bhpknrjMG7%ko(B>m55Epd_d%;AtpAq&d9sMrQ{3Mf*D{?qL0mO8LpL9 zv%01R=Y@F-zn^c`{CVb1>ToL5NTNeEKlkxwn(FH`F)R*-h$6}ESfk7dtf}hUgt-p;6fvm9y<@TE6m@PTd%IJ{;I*zC)xWC zXCuC@5B}}lH%t-I9w9Ihjnd*7xoepkMRZC)#+dS#9=16`zo*H)&<00GM@SfRu|4DP z#|Ar9| z=1vgOtTb+V-M&fA7HF;GEBd;vFTfZ5Ha#dj%0y{#3}aKaToMER(rp&GNc^^K!lNK` z**Ue?lNpJCmX}Ed-#<|hyqWqDip1#-xXVgq)`8s+`vTCJOfrYT`j>fMAp{>kt=Ptf znDH|7y59F$b$X=k&#@NW0;Upak5MEtf7EF0L;G}ujr+i^`~8Cx zghiBJDRnS|=y)5g%;BwQy039*hAlLI1)foYT>c>4&3=CFSw*y_t-9gU$5HMqi zmnk%)?_J%FI6xy)+69sS)@FX88!j5(w0&<)R32CRV5dkadx2{Z%A?HkasOI;jHq1E z@w1519?Pr@v`*G{Ww>ZPAAe~K|GoKXBdDV^YWL`bd(Mi9$l$^vP%+K=Zre8K*UUE@ zi&u%a%w5kRq5)dVK$ME?+pF2ofg9vzVdw78xDOk$BZcDpMX}l@gDn2k(nF#WLUa7@ z(57EV$UGM2y#mCq>u$SLd8oi;AQXK}KY%x->(*?G8sj+J97s0~wIuj+Hzs9#v0c6u zSe{T0=A_|(5J^yYA+j+MoTGViGEgK9Nx7nuy?1-louTe)+R8sm0;1xkn|NV_5MQ{C zfcDDAQjz(2TW=h=LjSmz&=x(oh`EhaQadmb>V$F>`T-{Q7&N$ZZ~cuZAAac8RJ#!& zBju6yeUp0|q1?C7YN%)pPMYdtRwn>11EVTU{~2HKkGXEJz$rdt%D37XJ>ZWe8Br$9 z6L+E_D95E4{3vy6U!@(Q3}y_`bB}|G0MoA*Hs)TTZ<=y`D;w&wt*QDQ>8au75`6Q~ z0#9}U1You6`(32^Wd(D=;Njak7E~(zxpUx4ps+%L*Z(900`a~&nF1u{$XN6ynec{H zT%!PU6;@P?T3T9aZRH>SWf#V`${l=& zZ!FG}L-!E4ZvO@U`n{$ZsICB+(k)F@RW<1Lls#~>aGp>j^>n>@f5;*v#$5zoi*Ql? zA(9&3`((-tl3iJenTH!^ejN;>&aF5htQad3rV^zxtlw0w(QNn=t%pLi%M1 z;PIH#`T?Xc`50U1MMQ06qhXmY{U|+t^2e({@o?NlRpifh!SSQUQ|z^#S$Z~QF39PMcy;{J(J}eq($60N zhx1D=g8^{mpuRi>RliK=c@+5s10j!@yYXe$&Qv+XOynZrCh4!G16|rZ;E9u6x$fO- z?^M=t8j`%OBOP_g3yc83>*r6zE{j3&QiE1Fbs^6mt6zC+XKP&g3%}itL=w~wK`mr5 z`l7?YrirZT=ixfJzF*`6a%dHoRi|dKxar)InT-wWQPHh(8Q55ZYbd$>QgQWJ({FCM z53o;>ff3%V!xnNsvOlzj0is(~i3H(F7U0*Ln_di|{2_qj;1K{y!5a{xKIP0>8j` z2AMa8nVI%3XM8U+x9mTW-R6A&BJu^EedF{tz=Fag1}K?;Sb+2!1pedl-;|{<{Ab<& z{0olXzfp@!<(AKfUalwD8~Lw&2O2cRfn_O{SStSYc)&ne^7B7M_GvXAyiVuLfNOhOWnENFfk5fD z2+PdMYSSH4cYhe=(dB+SRGIZeyJ~y}3ocsdB)&+yx|l+J3lDeW9Qt$*C>PvTB6%!F z8OTMv8*aF5XZaGjtzxeL{eZpPOC~UkqR8;J4A;W7$ZPuwOxwO^lIgWUvzy;dLc`raLdd9Zr4+OYXI3jLnQ!!%0U^i2<7CHSJGZE) z^6bnlC(voSjmu#YH#BNI)o60=12t>tX`OU5r5F(92IIqC>4uEVq3mh_qT$GuLZ^dF z$RG7d8|reOc8P&sUy9HSTkYyQ>i&%MzxD++INk&(@w_x^UuLPQtIvQ*>V^6FJuW+w zhMqk!K&K|;QPuAesrDv5KAyTru|1gFZ*p?dUi;lRJ_1~Y<;I=>ib1>6leuk<#s?G) zEZ4f<-i@^?a_hw11?kO`>K{Hg7pY2`i{Y)!-(p$ILF%|J%z% z7sQ@#d9LC;0g)U!_HQYOm<7-gSN#&ZwiQ3Ta2MN==tAAVxgUkDpXBt?^3xo_>4{qvvzwtlj8dx$EqhR@ZXS(IIiuzQR_L{Kh67 zIdEzEP2YGVUENx&_6|4`qzokMry0v7rhR14T&^#XcL3_czxDRc(!Zro^&HlFwMKZ= zUa9cxt+ zN3cDF#z-(}axhmy$89MHq_A;_de8SbgYd2bRIy(--45jvB*4re4Thd*as};xXxcp; znQio_*0pa%jE#vg0b|o&DpY_Sztty-zl}dDy5@hu7Kt=w5NM?Y_qM=E z14%yL!`YjlicDJqqAh{VPw$h;#C)uq$as*@PxclTe%f%-yEc1Yk+}@H{^f}_@PJ`d z9P(u6SwP(O(V_awF{TyZn(MZP6jyEI?5u+m zO{$%@$EUL5^eoYd*_`t1ZjQlN6c&|2Chz%I>p=#DA8+TopeHOEngMh)m6Z)s`dm8H z0}%(9P~B6jGUOHZfNVm?F;Xs`PI^xUYxFl%eDso9JP(XTP?@C~A& zq3IL`4^JcEHr&qOaZ%8r0mhzOV?X5chI!DJK$z-3X*=-QDEQ$2+g7E_N&k-EV<-%w z4Fz*bkYA$+ey_VN5QJ)uT3oH*zi|LJ92yNuyWm!*8%JnTLg8qgupdxslkB+;qzvEG zZ_S-t7uSk(+BuKaH@NE0UyV1fcqBN5C|p)2-9#~?%DUFfOdDGPXJF2nhjUZ_d=4D^yxl0#UX5Mb@j<63hYgPl0Uabe4h2jVETEqirIW9H8^L`xI2mh$eH#? z!`+|bB=9+8)Gu6;t8Z%|Em6nCXg5&8Hwycj){VvN3gq>+&x-{<;Oek)?VCv?LJdt7*N09=_`S_3I+1* zSb~KqX~WJgMagekB#Cuj{L+v^vmFZOQKd2!*L3}GD4SPYx5ae2=LX=tj~O_chsUh{ zPW{dGg-Pj&vc;uXY-E?Lz#2;B8r98NcYlW1ntyWx)HPatZX9Q;-+Ab9{d1jFM5I}H zJo{; zyMa(e=k0*Jb{gHTBAmYGL69<&d1FQkzs2x6L8|wjGp@@?UmqsdPloi zi8SS_j(P738}$}-+jmQj`T~};g$Z5Ak~zsPA3+|{oBN{VKup}wFrDBVk?1$E21LZh39^&?ey}p(J2+%^>dMN0cgyr45$af=*W7D`>g6RCRWCIyYikN}qrtL9I{y0N)CR;MLJc zGB2DEUcb<(P9h*gKSUCFO9KZ5!=REQ@^cmc)XAea{=sqY&LuV>E7@n@^K)Y}UWtn? zLIsQUIrPa+?>x4iR`Z{G=R32)0l#FAjT4MPI&5wn(P_jIqBU_>R0c^m_iWG2V5ZV4 z&Vz}}O*XY2$qzD9J)_|L1D~A#*GoVN>oZmxH)ZRZ=N z#*1~gU+{d6o%<)PTjv@FM%=wvxt6kUr&SXk@*{z2jC)R=+X#sWP z!2u=Y07v>xr`+A_mXpk6)K?hj3?`KaLrTstL)!^}D%T7dZirSy04#-l}V1=y}n&GfKnRa<~-pF(R?f;)kyQ zAS~#bIZnS$g^7ubVOFp6Dc_`%Mn|5bP4zm_Jf%MCBDbpm9%Ah9*@1o`b`;e4ok=d@ zD_ZvUjcM!$5+N}FE+K$Cn>JLG9ChC=$*21gdlV`$^|?Mw)%{LJ=dZZ^5#em#ydxBM zcXJ)snJIz9&o_R>YL;&md{K4G#|+4<>J&=9dNKXjvr-mJOFSISQ=VJ+;m}QCR$E&u zZ))82fNnosHC$~cFfcHiZQ=oxZ9-~BA`mw+HcnQsQ@+u7WvV&whzdz&91r+ut*0%@ zTpEHQq`4`tzLOGD_vfVN*AG4m#hr|_eMcZMwPD$6FidV+(+Uni>P!z zTM7Z2Ryj83)2Et|l#;LK{Cz@?Fz!gF-U|=~2tr0~Af!=m{K@p1ThaRMDOO8sd_O3?|>v<7#%z${_lIRR7{V~H!@GkQ?Gj8y?=ktK18bw0I&By5>891mlpW(&-Nb~3ygk*rkSsJ z?h1`m+v%$(zq2L6oB_%Ii_yX{aD!;VVG!k+NO~1Jc4&_vS>J+Aomk3TGRJnqVfMI- z)L4dJ0T3uab=`8!GXF)GKy8#J5$5V7!6AaN_b11j(+_ITOj(Uq0vi7i8lx11IP86F#(8Z&(Kej})nV(JK&JKbqkWb7o3MyNsFstave+t8^{u@O^Wk z!s0!&6mVBJnhwYf0ORC2{A#c9w9c9v%3gTXHQr=e2HMk#F1@c*&X!Ght}tcr9ENDW zx2I<~Ak)6_m5s*4Vrziz12uOVMG}+DtRJP_l;!%D%fv3@nr8f`WOd4UlESdpg4e)9 zPIp=((1G(b1dU70k4d=96<6)JLIt6nNHsR3A7g@kW>7Ko6<_vZpm@^sFtxMX&jd6c zjwS+l#y%wIE>Tn>^H-m9^Wa^5Vkou(yzn1!U$wN+>eU(aG&`;r8tA}+jY3)O>AAh zylC2My3i@?f(ko{oAJ|U(7%=eb{fHPo6gWuqAK2S7rN_cW%7@5c1DYejo5m&pxM#y#7gbo? zqAi9DzSF3YscqLGoGn<_iE}3N-{`8pahs@_kd%~Ulluv&Ua)nYf0d&w;1->yGyKvE z5M&puI=aT)6wTq3Zas9!!%^$gySP8mWvdy9+%vJM!@C9_Z6{dH2$is5Xv1Ab>14JIIWA7t6|Y_wrQRXM%M>kdd5~^Evikpb*E; z1!rR_%F5~WU#JWq_KGLKI>SRp#s1Fn(}bXiIpkyUU-Sf^{-6_Th;Z|6$)KRHU(^Fo zPv+R%y1(^F{}4EXG$0LNrFoA0Ki}pA_^2UD%evcqGRXeZB!N9>NqoZk3u;n>fy}^O zg+`tJ=9WT5!x1t-ru6q;4`QOS=rv3N_*>ZnwG>eJ4a}%3oy(98C@;0qB7=%)& zS>CnVeB-_10pJiczl3JBz?wG2>oNM&R;38Z`aj;TAW_4iST8iqQMzy3)vj~OgZ4(8 z01!V194%zGey@sFCRU;atIg^)C^k%74O;$2#o!l#w=H3W%sT)ER2F=0n$DI>{I2#A zfQ)HqN;5QsmptQcB~e(^F{*#-Nur%aXZ6@hqQz&tQ>CU%vI8X+gp4KYJ%X?s&&cx1Mos;T4eSOv~GM&WlxC4$^ zt56XB`AK<#`1O04snCx4Z0_5oB`%s0&}0FXWrNZwkH9*`8HlqGVE9!aj~NhD*-P$0 zQl0~CI$xoOg=}taLR&5PXaf)KK+DCv`Bewc?QU%vKaTsN#JNz4;u^&48K7vl0*vIb zTCKy1RQ=_TZxCRXJYA+GgaibW(5^jNTH0L?6_pRPbaZv1`yfAXjSxBGXJhLJO;M&5 z-V847(b=8YW!4p;PyU<7@r!+GOMo$E0ys}((x!UO6crU!jxA;?Yu@L^s~$Lsi1A4M zoAU`N#I+>H{?hz>CRa?tFjRax64v{EY8YJ(_EAYmcNod!hHb9XK16<>+K9MiZldw{ z8X&VMfpC^ifU$Z!2K)tAOyOhN~bs+8pWj1`)oNdZ)!uA5b9RGuN%pk2Z;N z%}cPY43ZqWZtV7=Udg`BfQee5$3sMogv*i$?59^$vcwVB%SltTkQk6wWEjO;5LKB` zdP+d^Oyb_81PBdrUYg}@;ZvtBWj9ZGrXK7j*Ed_7tb(?g94xM=?v*Lg$4&ILq-=3A zPwkB!-M_2Dq}WIo+1$wXlL~>%PSW-!*?T#6oA<&aaxbj@^6K4>$9b)?WVn?AKMP`sB@Bkund%-k)zAKBtn(tm!4b zx1u68Fk4ws^Thx6{WzkF_=xuzo@70*6IUr1d;ays^Jbdk4OZGWZ!ghN`65DAUwqjO zo`LY_Ezcsr`eWkbB*6W7s{<@5Qc6mS)A}yx+^+YutDXs=j}5eAG-Up)Od8#3bTHWe z+Cdl$CP3kl7vg*T-iJ>aEA*+3Mkz3@!LMR_1OK~$)b=61D&g<+h%<+tti9wX#6UV`dB;VGf}m1A zr&d3=8l#ta?6YSw5A!>6CwA;r56!W?+3UCDCsJe5c|Id%{19d5@@8 z5OclWtif`P%C#E%&g$*g7ayFCV0N?wz_5ugpf$)?V22 zF?^ozs8SD(cq>f$ZS8qnA7+raKnX`bZ6CZ@dBVF#n9U2H+m=egBxt%mJJ@D`JMcPQ zHt6>q*gr;mf!wiVp9HBtI99hSBE+-S*nU;2_0PWewK-VsiS`GZP!gg`{=V~~En090 zoQ1;<+ByHcs*tv46a?!!rNweKI8W~+kH#xPGMKB_aXR;Vyx!OA8qe#xp4a=WysRWKAr&DG4i2%@6A48eoO3)lIJlGq z=fO8_Xxw`63)fCj@*z%EE6qIkhmVoElrbERa|e7Tz`?`4f^!ag2>1^NmkI~(^fL~Q zG%oeOpA~Ug{u~3r!SOT0f&Mv06a2>h2?u|{*?)eYi^u)%it&)YN1x+~Klk@DE+zJ~ zH;UE!;Mav0Pc-asa44@}|Kgg&b%IBL;Ydk{DLdmX4dcI5**ZE|7qv(g=kGoTFgIV!t*(NK1>CcS3anzFd4F|9Gw+ttcW|%X=&2Fasd}g0>k!EL zfZprx4`&FJXNcg;7xW}~;422V`JeaC9!Jvt;_`oI(R;yu5|Xt0EPiF2JbNw-RxAAP zrLl)Iu)<(uc@cr{8P9%=OT6><{-@XU$-u#d|I`)a3OxHYyEl@al~qPg^r)b6e}T2o zdQ`V~*fHw-C7OROYA{|tdtZ_t5b(f+hR2o1`{n_3qPl*Ut}EKx7es_Hi2KgH=WcEP z5+p+PGsDK}ejbz3fx7Hf%d8cBWg zh9LX4X5FuKn!o#TdQJ)p$tsh{O2tmBaa(MraSN(3)@iX%A>X*A)0ddK`>RZZzst11 z*^Qu=m!coT4Va$!8ll^HD?)q1=oc!AoPt8NDbf1bcW*pIN5X4GB_(od>U5kSld)!s zptFK{aTWJqQN(KK{w*dZTBA0DL7J<$a2uOkHJOb%O1+f$cv!EFQ_S&hgQzO&Tg&1k z)7fadz~kecs`SLf!~u~E82u15BPbGYHB+;YusA`17MgaUZ*Xn#;C*Swec(@dc|Iye{H_TLJ0|jUZS}l50Bzg(Nv=&!lk>aU;qAE9^FB;aZ6)f zem=U$QcHN_7q@1qy_tJxu)+T*^(R6oPke4ASDfc@2|oesksc8O#c!SEG~$vxfr$Md z9R~M-P_^&QM5PK6cop7W>d#LeD7MzSozHgmiZ~E*JaCx$nC(oY$--+&-IPzvD|@Xm zLd}vQ=|#chXZOa-i;Tb&W}r5<)vLGj_=VtfsM6+oGbg5zIWE-USg*(;l3yK1?SGAW zm6>E!p*0~PVe>maopUX(774fgoV!S_NFysve{5o3jG(fD)J4ng+u-h%@oW$#F-OB|J+)D&>sJCZXcp3F{ z28%oADz7^%N!tmFeI z(k$?(;<6(o?`6~3Y4+q#s%8*lzz*7o{l+qIDRz2q&Wh`y9+BQAp0r!X=oCGw2F;?Y4S zOeo$eX=Dy@G%|bTHWVJ7{$_^MKgcqbRCo|0p|)Y$;UnQ-#~2Wt2Fz8N{~7Ta4cy}R zu=1EH))l z4=*n^mV=pkUdZ9{x^91D(@`LP$}uZxQ#vdKYn3m&EOLI!1iDkb7y??QP# z+kXAY{O@zazFc@|g_P;Ubzh#bYh=HlQF&pB$KeGfr*p|cS?#bk2V-}F%LdauHrp?s zd)FkOJPtmSM*nH;XU^5FqKoc%%U+e5aR~)O54YxMCTHyC<5R^acsyN2hi!Z4>mEz` zdWhA2gNo6$hn5Id8pEGGj=x*k$U^mZ6(pv}#9@tnTf0(K3wT%7rZ|F<>}#dYbDl~) z{1#hyeb$R3?TP2tblBQ^Q#ocYJHzan*^B4K{phmptsL!db%nEQA%^Ou&scOCp@qPQ zbTo&vMlq`r^+!90K8JSe(X`i(w-MR67jgw_9XjO}hmsbW)h|86qA0lsYd*%U(W$aA zf~h6uex{8GLkc$acrEJ+ULUhY!M_Xjf{9;PZ2r}|-TlDQu9kswH2CY(B80qb{Nq7g zgQ@b$C6t@3HL;ZGpnUS|H?f-}?OrqU$#Fe?bRH?Um6DVhbK{MBGBnUV`H+8IZTvie z;dQ~q8T;GqBk#rcpBtch7F!ggXBZqTd!?B#%_S)5NFFsOZCVP_hZdkU~143{|-UOaP6`a`G%mvmHD9n+UXXC42_zRHQ8gKbKkJhz5D7f^|w~v#?K#(U-rDeTw{atuON|QS8{OUw2LXO+lw;{=KLfY zj^=3QPrh>_p``w@e-~SC!}NNiyZusu$M-NBTe1QOF0tuh>f%75OjokpPI06{+hJ1< z0}Wor-Yv$X*OOsipB_WMjUF}Th|u7nYL2YFH%ppdo_mFE+;3`GQk+9Pf4sGnVOBfA zT;|qHdQzom*n2@B~@Pe=N$f}ZcfiV z86DfkTOKi2$jA!qW@W1e`@LZIFIWr~!PnN-5M90uOttf+iWDc1QICVn$3e79p7=%d zB#$9ce3l>Gw=WbH+`1*FXDQp2$8I(-|Cq`geMix~w4lSs<9gWKjHA>X$%#jkBh2}=8G;`%C@RvC`hRmArZjI*khs@5W`$4A~$?r3%@qKkBl$Tx=i~Z z1*9H`#*ep%)ieYD%@}!bk&36B*N=@aW<{CSq6Sg?x*q^O~e<|$? zCREfw!n>6wCf;o}Fs0Yd_Ab<0iry50>ecg%nKAxuZ}Nfik6{r=Bg<=^yN`K??+lf5 zq!%TnM^f{-Onh*TS)Q>k*$f>iUGJ{+FL2Qra=ik9i(xNen(;jlnc_rD zEpJvyUy?F^woLH((vG_N9ZX{5t5VY%uT})*_0+j=(n1khp&KND5HYfLA4U$Nhkrvx z;LY|s>!Tr0t(@#iUY6W$!Q;3+FcT|Tj7UAUvE-@rlx(@yr6U^Mp%-KDsdxHGxP^Hs zK%?-T0VUf%OE5J=MjzH{RtRaOI~kj1x(&sIf4OAY#e4H}rthX{HeDNS04~Op2afD`3@)RO~Nc*FsiA(J*r$DoLNQ5I*Z&iMlprx1M zj)h9l$kD58oGAh%$rXUbKfimV`UkSm??Us2AEG!z$Dt5Nq$;8rmC(kQ zXc`a|%~j-(m~;`7#{Jl_D|;ruqUPb%>&R+=rlIvQ`F}z_jD+YLg@Kh-1Q+`->a$bT zaPn)Z1N+?kG2`~Rvbkuo()1YfhsI<|A4y>JJOJB2oD>X}`Lk{J zA0w}u`E9-?(ybf;$}82V^t2#qunr)MTu)}5`wR-j(Yz8%I;#&K;vm~8fXJ6HlFPsE zYq}1>%d&1>7>?8|WNao5j>ZPRMe<_+Hrx7JLDqv)F*w+)mcX=Tt4WdL z_kC;R_4SM^i%Q0W`IlQd)|ajg#3`llaX4QaVpN?xaO!wXMusjTr?akE?Nqu6JtSPE zUWxD}{$q3`EV$IP0!#Xz6}2tj@lqccyCrQWPN$idsR|lBDNiB}a3WLMCQ{zM&j}%b z1_N1>=v>V6J_YA6C9<6HV0P!*EO$Ck!W#SZ^InxNHp;G+If#=9ePj4oW_G-Jyf0-a zq4ec*IRU-A3{X_fhq=^$B0f!=7aph4^62-&T30ufypcUV4UCiVx7Ph<5`&s|bTw z)$z!iNudkbk8zMp%rIEj6o&xb?;J9I4XRouyKh}qZS)x^)q#Al@Ag7`m!u>5*RwT2 zCyUF4_D6b}JSL72^<_7rFCbURfV8X3rQiO}U`_3j-V;~c3ue4V?07k;wMn|z5+dt2 z=M%A6z{G`$O~zh}Uo%b&SQxp*EadS}=vPP4%D zv)XAMT|a&omVcd%!5PES{5DHEshv13iD+uK!5Xyv9JAwTrGOJpaGWfH{7yHL` z!{!LCMueN8v)J6r7{$#Ujm)i8D|;m@oSpcqWZEv38nxctn(t(?CzOySh0(L&Ezq?8 ziWAiX0X&Z?a%a@D>Pjmp>0}IASLp;jSbwvlZpKLTR~_sj^ChnbTL6Bkeqazs4Ez%O>m8xkT!j4Fo_6OjG;9TE3|+QRd_`)B z^jx2&$+u@8+iYuZ>ItSgF;4j?VMRvF47RWyp-1bscyHLg3m#^xrm2~>y)@{Cv8veW zkseh4Q-VPHlI95LqDne~)RvmMshfAq#uwb1IN3Tb90{2P5^2xKVX`rDbnSPUXH$T{ zU^rOOK8+DnX?w8ozSMDPftWA{;E-%ixUO!jw6rvj>!zuWO&vKd8&&de#icLD8_|i$ z@XYK>4%6zoIzD3;DOix+N;3@Sj}v%O+;T19Npavc-I@d1czXhRdbB|Ny>b9AWC65t zUHy)~)x?_p6Jf$68=W$GIKw}}Sp8?;UK~Ph3%uT^H>(oSE>sgzT<=a5H;2#lDscNY zU_f4~;>X8M-g39G;c^Qp@LV_X0$Jm8uLS*b?_rzhN%@YFf_|MpITg3@(>j$0lD`8P z@(Y1QU&NP3R)G=LMTzE4^$ZRU-<#)jmxgbKUAJ%B`_i4RM9rH#FwNp`0W37>=8GZR z0MQK5!|}@_A6kb?9X5)IFn_#TCuFo#Kv&hOtvxL!Qo5BxS^1qY75Yns?=8pner9{M zol70?0lyk-*{6^cD1hC`(GIq{r7R&a9qHAqoh=b%&kYb}tuJv`3;(Eg)XSX9zum|) z)(=|h8J1b8eX9Ho&1z9yg^cWSMz_9FEi$D<-@mVG*RZ8%VIMR4v9>3`8*9(t`Wt#} z85wL?TT@DwMYo2;#AJFL?VEuTDU=6oua3mpF-a>#V9#%uhLcZfTFkv*+bi9@@uT|| z{}8(L7ZnDLopr=(17p!3w`A8|Iwq5dHH+YAEltghfBsq-nXQ;#mHe8e1dawL6ir5aS44P44< z;P}!ur$E||3+`=-gA~N)Vb>~p@Z3?e*lG!0E(NobVB$NCw$N0Vv2O4&Kn6f1zf@$k z$+UedLJ4%XY)qg6V(xK?u>%pX|6|4WB%8J$gsr5Tz?5c=c1C+m;@nj;!|TuEsh2rs zj=0QT54bK=bX!AF6}vnn33=bgkLf#=@SbGz9oAdPuya=i%+}*uN7@7ou9Umz-XPF@ zxViAr5=uhggk2sHB}cdTW#OsbWR-MP^i0xP88`JGUwsp4JxtR0t|!@ay67UPLZz9^ z@(Gq$OU6uj%ml2YW-V#*D$3qJEjPg23AzR1Z?my=kDeSm5z~p3D~h0a&6&)tddi$u z{;kHx-^25lX)XZ3`M_qQ{98l!(2Y8YN2d1A-2xWT3K35iGF$H{1Vr9yeT~(Gb{y

-_lUUCaQsC2pH^T%eMauYW=6-_eT(|HV2 zW`>J$tAP-i+i67;8U>3vhZ=bLX|Gd;bAI9-=cOX95W3$2qxfkTqCD_`Q%GrJQ>@b? z(;ohFiYb+5r0kV(kaYVBhX{j@jAYNLJq4=7tKtT<@aG#e1ZvU^ouqe~oB@P`MrLRGl29mF1jb zf0BAg`ZjInD1TOuWcp6yd5WkRgih=ALGh|s{)c&V*f;@fjvR_0Z-0v7X{3&IL8qyJ z1k;hs&$2)75wH-^JJAb2(`NW8#9!lF|Z^(n13p;jE$=5#Bt zuuHpf17*JdbD4^QI#WoFF3oM0cxT4-!WP#@&r>n_lBcM^3^bf41NhSdOdS(5Kog;{ zkdQ^VlVf_2i;FAt!-sg#Jisg!k8k)58iN~%7GdRLKe8`rb=OMV07KciLo#>7Fr zEhd)~8$Jbt9H@qMv6xk@wBsk@X#1)NEEI~h>3CU4p-030gT?%yc_?T3s&}t_1|MhM zh5H5u1{aJGuj)jwG2#Oe4ae=S1mfG81fh@K@<>$8{FK?x$$_;-YDM^u`OWb3 z7$Li$G7?f!QbFg{c%h!wNf8Qs7=0h!Vy%v`agyW8hz`c1;kz!=x?goLw6n95>Sju+ z9W39pv!3hGRM*52(sNP%7p5P0AcyDuHiNRAz={lQWp#sP zY1%bLulFkPw=8BywV4j#Bq7Xpsr6KRre9Eut+?IS(`iywsh)|nc%wY)WjyiY?fzby zXO>33u}dwx=-Ll5DlWq(F5O$JwU^V)d$S~eViO?&sXI?9n0 z!=l4q+--9|$mF^w>fRZBZ<>zKvr0co3Xv6TG`HH`n~hT&WM*Y;CJIlp)W^emM=$0N zAC*$%dcK;j`JNk@Gl((JGSiL=q*~gqjOI=%yD}ijcp8ShV0}a&xb4k-2`W!A?n;8E z`xw@;56W3~dE*iBAi7zOHsVgYU1nouD;CjedRt5b(_A;yg)gIb5pJtLv6o zWzdT_`WehvXg{xrMYMS+)ZCnDrbhnb!D8!dG^QWhd?et<)?O?@br^nN3+m-pmu#=^ z3KjTw|I@o;)H4Si7WA9>HtyJR`xLvuhOy|G$BB%*{9w6kq`gV>puf$&-zefX2S=8y zK&}+8r7SfzfV6i*2``tG=w6~g$brI;%s_T_abo(fE%686@iTM)z`czxP{hr zj={P+pHlCo0;P_#O|NFTMu{G~P^1?j(2z5 zUjValQh#O>5fO=hJvoJqOyYzu%S9epyXQ-qI~u3KF)VP}A&unALA_rh0u+*q6c8QJ zV_zAL1o(b0mI<3g=?+!6IV}wqx5eJMcTYi1cq_D3ct^X#vz~Dr;8FrcWTJ5Y{!fR2 z;%6cG+T3}^YnJv4W6nCJRN9SwjY?ZE_u9f5pz|96?@9?-mj0;2eyr&Zt z@$rYB7NJfcW!#s{_KGg9m`omPKYILxc@weX3t!G)P2N_quunXp%*Xq!s!%Ewu-|Yi zt1Qr}NFtLneA4l_;(7E%TlVE@L8O{|F?zFU4~VW5IK<&MPsYdc_u)82vBIblbQn%5b_GkUX_4e-n#$h zKEK;mYm;!3{r6v=j$<4uscjaIi5{Q7V;)WU=ZO{+uup`3@N%PtA7qhfr6?mHu=ozB zMQ2m7>T*}Q%ur5aqrgH031;Ty{MWs%{e=c@d>&1a`=r0Oihw5;R)NP85Mk8n1JvkH zYb>4J%(WknvzhN}nq?GAjBrv}s{n(TN#H_~Y8JC6w3f*({daMJWE<2XpLxE$O%EAo z&}R%{e*te0(XEiv*sBxF)nn#-QR1?#?@*#2N?GkADRukQg@Iepyu;qm$jImpJG*eW zT|TH5(aV2DEnp9~(JFD*z-CSzz;UDZQu#oXp)L}l}En;{07_~9&pL)bw05W;HAj-e>kS=V_ zm=B6F#Q)rdfig#I&m&45<*aZ}RM{DElbelk(%ln0t)4LDh?c>$w&}X_Krg(KQ3-$e z!Ci5;ABcg~tWpbGy~6lWB7VX@LZo?x-OmU;4-G!b0M7VS=T_kIG^!0ktOrDHg1ia^ z@$;7sbsFS>j_cb_^NAPs9s!`1ewsVUQ) z7uvj)?)>pi1S!?`@OW}_bERcvdlviiRkXD;fLB_36M2Hp_Hg*I zWFdirl&56r5=#HLRm|yx2uOFIk878ujQN-n)%s6LRI2-ht#>lVzteSs3rGV((+ml2 z<6rX6o_%Kf<^td}kF8-#zNO^j$7${d+YCq;6Dcg-5hB#NzS%hu<7z#v+yBWoe>j?x zGI~hHvvlI-qhiC6h=JRAlz*hzom_nnpT}{1rX|W8bPw`Aqsl-miQ5}j2kEW^#5$wz z3trYqyr8mnnwXA({lbU)M>|8L3xl`LGyjnijGhG>a-c?LKd(Xvx~1s{ ziNne*w0tZ3QAsLRm;On&6#lG+AJbhGk|mNE_dH>@OP=+K84Y0}&750YZsRV|Up$l7b zj-Y>dTTod&!;Gw1jn}Sr?mEV!e9*qa(fX@{Phlx?cHd-_l^QJGG;>(#vdh zHP0JZ=H2_Uluw^@hbbNObZOkb_($zQoP7ku>QD7RGCmw~E9<&CGU~)g5)rGrk4P4g zl~ygA3rEd*N2JSP(&M7<<%CN<=l`p&ILJxBND`nG)*VcQHYq1v4!Jbf-&Slsxy(kq zGOPg5=oh^f>dyz2{CQFu^6Gz2qgMxg3GZp?4?*!{IU;vCIYv@@BNju2^=mnwxtP!*^2_kW}14)E!N0 z4>{4*zsqAFq!B=o*mi(6G>_yTWKa)nJIGKp^O?0`o`2zS(Wi{zF7opbF-cK7NA^kE z=CmqwdNZT}DFMoh3ENce&CU+pL7u};110aUo?I`O4wUOMc}a<0XQ81|S`Raw^3!I5 zm1W-7P+h#%n3q2%lLG8KS{!`?{V$X-#^ehlm z-0^0zX1+1}jnc=X`YS9Pdpk6Dn~P26>NuSi1~ccWK9Hn04^M?vRlHC(FnYD|uh9aD z!m7`qZpnntrDs=m4wcw#fuuJLWJY4u4=KFi@!fF4z5VqH*X4XmY1y`}#LvU_)7>Jj z3uz$|M>07&?CY<0yQ$@wdo@Y`-t}!|E1C3k`g$>HjWQgScQbN${d$E zFMIBaXU}UKY%f(zFAtaDLtlsRRaVa&3Aj4U(^}umn^O%&EzEccxJ&Q@YK>@N0AghK z8esxApIwL6#teLr{tXAdh8YyMY*vbZhaC5~l0S?UE&!*$aU(&D9zxsxqrSe$!*zQx zH8Jt#5g?iN!uf|21?*-_L76&?&=THxgOO21UOv9UZD(P1yf&;TpJWKK&2TI2k=>)x zIL@J|u$8Q#^uory1*%bvF5g?GiYMwicLh}~HA7ST&`qINlURiX;Pc;gGS0W@xVn}) zWlKJK#JgK^9~Xk8Fa<=}OQ6vWq?Y!JcvtKpeKg*p`#na++Jh^_1qD3O@1*-lRt6#HkV967KnP_Vp|c*JjTnz8#aT@`$VcWlnPJ~6iTN3OryM4Ko(I4uTDI>` zO^5XM9^H}_QctO~I*#BUdSZAx($Buri=)&~(OO5aM9%c8xAvb90F=%r5qs`CO3t45 z*SNd0bjm(R5br$IB7T2#*ad&S*Ew;$Nl-mLGHZJ}P3d5v=EB=?-Iq;&8)l~f0MJ`9 zCTAUbb&^44xu`P*Un%M7nqVVduu@2yG{~o751#uSS2~wnqKuexBXh+NQdVm(Af7E$ znX84&p8p$G089?YGG(xA?~2`tcdmQW5$J3^QEO;^Lc$FrV`EpwICS2{#U31Nzr>36 z(~_%G9c`h-wa**%TixY0!uEBcBL0re0N-Kl`Sgdk7=1i$%jSGnA%JUu@6qpWYL)uq zhs4(Mu+Tr+C~ewp;~5N|+PRJXfg}Gwt5ne2MmyCr&6Qp|LKmsPtV5Wb*jeuW7Y~;3 zAjeW@$Uokn2J~|F2khJsu_KNf)oi+P30L^dCVc>%F)ixX`hMiOt7PP>g9(-XjW++S zrbgRKF6)^G(!FkQ9P){Cn8h&8`iTm{D%jWY-P#PY#8K0;(4I`^KV%KkGHe8rFGm#q z+=zWIK9IvHxsoZ}Q(Dn4z1XdpFE^JZs_XJG>T@9lzW!et#i=ucfe;PlP_)eZ6swgY z3@ShCox}Stk8p1l1oga5-s};NxI5@*dDw^l7eRoQIA~2jTrCX?lfv_pF)X}$a&#Fo@< zVO$tZA5(l_t&#c-PO&S4umhIy9P1084QrVebe!lwnq2Qq)_WqV5z!x0^nP7SaMQsN zmpo>y&i#)Q9p8v$8FYLtD$$R1g8vlJD%M+ip1{-KFj3XR%UITccUR%P1cii}f5v|n z0euG|98UHRhF3zQb}q zgS%n7`|#Si%?$x@%-U0$-|eSAI|Kmb%>Ct86Cb(A$s^_}5|3ORI~>0n&6;n2THYVu zJT65bMknucasCU_z#@?YKyclUQ=3FqvPZH-*@qH!ZkM2hi~O+NP`d_4wGHEzw8h37 z|0+0e2Rw;DaP0OGRxK~cG_5*)h5JF`qO10FIdj&NN8w%;-9?6{>^S{@5k2-U=K%*L z71wd&SX+2!kI%j2-lK|%fdw}}^-vq7PF=pPMONKCR`)mIIn{z&fY?3NWlOZxX5>~r z9(p-KD&)LdqmBk%(5t<@{)N}l zS?#C|J&9)WzrY^kF_?pY=0UzQwBLlFCOWw+ha$dw)#}_7Q}mbif5(f)yDJ*SEfHgo z;5{k*f#{60Ah~ah%(-aVq@ADJ{pyrI&FN916t!cFnG(|hOlOZ$TSS$?;|aV!X(1L! z(UU|&o{_a*rHDZ2%`ossudBMFLNmmK2j};@@E5Xs-xJBY*#0g8{&}1Rc9Kq9xT8nz z@!=Z9(PZ=W1(HGO-WUMdfD9-m7CP##d*S)SVA|a-JtMDq^8_P&U(q@X~+28m#y;Q~QH%E{nad z0vas3yzBq6zyR1iBJweWDV%x%nM5aX+{Rv=R$82M!h2fp_|6DiT2I_q$ z{`T$L9bUNSsFJ6m&sYFJkGk|J5LAD@38q7mj@U(+@Kibe{s>^i(&nP9D^o?>_f3C( zUq1I_2qu) z(dIM7xqwW%0h%BT2Mi4D%78^0)fAQ#&D5UoNd9HWl$UrVHyTcaeX2Lb4D&CHfO@ zF(3;J5rO89SgcZ>AMA(#Ob3CI-Fjq_$9(}{L{}*(F*8xtJ&95w3%to7hHo$SPx9W= zDlk>ZBs3Bi)XMYVqvo?%J!rPJelu8PsidkJ4(4|zovJirLSsk>a;h1F9^6Q;qj})` zB0wPbz#X6?-FVLdvt*m9-jp9r@}xNj{U~}-FySLv5kW*mv;}JOP0MaqAfH+EcMd9c zM$$p^1Cz2-wq*Xjt!$+-J(Anx%BJ509&?*C9F7L$&!9VNtwJlNL*Hx`hDtURb_%Zp zj&HQ{#M_Y+sggmox;UZ+^%n~f=sQzQ zQof{jaSt9CbQSE%a8@1Wfqqt|c~;5e$jC@ROh$eID8P7@MPysgT%yTw)RNqv-kNT& zMCPaU4J6gQqr`X8YdR_B}lA?V61?QN0Ll+qc;-0mjXAWf(uDKCbn@do@S; zJtn)VvfL2=GHqy~gn%ZYX-GcEgjAd39QKR5li<{K_4TTQ9*N{V9X~4@Q+9DVL2X;B z*h;vwxz87jGxm-BLmq-|jrQ1|Cs$jeLFSNsTPhmd1f}&kEC;zSEC9kFuTZ^DN^}1O zY+GXnUvw7<@{=vl1!55tES<1=AW3flRn692Lf5Mkv7398J1z|{@-=LdKZpl&S7cjT zrnmvO%)KXNF8a-djOE@YP#?W_FwM+Bk_8bxnwQ&Z7r*>>f=y&^ip&Ai10OwFcB&wK zW_cIq;Qb`VMAgiMf`s54ZhC+V=x{lJR{eqxnQY2dJPxjlo{0h#tPsU|U++69OJQB$!2PpuU!NJ2Z@o4~_&`k86t+;B6bVS#r$))NDCgS)mw( zNlFz@tyQQ-3?J;Q=p7Sp;nTgOKbS#%53E^3C^3=kVtcoAL<395#kaK(A>LWO^^Zjk zb|-_(Lv|1c>M9o5x)tRLFbids$Aw3NqAVaAid znYFNp9l(M-|Ba2Q+-p1Y#SjMh{Vo0?{jw?=1SBlR@DYDXp8En~1Vun}+KncUwwI zO7h}PNlxX4e2MJepYn33LXlp>QRI>q>k+p+-Q6u^lK_cD#Wk|RWH%}zqcf0=o&*O8 zD!*T1(RZjbl5!DgeKk$ROQ0>ab+Fe^93*@m4l{`HT8ttvx1GTdDc$?BJtWeu(eO?0 zXhCft*TApTem()q)9SS1ON+c-dyJEqm^cR_K}YRnQEf9iu=D4UAUSrXs|oC$odzzd z8-$ng%TA#19m`Rf;7{1q28gGNz}i`iqy5oc(JV#`WH@X`>dq+vB82*oD3yITWUYoXf!? z?}1NuD_yBPD7Q{ng0BVekF0v{?rqFs07Y><&$^aR%~Ga?AB`-{i|&o{;(c2%Q>`jA z8O2hP6TVkApA==N zH)93T!a<7=#=#GTd;+^5gf;1{myI5^M%gv`-tk<_Z9oi5l^)_e5Q|6RS@4J))HE>( zZtw7qAQ+ao%K{!-oYQ$4$@Qp*`B$Y^A*>++471(P$mc$YHbfqPUiHGD{gfmaeBf!^ za>$huY1R&jM7Y>8x;qbQEBQzd4*3WfEfZ&U3IavI!=L2rf$pr*NlIrm&>XQcdg#U)QdI+~n@u-Je=778(3V)B-8p((b zAGK{}*Bwumi_^U@4%#PM%MMZ6(LOMi3pDd9Wm|5=nxE2M*=sbjnt$Be?2@B%QUEg* z0*X=X)>TKU0EwUp7ZZf7V^o}gG2B8j4zE-q*)1+^``;E38PO`(Gk!wg6XHdjtGDG* zysnWiEyL%3?E+H%%4+XseUm=Cg-s;}ceuCPq9ftah~u$1y)rbgTkV&Vs8(D~8faq6 z_WU?@R+7i#IVLpt!l0fuKX}Pp&+@G`_k;7tL}a*_tCpzM=lcdSD}Z*y({S@v)phN?MLhCTDYS(clZc3(NhQ7cIA5A zzToNyc-j8?&6WHmX`_a{1)LZX0&-jor>fFAke?_H?^3NG^dY=@XQwRbU_g!AE^$Wa zv#Rd;JFluX-pYgFQ8yf00-pr0m3OJA z-a9`%34C685f7TBE(otsS8h9duGM~j!{rm?wc=5Hfj6CL6zAH@>hwFcwk$-u=gU(x z&x4?N5R+0GV+4JuQ0==^tlTxa8yy{uXu)6<>pu{fS{QO4OlPP1_fWR~Lh+_^OwaNX zK)-rfUnvB-tJV}}9qthICcp>wjR4diUB5)RW2;C0hGaLj(o$0&7RG2GVQ&cfd>X?o zD$D%=if`1S;kVMRLxcF9h$kzw#KU<4nr@E@ZPh=3-q|MvCdlE@6DW^r3h>n>^r|NE7unhV)^26#UgG6<0c-u%p^9_Zf-sQ65T{G{=SYvk$ z0ug8A3$Z1}JY3ZbADFlT5>$r=noHo}8u#|j`v?FY4Qtkn0EB`4uH&M*^~a}C+WF7( zIL$I|HG#JPDB3v0#Nw;KCe0<)l%zZkC+XadjD~)APe)~*3l50Z&rb~@A>c($Og!go z=5_y>uOPZ8KBVI`tdgqkcrr+Lesr}Zj1SP%KM?g%M?~Gttk?DcgG3{){NomT+n~km z(niUqE?*hQ3>*s#%~VUiAq;?T{~Ke#hHAb+4qLFvgnJD)3P$U;!-US1<&AHE5%-}tAc=jz zMe9R`xrN1udU4uLD-cW^>cnyzYXEX;2&1YM@J$6^0tymv{SgC${cH5-^VY6sm z=B{DniCLQ*gK>*>fRm(WM3gOnBte z3140)2JATR`cG-4~vY*Z-dHYbnk0z?5!Kv^||j3Lc{oQ*Rbg%fZ37&d{$s* zMyd{{IUC#$eeYL;?nwpxk3jeGe90gh0Y%kjyY)t93`iY9Tl#c)`=^RPUWW#GG7oq) zN5A=%D_7E%>$$MP0%KC3pt)AZV2=HV4jv&95w>42m+g6!Ub$1T;^H^o{`r;2!6J8d zeNt9~z7p!;*1v}P1{VZjELqZnvXs0S->#Sojpj+r)5TeTV`wnhPi>TgerZ0g{ds`u?2fl|ebLHBo7vaur|y!U$E zF92R%wtehr<6#|C5fZG1OU*!uVISa{a@jq=$gQ?v+fc7GR&9Zw35%P3 zB8Po*3I@D>W)+eJ;8nZZ60m6d#E4Mq{7N1o3bxhtD!>a_H+G&D+I6uVb>A_o@wuQ` z)c?}2ehr!d%j2paqU!&A6*1&)L-bzZgthc z*}`63)=|e+f!RKUOT<%t^wK4@Ah*UlY;U=2WxMBFnlFX&da-JP_L}%0i0u#w!W%a zOhx@VHdj>BY=fNY_82tfv(3s=Y#Rooc!1+-SjecRZSz{~0fxky(W51bUyfrgMR^Lm zFC`sxZ>KRCshVI$W-m`Tm$ZvbBf$UqU}u}hHg|SU&3@8ut3e_6DG5km(V@b1YaL;! zefM+K(ULV7?=H?@th6?rIoZ!XSr43DsUqU|22+(WJ@K)PAlx7gWJM}Q;)WyNS=6Kuhg z>QOa1+Sy&RKJYpwrVafg#iT7ZtThION^1;ZZl2N4^_mV8T23h#rg(W|d&es0@^O#F zA_z6)fE_)d#a)n=y5Iudb!5*;?+TLp^kq%6esufK^MbqB*ve!>=e`--o4DNOd7KZ( zctg?$v}WJe5#M_O=$KzJt`$&gDYMMkGiU3sROteSW4JM`?#XychJcOX{S(Kv+UrGw zEfjBYfK>dJ9=;pVZ4xrXtMJZY382MsTlaDW)RW**NuYeC2)cy(b(|jK zmg&u&m9SaU?80N=@M4sAoPTzq*m<_yZ=mli|qB>A668w|*dv}T=UdKyPQ z;?V(HMdH)0dSA0PVmz89`euRiit!faz4i4?bLr*?&TO+SgFH z8x@zgRL@PwP{4R3*3mS3ftI}VszMtl$D=13nQ3bsi1!&Qzp%u4GdC+Mt4v>|ELYu8 zF_3K&X|M+it3K`V`}q;iS0#jctlB)U7mPZmMX8^bzX`lxwdDqQ@$}JPZk7Z#CJIsA zct%$r2kMCbxBqMY>`%WIf0Rj1I_>5p>E1KdU#{MXxNNeT_oM0dPhXd>Tp2lI|AFI7 z9kZG`Ru!MG0oKKF2C0V)e@}Y9XPIqgX`cZ!SzZizEj*<79d>00c&wv25HC&bpz+2pOi z_eylSvyJ_?8_B6X{Y~uyHwzj2l|^8XV(7&E}VC^ zzCGdGz9&|Hr}s*ko~qu+SdB_Wv&4e!gn;x>Z}|v4YwmjmHEt(w1i)`Oz5+ZdaHzHJBdVH1SwXZOEFHVZhx2 z<{OuAOJtpCi#E;o$9kz-fR_f%|eUFi3y8G3n}+A6eOJmK`tWljgb=7hM=% z-MvwM^~sI7*Y=!MTecWnqCQs zLeNoez3FFXf_$N-o6y*5%3o|M<$J3UyyK4J%6f*{j91quF9!SThv0{+*M8hQ1D(`- zrSf5Z)nBWQ(qcEQo<&dAS6gheNi-TfZ_*drpq73-@0d2Y9oFY~z>U${ z*Y|5&;#QMu&z}LS(RC*8PaDeg#X@FTR;U1Xi+47I!xfYVz-N#`rzk$McCu6{1-oD!MkSR3|&LlP*MU)cSwVD z<>Za;J@*8+^fazNa9AliNkR2)+q4MJSo6s^VM&&mlNxamjGbU|$0M;^0!_oc;M6 z2j?~}&EL=O;j;gJ4IU28GfN!&->-QHzGDBxgMZ-NzrUV&h5OGDU*Y}r>N7pB&iwTp z&ma3XTLscc@P*JpQQH{@hms8Y57#`s7hHlAj*_hOgD1EvsRW4+_pcT zNhvOop8sHS9tl5(FL#!yf)lo6Bvp^HzL>~op!whd^Hn4>A=v||=oCJpOuxl>@uLA7 zK9vP3k9U1q-FI^M_&m`+9yUrvygs}|2|BV4H9gFNZdMINxWfr@c%yY z>HNpaJl_i`DH*iK-za^uVRW?BEg4sMVzu1`9rWHC%XyG>Pcu`NaKuHcGi;SsmF~vB z4kJPyjqFNNzvm@tKQ6ZXB`fY^qlIC2;E^wjT=Lh}L8$Qex-+ZOVO*trUBZpK!`69& z8mO1DQuu#9TwyS1^_ke&k?(!#l0#pz?&qi`3u;z8wj^AfrD^agNsJB$KNwo*d2QR1 zDYq%xN-0Oj{I9ccXM#afh4cx_h03QwFJCvyd00~TPBnSBKSzhWFxBdT4I%d$Ijc(k z)%))(rkP7EG?M={Rwl|)a8VupwjEr}woJLOhi$PeaoJN=t7=g8dv99R=<=S*{rikJ z<)yTdo6XlV_WG?%3B|>D>{FU=epA%Q_}5`)Z-c{X$qq~Z>uP^p`6>9whgt#udi}5I zlT`xmx_xI@_5boN=1i9h|D9x{)N_31%-8sD$Nn7Ezn;S%4*cID~P`=eCy$8n$QMD-+>R@W9bHi9qE*sNFYmG-_> zK5{R!88W)Am0SGuqG;=g>Qmo?Im(Hsf$gU!&dbC{AOB~Vn7MGZGvnM=VoT?A%Ir!K z9{CJO94;^&%|uB$t+ssYOtziIgb2E=jq2`=4bicxBpOtE?v);`Rd$~{eXdbTioaofv{IzrY`#2@S9bZj=Agdk>Rii8`Fxt)SJMe< z0W0(iw*kGf^p*@xdciG5DbG zGBl*D%7tEP%V&39v-rf8?qzheT9&@E^tC-*By!i_g5=!u`zg0Ryf^E7safsevOHXp zUFPpCQ=b_jkZju&dU>lfuzQ>1e=ep9#1|MmqMSKC^RD8v#1!Z|@|WP5G(vVZEXwi4 zP$u~+{W|zunI&MI)_4yWTMC+WT)CxatTgWX<0QwlJ)TphC5piwWEejDYq?{UtEI_d z`l#})Z=FTp9q!(pF9nLyeNTsKP7kdoB9RQdCde0DkH#MsTTHo-&KE2oyWLhY?2ARA2T7GD{Da@M}$IY3@ZWxhb-uZH_A+17& zFmKWA4UN!rQjM`l3mvVX0)zMC;O`_Y%i8~5VXy;;jr}>5n%(TCASr``&AGMVDvuqj zGG^n;X?*69Q`5(jPf031=RB&d@!skbI;|r*o_fYm@f~&leWXtCdzGZ=cs*1h0tHQk z7~l&AnWO58%)3i{k7pQ8>pn)@A)&CtEcg@9FEl(iW>tMTYd3}bPOc_gf9@i!1&EC5 zlid+}&MJi{`qh^1bjbTK50Oy%285!YqBQjHP(LIY%49eWc9Z9{oV; zR;Hhx^XTR#aA;2(LHDczg(!?=`THN~<`0pyb82eivrY?KiVfZv+FtCd=InYlDK9*b zt<{|_Vh-kRHPJY7qmgt2fh;(l?ozGdrEoTi%gVDGt8g86pC1aM)L)=*BJ(xXAT3LI zuN241>5=^)%jg1)Ab%Qk6M>Er-$hVbs>d3P($M7?TM!1EP*9MMOhOypMKW7(>`QKjI5n z=vH=%ZK+VEK`dq2bEl-ajcvO)3`F9$qUUJJRyA`T4nY-Yr|?8g`kVEt9+p_0wk{pp zyncicVj6W+Z}~X>O8V)l>-=a6SFu|qNm;d_CvyE=4myKrvp|+FM(Vt=gAVqnI8yKG9kqE!O|`D66T z04LTtM_*jmD(y=bz<+MS^1np8&9elxv#^V4;Sw$B;22%@$kOK%LT|IfnZAzUf!tk|!0aVIN^u+ref zZ;qr}&h6%^-i|e|>oVOF^9|1y45`h>Q6N&e22ZiY#~!*+gH+ z-}i?8`xoGK?04|Udd`Mwy$JgcPSB?|xxR_6RuYDT<8xyiiR)53D+`QgpE z_6t4i(kBxe&y-(W)9|Wx>Nn8(^Q;xc!1;yyzZGjrun%XnX5jg;DXy)pcKd-&`Mf#r+QIrRVXcM-wDuOVJ_)qv8b((dj*?O*( zzb~;F>IERd4q@0=zEU!vzdP#Ojm^HR08^`)Ut0PjEi&_i!3m_N7f{2$7l)%cAig`; zxYVCxJ)iDd^MXU0cU6vGOg@U^yk1AO7s$_pmVr#q~N6%1Z4*@nL zqF>^l9&f21Exu1jJzne$8kX*=H0z|^lNhwGJuz}xyP;D$2v8g^Z`FEHw?s2je~>G= zD=aDm5vSq(JEWq$@J&M0YIyF4VNtiidkE@L?f6>dy2K+hoA=i~&D7T(Z-$9)b?^x~ zOxB6fC!MSjQ*su+PxJU>SGg*KlFr{-K!Z;5+x0-#|jAvx>pB09x&+-<^p^?M^p z*%=bC&mg;4r+e=v*^Z)l-rw5X83KvJh{5Z-v_E9qOF)qzo`XEn$X9dYVHGQOg{8`#{Du{Bp!e!Y8yiDKCZnd?$ zTsz>r{@J^3KEtn#{|?=01*!Oj{epoc>;S>+@Lk7Tv~)_WUR1iIKba13J=qyJI{FO5 zx18@vT}3iQ<}ZEC)=3t$wP}rJ^M-FnA`eqHoZ3IUeYc>6-df}11IL~!%f5Hv&foDU?Tm7Zu0QfQc$?~T zxTu+RkEL=t^t!Ht!diO)lS1Tn#iBh3|JCZf@vu>r83FQh-iO--0B4Wr*jK+O(Bu01 zVtSu~K=cSN^0M;K zh&j=99Zg;&*Jt`aU+FWw#_$4>YIxF14n zCY(=~d-|hF>DqX;=SVDz^5|xG)84yeJEsCL(VExqzYAwuf5~UAb}l$YH#x+&`LOcG z>Cutn*N+-SO<|NHO_YyP9KGzg^7r9i%t4Nbk~sVzVD+VSpjTq&%l!yJh5N`KJ|JvX zz&VxV>Q#DB*rPhM^xfaG5izBC06=dS6s!P%UR7RF&hYl-i&caQ1L#+0%xfty%BrWN*R^8iA0-{{c4YUGUvVp=rC9 z<+a@~)|&zWamMjnkL-}u{$*Zp|88vn7` zZ4a*B>vvHaY)<0M+SQkEp~zS%e!{{`)U^z^TMOszD&1NX>K_0nR)NG!hmLHsJ&G0KhtSc{9muh{#uC!envv8g)>&V}umwXI_|YJ)42n6_Z2L24r+Z7=o0Z zw#s-T&2`HgV-YsQt5Kr~1KVXXK}0p@b~=*y^zI~F)3~-}_xBmWqtAhi(q|C;RSv3X zj)zcllui?}Ps5hAXZsAF(S3_ooz`)rY#)-+LOBp=ZV) zeCq?wQuSLKy@BSgFsL#<6`no;m$++M;LzB`StMlqRQUZGw_0)3#+w@x-g~K)Sy zp~P;D!52>W4#NlMsfY3npYGjsK3X8(nLc-lAyPoJe&Ev0HkK9Ke=YN5V zk%-KadV(~jC)^m~@*djtC90BN31i?6awWCqNLw6R<=dOo2@b;8J!H*E?dFs^8JBJ@ z6%E-7MlTVro#~gV@{WOPez<4CLt;f;mJoo@iMXY<-Q+9 zI+J&+@(A@^DmCRC#W61v-%NDaN>#k|#uD~r<1`9a1AC}rIQuNY`d+ZB5^P8<$?tL3ZY#)2? zuEIwoOCSq{2Ct)Yj!_be*?wjir&CN@i3+QAynO>+r%R+k6Pa#}*FiWghV16!g*%ip zNKIKzO>d*1tOQv-WG^Vt(U%iVbiM46N|B{73$EH@r{$5dJWz{uR1-ODnI&B#qL}kP zLvZNE<;9S6MOw<4BQwdwFnkegZPWB;i~UhE=#Td;xOk=<4B&okmktS^-a+VxZ9F?e z*p>L0O2F!QOT_z6F3`h|=6``4{}h1F<~tP9n?rEg0@2cXvvD4rz>Dlvpk9%Urr3G>hJVMw{a&l?SevhKzS-m`Ecovo4fHIl)3SD*RGrSZ!2 zcvzyDxUs%*k_p}$5RX1N+^h&jXfKi*6>rGP!Wt3k%(A^WWS;Kg-(ig^K${b2Ssr%o z&3(Q_vJ-!9z*aZ)pev{s)E;F^aXmEIeDOZI-wy2VkrqO1OEpH#R_Bi}XSn<lK`QHfIe;W?SP5qhFOVxV$H-ck#EZSDWuFRQH zUWW-ajY<`ryiL$A1WEH?e)Nl4ig3?Bo}9%@uadkW2A714+3_h|4wCg255;XVj+p8v z+Y3E*8n>7yH$zR=u+_V5@mXm<2owEt8jr514;CY(w!v?&U;mCShA)slDUKRcKm0(Z zgNKG28_G%<%T=uuwy&UPBDa0;L1Gq`1#Wwe`{N1|LY$-~=FFxh4oo74Ankl=% zO`;Iiyfu>0>aqRsFQ5uY8AVyPiNv>FVNc~K-TJ`pK6VsFDx*SP6mt2>GOv<9mLy7N zf}raGtSRbDXKsQ8*^R14y#G{bg|8;H3c6C=Kz#BGRAU)tT^3>PP- z;8t)jyuorVk&rU6=p2s>3AFRgIe4fm-# zxA8cL)t_SCzOYk+#u~2l0h!ly#!rdT$a;fbN|>qeDw<@=AmFJ|EVjJAX6LM+`DcYg z3dmBI3(T~*9Kpy(7-fATIAu?;OCm-NtwSc6njRo5-^zsVsGg%=U7__9HcN{fze9>a zd(05smX_+J*zL@XPCUQ70|4|I=OiZOTd}X}4)c<0bCe^D^WG6e}+?h-a6JHrMoj2)n6H1XRo_QrXU9iGbW z55%~UiQPH1LtpQbjySrT)7n?>XztO%(spZ()|?Z(hKZvBIK!7g23a+37_M<(mGzT- z09m*r6Z`SIM7Xl+dgCralF#Rf_GC<*OWWYbHXK)5yc8Re#FMaF$s(0i8ha(X9^vd5HI5k5xA1W;M?!|A0v?n$QPf#Mpd22lr_Qp_YwGeWj+ zZArwpqls+-g&a$nC2lP+J--TRHdvL%2-%O19wD1jBxZU$BOdD$lM^s=-+JB%yG!J) zhjz%Q%;{sr@N;f{uRjsz(0b0ksv78u&nUMd7$+Y?E*J7yqJ(i5KVT2{I??x3#W(8? zE1ucZzZW=60J2iJ%Bp%Apd=BG$j#S{evl0aZ>90%9|Dqyz@df+vwWGYwC=&?r(~Qn z-zAWD107AoSK3Qqi6W8R?hNiWACkM>1NUedv>8Sk3(!-+-i?C0Bre}YQ-o~huV7dk zXerH6(>XQSOLTyzo z+wJ$PMZdu>9h<4xk7f~7BH*Tb+1Y9OW+8mV`P=FbUvcvlvIBRu9S7Yv!tVoGjSs}K zE132O**bOA2h%j@yqb3nk~p;s-%LqFz7@}7`ObTkx>=;Lj|BdA65)mmpEKy&Bi}OY9mlDOw(*rRsckS{5qpv!!5}$) zRw8!}^I~tYuVqBV>!#AQ$KKj1g%8If>OfTw#ilA~Z&aZn3)95k?_&P6C#30pPyDaZ z_=LY1-^Bhx>4QC-Q~?jD5Xj+(n~|O2OJ9(t9Lx2aw5X4F>1bc4f=e@tV^u8#(#k=_ z7}#ja+2U_~fCamEdrUL?8`HE+NF1&3B?B#?%x`7TD^d=N)=NvQ2Rvwnc*ZdU{|YAf{7n5i|8p z9B9C98)oGqOZT_4PzhesFUlh&eE z#|n%U9!V_ZR<1cQcD|h8$ZLA}6%YtpfktP}o&P>-jI@;%GzFqV+SOEi%S*ZyO40Hv z{Df`0V{VToawY#xH`pu1vLo9x&N~SFducdukKejBWjBz;3T|B#!OUbp+nJrPQsdVT zcN+tOUhV<**Ns@P+hoy9)taLlbDt>v_f4 z62t?n%5*Hvcl*UPQ_MXQDqc+d4V`Zdl|Z}b2l6xXyVvjjX5Ro4ymB7Ue5o1ljln09 z#Y$DWu8Lh3;v9r5-QlcU;T*jIaND@^FR+Vt(reu@bzCo z1Sf^Cvx-K4F8Y@?^Xtg7G9bVxr4A8)3>Fx#pAS6naZ)nff6mhH=h4LASGqWh#(!+= ze{qWt5dUWg#s3_O-xndp34Y~_`zGp7l=VBlV5HH=i_HJ4dHi%fl!IS&osE_NbR4@d zUgb(**lJB>d^Sm-+n9!An}1N+KZk?eC0tk&xT_$)O6JcG%lA3)I0D>{ZC>?mVeOC8 zn-@G%yeYA&E)bwQdQ{n8zaybFMg2Ky{!gZY$=d!2t)pSQvexw z(`Wy?MY6E3gezD+_SV07_Sg`=d-be+7Fjv}ojOMr7?e)yShW0)BmN>jrFgL?^RDL} zc8&bG>cR7AtS2IO-^J-a^X+eft#edfzby^@i$DE4WHB!QK+@ew8?@4Cdn(? zUj8d={#G84*FkKdrw7{pdm7-vWFPZJ#1CKlWAJ~*2%J<4q&df?ytx0y9WyyNpb7Pj z5{>`8ELm7Cn7EnHM{Jb;ISoZtEZ5Kf&?@`CBc%&!D z4dyNKx=*U^e@`O`TQ2?o3Hv?L|9`^%jK=?mR#;`r&dTr1A(cToSmBawJ5<<&rPpOd z9(_!EW6_g|<%Zu_?S0|;EB^i2L2N7FDpg|Bf)p<~C>tehzI7z+0^V>4(A2g-FIM`t zhIzZHBC!IJ9ah4)2{>}B-r{KaOzrQbPU;I5wc8u^=;s1vj-*#r6#+N>VK!7yI?1Y#EW$W_^9>fPyO!naG6We0mwo_ zxp=x^z`Wsht7En1$k#WR)PqLQCLc(HROuiicb5XS&lKGie27(TMu3puRQ%2kSY|4> zHqNy(u1<+fRUs%H9pBZY+!l5ld?t9Gz{PgF%DuAmvsPE*uHt}YR}_tdFk3hJ9HnN4 zIB+QC?JN&;UwiQ34&uFP(iXQ5iP(2PtUR_0bij8iwO^or4`3D6tap=-4zT;t#QvZ} z9s-1z4Nw|5xswH~%?RioM~6iLL(d@48za0=H==w8u@;GdOFhS+8btKHQ`M951tL*@ zg^Trdol+E*-fj-3GOj&6%pPz9hJ&GuXY^K(B@|H$=fmRG?q^SAap*w77hHD&6r7b- zrl?{7goZ!8xNdvAzu^ir9CPGB#|~p+&GDx4I`RC>-4ZK}o1mgw1%h3%<4m)u3gi-( z{^-bYJ6G-CWc^dUSH|_T$H2f-tdXlbgxykstM7Zw9g={bWu(_Ip1ZmZ>1MG4%Q}9d zSj=^=@$yB;@5@&d0JEMYn7I@M!6e~iZUNP+WUf77B%BHeG)#UBzQ>M~$v{dfwQr6TV2H=1e0<!5l{A~C353EW0BB2I5EQ1iE9_j$I|$FVvulVDH4kdMt#E^{4`D~IL#F1}6RWu>GC zdgILv40*M0Jv?2*xA;%HAj}cF3v?kqu)w3q@D*#mfN2h|soLq+*F|1Em%i5eh~Q020eC`=HS)J37q8>&P*xL9pr3l?}xigz~~d(nJm=3R<)&8=DH=4 zI}F6b0w7P>&5Npfe(OrLE*o=A)|p~cOR-4MbqeVOj;EZF7?4YaJ-u> z3(F#e!5#h6L|r~cT;XW|%2_Ee!{kseb2@fknnpsikrva>DLHi);;lLQ5jKy!cQj~( z9bQ#Rl-W;=-%j96Wnx--P0!JWQGB+=Z!`FrG!GF7ZN?6C{|&JezeEe&PRB+GV9pp_ z3Qv5W{-v|rL4f3Jf<4DZx5N?Z1^e9wtASiwM7`q;1zqFRcLo^}EBTE!KzBH+4EOp6A)rZn9(!GQ=Q5nBlyg)IH)x~bg z_i`Gg?Tvfd`>JOayj4!9;BJa-w+^)SA(pwaXSvkMnJZdow|gr*&hNaNOCkTf=3x4a z0k(d3Q<$gfp_{h4RgF>lm-UO80PA-&PWf^hswj$w;VQEp=xSnf>~j9rv08!E9=`J~*F`-vHttO-Kv7%EiepH79|; ziEOYH`Om^&%7E#Ldw3O3Ne+g-6LfFJ~u4+MBlk|~iMGz(0*TPKSA;ZipfO#cG zT{NMJ+ISF|e%~74tR6E`+2lYKLT*pLX$y|{N@XPY+Pnk!A*XCE zO+EY@*8JvL7H9emBkRwm975|-5-|FRSVyjwSY6-<9RZqPXNm}4`_c!3fyelg1)~|M zHf$0vF(!!7tS}Gl{;&}I_+|JxYC~1eHkC*;Ym#=Ht>zO}vISymUqr4Wz_<-dZU6=Hf3}23Q zitjjXMH$GwDdd8`IN@Vxtht1L?TB%7h+=nMAga|ki!#9`kUI*sbbhChOD4s8fw(~o zY1P&4+9hXrGj{|smz`VjsY!4I+DX!m|&kvM< zxKbJ~eu26JHovnT0O8z3wO88=@m79&X)RVyQ__Ad)wfyn-d}|l><7LR2}g(T*zX^~ zE6CGWjq9;sZdn~e04y<$x&y-F0RE9YaE}ZxB_~{qH4kYO&4*rXED7lE^2qzGem=vN@3lb!L%FSwyUs)iRm>%wZ%3qrUAj6gQv*cZ8ed>) z$WcobJ$>l=Po{+RgBsdc^}!C-ktIb9g>h0XI{>(w1Imk2>ax}7V{5QBcLBm5!Gw^H z1&kJ3-hY2<)EN*vC_v(J#sb%CN%)n8{Np>!^6?ha{?$-$-6+ZItI$R_M=35hsM%?TtFCQ#Jzro@e@1fyzun=TWKch=&*- z-XWl`9TbuF938aEA(xs#rSScVRp2fl1XsZDZg~SM;2K`i;Z-NuI-vQy1 z1N7b+y+5w>6%5i!rbqff2$IM43Qq0yr|EBigIvN zE#!@j&;YI})rIWjU&{vkzX95J=t!1+E!j6$a7WAbhON1*N6`gfsN1pjhUu3GGmaK7 zA7JvWJQC0|m%I%;7SwmEL4`MnHOKT@kIztdF+IOXCyFjfx?+vbcXZ!j9qYLpBccoR z5SIUgIV4pEY37fBhdqYoV+6~vxV!;kOpmsRn`IxzHLg;>O-W z=ay1?em+&wx5oQmF2Sz8*_O7w_>Yxzi5YB70dKM^uxt^mi4f)m#UT6(WEF_?DFG=Xa4~KUrB*&gc72u@e+cc{{WiZrt0o+ zU_zr5ifl2lq#a)eqT#9gAX{-NzMNMi_p9hxr0vu`61cS#yMsS#rwak{@gMT=A{{U7PRPS@3hVLi@7K?2J5ZYyC&4hhC z+F3SV9VsgVhSC<}8(23DQ*f++?cRvJ8WA1Ms8%v15jj);L}GZ|e}L!d?yuj#a-)$dG;9W7PDW3JB} zs<I(x4pTr5V94pubY5TsT`SLN1guPezt16zH{gmge_QNFP)QkT zoB7HT?Km~wM)8xBa_N+I6rCvwzG376^e&NIYPStQ@((!Rt^)%^rC-sF7aTn*QXKkK zgT|ko12agBWemUuCBf6UlN&36zu#$KyGF%lhE7m9+}0KU&6@h}LxI@mv*Pj%kJV3j z94i{6(i9wH9bwaXt+NIhgri8KPHC9$MiXV)YJ#b2z68MA++CdC$g?*A3|b1HW|VMS z)1{0;$C;~XQgl5tpa+#K7E1VB+%^93;3_v2XzCF^+3ri*Uc{O*0lYF(5q)!8l01t6 z+f;XRW|jeXXj`Hs@>-Fq5qV;g6BwMEH} z%h7{%#OWkqn^4NWI5u{=-bO36yrtd<8`Pv>Vj=&pacGVc|lXMLQ|poXvk`mDa{pzW;f8Cb#}?p0U$H?<5N(1tPMO|?$22T zgj7i*iR9?n>#OYH4JC?XHJ}*n$Wz)p$4%9=?;H{96(x37_oz5(y&ef=vH>I!y=c9_ zDB97Oq@?vmyZ8aoxc8nOQw)Rk-TC>WI4xEOU0{p(5sYbY4ivN>E^gc6=Zjv| z%Tfd5`Bg!<^Qh&5NI~YPg;QhK1tG_7h;ZOaz*%Blex_Y?5*L6FI*$h#>cd`r3yy!X z2+I_SOg2xu+V@_4&%_jP1bfbmiHR@851hJVt{&+uyaq^HqfQ=L>)0-Ga-9@|Gk<#uPp1`+wmNWX@Wxq z;RCL$7tr#V2NxjZ7j(M;bW~4PLO+R69>lW){yWxD2f0GIGJz9wg_8|J!1 zuMM=DGe03_f=EZNElS3TV~hOjaKp=>h10-fA+mr>Y1EX}(R&;8Qf&xQ8quCtAuzq5Y;+QojJ`;91CHi7BEf<5&@^^WI1F2yek?}9x z_5?GxQ9^MnflYN!3DufYTt6VCm~nF%PovTt8VIo+BNZ&vQTYI=^){v^K7eBwReTia zvj?#FJQ`b*A7s2Ujt!M^6^b6R?f&TOJ|vVqQ#3-c)by}L6(>M)h}gTqDo`M8)(9!` zB7p7l8zpied?XRicR1EhiC*8=OjM_Lyuq|kph!NWjN)ntO|;-GHD;U+rc6-A=kB-6 z^^Uxi0*}wXJ+F!G$_KUm-79#aWxJRe=fjB0^gZDklDvkGI9gKMps`InJbgJjLT*Fm zh4@+zjn)fDA9*akUGsMWd$Bu**v1S>%I}W+d8U_bV}2tN`efnmKTc2d9GHCA1AAbF z+OFRLKFtT&@pHAWFxN?lY5FvezNmRUAe`79pW`B6?T559v_GAo%PoQBH|{*h&$+t_ zMj=ZDY*Q~3w7iw6@s9h{-v$WWfTE_eF#KgTmx8Z5+u2S!^Nu;62}8@BpE3)tld)mk zCGBO_X_TQ7k;XRj z$eY|^TL~&S-!}6k!VQ<4eb&Ejj1ISR-$?ac1jIh@VrIIq`Dp*G1!7yX(Z<_EDs_hS z@^u8=X^1`LowuyGtO?%(42?pfajR$)>y)kx00m^lT?G;GGz4wIx^gcr)`JBPp3esg zTDRb|$X~E+FcOE62)%w0(AYt|3`UmnVvPHRn<~gle&|(rRp!-E_V?LmMX4nUMhV*K z`i(3h8RA50-KhSSlj3IUtkN5c`XF(q^Gf`s20FqJ z&MlRyJD{VyVW;slDJsNNQbWrMIyr0<5W^g%f-WFCH^3P}NJwY#_2Y}Frp7@1S(l`T zm%h+|%0B=Uh5yu}S8@A&xb<|n@rbh=%m#6Qvk~#6*{G9x z81XhM0TYCH|9S(VXt!zQ%>kJ_ky4T9wbb=_n$U@rMd2i z$R(n5h)pp~lQO)@iRw2QlBYEuGm~^;C%qX}C(pt3JS(jG$qxYGhJl3UeU(d=bZr(> zeS4ev$Ij(!dhU>0jIw^*WMb6t#<%<4Mgh-4#GMo!RC%bjL1H~5Iu}UK4Q;(f_Uk0c zamU7HWfjtYwUf;zNja2vZuyJC3<(XOtQ6F^;SOf8frDMhQOtp^96lZ{ z>jOlD!C=0jpb-Y*)@K${4QPf+ty_apA#}M6g|0x?YOCRgwd0)5Oa9mxy}*1lTOsf8 zQ*w~f1VLGpnfbeg^e$A26j~XYePg4;WFWG{G+I^z)7$K%o%KQVeQGI_pN23ZiAhq5 zlqtnsmSXyjy9p;E9@?mVS(c}Nq2sKO4*l7?=-|fJuqE|5(MC5qpAGgD$*n=Gn4}L> z9qYmy%sBSQ%C(C2z4|_XrLeWws;zCEws)mDtc&WcO0AgE^1YLD zM(i#=sR40mlPNH1F*E7kT+Lvn!fB3H67ykt^35N~x>n;n-?i4?N&OA$o~^Vr-wj2s zaFR=)yw#rIwHwNXTE^R$43(f*gW$_ps?vX(5$uW*#yM$NI#a5Ha1?-A`u0zqmWrE~ zQ1_-p(4(95cU7joB`BCoZFP*ukX?({nm#9TX~}TnJFWJkS@*&8ZW)?}4G#+3+^_=U zmSNCP=89gEWi!XipE*)o;mPe`wAAfVxZLsHYohI@b`k&O+U>B-II?}W5le0&YotO zL^BMO&GOc{W^%0+;6ALVWDbZ=ei)xHbuL9#1A(ukFBfbU&kv`Fd1Eq)FIl7pk^xQd9bn zwJ~xZ_)MieLLmyml?oe{6iByFXT42F%Y`OAU_V*FOW-I& z8siIWgm4&RM0-YO3!g*biKa223}K~gz|b0Y$c{t!H%OrpBQ_eSZAOqpE!+HD}* z?mIvY_Ym|cd>FTKgFeV{*8hV-YuuYif?6u(TBe$$%V%1LqSG5N^huL*ov{oaqVoT_ z7{56~#s00Gfk&RKqXhI%>i7hWZJPz+X*A=bEJ4)(<%=juED2}}2%CdIBVJI)=zun@ zvfEfObij5_{zbAgMx-DFqh0ji!bXT;wV`NJuOi}oh#@9G+`Nmn3{h0d9dt#0x(KRh z@=fC^EuP7`SsRRu*OW7yN`}B~q)+YUjYdz#6(LaZrw1CsXF)HH({&z-?f{NJ;>q|F zk*NpLX?z+>-;R(^E}WnF%GDet_?f~-vbDMMHDF-U7}F+zI~H_Gb-kCW{*~;K{Q84i zRbRM{lcPg$bieC9(3MZ}V}+uV|6Ov~pFVwPWFxcwp7J1f0m10Bc!Smgd!(r2)Gei`}16heHR78ex_ zHbe7y0qw8?>aCYN#BCKw@0N&ooPkUc0fX$%PR=sCwI3@{G?L>)Nc$?H z#1D-A00UivM_}+ zhewjCX_vRaJ)pf9z9Qxe%FX!^XgRybAu-KF5v20?c@IlI6|v2H3nK=-xsBreJS&u_ z2{ERWtf$8AunHyiZD7iIn82yWTxIm66aK(qt|b|#U2+D4X~lM8PE)R7n)u9sI94oZ zJB%*FQ~IEIsYT%FGdy}cOdHelp-6>>4|iXC(Pk}!hU2Y$S60QrC|Py%37<$N98VjH zywt9Ja?aEx{MQxuU%L zEDVnS9Soj5M%(#J_!Id=#t)>82qDK+7TilCGSZ0}dHQ1$@YehXPJQ8LpF0D|=1UNz zb_I08ghVrh4p+CdfP>F9T!fqrk&%R$Iw)-C+$yQoeofiV*O1h9K9(QbX-<`PhC>zO zX*5YC*-Ycu$pgx@C~=_8aW)rRU>PqOdl-TsI+`TvSO(3E`+V_T26y4O8p3I-j5VN1?~8QS z!;+8dQPw=^-D%<@;QkvrKh6&r4Zi`MTS(pM#EokZ3L`P1?;HlS?l9D|3pC#vUKVYo zDL6Em)8HLX{5UO(x`}syZ7IwMZx@WaS`Y4KvDU#kNt*}93sLdAd>%{DhHN&h>%q&k zY(Zx;G7V(bZB+4ZjSk;mk>`>ilTXnwy+pSXMDF3s#?zs4lXJM8K2u&0uK3}4vIx0L zW$W1ed1)Yz;bWikc?fqi(jxGN-53WCMHTTrNBD&qvgY8vP3xrXwXVzN2sj}PQgqCz8>@;u#g-dnI|>wMkFM`;K2 z;h?7g(8KfbB888O%!HM-?+&ujQX)6$xz1D(IS`vW1$ML}@-$>$aT5mq&^lh5Hg9RWHsFz`HLrY8@zj_0GFUR-CDW`tXaDwd@x&BE&@eX1; zZ`X^+3vJkf<<8Hh31P{RUY|6^8 zv#XdDTMK-BJWRAUpZwE8_M8U20QZn`A|5L^bT!M!9%A~H@) zI=XLg1aEE7&HuP+ivYWC?R&eLWtIO!+gpZJxo+>M=9JCWX&%VO)6vs6%encYeIF zKwlvuVE0n|IpNgS=GpYPeft5s$=RVobe|8|PC5e!YIaW)ZJdP|xSuxTqO;}plqfOI zh;u)JCY`DJyOa|XFb1JoP z(9q}T5AwiiEsAnE;=VB{RTJe$L^C&^h95fVHTV@SZ>Ckjlv^K@a5gUg8UC^3e^5OM zX!a2Hj2h6LmngkB&)vi@_rod6H5mS`f;Enn5ZBN70yQD>L5!n02rphEmCFPy<2NGk zk_(}b_epwCAK8&_7eZbtV{@t_elNs!JUPW9XW#-Wii}`C#yK}3f>GeXLJSD zBpJn-A)l?2J7oP}QBv-R2{rBUAUFucrRTTyid8*mGv82jL>u4?c}SF^Qd8=H1rszq zi{%J3UEjfh<;Aya5hfJEkth8izU+GO3z1JGVFn1!Bir>WVd|Bf%2^CmhoLx>YgH{_+Pgn^Z zA{x#}@Mb3^*%cg@TxkW_vP#bf{e-=>Wyv)@NfKS0T<_SC$%3maKaDMdoy{!JHSx>gK5c#ox8n>X zNOC(;lMXzCYl^Qd3O0XXT_oPSC#xkcn>CA^aj1pML!vsHkcr~XHUOvKqfj`&yG}o$ z{l^l!%O+3GWT(IBtesjVv~V6B&W}Izn1t%#YmYXq*psX=Okxfb@6o@`lAAqJKOHI2 zQ72OLk+Sr7cqAvI#8S#FCe~btGl|e_Z?1Wx(~BfUH$`(+uoXg7?MJop4>V-szlUbhPsuFWN@zS#^(bxZv$77;~cd9$cR&^6`Htqo;!KS~^d{FnbiMQx? zPJ(rg01r-5PZ-yy*PSd#zE8x%*IWfY1q+xoz8Q9?J+l%Nea*ZJ&zfKDel&wrZ<*Wj zeW=0*+PhA_OyzB;yH-SgoTeE)i3?TH3uoXu=9wYu>*H&aSo2aHGSddQhzdV|3rIK9 z%o=CH6a6S2Y!!d;dHxC^U{nKazTmDu3Mm1vWBRx7)^emH)owL?oYifIWCnOMJYcfv zrED{lRoWhbulZU*)Rx?ZC9`0^a%UnkJ(n(*lX6=;X{PJo{n6 zTP?w{SbMc~Y7l0T_BpRE-w+h^QPI9)soZrbXB1l$@!+1XJEw?)?QBVy0-bK?BhlUu zcjcbF{}fBieimBVU|LcU<-bQbHv5O5H(oN^FT;hN6-o7}}* zw`^HaTm*H$MXkE(iZnX_0wZPQOcO6{U-6eQ-u{JM&M&nv&BF&OIKWrFPJ+`X=@DujjX(5iD#+5%(b3KhW+-dYo{@Qs3_x&}=E5`ZBl;o&gPH`(}{upcty z8)xgYR5C#968x;uHTfU^l(y@wmAb@uB}EzC>HWviOid^NdKF@{6~*Y1Lx zTSgAQgRVq8J4?C4otM!7Kp>S`x)l3T?G|+%uJi7rPG;g;8_IarR1u}vyf@Et>cMaG z%fnnh$JDi(aUd;?T3J28WeI3fTdR09F3mQr?gTB`ZjIB?>AxuPH>Ykx#OaxqLcv+> zoIJ+`UAU3ads@a3T%4zprA?gfgHb*m6G^D=nT$91(JH~8>Pp@x@IgoY7D+^oOg#Ju zO9KQ1Wu<{vz4o(P%lov!`yhE?s0*%`hP1ato4pro)~a$a#bAm;@8_IS2=GGko7o6L z@wdK#RXE$v{6@7CpOIyfba{K1u1>uObEelS2Lg>K9s&3nIk!tRI#k3eUBiZfdFyB=gBa`!$K*9>&=(xEQsa3b1jv z8InoX=vp4wtR3w%$_)u|ZpwQ4cG%PPi6!WPD`%rtw=7~StiN3(_Jk%B{-+n+HHoZ+ z`pZv{($7sKOEx>Txff1|iruSJ`_n3;h^O`=$$xeI$>4z>F2{c;_;q1G483USjB?kN zoId@?p*7Qk>3eb|bcf|18MO%W9P2jWi8CUe1;5$gz$a_pgWgEKvL^82&!~;g(Kesb zT~1*lP*K=75kCtjEyJ z2-kZgJo@)Pv1@omRe6=l;MWPQ_XBb2BV6irMH6i4fOL54+Et?R8i_MYAdx@(7+LZ_ zGnTld{%y1QgaH6eq38&(3l8(j?2wDApHwl`F1n8fbMhDWfPE@#x~gz*mKKwiv>O=W z%T$!Xj_~Lu+x^#|*ww(7(|r2kn&RIkGiDG7QS3UbE6HZ6aIo1CVkdp;!qK8OKQ46; z<@@Y9NiF6SEyYN!ToF6F@BzL+6g+W_#IN4}ZQ=>UaLDWY0$UyD{mmXe^m!D4*;2!T zI%@@xOuc(o;1l}owWQ8hAf32@f0o$m)&&A?1k5)bhGl?r>F=alU=J5cA*Bi50S4p) z2+Rwf+E03RrS&mjZpa1;5n|!HZ7z@bORn`p`u?QU7=%%UR2S^5%#njf$0Ir7gT{W3 z>Qr34N1#6n{(~a$g$}|%(zH$jK}I3oaMR_vd`-z-i$8W=z^0LRN~v9I{5vQoA4DN< zR1SfZ^myvN6&HRi8v@ORT2#~htm>#gry{Ph88-~GK*&s{%0Lvx4W=tQg14SYU;WLZ za{|N6f>dHt`EReo=Z5?P9}+x28?Sw^?OfPN6K&^>-Wm)+?8{i$0pJiOmNQ&$IrAY1 zftx|#c?mdCKV8#RpEtVw`R>l2hwqL^NoVWtG@(2J>Py-dn5utr_>n)CAn7LLeafD4 zL)DKU$G=@9#^auZ*k6Cn68Uo)*sXtlDtZ#5cs9q+E809 zG~fLp>o|e=yzo9dbD;<{*~w>`2Ek|B~|LA?B61M&L>W0NwTeh_Y~5MkUe&1>NuV7cd)+uw=({Sp23 zK`|3FYk>I*Ftfq-2OV-pHb{)70^%kkI>EDWyAH8xq%u0H=S+$t`fZ0z3YhTDFv$*~ z-V?8hjd;`|xXvK2u2-sv_ey!#9F%*bqD)lC2u1PVk!=s+~)p0S%Qe~ z6Nuw!y@CDpo!}=lfj$!vTzC5N42B1xWr89sl)IeiJ6yNehMZ7(;m#i>0$Z;Wkq+?;U^ma`kKv&nE9utMB< zFPZw!)k)~gAv{!?gO?q<7gHle-%ds2rJo7Z^Jv#QGoVN4mX6nFh&!y=Z0~zdi+OHD z;*cA9B-5#1GVX8U!S|!r{I0j9d--6z@2M?_Jj)9r=5T5b42tr4PDH_>V|~q)YFDvd zR}~kQaYJ$`pC*rP)YGf8EjHr4BWoS0{zGPjDm{a~p_B_=Kp@i(Kv*{jP(qAW{roq^lLyS#2OtYFH=7j; z0ncm2MLT}iME&0;y#6?LWpBdO^pVCUxtmZQZ69j#>V#xN-0R*6V+K|1mHXI0iZMwu zC(@3yI&5GAJeD(29EUatBZ@KP`auH1u`bANNA{+yDb}J{M{+*Oc@!}$9VE?)MMZa3 zF-4iOkB=I8-zE1&EWXux&VUT$(!cNx+Tpsr%IFp^5v)SW!9hUd-ZVJQ>L+iSO8h3a zU>u?r*o(k|B6LSxvwA}dv8p8*dNsoVf8*T+?wbUN1h%*eAmXi*IZMs<+f+QF6a+}A z4Z@B@t^!e}@!2+6q!x@}kQxBPaYgN&iB9?tz8E75k~IUrg?Cv?@JSZHNj0?g<2pJJ z!}X=2vFdP(AMx-m&7|17q0_WelBF%W=ptS2@qb8->9#-)T);9EYxsG0z5LjY3HDxv zY2M@_icLZH#=GYeETyWfqC3y5^VWApAZLxgU!i2=P2l6cOX@7MAUTvCJ;K2k7^iYvE6?)qD4!|h7T+JVICDSA ztK4v9`B9i_R`%M1e7ID$nCFas@S3{hC6-D*_koOyj~+_PKTEOO&n}3AkC$a(sLXg9vYC>Z+YH|2@1cPY;lPKoj3K)y-%!GA8Xqaob_A-ICel2#oW8 z{liR0z|Ei8Typ^V@J7V4t2Or>JPc|d*)glaIINr}JOy zV33yudUS4{Ox3eUc329`u(s`~62E|K_t|_KYQv~=pP%+A4k8R?Hsz>%px5*Q!4JLZ z{8r(AKc0PxEAvZ3?8^(_Y}a$Phl#x6t4H{sm7{5k7xN-S{N)EhTssvT*6?YsnJeR? zX^aHt5nDFfe^e-ce#a)7%&(P%KO!qez&f&M1D3}>uwTEuWifB~Dq9lK5%C|fvg@E_fgQ3Nfi+a8829-Xg85!0#5bXN}GM~3hY6?jzhK#8x zx9azQVLXgfkjG(Okl-CijeO7ZN@r-<6vYxpFz7DgIV7t$=e57yh(v&-VjdTZ<8xj{ zj4^vqaSo?E2ShEBkNPb+2nOpC0Fm`0D<@csp(WHQbu#a0jZr&-o7@DcT)Cbq8X*}W zub*?q@Y5A6S9-794M7m-$SZ5=+N*1UD_}$zmA?THi^x*8omj4y z)ep=+LYdkNv2p9+vQK(fY8}~8Y;4;AgIJ|>BAJuF*JzQ*v)G*#i{#b-+hwa)Y~xsc z2Z{{Sv}KTC2a%|3O){Y^k}-#TTQ$%9{=(OKamlZ~Y{1}v3XweEDzUoGWshi9jX4P6 z@onrT5<1U7&xLOz{(MBVLHO%W_zb)nH8D2E3Py0QGG@o_%+Siav;;BWtMdQHbH!Xu z{3<#;R7xSy{FTFJq}v%j=?SfY9u$N!hJQ{!P(pg3OfUyv&)uGh3nc9!Am_r|{hu5mA&@ z)Ml>Nq)vQKkk2zZ`U8YR`VQdH-w9TKYB`>~X+jg*@Viyy%C9XLo3z8Ub(P}SM+B1> zKiu`NsN~;$KOtM34lC_1VxFAGSbU`ZLeS~Mu6+h@{fbcaAzc8f3!7zn9d$q4SwBwE z%|Sn0g1=W~ARz8Wb247RxBMLV!tGMZJ`ZvAMPtat3cSG1df^c~;>G>5IV})t5RxX& zmkv`HRF0vaZ+Y(+EdmW^Uirq?wRO@CY+ixFBs$PqJkfRH7kc}Txb;sz3FkD72pU|EJUb3WysgSC$}A)`{kNoLhMg5}4R62sy^tyy z;!z6IAp*sBf z_NK@LUT4mmo6be;JaP;2VJBuCe*c{3{Mw%`@ZICmB~rQ$0^U(ij+}95pWV`=+>00E z<;`$eJbyX*{&lsz5(~^Z+nGwPZ_i&kMeaObe>EabS>E(TR1%S-$3np+!O%p3KGTLM z0Ul2LcJ}8F9&a3KG%ABjt!*Omic$`_K*}a1cQr#`I%yz?TDa-3KUcj74zo=+gBo{I5 z1EQ3Ho+9>oMLoUmw%9zwl*J?K`^X z_y~@)zT7SHQE?vbBGGtwZ}5m;0TD`A8HyXdg!XJts=Rm>)6hPpW zM;h>Zh+HG>yD=wGpO$L%J)Br`xZsVcXC62x=pdkUhJ(3Ke2eEwrp7#x-Gpp zuh)CBsm|@(+cL8;%#I#B4rjO{%!5VS8G;%TY`x5i_{Zceh)6e zdUl5T@;;9@p=us+>y_pQ5Vc;qvt2t_W1BH&Ue2|CHPY>23#LzH7gQ!Q#=soP&Qw0e zOc1Aw(ywQ_3Vpbg;lU+W;s7p(Auz_8YF})2qNX!98~`MNSfuPGhT>uhqb>h4v=Tf8 zLe*+6Hsq6u1-}4j-x(~t(v5wp%4@nHG!s8luNiEUL1psPu}z=p&}+=R<>M`OR)3)* z@##y_c=+mm!mL3NR3clMq}XS^WYSd;C|T2n)6OD|1JO+}x9}3g=pFb~FxvL7e-NWy zr|nkFpRHD7zrl8?SpU-}VvH7^z-1L`20Qg}6^o3#+PPh;mMMzon_=!;1kbD_lP>Dr z8@U!gVqCt5>d;@TdwKb$%7AF6O09Q7`|PjfK|MP8)iw;p?L*dU!X#!D;WHmu9}|m) z-l9;G_nXu`<-~0?ZWc2RG2G+;(y|j&>{T|yVe4(JE%e+hj~7z|FQ<0K+&cH)oF){X ztek(UdaIWGS=pOzQHKZTq9tjsyV!i|e{yy4isbrrgGY)1i*eo=G4y$gk`pcroM9DL zxyAAGZUvtoB{mt6`)JKt;qM`aR!>+=+ZNBb>2mh^AtZW-5V%K3s(fMmf}Vrm07TK5 z^+51FI!bOZKn8~-QmfeK5q0W{GCjj$#vvCbqgdpR5k`uch$mu>1^7NKp=}Xe8M@ku zel8GVlrC)bsEvzMj`ej*nv|s9zy(=C4<=M@#{Axh$K)A0lO7-@646GH8BRZ9CLo>x zTuy(~**dyX5CL<1DO9Q1J|^EmUL4A6PsY{X&E-$|DYk+Oj;BwE_j35-Tu?>OTrlFQ zqfqb2encG1_GN!uSkQqxR;2U%bLZn~rS3AZBC$3j>3FVK?VXvI>;%o6^50ZfjQtrV z7+$jz%xiw}mJ@gtF|O$|`vj{s%Tb%Nk18oI)|!%B;CrCVO2T80KK~mOh{!{UUi3Y@ zK+v4tU;Fgat~ul@`ziMZ@`;OFhf=2m=Hj%~<@<~uY6U#xN8zU@mwWHT%t6yL;P0!w-YNM1_>aU0G~@Ky zi~l9U{*l1{|M+9fEZX`4zIdC4f~&b&ya=;z2%^6!QesRkez5f^Ww7_?-dFsYGV{t- zONu=ZH~8(Ki73{Z^UK*om?(PXkoLnGfP|ZsuPt;8U|)V=HOO#1^cT5Qb0lFk(UiIBSeiySeV%c zpNmt(9Zgn>Jr91Adlr3$vGp+2!oPl;#`i%|Cbgtet+!w+6$HRL7mxwT;IEI}nni*w zN&KtGijAE={2YMp+Z;gay^Q4e2gAycG6I%>czZv7Nrc=5|M`znhWwDyPZ)CyAs8lj zScf5i^hgcW@)Hhp_ab6b7DJFnb%VgUYB#uX0EM1--Lh@T@Jw%>K}w3sD=1sn!w_Q0 zAe60)DMr^B;SeAEWS#VV9{hREh|cqQG4HbtvNJ%h0$J7x zP)uf$=4w#p(*KhF;{QHW@C;9^w8Ppf8}~2xCvb@HI}B|Dso3&!>tE2Z$qh5^pIMMQ znAcJ+2h>3xRN8hh9-h%O_|ZMq!sUN7=szi`S)-J)1$BQ_sppB7pMw)4xo4&DB_-zM zb%8$Y>eiE^+g(nI^h8HR>2+HHVt9#1{FOU3omSPWum(^(kEER}jl-o2#4_3U562d? zR8BLZT*7StJSqZe&qi%M(`pFx+fwe~_{ngWef^p>Nz@U7G*=9e9Pd?C?9YLng|)fP zL}Vux+6t~$0ee(JGU$8}bayk=s9Q>(;{T5+@u!bhMulgGE9a}j{GP$J=lTkG793@~ zembm{Z^lT0tx?0s$TMBk_Sqghk!RY=JvW^iDcR()a?UgA6jC^MHn)7sEJ>Cb@QqIb zP0Kj(C+KVa46x(oU7k+E=Ysi7tWAXUwKpt_;r^ng~lN>)oTv zD7GsFQ^ml6#qg$G+#dz@gmWwtY*GN+P~svqyd>8fYMc#(0VGp*zK<}C8o{0!2ticJ z;E?F(h*Dn#8M2n+3?X%OKLPBtW`lV1K>{QJW=mo5I(X(E18yfr+tbD7{r-m98g{FKzFv`z}MvBC`Mnxk~ zBVA}ZH`FNFh*1r4VGlDfEcFK-Yh%^-ShCa!GPspg#sD)Vx=PTHIr*MJy;3N|`lVU( zqZ!sVufs-#Just98B*a~DSXph3$XV|$iqUY10%217X>TKVL>W)La)2|jRKtldwd&2)K4&|cTJ@~cvlUR6muB|Z zua>l}rjSzSd_B$c#g#6}u3=E9SK>zI>2k9CIJTb!vZfps5))K?whhY8Z3$v&lEg-# zTs?pdldU6a;t>$__R&=725Ef?)Dz>$x6NArq}=}zl`2T;G%!MW6rJk`^G#|6$0qii z+AbH<=m(!$6y_Qskw2Rqnl(HLPH6Eri3%RKnjD{S6pZNc!ElDDm#sXJSJz~M;d0v# zn}#oi^e-MTtDwdwT7KyB&nOlSOy1?4kD8oG@oA!Z@!?Nfq|hC^*XB=VF&i5`hjo^? zz0`8za@yS*lc<0#h6~uoG*#+;>P4ZJ9Flu*ilmeZMZexRdQsbU?zRqjFXl#x-LO*#4*<|C7&3#_-w`v`@kJCA5OOHKihpR-!0{hZ|E%OG~RLo)qp9&T$g9bMrB&h%%M|0zkxkkqx2x8XWLd0cgTOc=|0 z{w%kPpE=>`4t0MExvcJxa@5+=0}p!$vbds*Lsq`Mco1P5lsH}n>%kjy9!nD( z9%>mzTu>#fp;+t7JR+@nlKA(KA3|Qo0&x*@F5*wIi_aUb=G2*tGDh-r=Fm{Y^2jJ8 zH7$%VFjjlko)LR$-kU+jTgIzGSR}`q?3>)35|ae1sBZnuim{(2NilI;=^g;cLw{n| zU+q7^Pl9oP8E_j#psURh+*i-CKT)k=pUm%E{`UL)h>co}cHnMDM4d)5lnJd2*`U$Z z$uOeT8$*nK_1fjEBPoFI)v-^M^^x543RFj*+6hCcuF3hUA6*nE13T2H<LaSJIaoecWZ=jE`+?6)IZsqBq?ad{IrQ*SgQ^g7t1z6 zGjEiiHeSd?`xA1r&5a=Ig9jZVjCOxBB;zqixpYax?rua)=%pd|w9Z8b?vRf$HmHRf zGk6RQ!AQdHO8|Zu>13otw)0D&U7(w{F8^%1Pc7+zRHoqwDp@@6rYP$*Y66_6sg=dP zM^1#Y_#W#B%^*`YD)v)(W}(cGkE)Igk^|DMczu z;@UNyv%34gm46O`&c2nUcjEt{>Qlq_9r7Z}_diaGKWc5^L->L^X?6@b|5^JX?g(RO zTd6|R5fws-tDZB(a?(chJ0yEW_j`KDL2ye}}*mVd{B~Jr=XP ziA+rnz}u22(};scLn;wYHhZ1h3rpRI(A`+=Ht)e(nf{wH&;x=1F;uG4|L&u>Hbt@z z%DEkwPgaKAM_oa2ae~3W3)q%oc5&#Jh}|C9*zg&#s{$>J)|qj4c}$6a6w!43QfBik z_LL$iOTk!|B5pTWoNEm$tp)*S3|-i)KGcN697+0*J|7?#HEj4?)|A~f`|zp%<3B6_ z7~l4l0&Hkd@~&*!naiDNpI`cg%ZCE@wEY`J*8RUdNbrFG{v#1jfogF9e%euV+`gPy z6G{y1j(xD03L)>7A~ql+bNuXdbKqJTQ-zzWqXh$h}LI zD>=OGWHdHUx9H791R(sK#<8u~Cq{DNJS?Q`C}MLS%(CWXU4lU`bCD)3M2!K-kcTN6uDUIVKE4({rph^~6G?{5Atk zaXe&B9)guEYlrYHoP~isW%w_#*cpbUhFH(oEA!@M73O53togU9~Fi8T)+V_Jbl@*)(kABUa8K_qqN>TrquU7o%CT2g1YpIwoGxixrtypef0 z-Qo^3`2Y=?q))u7p98KR>+BBrJ&gSzTXbSaAHYjdx<1*MqD0fV4@Jsnu&9BzexMW? zMj(3)FspYDH>h}owga5})?}wAyCEZC?>SBxqm(+C=|kN~@}+=np+Cm7bUIOQ2%$+c z0%$p#Ka*CVV;vbhdlS3gjj2>(J_pK;_GJTeI0Rl1;mavUGP>&bJpQ|wMYgeXhNCl) zsa2``X3Up?R_tAjz+_TETp}=ML%CoPF5AGT4OVx~ZmbEC+> z8l!u{uj<#2dM#cIyY;LPRfxn&bgR+n&>_5!ZYGtn4tL>g4!7a0#JWR^t64NNW8V4N z882ImZ=TrPKZ;(i(P#R}?J{JO9weVbDN7rV=#OCa+WpfWqb8172?3+Hy4qdD_9aNk zr*D~d@^SRryc@3{BIxJbku{Rpu1b}_J5ZytKi4rOH_ko}PsUHyFKVSQ%*+F-Vehr8 z9|qou{&l7{a|Upn<|5l~ytQ)o$#uT*Tq?jAxq z#h)`zeApMSU5~u-0b7t6VWeM(ZVxvpRx!IlIWgGxWO`~m&n@DCbbtKIdBx(Y6brj^9l}nLnUEWb}~Y8Bt6B=qcl>^ny|Bx~~ac!_&$35r7%%Mddft z(_h!v*m~~Mki-)qUxq}#N`x|hBj;yYfWIzRh0(dcaHVl>g!#Wfndod_p;&v!Y=TYn z9pedRFd5(?WS)HKSn3CgL95rpxcVE>YOc88eEJNbUmoCo=kz;qhStpVId@Vy_k&<& zC1SQ~jv);SLom1FdEnT91Z_*zwB;J;5m0&zp5fB$s4ektWT;w9f2y#8{`M9^J`-u57apUgk!stSMs%Od0Bt6MXk*nkK0_s|Ws7_iZ7%AmFpsr^ zG~x>6A_Q6_37(PS^X}2xTkd*(zqN>g_vdh%@QTv>1w?eVCfSDzF(%f(nMw(TWpijb zMRk+2zftWN@ko#AyD>tXp(9W0tHs3QviLzeIs<6|w2PlNzxf|=1Q?m$IUnRCkp7f- zApG)*clxXczvJ?bg_MrYia?z)*wxj|$n~Sn4-+f$hd=P4v5%@xacsbvHj|Z@f0dsy zQJoRX+=jop#4{N^gL7lsD41e3jJTswv;54v942ZyC%)D(JPQs`I0*Mlyrpy9VLI^Y~ufBtHbfjBm}(yu=zo-rMbDrWEA;&t zx&oGAb#JBJvw0V3Vg2N8O0nkhymgoN&VmayGOCk|JjtM*lN;UPl2tzwa^j>?vB>)>=z9r7h2N7F?#xx(p6;*f(Kh_Ccd*Ne zrS9_tsj4+sa!9m#c{iGwlr!eUdOMfCLXXN-!qq7m4>+y|gu>nVA_Qi9o}P@9tWG{F zNjXfp5KH`u2C8jgDVFy!#Ae6vGlK;`5!u-Yi(8s8->Bg*1iVxP9`m<{WE13edWkHg zB-o%&`3mhr>7EfvU#F%u;;4h_znx-y$2g@}8}4WkeuGx9k_GjS4@>?dCV=~$dJh$q zl>Vw^>rUv^3yY7f%=Z@Kte?YGOT&?w%xVEbPw$oKeU-8Xq2<)GZz^%sd4?4OIab*D zvmf7H5{~>;8Q#55ZWBWmH(->m1qz#J3 z9*!#8nrXTZ=F%#=!JO%8aPC;axg)e3^{$fj8X@sFYc0I9GsR{n$-EY=n1j`D5zhydSKN!yLo6#-Gfe127ArDXzSq(poUkbOkp_>JAtBXQ6g+Hj@$Jdqclr zR%=?V@9@3WmQR1A(k}d5akG}q-ZI5*v`C1lAKcHa_16?Ghz{thwATKH{^o}(#o)-h z;b-2_p*(z#hUi@0n|asdeuAycqQ0aZ_#Ei-r}5LthV;}`>i0-m_*+ zL^7dS5A+%4Psk-uGBQ~dT1RL@4->jWxRzsRr;57*>mqcB-}^Z7$rh-V|27=hh<4iX7>xlf3NSG z!r(=c4fyzPB-?+PJES4lqopwVza>9w92q=&K6qCD<*)uzvwA?QkRJS>BE?@V0rMX+ z6<*i<|86g!+gO9&k_?IOzZbuM_X_f|gu+_zEecwRIG?@ne`9p{973c|C;`XK_9`gu z3{Fifb};0Q6**=5N7U6thCkMtpGa>aor&OsFAzeW0{8nIsrVQk)SmB#FSM0a?N9S- zriFes?v!J_cAfy{%}&VR9znZE%{_mhzr8YS0B`8YCs}TP7P@BwSgP!Nh5k*AmXt@WOa3!+|h0aDSi}(HQ>WrF2eM}mh^Tf z0AObLUKUhB=kpm##{OS2u%^M*KZEM%2-=|1!PS9JExuraSplGeGaR$$gv~$4N z;mfL(NVGWCHxG`qFQu>w<1>;9AFmDj3=5_|BTj8Foq86hx^DdZcI(fAD^Fy9NJ?z) zUya(-LWGloUU$0fW&<;G+!D*_Rueib9<_4%VDiqst9(Fcg;w>*)|gZ8iN5u!yG;5kNd+TW4vP<3_T4;v*~qV8dmm$% z6Nnv_Ss%f=E)8(@$`oCI-~AxxGZ@X;oVrqF3xgx(q{_y{G3#!JF6myVYafr2b*QFS6eLnTPUCeuJ_e zP#~?uvfVk?ZyG2uj}YRv!v!t@dR+_xMU4llC%yxtWJ%I(X%7Bx6Sxmo3MxNO7~Gx) zvo|wAGO~b8yZ!NkE;9i#_yTi|K^}7h!OXF9#xjZ@yS|8no?`$VOzyhoWn4PEFxxYl z4_{kDH{ps)@PjmRZEc>W~F2pZ@5*9Kn_D&}ZPDrki;%4*cCOU*i|(Y~}}!ZY>| zzHkQ<-?RECD;Wo?+DA$c{J@lSk4Evwh|gx*z3(+OBH(ujyVQ7Q3qjZbk2;6PpzLGq z)llK1Vhb-jVe@xQx@LqCLV6z2%`4<3KkF6AUrwSGin5;ti5- zP)#nPxezQs$OgRuS+(J|sy-Crr;Rmg=z>Xk3GsG!0!;T;k3|_TE4w&rnHX;d9l)OG zqW1IpHRv$}B0c?1jx|n$5SQffVNJ7|=M}W2l+vd=P$Txp##Zaj%#abGewXWWSdgj6 zl_5+N{Nh%_yaHZ)WQ)k82#vjJuuT#P9?dNZs=w0oKPQ9-JKWBs{2uy}A5+T+HLZ?dw)QK!?D3^_$c{9=oh?%HTj4EDZo zE+PhBcHy)d(ZSNi?z`^ge~3bhW;K%!=Esp`j+^DICY65)1@ikKm^H?}cDkxaAl#Eo zc|yz@V-Kd&whJ@a3%N$P^sc$*0zp#eDL;ZPAW1tTtX}@jSnijxR26>oKGkQ4EEbAm`f|Lm7rSW%TDAQj!T`?sP zrgSLfj6+APH;j1E=ZNB0quQ}?l)P9Y)3kzXY{dDzlf4Ywoz&I$mB-jHlF`(>{e(9* zxXuhD}CT)LK;VYz>XD~^mJZC^%B$ulbHgA zikC7OJt9K~sO7_5TPp4&jL?n42#zmB>7*v1)SXtfs1uTf9{$LtFG*)bB4LqC?WeP( z+pG)?@sFw+*m5mAg$JiTRrMmLqC6)BB-;`?(ia%d;n#6@dOKQsBZlE|M`$4K)P9eh z)K3-)O=dQZDy@6+I5d>(in8J^T=fFgbb0a{9#Dwb^3sk9+o2{~T_df<7ag~h%OM#z z$a^fuLRO#93z?^D=J1Epoo@t#F=Yy0xP)iHL!o-IGxRx5;SZs*64w8&T^MD`ZX$^` zrAaXCp{PmLq@^uSrOM-ww6Pp>vTK)IN^H z!y0PKF4-v%Mn&SRjV$a%#@Oi`k9|Ra8Sq$vH0VC@V`b^%lD$nyF~{Uc%kvu*RgMWT zdXR=tEQYk*-Q&u@>%O%vi-aC|X=NFlKp+kC{5W-sE?9K)gV5Fx7;tOszHWuj!fsC* zle6=Zua2EYw~H)FIn~^I8^U@GkDy#=z31wTP-L;{g*h7fLj^!Mr?LRfPmf)Loc;h`%aa5(wstz9Bo} zq<-v4K{C?$s8Qz)Ak?wx2uqeOchD(w3j_eWcuHMamQd2PjH&KiP?z7%YT9$zV zjby?4U}{Q70>SuvVWTY{XiRKUup8=A#ll@E*K+2BWv`T`$%l`{CPEg$r(@{Aqe#$h ztW>{D&KRLCe404F(ZhVFQHaH4{nwRSnQU)h6P=@6-j&7&W9F6Ck{se0mGO--t)BNt z4b#O6*jKy>6xO3&fF1s;>?n4Fq~v0UP&q?TXKSr((nE8XH`Vd8cpW|E(f60^=@N}g zck>M7+Dsiv{UTw^@Xe0p8vC;7fZ(n{SO|Bdb^6}JcJ386g0_kE$8&i5T>_&B>OytfAqb}VV_v&Z->m*Dit={I7osk`C<_1WClC1jtgF$y#i}>4-}P;} ztom#CR%i^}3_aHmvcBWddU;PU92m*!l{p(#Ggvgf)d3W(Tr-Xp?G=L@R}3o`igvS{ zEmccm`fQ{^yJxh{6>ax+nGt@tANQ-3#2yh{>YbdT3Ee(sc%gaftcJ||l=ot8$W#u_2Qc$HbzVvd!&>B}A(2wVSpghfYFhX0Pz)hV*uLAz)Vij{cs-GYjY z*Q{M`clZbj$j5e7>FLtL>OP16@}#wvu+bVs;kRAlArxL$C6?Diy;S}Cq^tEliOC*Ol0&wLHxh!dAsY0w%s zO?b0^Kv+y)b;Lzv!<1sXi|@< zIC0r@C3=3oCFz6*eKKikSOrhz{FqsR2n&gAlC%UDWuonZGlf%Ryt@v=JMK4f!qWR( zn(XU5MS2S&kK3mLxE|Qhj zusIJNxgtT&!>&BFDWOn7Pd*@ynw1orUXH!I_Zk`e-N$A3u%pK=)B99+udOMZJ7s5i zayWg9_teR6-)w#Ss>_3>^M@km$3*u@XXwiKdXL^w1%Au-F;V^UP~Ji4>zh>OFzjZ` z_OG6h-}lreuo7fG`ON6*G-Z6oV;-bqU)8SmNU+|`YrZMiIGk*i4~dB+p7N8l0)rK$AFf85nm}R6MJ7s@xe>1O=!~bcR@@w( z*&P1LAQCm*dk~=Oa<-5x3C>oz9=Iin+U2+4?gxZ=eq8iYV_4=nx791o)$kZfPmMnm8^0 zNaxni*%RYopJg&>FW=}Pr@XjIs$zla%Zilx+XZ_#e@2+lM*J!I~c##Rxr4GrkmPi-xMcE%|^nI z8m8BlDm==s9M~7SChrv%mJZB;Rsh^ zetrLWn=cm``wTOfOd_}T;T0XotP}5VtceS5kg!{TE#g7pKg?TM(@vz)H9UlZH(`dd zMPhQ_d8Od@_=YAP4&p7zMh!Psr5MFyjAl;+(MF0bmU222DXj&+8Iygn_|xBmV90t% z&&3aQg<{*sBoI@0C9H$PImszizX+u6OcKjfZnRxc(?1S_D{c#1`3I z#**VOsdSU&VZJh39LjKCnHdImUuW)c&e5FlDz2|D0c$IzMuwGVC>fL8Hs}r z%Z3i}=dqS;*h?IF8`3gFh9tKegq$@a-fGBS;_YrVk>|Pw9ax(N9S;*LO?(?xJNToV zMb2cc=cMGgeo?x0HCG;k2@!KSx`){6>pkz{>6CqP0fUqeiq{Wjh6EV&i#ajEm&KE9 zAFRLCS2@jQ)-f3{{4)h-X2pMFgQT+zVvx8#r3^-(`ipp96xFtAExN5bSe)}4P2b7guE3zrqPxgOjqJLI zB7&gQxBnkkX8{#u*S&ohMj1q41|+2s5FEO@20>o4L0Y=LbNlG?{hxO&mkXCVdEe*kv-frVu1~%)$cv#Q_Sm+nN?O0MTHjf0 zig+$b-zoV8Z8Mn`$rTNC@uN9!IJmqz&LI7A?%E8h+x|IhQ@{{H5%*~Fq8eIHB-~T? zo&1_`?>rNaF7l%Cy;GOFN)@dipU0tmPdsjAx}_``98|(c(B^sRzDjsMbDE$DvtnU~ z&mvcKCPY>At3cTO9erpDz0jh{1jQQMp_YT2;Y%;=y*SvnwA7p#we;X?lCd_FTSge$ zsZX#t(1jrsQMam2vAA;@CPL3p1Z~3(?WO?FF=`$;@+^ktMR(`>wI!g@%B;5Eq zgf1@9{CC&KiWj6#7PVB={vN@qVqn-<6dM>HxNv!voPSWVzdeo``IK{^=SFpxfX++eKqyoR-aJvxUum+KCgjgLuR?khhYDIfJI zh$z+&)$+^+gdGR=(tQIz-BQhOrHU#LpRguW)ex$#sl-&Vg&N_ffpNT}T#74j)hvu` zw%ex!(?u5LUDI!Rmywc9DVTcQVZFU_aqjt3x`fa~Z(qU_qTmSAyl)&|*os?ozF(2N z;6LvRPS?N^fA;)6WMHg?QM`{P(-1gGntZ2)pG;1mzyBf`*$q*&qJ)U2fR5?b-lTub z)ji;G7gb9HxN&pIP>QpJbOO|AeBGU~p1KCwc-LScTFo8!$14|HL26RvX|`kZp0FpV zsvRK8kCT4&)VWPbB4G1p5uG@0CX$lz8fzpJw$sbV#)h|AKN%zK_lCShmUJ<_kJa+V zDcUxMBx{PEXkWN*7&0_rR?LIOYO&_*iNM<@xslM_pMpF52djJb6luYL<@+c7-k{0# zg`36kabsX6Ahv8r@Db{jcjulwj=Pb>uH^af_VSq0);l2nsDL9`y*D`#xRu00GV9gV zL<=(&qJ3$dM{R*dejUyMeK)40v#?q0{L5x=h-7V6S~+2nju@N+P21}ea*8)(4aPpJ zTw!mV#u|Ppe2(#J6Er~9`I@~NrNRENq~eLXxsnwSvAzQIy4U&b?iGR}TpE&_5Xy_K ze|NP{(c+@to{9WyGN2hj5`MR;6Hq0CDV?Kk-*;GnJOjtXH6DEftUEq#!t zS4jn}oE&qu5bjETw8UTzre8quG(zyag@RcP0BxIPofl%$7b>1X6gcZ7DX!rl&u0K| zQ3y3~w(0*0$=}}sr|93}L`@KR%ZOn$$knTv@+g8+ey7ug)3*fW$kO|ggYG06f304V za{&@AeG?cW{WFhH-KUs1uBR$jAPD@Dv+T!2$z|0hnCi!XA;OEE%JmaSJS)Frx$%B7 z>U8&XuE!ESO`KZd%B6A(_G3G273Th{suKcHjGVh41?B@vijT<+>VA#3=GBq6S_G`b zw?CeTZ}1m4fi|zR^|OpMCQ{W;>I{2h2!e!Kd*m`VP=sRz3(8m zV;5Gysn8RoZkb+@H*NaNdp%9;fjTHJzd;819Fi}dqw@MF1TSdc>R^uk9X4Ukgwp#1 z@)E-*3ZJhar0hMIYM8EY!I#0zhRrZpY%sm59z_6(ph^MHbm`a^vB(aPG5LEckXE8< zecP^k8L}gTljAakVl`fbR1Np6PCpArsmk(5{vc`0Pa=UZLfsOa%!3EmTRl>Q5|V<) z@4;MwXDQYPHq-%e1c<|O1aE~jTxwrGy?0$dY7+!RWL}psq!o+-_v^NoG7Nf8 zlQ#h`IVpbZ)Sx;6wLZd+z6!Y=C@LV?5^$qrIPiRxTKDDgL|!<9ehis%!xFM&*88pe zY~&KWSQg;PR)K5KpoEG1m60gS+oHcwZAH?|bG9#c8FG9t;BmZKH7E9W;%w`&m8}|K z?IXCU{vRvq>y52UBF6{@<+WF=DEgB>gEXpzpWJq8<`j$p@`Myj-dO-he}6XTD%*oU z+NU!(lBIh5Rf*^=Fbw&Dw8xDCf^t^e9Z<`4--<6ee{z)z9QK0);+^bV?OMdW4y~#P zdZ#Wj8efTEVK7w2vX;BJe)r8)#zeK^lIhYBxA>$ZYrke8_o(-kurqNz(QdD1P3QA_ z-Pb-ZeUEi*3|YFHRvw=J&YcE?rn*@lPQ=;G)rKLcuc0#vaH}7>+qxyOm~VC*Yup|# zYa>SnWUL+$ak309^=_5oB0oKvvtCp~E`E@l`Np)6ylaIIp?4_PxCODLzcA)TT<+o{bj-bER{!D}ze(F1-11M;APh(P6qVeYzulA3F*qakst2m z02}ZzzI?IhW35SrCtYVlKBhMX#j8r_CNv!;uMswAF0w4eV4IlYm<8NeZi8*L1kv=p zZ}chlHutn%Q2Q(3G~<)La*+QtFwyJR+yKMQ@cIWIZ#eSKIpd=C^+2tMhBI!hQhj*4 zqI7JM$2(=9!i%S3urBta-C|rC)5ya`47dNU`;3Rm`m(FZ9`49dY_`U&`&XczuBnx{}7& z8IH1k{w1gMv!BwQ`Ww$;%7VvM9O3OkH|s_T@1tGYj=uL{9p+4vu) zHH?Sv_&nu&b8hsY1FvD}DJ%9BM z6Xp6~{;0~%F|W|+;C^d#K-i1Ox#v@dKY+j<_(O<4cjZA|qf4ydygE-cI8O~aYIwVh zR$m99fdPqki{Iltnx)U?cRT_5OX)iQrU;6(o9;iQ7RrSh+0SEfM~7SW*HhL(=O8P+ zclmwG%Zntk1*io;qJ?yZ&WZ{!ne_J49(opU@}8kk33xw{LK~i?wUOoTMa8+B3qsEW zZ>;+bez>gBU$TGSXO_D5&f18<0;N95j3SwP6^E>QUcgL-{m&h}#6y;Bkoy<2Frgrp zN$W?>+0I;@TjUKM?>(fE#AkC@m6M%$VkSF~V_D}S&wk>81BZtSW6S)_bkFjjn=y0F z^)T;!?t7dt$#7pkZg!0TA7+l$6xm-!d$*mtl<=9_*J=AD>D8r_T^We4bJ1NgS*8qWrM}gwgxp5e4408VHUL1a5 z=!~-;_+p>y0y`EbnFGiD=*P{D2E==`UCr&KM91Lg*A%T;6|IN;j%)dwN2M(s(J0HT ze|r^&&b(GB4{`;v6Lpz5A+V%Q+S0IdCNDtzC6Tn^1(r`M7 z^VxS$Vd@LJrSic{)k2R`8QYCgIkFNfFOX$LC`cEF{Ys~OM2O?X@Dg9t?D30O=a)?h z>r6%Vd_w;UEq}!5Voq`KgnF8%yVsO$JbecH_z8MJtfa|iTba7KpXLGYdr@H%Nmk#1 ztKBi&W?+uAG6r=DvT;wd_Q5SKqtI`&aG6yOMGGd@iZHFjG|$Fw) zOU1)-%h?CiwpbcLDz0!UDnRTJ+bT-xrxagPJ;hUmoJ0%(*n+&X#sH69jwwq>d`EtF zmh1Ztv&@^mlgGSJwjl&-9`}r8@hkbIq5!$*bzMV|r?YlqhSxyj4v@+ZE{qkKN|fsw zYMBY4hMX@pQ+H8`oa(gNmvVg(PpK*TVWnp?VnJbuI^jg{MU4F({bOQZ9Eq3PM@ucT zN4@+x=ekq7v9>uH!0{!sOt;KTT+b&`JBeopfQocA&S!6?s>drSB2Hq% zW$?!d2>sUGlO*N~7i)Hj6?bqDr;a(!Qv2O6q5K!fI)Cd_JUuGIPSM>gb~zUW9krlk zik@hM>W4!a59sp<2Nqhy9pz7PQNmPL;F7Lx2yb4L_9;@9+L%pWZKH3gZZk;MPN_byzMXwq zQaZo7j~6=DuL-Qe-Iv?0{Zx7r&g-eS_L%H=So)k3FSatckcM>W#+2Zx>8Aq4v1?yG zmqH>B&ImsbZg#Kl_!r%fQAG0tA2BFf(gl-YD}kx|CSIOlw-OrcX_pqoo+aG@~AhQFKOh zMwrkPk9)5Sh%qN&Odk&}`hGtxOp}xBUHKs<)1>Kj`lPaM)lBYNKbJSRBY7t5%Q;J! zyyCTV%+A#bAstT(83>WitQ4&G@LQchiiEW=Z9$;{Qz`vi>eVOR)M#_Ku^DY3nUURp zj?2mL1~6^S5R%;Oo{!l+ykWP@{MD`EZF`*M^&MWt8=|TfKOKgOv#AUkyP_hZdHSk= zLjLQ~Co&O@a9;61kuMjx<-fFC%|Y0ZlCs+YHFQagefYSjonX4}ie<7Uh8uSQ%U07G zVeZVnq`dL-%SW?DihUsWV?5vDYaSy$dv^bIp(ARH#@#@B zmzN7SQ@Z%A6vFD~+RvXkXDdu9KeQsa663H?Q5wiC46`0ZmA3fG0r9*uy-N)k*QM*oo+e}`J_KC^gZlQ(tAX%Nbh&t{U#kUS^D%Ct_5EO z&do(RC)bI!cfh!JX|Q}N9x9O zPE(YXeJtM8F5cXnB96H>UNIdKPHzE(ZKh^|dEW^+(k5VHy0&_8RA?f*-lU&#gI_;$MDB@ZvvR~Cwk;h8&#T;@%u5~@OSHnu0^JVEz z_a$carZ$6w)M72YXp$j*;rVxC{;ygq5UjeIm= z?JbJrJeyf{DX0#(vBHsg*5poS7)XoYxLbg-@&q!5P{K|7S*%)RkRtc90O zhC#zSds$kMydAyMk%&WV#A-n^k!RSrshQ%9>X^_OtMO6bJ*gTwC27I3BgV@eg~%sSgTxu+8_mw-g(|F~XQ?5oKY*3`^q4A|$;{2}42RKMiRSD3=+mrZ*<>|Os?vNnOR2h= zl*l`hsI|T_{qU|o_1(<<5fiC4YqgAnd$E|P=*8));z)cg!pjBf4W+LIAsZw4D_vt_&ps3RHgS~blOy}`W2g{FqjboL!%Szr;7?NsHI(30HanLGC# zAGTg%Pwf1fpm0z4ep`qk<>b)odg_k50paIS`7x`uI0u%tz`3F(oerhu3du&Ny1Z$p z`d^$4ezVN2>+$P}s;%_?KZi>PAICiBQ)TQc@xGu~NP&)$iXUczUuce_py4gDM#!Tt zd!Kk_So<;ZX3cz9KTGb%FY#Jr%QgjeHpgSV4FIU2j3mJKI%f;cNj+NGwWDTlHA(}? zgLEuhd1RB$$epF{Gd6(17p|jZ?qE)P73|#sSOF?=e|DRbOun-NRp)C?n!P&+f=i6M zhEZtz+JEoRtB_rWaE$s4tXbO$ZLCj}Jh~RqA#6GghCQb) zz!XQ_>8I&3OQKf#6Q#KB?6lkuH+18i0g`<772u7wnw$D|IQuNjfkuy&pN%9?oleB8 zi2rfSd2~}&(tQM+!rjF}=&^^^nOzH!K=*2F$j9O4^)UUX{Vh_u(KJhSI6;D@M7>-B z9ZNh$DHTWkEN$n=YYYG`2(3Iis3$mwnrI~5kNdudS5(k8P>M-a9JdYA@rW(>6m^&U z$K#$f0;VyQUB<{~qsFrQ*0}`Hgyrfzte9ia|ySDw9d4p@^*IPQ&XgiBAku$LL9&MlO&$$bdDzLIN-wp2rK7rcMGnsiY> zOdw_IBFPfgx>UP~IXQ-(c~pXHfE3r?wBQ7kf_zU8KBepSXwK>a^_I&VbAIM5JA;X0 zJ2vqi!HK#{!dQQaCW6!WbN+qVhoc6{meU(A)Dzpx=RWxY))YoKT)G|;O_qzLoeg{J zIZ12izPcLYrQ6VA#2Yhblx$M<#|m&yBQ(bcb22T#m*0P;^!P*pn=XD(3=@7Fk)VMN z@Vz?mAv(0T?;5iEV!cEziCSQK-SOnmvwHj}sv9g_@zZV16kS}_e5gAem5R298HtOC z6_SShi&`8t5BhN?aE%z52hy)%&2Suu%tlBXpsB>rskJyDEhUjWZ>NF zS?=o6hf}gP9^jrDRN)-dDs*+I3=RchA^QNA9+Ye|nqlgc_(kB7`HvGo1LW6vs7#BbGHM5qrSWTA42@4Wx zpXYpSa-8j`)hy0wYLVo`*CwW{owjCktTz&R$SZ&K`_jX?@1R}) z5kKcj{W(p=-{Js0ivGCnngk4a>wShk%=gO$B@?`HuafU0PD#PyrJA!>fmc%WocCn~ zWws>f9fN``G!~f2%Kef+RuJu?>sNjFCTHHjN&x2o47>two)yroNxwb_gzCg=QiNFX zXU9I8igG*!k9ZY^wQ17C2)%53MT7`qfHa0M114b=ep0r?#I)9bRVEltUN|o0hstVE zXdj)};u8;oM94HR?1#GQkPieb(D>c7&pQi%^uj0XFSl{RG#`;zCvTq-qRmd45TnoTl=>G2A;1;tU{>q@7O=Z8OQVGXFSIjH z?SkH*K$&aiBg(74pGQ0yjEnhlq(1*0737~$tuPH={}#0MO}fxg@J2PWyqeBN#kF{v zo1mEv$V0{{r`~3OZ~iiGiPx;YOwg`(fHGihjV#t59cpe|x^3nGVv*Ri(mQqj3?Duu zka%T_tIPvlm*KUyzyUM-NxZwyzm?uZcE&^R_DhiLqX4FShBv5EffEO*9@?us{)3@R zaOubuxIdugQ!!vrNgHaFVY~$uB#G2wloBbp-lhk|8qheB6xGfSU=8nppZ%HZXbamg z80PH(gQ1K5U~jfUVQY#f7(*MV6NPO0t`_jnhi%ho8`sblkd6X^nYFn?Fff5i0^#P9 z$4_1U&J^!bOnm6!Po-F(wl4RWw(EQiCNCnXpD%xb)&>hWazXLoeWmU*rrB`$o*1sY zxzU)(muBET{OA9};Nis?p4KNr2MoYfkV2d1B|;y*2~5uhKRjNoGy%h@FaS3Q{TFn0 z?=c)AvV&Nddlg^b0J#|yQTF=UTfwYu%1?h;0FK1E2Y1{}jV|2^sxCuRP+$E!-udI0 z+JH0d#p8Gdw*Ufe5egNH&|2VsJ_W#Oqt7ot87<5WzE#fGevW@*NL|eda2PIm{z<0& z`_eI-JP1xKs;`?)#pSEy7DU(wUGi#xA5ega@^Md=C8TC~Dj#lJZvjKfwZK@wSr`-h zY+lx8$v?$ZE@c23r%LPcu76jqf4&_U4EF`FkMY2PPz_DYfq|t13cFY;$a3}UrUD(F zW&D*qMY}Vqzp=Xs>`GtF-T^|}EQm7w4q{wp0DU<5e(A7>Qa`#^ms>v0ppN?Q1o59w zJXVkt#sU~py%9fgC?3c=#kSrI(ae?re$Gpa?RLs*n&Zl7bR6I|;A80c--C{LpB~sb z(Y;X2`2y741~Z0Hkm>M~LBzahwLkJ$_S6AyzF{EDsOHa72$l!vmuesdryX;MBA}r| z;l@5|9sJcGD(l15g5=1~y%g^PF ziW3FCdP#gvdJ1(t1zqWX2T=dnE+Gq^Htb>=+Ji=UJJ0m)X-S6(2#%{SI|*+K+=$Vk zPHl;0P|K1G&RMS zpPZM@HmVOkaE|xneqw0?fPHU4pj)C1pp?6UTu%rM>!B=e`%oC%79Xc|pR@n}R|Z}j zV0iGk4_ii8K@nF|6nL0s0G@LivabOvB@uF? z`v3`mT*14qbwL3&aYn%cXE=S9PBobf{Lgc&@~-?vddxKSFgb+dgsxSzQvsKd+3Qj! zo-&WsF)#!r((-1B?;scVfT+2|@%1(#H4wDYmKw-tyupbj7lJ&v6#jTU70~-2I}77o z7V}E+Ki4LhZqcbeKb#f`@c=i+duwNJkP0>mENY{`Ja5@Z&{tf=(0u?lskgUr6TVN$ zsAU^EH7?PiMzxMZwx%1v`Xbc+1>}>>(f%-JPaNr+Ps)`lBRNZkcganUfgHyWA^64_VRXL@dc@iSXkTY8~C_`sy~0{~$f=pDkd z!vldYl;noye+VeRvi`6E;+!0VAaS7D=&_7B1hNgbff`ipY8i$+>BMT{IUitC)YY}l zfb3j)c=C52mjSK@m2EnE@$LWhc7lcUESxk#XmAdU=e|^!0I!_nWWxD2D&%A(3GfoS~^{d4SKcmEF9!11-JST4Ya3 zbA^enTr04D?u{>jFHPGa0|K2uK#LDT6BG*1(cM^Xc}j!#)PFa_e_p_cWRm0};Ne{a z!Jt2M9tvk)Qj6Qz0T)c!+^DXfW(EX$X)5i%9BMHh;C7)jqFMhEsk|2j?3MGsd%`~t zWX01Dynp5Wu3swz1O{GF2ohKap4ucP*e%TgJSO3|*7ZUvAlIyrqkoA5D@_@qe<8lw z7(X@xjFCNqyq=kHHf;8MDR(K^X4OzS5|hsK#fgnx-EL-*RpXlgmCX zSIa@5pfY_50uwuOAW zj2ZBF68Hadt5pl2G5N>d^!alzQ%vY_X$H&iAkGp97Y!|CI^Z5iR9tH>N&CLPa<32U zB})X*OU(&6^z(XV^#yF(-ylfn7N1_`_lF6CZ80RHpvk-dVu5Vs4nZLgv3LgkJ^-8e zsD7d>eWCLe)zDt#lFX8Xy!Lhoc(q`m8S?@SMt!veqo;pX!c&Q$(wW?LT$bdTc#VbJ zpp*<~cPN1YN^&w)F0r#Zm>}{9gPU^ztY)1B;ug$d=ctvpCq|!e34RB+84*~4Lq~0Q z4jMYef&0)0_)@FEq;vXR)u5JIsMiMw%ntNV?;(>f7`}}Xgp{@??p9~NIa~JCg)U-8 zpzzU@x&IGd8DQ7^ZA1ogo+_Xs!h?hPV&&_@3uw{^@oZk`@?;y(K@E%oXmZdUD@x-f zfYQ(!2G@?zlyINT(j=H}$@cz>i?Lw=*Nm=$^-DJ_3@ZyI(8tZ-o;?AoltCsD z{`8GPxmOPORFRuwEm8^Zz@Pd@!RKLh#IiaN0@s4~g^Ql0W( z;94ELrvT)~E;?a=_^%N02~tS8);b%4d7o3egz+1&6J6$D+3DZrr@`z1RMdh_8aiY4 z5NmAT`{)Nyf4DGsqK84_BA-X+>4y*s_d^h5HU(kP(;NzFC-#rk9zW3;yFiw#U)ToP z;XN+<5c1pM&eu0c_}5AoCw5YAI}g_^keIl_a9L0uK8Js5c;Eh9jxx?cUMls^QpQO~ zakc~t)!TkFuy|YE59iWafNU%M8Ledi$V!l9aYI~-kTPWA%qfY#hb0VOBeZi@QgQ=} zbX(>H;=OT%cm%U>6EG^WY+W^r_E2m45PMp_Ew2KgVi}QrPr;0-w=w2S zZ--~cOM`fb%y8R++7p_g>#Apr^Svd;YF)p*KgP0b{#?glHiC8-?9O3=>*li zK%_Ijkr*SxBdvFgb(Bdl^yZ|HrQR>v9cUM>zbX8l8`F}C^DVwvUw8Z3hvY9+UiJ$u zx@Iw*f7fYRE!Ed4Eu~j`FPsTI#=PZRpclhC$rA4ls*GyWhMLGsMFfUHSS%-LF?<2l zAHUFYC6<%ttf?s1y}MmZ@ANpuM^AqN9MUbSG>%b~tPrnYpqidAp25sO(!=7b^6a`R z#-Aw#d2g&%TIZ8cIL`V~t^e(H>gPa(M(Q=-`a?H#J#OI;0=b*(&%S@@`u8Jb z1ovmnoWl(QQZ;@`{RYTRper+Cy_n`hwwX3JLCS@9ERvv3JKF=?;T>$`J@Q4Zm=lE7 z-MqWhWj9dGx;i@irwei*SzOgYN&9LNlpa6K zO9?tVxO)V1^U5>1r?y>_19g1d1gpq9A9-~gBEdF(eHB!>4z5$${M?OQRR(jSlkA+8 zp;rcY8rB~?MhDUjqZR<%ZisnHWjPdVN?&!UUc8L!{HIW8R|I0h44+;jq9`0U3xJEnCdOQb!CFq=fk`Givjr;~zk2pvpBn*vEpPXzH zM?{*K2Yw1wBP>|-u&hDqEgvlS#f+1JwmCh4T9gP?aK<`t_K{?Alx->B1E!0o^_t{+ z@b(d599~_%KLAm>w_u|&JL~!AC!y;Y$pnX@0eF}-TE6jVI2-GL`{&;nr%RV$zN8>3 z%FB+qFKyOU&D2rlpO>Trs>tOI%ti5(P{wzYFOxo}8;EjEY~Mpp1_oMveWfjsRuzF- z1&}kZZ(c=gfZnzZc5>G^51O{lMa_`8O3l@f#8j~#jArg(w|!5wT7MD)CE8PdQi3hb zX=&YTpC5kyM(3cX`d1#>=*-!GS1g*qzAqO*Jx%B(t2zD~+r()KcRvSGCQK`?CPEwO zDJ5_TXf8jR$BEw~`6xR%zxb_IVg+er`=1J|{RH?|rXHEM?*Rt9){*{}|I6V2RK)id z;Y|h+S&K$MW%K(?@t@z3ke!L??6tp*jQ{(WlZf_g1y*{YxD1l@b4dU%2DI$G;ct;= zUjn>6!z$EQmnNTOwtr-~-XP8)@$oz=In(heVnv<1WDweb)5|l0(wiUbWP{YY$-~{D z`Wfb`U68El4sraBy|5A#D7bfPtfp!NEFGE_N{baAoxi?>gyQqOZ%6MYg6dEnh8$(R=-yd0QQ0`|Er02FHWFasORcGkhyI5(54dH(PvM`P z6#tsa3J=!#CD195rgQ_4y>DF6tXBCez<7HHXEO7>MZP=bJT@B~u@*tKFb$v!dOl4Q z{CH3UW=%6wN~x#sccuv~fEK_cm_ME#%2OkYPL(s`?gKRt9_(Ur1#xRJ(_r}cP9O!j z^|Mc$mchDc2rx#ZO6%Px)gdCs^$>tFelL9EJszT;K<8!jAUV5lp6Q2!!@844LBRQ} zF!QjWW}lY9X9eZfHF!-{>r?_& zRs^;PWOr9N^nt{8%btyqvK+r;RC#02CQ#mat+R_+MFarAie5ZV+1KZxAuCDQhGlpj3;@>hL;ZZw|a5DMlr zzH9=RjjuL98`32g$`FbyHzwa|oZkmL%y08U;A~y)|K?`>Sl!)`AMgIB6W|XK&)%#j zufA2&y&pb~w~i|~V`PYx~#{X+AUFvGH2{O2=rx2b1d{V%(ygCAVP zYZ;6kw56Y{Iy7+*waQZM{II`eyYCce5DX+{bDClOg49Eg3w;RY7zDRd2leHIV;RfZ z9dVuneWJ164Q*wS{752gFO&Uz?7}8Un(ax=5^D!XlgiR|_%8S4HKmZlKuQM!lZ#n* z5GW(FAT8zVS()2~`_LvD9&y>kto1-_fOG|%d#;CybJUz%O?=MxK}N0Honwo^6Cxx2 z+r9h!!-u4XqZGB>&w5gwoG_e5xHs1s)Ju>JR5~|;vqzUBFa^cjB}~M7G2MFV4&rvq z$qRH0wy;<(GZY?ov*4wbqEusN6K}qy_2l_`_f0@Q#JN*&bL(hnc{FLxcrElmn!< z+SwMe7Ion4xTAUYW2AdMMOr#o8-G(C!5(mpQ!1@7Jk$;s(#a%lqn(p>XY=y^M2BcA zfzt0&?p^N7WTOvIIg?l1(GpgYpEBbk<x-!WyFLH&vm-R@(9@52 z$@SkZH`Loagl_%A*|Sfav@tF-z$7G1%*5rkBCHyrN~r1XZq$_rja`@BqJ5Ify}&(d>19$*Gtd|_r*wPXXe8BP^i$j-IE^~* zl!aI2#ccM76U^2F0Bee&Hp~Bth_Y_BrjhIX&nI5Q;9fCWKZv>Z_l-k8NWuT=4nlrUM-)exoG|PM*kh&`6vQYz|$6M+N^_5;4 zzrLl`g{(y^GE3Oum4kM%-}XTMU3fZm7(fSqk-Uxw=IAd1?GIN_-7JB*v`k|{C?8G1 z{FVQ?-p*bFE!()k9o_0Djh%64&j$))OB&!mFYssEq>Tg5+WWu!F0e3GfmTItQ6KgZ zY6Le8t|+-m{*^(fl?k9{4u*zrUB7*;1#^!ja8fScd4X!3`~Y?vy{8~&Wo*WG3@}=j zJ{cocz?x76{?qVGxZO)M2ixga;%Qz$rs(*(B+mzg-pa$V2HD_!I!*-uJQH90X{lQ_ z;kiU={YCm>SL~?3={VW?h0m{MhjRsL|6)S_ejgtmgc2}?vwi|s-Zrr;Msm4+=}wC0 zWqCLUYJ+MhtzhVO)-=MZpv>wz23`LpchNNI**k#Cvg18Je4e&n71IvtgU<;7;t%(I zrjzO%GHAJR9{zxT>Fd71*qNdUyrUsCH;0paQ@YD@%8}J-Fl4HHr07nrrOWePK;lgW zG~KdjAdvx`FcYpet6IR-HS6*<-*e3VpB?oUgz`-b8B9x@!ffg8QyJ1KufnYz0r9sQ?rUlY zaysJ~Fb4Ye4XBuk!Aq~ya}QdP;ijX6V42_y`qr6MJnef8?ch(Ki2s^yAF=q`HjxG5 zQ`F0G(IXE-t-1?=l1!wp=CE`4@8iU+@9D;8Zg1bG!?mx{PaAyvun}?pS8Z(E`2G zr?q~P#q4No0S^Q5$_;R=h7yVP`1-Nt3}&4LqYxhncF*z#-+P&jwHW!8RoESn*2vI* z@|BGB%Tktl5Um*OwP&|yQT6j;G>PySh=!3e@iuF?fOjGESXKV%C@rPTDgUPTgar zN5LhmzV7TPRZAUZI~6kX{W^J5$snM5`SrFj&8Erhrx(3Tz-C&m)H!x7fu7ki)}4<_ zai=@T9L!ta?mizPhf|XA$bQ*gCA#!USkXV$St$lNo~FiM^Yx1wKP5Gs=L7)k4`w}b zgRyybpC;)J8evNd6UqVQrcB#lAn@7^cjxQl++S|MZId`RhWS+>vkfffAiEdTfxb#g4_rm20l?d3{T4xFg|D+d@c_zIZMv>9=U%N=%BD?t(N zMLjrI+Np9-!~d9~N5ztC?Fbppso=0h%@AmgVhEJq*ap$ugjvQL-pRyt!DZK8_FJ%6 zC^+axSc~s6oz=s`JqyQ_C*!}4$+)0D;l1~Qy=<3dwrW>q&I-X^CV)Ur--#1TWW_so zyy?UCbwd}CX!PpA7Nw;rGfjvL8dKWea@l8J0jd9pqtENGnAT+#@$j?#|NpAn(O@s` zrZqg&MNN>q0WkGXdNgju8Kf7yr<59;0Fjo@LipUkjZuW~yMQp?RNw1ETsMDotQIWV zUX7LU$ww>Ar<3EDTmf>e-o@l*4h>=)Xo4kc^+#(x+Nft9QzbU9#Sq^;S4juu!@2Z8 zHiZ4A$Af*PV$2DESbX)Z8J0NF9uq;bYDIXLOSiZ!Z+W*%S$Ln-WJZZ{-|NN}HH?x` z*t><1laDk`$5bycSN7upSbV)epLKU+oOvS{kPl}*t6fL;K2zr&<{Xj4?f2DdbevJV zDSJ03l7!*(|KG{4JOF$6t=@}a^H%xlN#n@eaNrF6fY(k6RUg6Cm0ZlqV3IFuq3L^ z9dX}a3!xcy+kGo?F?hO2oebK{QghQ*d~@bV<+6$xiNm;?$wWXqjM2Wj9NX%XW#O;P z#q@(CB)5V%l2;6d#Mf{9x;y)+Rh@3*0h7p%>BJQCxXipg`pnX0m1$;1>#4ovHf{E$ z#)k67>NDpUygJJrFae@byo;`5HDB^KJ{p9?b9kN4Ke@G1>d4dxgXxF=h5&$O#hLtawiklkF0jw9Ok_+}M_pwUMx2IA zCfTpHC3!|jw0Q`b*9@aA&}bwc3JI4+E#A#sysUA7fv{K!_F5?SNisRK3oxxkEv6Vb}9$K);*H6 zH?T^mU;v~j7h*(6_8MvZEf{`E=1~I_f zo6{IuDt{vkevjFEQT<1bUWu=7F@%|Mg|19GI|cjl*vS%EP-^mER{xWH3joP>WEg)v zT4H4o!*qb6t4JWceDXw+=6fivh7uHe)wbvg4q2KbCgC`oY6a6T({ z;GL}e941SOmkO}dRl@cLY|PuDj+oB{<)cdxvQ3H03~@4O7S2bgXL#T8yp^pwBE4m0@^TM^Vr&O)>M#tjcrHeoC+*15bD6tMR`jW4kFhAjox@yp2;$q?>4y zgIPe)wE01I@c~xMSt=pH?~$v0p2W^1KRCT>1&#xLEC5qF%tejdHAM+m4Qv@X-K}lK zK1QXwYn<5)njO^$w6x{jRahnUjcWL=5SmIi8NcBNlD!E>P^3hX^6qofvQj%9-3-Qg z%eobo23U8X<%@)eNFuH}M=9Xij)i#yd)RJ?%}0?n?puK5bGtm#f6JKxj=l6)2>xA9 z=3B~R;a#=)Z`JQiKSCZR?$iLBQDTjCazmW{lJ%-B7wLiwB`J0o^}|`r;#ITIyHTq? zQ^^L;+*GCZiZEmp+n~Lyuak6|OJ!z5io;Q3#BJt_a<-)thV17gZgX~{TClT0Q*MtK zWBfE7tSt)_<52r-lMMQ7rXClz7Uu;2o@DN^f_>z>tiVIW_p_vMl5oa{L*0B4WGbB~ zTKqouDcPY+j#=!SJ8$c5^h)RJmLz|)6M_jY8Kdfn<0(qTMFDx<%&lBcr=M~I)Bv_j zF@u_n!V=SE(Qj5Xx7TtaZqpwIG9m}?7~sM(?IulgT-#e_>DxEA>g3ri3BQkQgtx}p zM)D=yMQ@4Q){?Z2nO17bv`goV=^1L+83&o6qhL@6eNFiStSSE+C!U7(8z+4HG&T)~=0#oCe;`?9on3&6F}JD*#nM+>LQp-1yCmDrsD9OXF%^b)bNJ*fq% zNC&Vns>FHR+Zc|_eT(z$mO@Qm&rd}QxNu#<9d;dFGJBdEuq3y)`a7pF$t?IRlsP|U zn8-1WZ5;O62g;?4XGj^ndG)hXu&$&-8(QIK`M zP{zcd8~my(@60Zk$Vx46255i*rL}7@PH?x=3lnz56OQ!5Qxao=WXx-?c%?RyYuxQV zqq$mRm(SgY28!!eCm*_p*R9IL;xy8)knh~ReQaTU1OA3pGuk%2whBE1H^c|+c2DVK z;Lb^p`w*r^p0YNvybIaH5XbcL<2lGeoIu<54vpeUC`dCI5y#qh%vHs4vB@4TA>&~eF!e!cH!$3+gQLIu*?HzR;USgv-z zJ40fTdC+ZBrg>cCe#yOtaj`*L2F1Xs2r~2zHfLumDQLK&5rzm^M;lKHj)U3mUe@fm z&cCH`*drb=74dSu>J>qvmWV9Cnj`#MXtdB$a60vg(j!#dNflbur~MV1Bfe>5H||QO zg)NbsN2LHohaG|32@ED?hP79PPG*y+W33#MI40m%rrH!@B%YvnA=}p5#yaig*bZgQ zBAqjvE@dhy94`==F z)Xv%0{k@iYmzp--T!%5=2k!9S zRx{zBXn2|I`KfW0;j-N9K!i`H^=E1k8!YL8*5A$s=XHv?hc1;vuJ*tR&Wt_9)PQwmn*OGAQ`pSR(O&Rvi8%b$e#O)70 z=-PO4#*0gm=6qb!r@Uzy$c)~DCyb7w;?b-|J= zCa61bYkSXoA?`~nFg}-1Yt$2eY)-~u%oLk7OXkRhjCfDT4E%o%!e9F0v z8rv5wKr`IPF^oD~nUWA8G$q{*SFu#mI<-Q4RNAVu|J-hLe}eEnf~in=z9Xh(ICV{i zv@Q#4=(nmgS#E%9cAt{7I`?Q>VQLS#sL;5jFg9+87e30kgTytqjBA%9Sk(Rs^WZf8 zf84!yJk|Xl|6d}ca3~}DDC%-D%gEM|ijoFLMn<7*va(l>tR!0$?IZ_r>`j>&*?Z4p zWv}1!-St^@egFM^|M>oM-LBhpMb7a)uh(-t9`}a}mm2MNF6BO@2BWlR$<7v!r6D3QUr3pd;#AfscKa5BsXm z@Y3j-lULEawU6hOLaO^%JDa|3nMLc+#_8#%|A<_<$EogY$$K<>Nr_V~p_(u6;P?1x zX!t95_34qVrimq+Ls5gW-of-axWe>_3e~%4O-o(RQ^_fay1aJr@b* zU1(U7P=%24d)H_5M7imTMy7h47Fr~j=9FpW(1*b9Z%<)vf_Unn_lqZ&_&h(11@dnV z$#zF9(3c)`g0d@TT6qrY)Mw8(zfj!2 z3fUC|iSO~|gUErssY+XWe#)QT4wFk2l<0F#$u(tsL8VoRqkehGr3Yi{PHK4@rX5Tm ztGtvII2ya%uyxgA;$=YHO@^S;q_8H2y>60Z0VTRW>2g$8E>QaJ^RI29O?$qzr}}kE zSmXG)XPH`z%sPuh4{LB=Yl&vQTq}ciMFnXvk?lO^RV=@Aiu=S1x`xqRy|P;ks-6Lz z<+r~4YkH)hczk|$$BV+j@2FNHLrEUQH{G%?9D5vpdaqZ9y;_-35EUOc^CrW(2Ai5; zkWeFiHkGE&3iks7L-i$G993ckL479ajb0DhH!U%1km4*Uf&Heh`CqP#glEPl`8FIS zDK@LZtT0W%VP4AQ8cQnDgQAyS%^|tGi&yD3nYKMHk{AhE@;&8#%i832kG!1DR6F$0 znB5w(zUmnuRj&KxU#dq1MP#k07*~Iookp-7KQ`N)6CZRendzed>FEsR`BWp;`&@w~ zwTVWl=ky1RzKj++rSPrMD!QZ}w&gNEU3Nr3C~csLVV38l(t+KCdag@n(F6g`*gp&lWuoi+~Vyv^jc< zfxIrrEYz=5^zsu9hU7@9ygoa>3y6~+lQ9e9&E0G|)~1jjvBvl5Ka(#}Cv^v#jS|_r zj`Mg(X;#gJjc``akn80VuC!!3QNFbTR;^)^mk7G%UKo~K-zOlvF`9>)US*KJkcA1m z*EHd$_J2;9zh|r54#>ok)N>f0$iozvRV$E?zGu_V=KKXp#U4e|oQ7Lf77S_(Zt`We71BG|OW%)D;(I=6Ec8HSc0=B?sf`1#T(HP=*yQxOD6b zG2^fNYkCO?IlnCio*ad$@VYT)Ymh9rSBbKL{Z^#<%$F(#%&M6>R_l*f-=elMVv_{Z4Q`#31?DID*g5&r#)5lzPoqUq?x-c0)aYY_dmH}IVF4Bl$) z`{muRgl$8<#>M@m1+J@BNZy}>;|y*UOqRs^UDeV;nW9LmlgkA5&Y{ZIDPfY zG3rzXA%ZvFU2W}qRGHcMv(a;>W#P}=$yYA&EdH~ebrBE$_JIA*stf&u40(197+NX* z`KWCv!ld;7=9igHkA%5g>Zr}iI*t6EiMw0l@+1)Asm9!9U6kKDwgn0&C*39U4OkTQ zl6v!J&4i2cf6aHFw2-e!MC_`MjeAPl$AwS*4Isp;!wZm0a$9%+dg(7*Tt|^kr^efceR7w z>!7>OmR_n8>xGPtq`;>x@n5dKR7!&lSeiv=1_@qjofgd=7geh{b9ay%AT|XOk{*LZ z{%uR=tZID6XU6Xd3Pog5;4!fUjfa7w*5{4CABF>x-tK7mvZc>LW_yzo?gRuxjzOs^ zu0`6q6QbtmR>PdMr%lR(9C;%b#NK?rUX+RRu~8W>EXp}~TIyt5B&ZehU_;Umtd1W@ zlEcdLiv+kugQ)1AKeB(FS_-;kecCm*hfUhf{r9Q+ zN&)9k?jG&S|GK*WHl_Uf=i!6M5oOGI=D>gd_}_0jy|*rMp3*$|0hYc0`3mIU6-wcM z=?RZ%r2Om8{_lDC|Mp)d!o3TrnYOUba)i(lm0$k68OHF;7#Ij{YP6f)11$a~q zuR*pv5%!~%waKQxU1;276ftnX1b^Fx*ib!)e(Hs3JsYpeRyV#97Erkm>5~t0>*~e= zN_B+V1?T1{_`A|6zl^wPIHTpR7bY!SKvMXu>S9DlkQ{3Vs}kda)Q5k#fvzgRIb9vo z0n6CNBd2b)g0Snhh+ReTHgH~YA(FWR`(P_U+w(ESLqz!wc`Z)B8q{eMsPTUacS`QE zJuGW;zu#&&y#Nn<7rF#)1-l1^$4no7zV(N6B*V8vJ~QR1&kf4t^;)6g2O#UsoCdJE zz>Rm``yU~ab>txL+D10Vy3fKShp3!DS``UGa@O<0Wa9rr}}|I8${!3S`~T7#GIgi2hgJoJ7Q8aob?=F zSRw(5(YAPNF$jri-Gpl;U*Cr!lG$Arjw7ERJF~I}zp54=U=~c+Nf0;V+K*VhM!i`r zOKF&b<0}f`ercozE_6>&Sh)03&I=t=m6gwcXwF6CFrkv4_w_HEp zIxh^-M&Dm6d)D|fMBL03JO`SO7JJHR24FybhO;1mdU^ir$dhxabm`n8f{Ep!d0@LS z8l4=5X_KW3%MygAH2##kzJEm+ktnoC>5NJ48ixCe2uBC|x~QM#vi;D)`};@JTNj)- z9AqKHU)L@F+t3;-B1iKiW*`5Cdk^bZof`ipcatq&v=xLS>uOBWj)kWlYj!5(^@j>x?84ce7 zTd5*Q9Yxl_YFS9md)sFq5b2zP-&4_Yh)f{18;NJ)Q%{_^1u97p!aePyA^!=FgvGYS zj}MWjm=HPP3cF$ul_hM$K0;V8bsfZlNvGzpNmxBdQ3-Q|=&?)$@#2VRZ33@VEr4$p z#~H(W<$a2N;X}UD+D};IJqq%FHmh0=8bFwEC_&$Cp%P+$O-OKM2x~OTId(zXRaVMw z`dxi9%9rZ5>Dz%0XoD#IC<1h>3x6Crd98x*L{ud&*O;PaK)i_KQU`NcriHO37#Gu|!K6{2cZm(JNX|y;1H+c6 z;uge<$3-5QzMt%OGg{82Dd@Wj(MYdqBjil9-&z1`8GCrplR}WRSr~$~Y9%2$F{Cq^ zOzP*_y`I&pYQDVvGr*6^glAt{%()&K(==i; z?-WR+L^2;`;>!w-MQngU2Zxt})r>_dMn(o0ShR|?H2-tEA=is{E5g|}v!cHR`&ZF$ zKk2zv{1?dt`m^}qE6>j&8ZoKVWV|3I36CQeDPx=#c5s;ZUaIR@zRZ2$Ti7xyv!Nfi z(A28WDcwhn_%AJ2r0aQJ*iu*DzjPbik$wj|)uGmOYPl>S|se0YDit-Lt=CC~^-%|(jT7xKOzad0GT_Csp^LqQMi;V3sfj)S7 zs5y8!Np@sj;Tf3cfF0N)HJ%V5Cy1HHT|s#?b!ea{Sq1CsqNe;7Ms} ztqmw+ZWWs`Hng6BN<3bys$4e4$lX-e`5-*t%#$?Kt>?Dggwl(|+7V+s1!Lmz2w16^ zxIyr(N#_E?fOMJ10{n-#w@RYRCw{ro|9fRCkPt#-X-`n9Ss3aTm8h=QMH~YvqckOK zt4VWYdCu2CL!OLL0wzsEMUY{$ubX#fRfuv)#Z|qqz|40e`8cK=_k?f|v3%-*n$kos zBuc`KP8H+Buxgo_hV#*unYZfdiwn8Qp=IHBM$P6WZCI!0O1ps$-jKwLLuFu2eCIRb zP`o@OrD=&RP{-?%2u?>mh>n}vb#-+56zK~_Ny@0?*Tb5j^n8T3ac;*NQ5w_C$83yu zL6~Z3xt51JRuUInE4ev#Pps4L$n%vB`TCd`^RXoNPK?sXonxzaHs87Zamf;>;iD)X zPgJK@!2iXdC4_h5A-Ob-&iQ|)x?RCVJuOPA#D!%(Ex39x`nAW#Bl8@(^dk+7)0s{x zD(pbS8K^jOQ~+ZXx3g$5KTtZB7%VwbDJG;=?f}+khbKuJ?%xG<3AVZCEA~sd>bI)y zIfMBjw@^2Jw{Fl+X9ZS__oD2aTbN4g%Ba0(nUCYROP9AGUOva7RbAUBWsuZRMn-e! z8(&ZJdtVpYi~(8KY;BpV|5>s9T~b}pgThQ?Z2!x{s#H}co(_sf-AjE%zPspyIrqq7 z&5LEpfp;bOIF@s7##twl#c-+e(bbVV3I#BYlBZOe;3yEv{kLTR8wR`&Y4WZY>Y`%{K)U~v=KYGLM@@Uatc`op`|n1nVotO zA+D|ys(6Gh=<;p3SOKdNa`BWzkiYfmexVNbueFC$0K>2XX7E89btDlJ!@?TXfy{+g zxQ4GKoDi|{c{7-IS%>`mImsbX9g)Byb|tldC{=zaoFjW4{nQ1qA3FvgCM$rAFVlKL z<$;a56j|WZcl$r? z@B*H6>!b@c@o%nwzDdr2rssJ~RsJ;Cfj$W1YL5!VUnIlv%+P!HR6mb)MTXCLtU*Z99#0Ia-w%jv%cGH6~)?IGWH4x9O|BnEGD+0Uj*eYevoU43#tz{NZJf|ZQ_Znfb&_-ags;>e)WyD;M#SItpBJBB*lM1CWk%g~&6 zs9HzYciow*R#rk&8vj9EZoR@Cyu})oXb1F0x}5R7<2*M|euI9?5(#ekL{%YOBG6(^ zYh04*$s!zXNmR$n>X(j8AMB$UA7mYRrj=SD*&)qwO8KKujL=v4j`LS%o+dSxy5e5B zCvO|b?i3ZHt9s8|8bDI{A!;bS_*GjZGr1%sh6_D@`~_sp%o1|5&vZkpjT-Ai7| zp(Z@aDY&Z`&Q%w2eU4BC$wk@UpI*28p#R$k>cl(bud*;f-2zo@j%-d-YAjv^E42A> z^%y}tW+}`zf;MNEf-6~-?5tFcjZvedM!v0dSk*JvzWdL0Ke=@K$CKjO|&i@$m1PM+Quptqg*d1b^LEeu)lL6~Nl z>e#`k#^gZq2a5+I#T=;8^|1ZDt+8~=*#At@T$7hM=)K+4_XLTdf;)$=JXk^6J^(!4 zGLS-hXL=?YXF;}vJcEHEp4*L~dEIuU_1~Jb6EWcX2^ITHJQMMA_!RA{!g*AG(2b2N z^tNs=3?l*3K$z#U%~^)<&SG%BNY~VQMz5AlHa}Ss*}K!Q=D|p*{b~ zbxH;BkB|4+lpo5bj1zNMCq3=(?FCwhY~Q&gi`&oC$ur{j>^`z1$&45L+ue;!4gRA4 zF4ZJr;_amaeVsjGw2zmxH44^Uur6L|kS0x}ggJs#s&N@#x8NGJsXj;q6&;P018|Dktkg?&_qR_6GqrM8ez^#Pq<5dWlr0T zUaDp-CAJKm4I-8s*UVe9lJsr4cU z_Vepgx{Z^RN$zYTQqb+H{nU6=q*2vRb4b2ig=Idu=!I&Yb-cjxRj#2$AoXS}67@0y z!fok)J|3sagCT4Fb7`k8!mXda4^Phd3%7YLYK%b_`{hFW4(sX!jYg&I`>}~PNVu@` zy=NN}!*DZM-36xHeftuROX%w@%p0YqW5LR_OcL}_b$h=p4T@Pd-EOF1;+Yi|)!9li5A9QmU_2;O=@zII@2~YSn za%v_=mnoi9;AWr@pM5Nlb|Hu=D+}*@NkA)2cVN=9-*Kw-B2NbW#5`?e%*p@ zo5}(O=__SFty@^<*UCL5EoeoXW;9yl6uPV#ZB={^K!_Kh|I+V*Vm$Tf1^N@Ut00Yd zbq4Htr_dYC4N$sgT{t|Ng{R-ui63M`iNv)*XoP^6Q3XCLv*B!QS1^vJ1x?nHQ4B5V zz3|MSmOhV7%a2I@?mbjaU)ER7&MXk;`+eN%9&guot=|wgEb}|qh#qR}3QZ8RTzz}x zd7L8z3BOVH%PeH6{Tj}Te7COm*Iqvk5kiZ$piPgwjl^g-6MG*{f4YYfF?SG7ghdY< ze+{%}mG{8M?r4nRhIqbx(!44D6KSqP>_AJJ*wy7Q8E z76z$mu4{t<@G}YO=+_80d`(uo1y-~cmUE&f(Q7R%()~0WHV}uRQy8v{zw=n&&nASE zejdHawG)!Idt4#?9kMe>%|je_G}bYPG#g?1+>fb7kO6?3um?!I1FC5p4zywP(2q~x zpi(AJ4Z;i9?^a$?E#XfMJ(ijb9j5)~;+;J6{-Q#}j#z(m8|;B^CghQ`opIsYU6?J~ zBhE;U7QdS?^J=r&T3eXZd=Q$K3)rAuL{RBqZ25*ZHScG*t(Z6q9hY3s8SB0tJ?BAx zljmJ``EO$N>+1-)`k0~~g!5m0SzHB$5Y)R-K`s~IIoxoh9We?yl zSSAqFk>tsXDs;$ie^$DxBmMm9)Q?&u`&=-C+hF^<=l^Ll5}d23#=}x*bHL=B#dF85 zdui}N47GPgxe~clQfnqVPpHdH4Y*74u=BRvL%=(^_|fj?FdZPl_$y~4`3tLHqv_Q7 zEZz-tluk97LdD{JQgz=b@mv6{pwYH?DgNrX3)nVNZm#CI1|QNg=~7IUFmJ2Fo-jHp zVOyn8=DNvrm3?@XI=t3Y=)G-t8(6 zz758?Z>=dZe{?f0=M-F%Grw{WMk!u0<4fJkzugED{z(?UT}m}ocWgspKnzoStU<#a z?kdq6DBIWB2KqP~UaPN6@1@Q?lH`)q++P~}LvrYVm602)Ao%`*c8qdEK+~yMqGRrz!7DFS;K2%N^#EyePj3xzpS)b%kjQ*Ssxkq+b;R}+@E}7fcbd( zMkr|8F-5KF9@w)?b_`3Uh4>(kyc1*Y6?eN6Tj-|BhC-F&{TVUesdn!$wmDWEl}QVz zq(i%lIc|K_xBkb31?X$f&n@||4Esy2palcsL>HJp9_k^YN|0X2jY<#m>D#dip0?XQ z&W81sb~UCxPCRC)B)nYDJ5&_aC6X~KZT@TCt1jz%^h#GyABbOhuf?CfXB74|$Um{@ z+FPNjs?{Wce8t-OlJtI#SF*(u{MpIIj zl#Iswcvj<^|Llst#U8kxvn$mmhDDJ{ex#N#!=7+;L$yf74pG+v{HN*O$Z}$vq{qto2XlZP}h`%z-s$SAMje-aK z73GA{uBdmO=|HxN=!PdKU)r(TO) zIl_oLD1whaZ5*I-H9-?R9V-|*5LWh-+ur7zV6mV=uK@lfkLTQ@jv|{CaX6NRL$FFY z+ii(jsT9uw!n>d%o>zo|%p|r%BEeY7 zG?DRmL+x1QYM^mFeG3d?ox&$(T(fK<-s#Eyr@i@m;?ZGGwz(4cO7`;`gI2G|BjFXS zjWchRg?TOLALAlXXK{DF1t^O+i=SRy(}hIc2P}A1avlf6KxIh=LcDDLh>Akfe$8o6 z)&AxB!q)%Il_?s2%x5Y`Oa+GQyIh;GALq6yCXm4={48GKa*5z=y1jW5(#D2$!C|&T zLzfLi(1v1;bBV!ML)lsHZu|2an-+@)p9rXoUk&!P)d&S;_O|j&S)i9;){!ezR#oNt z#)}Jy+bvnj`32*gn<0~8mfQ~s&gDK76kP+A>rHiMwh!c3WZd{q-y(FITYvfJmT98cl?|Vcl!NRO61UlOKe?mnmN!oP2xiqZ z7i3!e)yq?9)R(?=f{bOrn7p*YjR1nAtS$ymESnLzRre`_Fj0C!8_CPct2;@1(q_a=8?yYp zgvG`pU5Ra(EGhqy5dTY5P)vpOXpF~4&6z!}XEUNv6s|}qql$lVs?-L^nnHr!ODU%r8=j`&A!5} z{@R%zL1t-#j`R5m&4%e_kNjN))_u_UdkocaUY_NxxAVf>yrA)A1nW$ioXC3QoFxGFgz z$1&|e>u~PJAAkO^Fw79O6Rxfl3PL8)Cy4C437_=_pA8wrb!0lD2R`+ODQFE9>of%?;q?$$Mp-`Z_@@4^tTp4?2y;e?A@;AzN1 z#&d;$dgrSX)%gK;Z4a2vdZDoq(>Xo4MV_DRDP~gl^%_@%)d^)$h7+@J7d(K(a=VoC zA$CxVW~3BMaH6u>Ki{51MwRWaRhf16TnxAR$o9uAyzb|PffsZl)H4rAdi7;4UH9JR z!Xj0^<;*AD+~z_sjA*LEDo0dLE|v^e2b)Znc7VfZWt!CAc|0;LgX|_fO+JB~bLq#OTYICLA`ZC@& zRm0}_lVK%yOdBnqGW{GZj5m#p%JD! zNy~#!6P?jA!#Y8e@bv7{Nm%9DAj>MaZiJf{PA*N_U98tjq9*ydKi1|Sz^8YG7g3e^76UjPN69l=sguG7q44fpb+M`-N*1}g8eh-`yIA|Es-@!ymGsyIEg3hq^r(%|psox|yDLx>45 z9(M%_A;&TZl7#qZPx|7OPe%}U!SE9Enr)%Ls`o6TE<$Akpo7|pSKq?YQ^ICY2(1p* ziV1;BNR%*(@>Yv_1-c!vgd&Voq}guUZzRp~toAI^2Hmjo!Ig=o@(MWzZbwwIjbR-q zS<)%|0VRraC*F)O1p_1rod4NJQT;hRc0qupiq6gHhhIHx;jB!g#wFYYigiP!CqKz#S5lFmv^5AOy>*!rE2!?WzoMAlzfg(;9)>A+Wsh z2QAF0Ye2$!prz*!xsYPHa!pLVbsyJQnkVeU^QP0pnm7>KT_xGZW2t zw-CZ7tzi(c?87BJs?({@@CFsf$;^?>$4z$+_vU?}pf+yu zQ82bo2W(-5^*xl@C4Rh ztM79}XY!ZN0KezVf+w6WZ}UHjSz%_W9we$_`NecbX+3N>&>MK z5~O&9>q{ru_P$O~-*Hbp+Yu1-Vvz|!4H9ADEdqm4{=PFEDt!Tr=!oqmyp?V%fDguA zRlN8dAhK}az)imsN?cl2Jsr>rP9cH?x%Cmo?&&%YyDsBKQR*P+A&!q3%&+hlnNG@_ zV~sjO-wf!03`6oEtC7}btVvG7L~}? zR|h{7Tv%ECHm%IKO0Pi_=VdWIJ~fZ-4w}Nbr1ZYE#^>WKxjCs7&da4%;lc=l#$T@R z@o>8k=FY~@zXC|)wLE`V1bW;JO;Ez1FSsiRlOLNkcBqa#dOkRI!6y5yB4KeGH+?8MlZ$7h0}?I;;LkOXtc3XGX=^?MMFMe-4393sXN8?lJ4^z zOw%*dE7UU8=snalXEn_ln^!OXsflgno!|Wny}S{XA**y}&@p&kk=z<8on&oB_F9^f z7i)L9cQTnPdd~G2xnOk}@HB(pe!MliyOnw8Gu8R7Sb5JPils%%^nmE1bhcrXQ;7JSzvzP z?p?$Y2vjX|+@A+rYgvZnn-*;wDRIUm`VJ4;*nQ2^{0Ro8D#qc}ce}Y1&lh*Lha69s zn8dZB+MFr4+t!2WO-PK#nds8rFs55HB`cdmKBAs|4lv=#Az2OChk}2oh9$^XW*INK zv^?0cbXXku#>5gdaFt4BMT++o>IUu#svX-`l`*}~%g|jp3zbe|evF#=PLB`Dkm|#s zaQAGYJL72@=E-|4Z(>zNlW8>Ke)w$)qh29!CB{H1vlV0BX6h1UPil`xCFQ5sR0Vv( zsX7c*t%-ERINqzMhTzx%!x>d5p_Y1)SSO_z(coAL%9V4x7m#~HFr7ffn?Uek{L;;3 zU#X!*)HFU){&8aC%Y=O;#m2#U=BWv=zu=0 z&=|9Kz5atakC4}K>-V{+HLFecxuB)VWgo{(G?f z>M}--dVhVd;C>H~*fd`WM~w)_zaRE4x0zk`&L;-w2r5y3Jl=ML0sYh!XX$dK%$-F5 zlY|<=OQLTunjKuIjx5an#(w7Rk@M?xRyBB=6=q{u<)22juetCZU5Ycq4=?L)1iOl^ zqM8DApP{x#&TSb^-Yr;0H)ToH1QmXhTX$X((1SYTFKu&(NE&Y3-EpXi|6D{oymWvUy1g*c|)w^u%=Mp69dgZ(Qen**wi&?urSR3Zf>E&Q8@g|i3#-NIO|Ftc*gI9qtDaHYvZI_?C^YmQSz4la2M`r`YQT+aY<6{5y*gW}!yfexPmBz;S~-7C$)M{#DutD8G>EpF!#G!d#QC4(Lk%qZ?}dj57pg$Ez6${%OK-h z;PiKbh|VtUHaQ<>0P^X?F9>;p2f zF9MAbCE8hcWqtbu;7^`3dAN2Fn3NL&=>o-RXZY1j(?&-iOJr>leTR>Fz*O^=I+8t& zGzrz(zhvCnS3nUo`bI6*Xili`=QXX2JKtWpZX?Ygpfx+EVE*^?ivyIIL=b4@A`E>v zP_^BmPRj7#vvLTbub33x4d;%n+}og>C~WAHl03Y&xrN<2r{%_>T>s8^q0AoyVhqrnTfo#g8VJxh{t$;HC^`%ce$8uegeE--Nc-M{iN47BaQ3L)mj-7SRYxLpmbip-FGh6maFPSflm>LS=nmTdF(hOU=-JwvE^s$OIX z!*uej~cF@ zqK%;w^ziXl^8hS7Bd6I384)_IW+V-MCaqNBv5E=!+SxuNR{*J}$(RQ_U@!kl`6ny_ zQr$OvmRS`a6Dt@lJs(f752YA<2urPA$TzX{$#Yp6x1P=6`6?K zgNdt*e!vtHR~2A#sW^d-6R?{3S*`QA<}CIX*%iwR#ej^ru;MX>L!zKWLK_> zy=nWT6R(`)9}3!3K6e>H*MJA4F0hw2;NBT{`{sJimr~ZpH98+TBf}{x`}>Z&067&Y zc7RTv^R59p(~y&K=~s9?2tH5mx4tuE(y3U`P~o^Mv}j;hwJ}v`pDvBZVFj1jL0-*d zc+}h~km0yb=TMQ#D|83yK9yNdjnvS$c5gPP&-#VB$gO1*N+V$;sXZ9@gM(%rNR*ec z&8!d)-Ber9 zl6T7L<{J7%vQ5te2YLp=?8kAEFD_S|->%aVrIxT=E={9qBJmS)_CCr3swC9$x;P9=V8s+?hIg zr_%k7p$KCBDbx37)yFv&jvn^F8!%Bi^K_sJiPtkF*v*BE;@n5@y0E{wx@cSJ z9_RX#Qb4@4WeAN)yaTYKcQ zFBnF;Ol4@(-?ij$w>Zzb!D5q-I4L&zE&?l!5j1F`4HePX`%~-xWD~?MnP1tPs%cOo z{gfY-xEBuaEk0}-nKQn(+@|R%_jf`==I5g?XBdsW<)dsnc-yqe4HSow zA%?)2%{UhdW(K$Q`6ISrUR6On9dc-n{lQxQBlJRsqTn~&($aoywRhD<#T!+ zhjM)0!5`ydJ_)Tp8a;j`x+FeUh%b>2(J?ZgTs~X2zrhX^6_KLLOCr(s$tPm6h`? zCZaU#BfOV3VQgyLR76liQ~@jQ=;Yj-0$1_8KQ8}QOy0pJd971AH7jEf`QiY{8Cg3# z*g(0E$RjV6U!279jH8Ubmjh+%aZbKUG!pl9;~>mjyIVe3K%}kyVfc0d{uJ3wg7w+B z6F-;v@)(GZx9j^DW|w%;s0bnssdZKqBPbOSB%1xlu@kB3$J?VI+i1pYUU*R%`{ZgmKamWX}j26>C&XZ7C{r-SHu7=XJ~IIr}&`R7HHm^~)A7 z%Q;h42j4x~ZcbJ@=n-0bnuZEG`ZwmC8L#t)9t+fwH4ae)QDbXO($cXAdUb52!NUYA z%VaYaLNK=EACgjR_OTjR*l@1qaKc>`VIjmQ#3N}sKUhB}B>rKb9FYa{mC;`*jkbNO zCr4csMl6#Rc$=MhzNZt>EA*dmlBjozs+g$DJ=wPVRyM;~Ppqdq51kL5=M_CY6tbO7 zK4wHG#`Hb)z&)%T@p9MQu1Pwd=yCmdF{We^q_E>I-1Kh^_{N#Q8<7e-G z7vq~}R`G124BfxfW{5q#nv0IJ?dN;Kx@}a-E%}+S!Catz$9AQ>Mcnw%ibRb1+;7_e z1@gs21*{NGffsP^NkM9>dlWB>-1p07_9(sN97-Xry_X%s=BEB#7&F_%fMySJ6-B*I zi4>w*HJ~4%bLv?@jhAi){}{ikct?HwHFtUo%WwMx?qU>vt4acO%83M3diV~*!Qedx zL=4yMhx@=E;K5Qy#7PF@euy`+!HqE#@t5IW0qVP z^ayW;ltSXAe57ubJ!fsV$mQ8>Z>}5K@BJ+%$qXb7x1F>6!_3wl)PLWIa62H|oZ9Eo z4sXuMqW;lFT)0c7kDbPUypec(`SR~yi5wxX5f*IggLh)T^@G3mMDNuUyeo(5X!quI zIMoquUfMlgQgpjPdo1Q8+MfC3HLTfhZzOy(gU?{l<}m&sl}UtoY-nZ(B`rG(uhv#K zGTEi*Rr0eJwDq`xjZTDwi(m6e3H8Mr|;D+ zQDH|sY>b_|L&!&^(CbCXIOc~g;X9M&JUHvw_+w1ZSOP)wh=3->#5Qz!15wjVU6CFntoi&L1u2pP4Eu|V zBY!$TNs(Pb7PhNgtDcuC`p4-wf<)TTJNflM-vy}0c#D4P)3$#8ahc)Yq#-;mf2a+@ z$#@ZgNM|9`JNf_Wm`)dRk|P>OGxXE(ERAdn?VJab*K(j`bAqxdA9{q2=MS1MzSQ5| z<|R8A-k{xp1USXRg5kM_?(0iO>ag4!7) z{Q|T-iWiJDR7PMG+RmqoTE}qCD~*(SiGz~p?r#TaiW^6aB$T=UA~C0CouT;Si2BOEp7x=}uD^+|{{A zY8n$CG+(30Zp!sun9GxAeZMaXrl8iQt*3_1`(H-X(*j00@EEe%ftIn(#~g_V!$jhL zfRi@1qx{1Kmz8VA;gyRuu8^sON;-yZogXZ}e44lQoiPm95VhlJ2^;de1z|Q-umu?R#eW9+fIb#6sxxT0f@s^X+~_+E57l zKoW@e9)L(yf&@?BgW>8hiNOY?eM)Sr&Mc7B(%Tdb=H3$Wb=c56x4~ejQek5_rSLWL zst8~^k!-p=fau0dyiG#dr?aRIM8Pq)w~X!M8FwYORoD;dIsCYZv_k~tz4F!&zz{|~ zg?(-~6DG5W4|HY{J<;fa*u0uH!rvAAu3hw^8sR{mXID%D!T`!gV#cUHUuCk-KW|`o zlaI(`vF2%n`Epz z&Y$3BRC>OoX%%T1ZnA}kypE#q3g=bHLlRy5Fq)uPbIn8A2n|L)JAkrA5fafesJN_e zG}E8vC`l8r1r)1YfLIz!+dU}Yz1`J<-BkPg>-_fhZ^G~vl7x8$R^0b~amy|uq{@{b z5q!`jx22Y3g-je5(xq>}dD~VOO}>d|C*#zpM=KSOn{SjGRf2HP%3}VofruesGSi^e z7nkd)p4>&eQcFSpTsam(4D}Sy+qKugLc(*xhj&cuWa$kL;#_9xx z$+6=v?)kdc<84xlTk|0g0I8vzs#r~9UMSP^+Og7*wWv&0aU7+Q9AZ(#SF(m;L=80f z1M~VTvteM12(!Ce((8jy!Lx^(HSyanR%9UfuC@30Ngofq9Y+lO* zvEWTMutp6Kb$3T_J}W@Mb1c@hc{MJAF2^l(_byuIV!9#0yV3_6=r~!8z=u}-5nTM3 z5}=}VZ3_RPD!Tk@-OpU{%&C5?2C(h17fa8c2;gq8C__(u0<{*owy@#kh*VlNE+vAX zKw0bhQLHR+rVu zZKI{3AwCeJE2y?iP%xk2{l{CTN4Ain_1db~KGl@SJbX=U;IEZ+bl+OAv~ZLX16lx+ zRkmuwC zwtA~aLH3qd+8~x&M7PkTYOPbJj&oSVxGF|DYOBaCbrtSX;;crMPpzC0PLvB<{m4?3 zT0q9NgLAuoxWGXN^ttjP~^L|nYUG!<4X*%qi?QkgqFNcBKlru_IZkR z9VvYs_q8AQg!*Zf$c+(RDv*`-ny8#xUop~~)B$;fCEaOzg#qC)Hm6nTxq_B6_nIrJ zs^m<^_`7iL*d#2*MB0{cvy^ki;6Ki0DKR1o5+}Z5yb)43@DE|ZWrHOAlePSH;V+vB zDTU|m*sX}}EuwcW*ecSiIO6)48e3_P72aE#zIJWDH){`D|;sPF?HgU;v6U0_I@{uAL*{V#>uo@)Mus@x>a^4USxB-Vq|#w+;iOI zAftexzexdx^Sd~c!PJss)`S3)dDT9Nn1KUenToqy_P8;*0wJJg@p_>OOA9*)U8}3_ z<8xogf&x&@MndQ@py};)4%nx5P~9$O?v<~ti*yOdY2yuV$)(2foBO9XxtwYlJ{@#HrBW>$^cg(IJ5=y9 z>GX#U*zd~S+LjqEVouRb`Ql3yU_`ycn{eF-&VCxgPTHg|*bfvz1NWcb+Gm&6&C_Z7 zBBDo@7#{?C8Oj;N${ySbcu2oiNvJlkqV~0pPefia5E`Y{%l%{seFE%UndTnRb*2lN3Nn!$SsLp2Js3%zk?RAlQgajc%(b+k4 zUVr7BOeD=~}VW=`Cn|10MQK-ut`HyPP1F<%^sNG@ON|U zNjG62Jslu_owarcbW!)WUvqBMHC>l0<=8(zB-=R5F-Rd$HMq2q8SU;)NDKBAHw#*Y zB1894YU?+Y(a-KA>H38AjY(;rMAxi(SKZzq2u2wdy}>l@%Ox}u^BHUEQT_f>*dN`f z9g@IJC62xa(Yr{tgF16AC|dL%>B1`J>2pfAlD(8Mxbvr>Fl_$<*$aL@plqFl-OoN( zaoF?NPoF=H_)2=A%Hk_YCk_1t))3QpdSqu4*r>wkQi0S{tX)AXd&AY)5Y!3$wj4mL zmmymYyA(U{Xo!g*{Ej@tw+70seCS(ZyedyU1Q0$r*&W-)%^U*ecz(V!1#MjDLOPWK z+uOv4AG9CwtHlhMG$vXOCu8OilmSw0N;k$8c|d;y%xxFG3~hsV`h|H5N){3CRzoEE zgl&ULMrB43KQq$0t@P|thSRE!1-L-`MVvV-W%9l*uP5tiKUjkrp$AAJGwfDr2##4A z3Uo`2y&^J36;zD#_@78i1Wj+b-h(D(QnRYi7h4z_HzQqj8fe@#t7AlrXIvK{1egd- zrR=RtjX5Oi*&TL&w5p!#i=&V)u&fJ|pcZC@WTxiJf1DjM{E$fe{jhC)-6L9?n9blBE%sVdxqnbsLq1 z0r@BO!Lupu=Y@G?5L83dEZ{-9i9lVlt!*GHgXVq+BJeEI<}ga!2PPTOT&4LCN7mhl zWQ^!=p8#CSs42?ZPnpGB*5ZC!>b)Tkpiq;5Z;K2kF6Gqi&RN(GJHXQ$b36}8gm(OR zqQP^b8aSvuPznW8FZq5q?caoB$NV@%epu14fkrN;UfRBlY)?{W|CJ4(oCj9|s>96P zxO5*+oy@??Cu&Be17IwXC=K?y4J8Y>w*r>;HIn_qq3|yTgdMCgGxLc{=%F&|cKy_J zL}8{)kJ11vf-PbjLdYT7(WoJuJLu8WG98D|>}q(2)O2LMO8u8^JSqagkqPVctl}^E z^#LDx1c7fPGbm<5bYRfC5bonF?XV)A1njvflQmWcNDyMgYt`oD3xN|bWcZ~0XkD!z zl?dAe{iEqNMa?(VkJ+cf&*|KG+Nm8kZH1=$RERmEwQbdHTAwAdU`#tCPJKWEoPv>+_`eX1} zuJ?7luGgZ-1n(JwsarrCt(VseQ+0!>6Gfc&69nq_sm zNzJpTI&k&sb*#drzOKR+#8BlTIHtshl{*We7!)1rtmBDPiTLl-j=@mXK(yu+skwAOUo}xyI@{SX%&WBS(Tvb3AA9iDK^m7e39`k0~ZxG*@={7F!z$G-XiruJiIQKYLy^Bz8s2j`X1Fyi#vg>B` zN8?rHa6F$}QM{htT1D3*iPY*$Ss4zhslaQGL6?mu+`_?coQD>t`%50hSV%r4c)7Nx zk7Yt}=|uT-)Hp~^A9zkYUf4^D8*jptY^|WlVRbBEo>C@bl9*yvPzgW(}F>DclJWrEX#+n@Ve%{S- zqR%mVre2F&wzPc%gcsZZOC(p#9?4#!K|6^O{}GMjyy&i6%*pjs#`2ux4~mnklF*s~ zA;HHZ{~7GCRnDP=QP_Nq-%3TXCpoaO&b)C7^;r=H`MkNsUmbrpcVq;2QS!!DW?22v zBauz(KwVZ`b>~1OnMj%nemHZ=K2$!{jV0JoHez8u$I4ssYr|7PTNw3DRW)rit>8@KJyN?x;b(t0JFY z6PAV4^zn4g*v(ii0^t_+5!yzwuvOH{L`*X<0$Yi8kt~i`nP;Kp9cvG9q`T*@ou1D5 zn}$Ykzad`x)LwygGrZTjp?xXh{8BjaLDl&!TZz+#tgr5O2NAGT7Rl7G@#|1D+_&qH zY#bZm{vNt!TNh1En~bxcEiKqxWLd*LbI}KW!Y-k&u8>#{#y_KxH2zn>j1oaSLz*FKVrYM zU9@!C3_JGt-L3Br-M*nOM-fFUlsRls2Lkij4Vq8Ky1~ASCW8PXl>$}aj+c|u5S{sTW1X0)jfaAfq^1i zwaH7eH+7k82K!$y>HoW6AqEe}hkt(ae1|EW$^Nz`9v-=nL1U@8Ie#<`)SoN8d2`kx_a8I*9{a1+BR(H3FyiDzt5xDPSxMsIjK1X0u)e1N&jaXM@F zUdb=cxQ%DnTT9WwUEa9R>Xt+^O1@)xe(sD)zB-4Hy;<;#fJ+eGV3J2#D5U=y<4uHp z3q#S_vme^{oz`S5sV!N;Mb)cXMYdIYzpEy`{)qgEA*5S_)W#4*BXA+G5;kY8WS=6a#L^+X+$zF9=SVU$b?IiB*UfcI zRZ54kpwzVemc3c7dv4jNb~bN+6IT&Qe?LPod06nK+qlVPW)AQ1Ky3joKeZR(_~ohc z9aM!*7NQ%oU+5ho0LdB8`5^aR{>9&p?$6U&my2I;wq3Af<+#=vs^_N98b`E}d_eGb zTo!)n;d)JlE+6YZcy_g6SvaXbl=Mi;R0O4>{lWgNj<$l!kg$xkC8vq+les5W+ZP&w zvSBTA{;b^ttl8fVzA%>-sH4n7RXTWLUD4)c|DWx4=|(Y(HVBxa`YB z*0dCrl006~i6y{%RCL`@<((ncq>@tQC#pm{RL{V=bTg1rXM~S-HO$?%n*vi#2xQlt zjIC|}KZVPj=4~S*>g{bCmLG(y88r zk2b#TnxxCjH`8U^m@KEfitV3V3mO5xi_I*qW)inNFKv&*LxjNu43dhEXon!yI*G^0HGHK-&s7CmR1*@yKFko*N|M zkdOnH_*)sWw*_QU5hpfJ=sEp|SQU4E^dM?01mokmdXJ`T6|v?s*XI>dbZWs^LC>h_ z*UyaXRXhPe_5?q_lJSQ{mwaci`Z7Wl*kn-3U#2Me<;YJmFJ|~XKy>@k)8t#VTkCCL zr7-jhkyl)uVV^}T_-e}2t!(l`*Qdk+P3-^x{t7uluAsD6Q5}dCzKbc%1Cz9YPHQU8 zwAL*yke=^yg8J>{Tk>dFjgez%k8;UQc$?jpWcc`Ebq8dk za!hLyKS^U1@ey_xd>lroPY6i}0m`J5v5g4%GDfAb2ev=P1wyunkn&?6K!_QF513Hr zfQV*t35*!KUb%JGuP|!Dbm0kO|R|hV>xU!E&!GJThIEr{95H_pr=Xi_b zoY~J4fVYPznpj&&jDB=lwjh`8=ImI=XA(Z}()VZUU%U46ex8PQY@3|uT zu)_yW!OkK_5w<$#KEsg6ak8n<-js1G758@vLJtIiq( zMys$(pj`O-X~e&oaN5J?y5|1k^G#7-ZlL$FM0CRnHBm5}5YDz+`@xOI1ba4yJybE> z6cnl0N-(^@ewR5W1!o_2?;e@hr^umT$>#WL;_S-_52ne^>Rs*a&Og0G(){LBDhvP2 z{=WIy_Tro$tkb|j;iHreZ>V9Ys~QZ7icBfmq!K|bRR}p*FuY0aUdp zcnG3Lssp2u3wtj|!QFxYdj~ER-@JzpfQ6V`Mx$WU!dC&>(5BNXf5EJB&VLR|ehojyeF~+o@nHDVz}275xJ+71 zt4-OZjF=yY9_9p4=&iNvh1Nl!v!7UD!DNo4!^Z{SR$v%oN1_Z9yAi2~QyDVLYT&X` zroh|HI-*RCfNldABN}3q&o-_Ao2A4})(Y4EpUHT5##xkX3u2!lho-%(jc7Mqbw7zH zN6u}cBUhk7edJIJx)vo-TsL|N3QjcGy+i@Ev7c@ij_25naD7aDNp03{H2ED=8pat_ z{TsN<=J@@tvoGDW`+*h|wTD$S0sv%!U0#!L(iCVUJ;NApfJB$j4~7?KQFm+3-0&8* zs0BIHMyr~Pv}6D#(ba}T`xiS?j&|b-m+tl$02-J%0nmzcV72a<-ukmKnW8(oHMPTY z`@}1#UWdYtYy`wO;hq@uiX7&u!!;U+>uIr+ONoo`!MhshW-sU9O)GB{k^%zZ(8^g7SUIsVV7N! zGR`1fC36`h(2{q`t3nLY@+E7qQ_hn39&XJ)avY3U#LPl#;D}gebJaYydk9E)T@a_j z+Ks;S{-c;_mYXCYNRa3DLD6M!pC3p~?WCi@vENsRw`4FEh$lG>-$CV8GU@$5;HOXg zK0CrGxA%E5&L|mrud0P8-I?;}ZxZ*!Rb4x?<{|HHuzv6C%WFBhbX2YB0IA1orNZ0j zb+p)m061Fiyy5lvPL}B5KNDeXZf;&+S6dUK#MvS>x;DGIsk)Kscx))~mT}`T((IykpKyRXt8jHCAZX{oAA*BjpKUVW1kbFaH&M6bwFmPN&B@BR z3$=4r5h5YJS87rsIe9VPf(#5@VX)}iU}SykXRx1vjg%a@k18Gh_Mp~{W?YtfU7kXTU79ai8*guce)Q_cYE>}xWRAazbn@oxYOdL7!6|-8 zT<~>fR-n7{r$xrdTFtXY*x(P|2jv4NZan*<*4@%N!@j(llF5u~ryG(2ikxpNj0-)? z`ZJO8@GrO#(XV7}?3wsU@9gFX(1Rtt09UkrYPHS4ok+CBdq_@l-nhSzrr=3Q7_mE* znJu{Ek!i6*9vPV~I;BYxrk}F{Ivx*X=`m?Ws0P-<65Fk6wEp_A9ZEUThRYn0O_rW~rE)6% zWCixgyqJUO{+SNZJAn>U{1-MSkIWW#)rI(xlBwdFj#Nh0mb}Q4>omE_7fAME10Clt zwo3~z2|Id~=$9n(j(?sRq|#5z=Ay4esE9Atw6LUoy0-Ji3Kjk6c$ljB^8=$9M!!m8 zFE$FTmo7wScv#O3TYR9tt+icEEGcI67?1(S*QQR&DiQ0hL_$WNDGaA+f< zpOr7J%0~RXw0I*?2k&MrA)$Oq|#kq#jTJkGWH6z4>>vPv3l1903Dz~8( zp~Nk|>GO~3dL-5DhLZY{ezN@hOc0^#jppV<=83RfJzQs{sOfIWP|?SL!u+awW`0S- z*InOv2Ri%Y)|*hH_>rZY@5k+LY3bPuJ+~RcZDL&c-9??bg`&~m!I!5Zyi#?mk}5y& zU>~02%E4p)c!IS;rfbSOr?qgmymRe?q_%O*5DSj6+qI=Jnre=cYG&&{JWgnc?|rNv zph}f*R9wa`+EhQgUie|`*csA#&?Cn2M&|Wjt}EEg58U>-f^@$P?=AgZ6ea%^Ah(%me(P0Y8C9pw$gdQ5z2shzPv$WR_+7>`H3C|w z;^fMhb}?>9@8gQ|6Lim2A9*ytH{> zszkuyKRa_Q@$Zf+wEOryLER3!g^pUrmq12pUulQy7Qm0f3+dm;B_5uAjhphp>~OcysUM_6Em{By1=*Az}_gjiY@ zWJzZgnW!J}Y71RdTj`mD7|e1WkL&(Hqz%&Gz2O54q5K;Q&SqqmylF!k< zv+nv=whfP3s3%cckTkjuScm|fQA$SvIltu(1nbwZUFZz8p#r9 zw1Z~bL{0df6^KLV0w7*+3{f?Vod#xoS50srtF2e{vZeC;n_UgIfwS!JSCREBe0l&v zIe*PEdseyVH$7ca0E7nUj0U&k-JyohK_jy04M{su0QL61CnLt@i+3*APJ%u7%K-N| z&s!VoutaNsC=EWhU9$S2RSgvACMO=f{tc#1gOpGhsP?~ue+qyP!FdxQxftqS(65Yv z=3fqLTv-*p4vqi}5bbt$4dC$xm`duwBufAmEo@8xA;}%VB)}~T66{5C%EL5}?8!yC zN*LApHVXae7tcrYxp=Ho44M#@nun)-Qk-lpLam6OL~O}J)9o0wNx4wN6q!K3peO~~ znG4F`i~6h`_!JlYx&Z~INrTgG5R&ecLe0u^NLxTs=6;Q+lBVTftkFtmY=7jnwpb2Q z{uYPAlO>u0GT!7Hg7ugnq5v*|m1XU*u$1Avy8as61z7F@ImdYvF( z7tsnCN*r~h00oJzo*R1<+||6hbve&O3^%!0+Yzm(%U}tXX`jzb)y_QXkg0@yR{HnD zNwfZ7D*cfNQ2ak=5Py`#Qm_iI=RhB(13d^ABof@jBN3v8fk-gFDY{d-X02YiZYW;k z{7uai-KzJTe@cjN5Doc3UQim3A=!UIW?$ElNp3fGv&Ds!rV<2}ZuPK=GR{MM(`kj& zVLbm4*A%NS5c~l*LC@>0)epW`UH6a8YS3kQ&;Y6~m&>gg%Dju7i4k$%-m`V7X8y=k z;7Ig`)5a;cBW~Kqay5eAa^PRSy1!Gc+= zSI}Wd1Y)3qkvPB7CBk=@zOF;*G(L!B7unl(=Ox68ts&~M`@!z0OgAUQ*?mc99u{UoW5ULnc#EJvgw@rZ zNj{o=SRz)-B~-3HP2nO+gMohNkc7lb4a`&GCy?tlYv3C=4_mVlzyBu?`lrgheYBy! zTE*_BAHbE!wj_6edR$1a(mOCJj}bZcXt_iTYZ-mx^Pb2@`%9v*6V zS8P9GYTwx|)E&w}!!-x*j@Qt$I>x^k=a8v~X_utc=+cYjm}MNL*7j>*0Bup11Q8sU z-PL~Q^FXAR<;RoH9MHml21l`vUWgyQvT*zFv&!K2?$OR|bFebFL-A9eP6HqX4Tw^w zrbLlutdp!==6~p-f!)sYo`jtDoqMHNOujPL8BR-v9}y>DngJnprHn=GH}0dID(x{X zX_3=OXLF3mc@!=jfeS!Q<#*AmSn_i%=fuYlLeip=84|CvQ4yWr5iBN+W>`oW- zWs6s>OmFC^&Aelr3^ZU1^XkuGnIrgC7@|GQFLMYJE)jdS77~kbRkG=0%&R5b-62e` zD&eMibklB0LdvJBrgEHAQOeHwTr4b{wY%K-CW8@PP_OYNSr7j@*!?n(HWBMl4M_rr zJ}`bsE_gndtUJ`?-i3FHu}Dre{+h-%6N`3334`FCq)er}7c)PDSHs#tiMY68b28dZ z9ed@-&*FF7iKM#t%ilZ{2jb#aT4)4$NYZEmN(_6QgWFg3?JsM23u?dLzLV?sO*U7W z*euqu#WiM`q)4Zi|2U6LC;_VSH*@*;^wD5U?@FyS2lICzNv(+kl>K1uHtn?5D`3k? z>CVwfI$JMjOi+#KMr!`Ny8JD!uw%D_AyML{E?X4e265gbpH#y`)u)YHliSwtNhDuVXny(zI-Y~_OR zJoY{5`*->Zoip{hgjC}Fx71KH2m3Zfook~?4i9*GEv`nZ!N*wi4RZ^gsj z1=n)h>V37;WrH6s?-c1{eB_oPG(%^Bk|C*FO!k%yevlW(|BRF?v@QqiJwOHDl~Z2bVHlp^sbCVMk{^eRJ|K z?VY$|#I+Hk^6c(Sia|eBUDcxm)YbE}7m{!A8etG3NomdI;67}#^POY`eKp%=G z_#9*xZy9vpz0u@8flU(A8|iOCx|ru8tDsW$xU zY;lje%$_clM#gUA(2xWkTrO*y|1u~2xKPod3;2-!o{mk-9hduI$<5gQwa8pvC%w-# zt|~uoHZgFR5NHZowiYS-Y8GEN;FxAcJIK5L{5m4ObI#VJduZL2@`tEDoYO7z+arpti&aI&~`LgRlGr|*h9Ec_(lU3>*j~+BTy4Vng zyoQ)-%sFf2@5HpZZkV2J^>KOnVCM;a+U(w`*Xcy5mZCI8kH)FOJd#76`(x+X#4bZ4u<-u!|%JvVDD~ju@eZ?%;EEy{ANM`KWx}58l0~2 zC)qMJaOAn>?dI%OPU*HqLc#hU<4+W|Zjb|aTsNR?_KLWCDep#dk||2VUB)?AHn8H) z@Q0MvuV(rFi&qy8d)N}@)4|)Lx+WIFHyj$62PT#+XWm_H`x>qJ>HkG%!XgIQ#nhtN z@`%qn#kCCB+1bx1C?ibo{tQ95~q zx!vvSL@;WvTz2KUH@#7e=!F+=4?Ocpyk{cMb0D?gV#tcXxO9;O_2r7qNZc-RB3q4+k1n zL-*>QJ*#SzjkyA)B!u6?V8H+Z0lgO$5%>lK1Udl(1ndq40r-zZ!D(Lji#UBLRWFodWm<0>%OY|FsVU^aU9E-~KmXia+Oo00H?M z0fGHFM;Y+?_7w~G0lfRqD`-0Kf3BDg^7m}eiFDAv`@rsRZ^M}j!2!HLTZkxG0|B8U zz5M_iq)h@Iffq3yjZ$T?AGe;ltph zN^G%BN>r?gh6atOLTucWlbd?%wgGt1MU?GVX(GXIDAqtw2n1GepyH#Bxz}H@)=E%X)<{SMX55^3df7!^btVN|Gk9-dKiftoRc6C0KaV_jGhi$rKVD; z-CqJ{kZ2|hnaRqKVbFs0rmv+PKRsODmt{)(Y;is2Gto82oL&`@fER5U2uyNNR}ASg zg8zu7jUxPY9l)jH$v|d}8tKf%KuCDsHp)yY?TYzjB3k_DTezwPoK%X~`k=K4`1N{J zts-RFs=ZkY3?6qJOMhJXruPy>m^UI&ks@Hk3{2GLY>eWT-A8uu0;=Q{mNue}i6k$< z-6=>PGQmMW|2$JRqbJD?@;6M-ONn3iqkj)3E6^m!7B_f^6o`rBjYN*3O_c7h{_I}C z&4uq@c+$5!cuQor=K6Hj1~J}dNrkpr3!Ii12P`&+2%E|k_Bx{!=D5Pg6}v5bI9qat zf|6Sco9>+&GZca07$dFm>3}rX`jO|R1`6x!VpJ# zNtNwEdELYrPgp@@Fc)NA75vPBQ|n~WKi2Sl;)B0swgFJg4F=_rynroDO}y84zaKc$ z0%}97(4yq*dE#MUA|AiCwL~zA%(B1$^56QBjRbtHfCil;T}vNyokNVlPEa-Zh)dsh zQIXm1!zhdmm+FAXmxkTtdz+=(?c=ppo?%g7B~y@_pzvqd@pvGjnAd5k#h0bOb)m|` zqcnK7mcr;b(rK4vAjC>SkFb!Hj?dB%BQ}=|wjb7Z#S11HML+X0R1M<_HprsiD*Tz_p#mljx-6|tjtraw5 z=gaU-SIMYI19@uF=J~9$)$_erW^VE~a;_5!N+w;gV(8^(V)XA;G)O9>67?qdqA8I7 z?wOn19u3v|NB8OB?(G{<2;E1FG*;l^Ff=W1EUb9E8_McUmxh+*|}MyQ)kgr zInB}3r8V5HI45o2=_V={DVz`nHj&m2y3M;e>itJiwcZp})p3~PO;NKsfDtDfdt!nN zLXpj*8k;;jJBPHS;TK{DFD@V-{QYKCOk$-(r3cKz@ISqT;nayeSvXF`Ox3G4>agd6 zs2gNt5oH=pa-(T;`Tx-zeR|;I>c2qKUX6etUBEPkgOx*F&1|HNB#R_gcAp7;&3QXD5kh38BFWB|;b_A+MFIxl zOrBfzd};)YKgy+v118IVPXZUZnW$t*DR|XjOkJm3B4)y(QoCSM+oR18w5N1_sZaQJ z`Wg;!a1T;o-)5{PeLXc+sQlnWIoIXf{P7K}qj{tb%EVK}Z*+)g$AAh@_u3;BX`(bA z@g|EZSU9{+jTIB_wYV>46BLG@SyjYq{co=GKZjK+?h7mEKTuvx|dNW)4A}A5! zGViS1j*gCEUV^nB5T8!$n*2!*pc+LvL1BNx1>pA_nT5K*_a&p)4x_v07omoO?~}bJ z4L37Yn;|x7fwO#IO#wTZM@L76{`hVfVzJboV|lKW5Wfv*;WICUSg7>n^W$w+Mh5VM z*>$^E#TDM@cczwRrIbN(8_>#E)=gPdw zh*17rcX96b=*<^{R9cu35=9e+rNG$NGP~m46c{eQyku2`2hT2YB-ziZaxbOd*5LVp z*uqWiDtg(RY&n3)DVp32_p8<)KxzS-wo$=$y>`tw#ry{!y^_3;+58z16RBaZ2h(j{ zL>$+L5v2byx4$+MPXvGh8L-5z{{s^EfDo=>e%kk#h&a(aNoGlwqpzp?z=$qfKlE{f-l>A(N{+xeCTBxrLmM=16W$^Y%p3j*9w9u%`V z|DO=zpEi(0JOB>Kw81HX{5KYS0JunQ!E{IL|2{NL4uFXV+kT|~Jkejg5Jv2j5*H@w-W3>!~XkdG6Eh% zPC>kvEdPC|K+@xXMfOh>2|WKFk%dHh4Cs0ZRV|n_hn+K~3jIej(4iFRnm7LnJ$@T( z6HMQLmo^$Mf%GU;w`~IJc0cD{MIbk5MUt;RA1r=|LTor z0zei{iH9Nvf)9_68MsY`%wH}$jY{EHQo%$tZxE%XOjBG>j*hxj%v*d}S6!wMCQwmP zDd_0@r}mkLo30&)|H`{6#)H;iaCq2f>DX`P3np8n6In~(xL9Y+@$vC|g$`u1I^D>- zsP-t)H|1;30oe7@>1|d`4QgLFzOcD@*@WYKlRaH!Wo1NEl#WYSk9M5;;MgDCiMRlh z<@%1D=iTGz=$Kzv_*qR2*Y^s{IF`r>w5||+0q0=&pH_X87B^abKa3C&rX#SCa=Yk0DQoRM6iDK)b35&^MHMGX# z;@sM~gT9VsUbZ*@LA>rSSkLcWFfcGYT1(YiuRQtL=_zvH(s{t zW2mdED+Ue@v(Q!?t(vh7fz5~ae|`I~Jcx1RM=q|AogMw7g{r>t;mqrqZsQ~(C+#OP zo0`&zsfqN&L@ch0eqx8G^FH!uJUX&p(yQn2+)Z_cJ8gX|tsr}tRWa{rv^^|!18(~* zTmnxeUS9Hpo72_s$VkKo%`cx_tetH}DBuj*+pR*|{{|9P#tpHH+zwi1pC;vMee;w1?g#7Pp z{O@f1FK+yc3Pk>A6wRgr6t7ZC1q~X=13heiOzKts1!i7qH1Dxpetv$?YUE1Y0i^g5 z9QPD(xgnxo#dMYd?_U_Hc#>GK~_eHU68}LO*dT?iKG3f=pU5NfWl+R~E ze%{yR)o0w+`w{cZqN4A!(V^hKVO|6u#2*f~v##ygli(Ds)GrJo`sUKtsLS2s5sXX{;eNp1Jo zE)P>_y#R+cSuLbwf)xJ@c4e+kBjwdWU;`2E2^Q^9YmktT&_6aNDN4XW@pE=o9~SoZ z>Iy?ovBl}*Pc!>3vHl6E>HvQ;HI!zbMhaG)H8DNyGnFTq)zX5C7VL`~uJo-Ana0nK zDUggkXYNqMl?}%a4#<$rY75Hl-?-@uanqxJkl+<&ZhKrl)n09NJ#%Ui>dM^s435I@ggk!T#Zi=%wd#K7 z?;f!F*s|)qY$l0G@qj%mAuJ>WF~L68cQ)t#Jm;sB3}XaH_dFN)c+=#T zYE8T5+FoqCrfV`Yy~xU{vW&@PVN5}*Y4#2enTiX|>enH-UhXy(Ym8@nCQ}ZAZFv=! zw#ZW*Ix%1!E=O43^OeY>C$X4rq@T$uhy<;M6LIuVMJ%LYg4vKYwzlF)l{Z^oE4rSbaHO9baBHjTbI-th4*_?Sr9PRaI4m)%KSxrt8J;W$XKS zX}z&DDj}o7lrg@JfGDM&%M%cq=!pKPHR3R|yIr=&j-|jsXyq!iVK3}t!V2Bko5<>g zEgju}gN4mjJxBKLH2zU4!8lV9UprRT_R`3J++wr;t!rO#5H$od741#hUMZ|8reLzv zMUD|X(Vrd{#<0q&NLKA_PicSEovl!-Yjf=Yf(gAZbrq*~%iY>P4?uAH_79>`E9GG5}-M$}z1Pt^BUi2gu-w+BF7hpw69A#B?Jq0+dA0R-kR8+0$77Slhz=xM0~ zqAprV-Zbflx>8U#SQ7d~kJ7+d7J`_i3IRu?=W2gEC#bahVFTE{1iH4T%Jmw~bF~fC z)*tQLfVfH{3?@9X-4;Q_hO63BYj=|aXmmpisnePTMVfOC%gV~iV(Kd^=FalN(%h)O z)2TiHYDCmPpe>o5+No{Dx{}GGJYL9bG6^OiNSKcIMZ|_O0nf#8$e2^2Qil~QN7r(N z1Spch;nHgocore1v)|6_opJuIK2RZz+v?S=x;0gl9_4*{E~waPQXb~LX8RZX%7O#} z6OW`ll;1z?ehPn^T!)wYU1>!{LuyIoYQu@*c-yoB+lYhv4RM>oIf6^cw^U|IB)z_V zUXlN%9TXk|E}->1)O@Ww5P2$|cqQ>qD%vZ#mg;=cf!=xseze?#KE`zk8-~lNU;TYk zGEgBK6p+)}bTxN0>-quA_F!GQgIvt%wKB1vRU_VriV6yXALU}AE) zGa{9Sf8cU7qoDCoys1Hm<6bH78;f^n0jT{i`XX|QQcW3%^_&8a(;<`VL?VaHtfzALlQAf{nIw3eLftoC?e7#@KUX(=vmXyz7UR74VXH#!rx z@owVqpA|;nVYRoPNA1OJQc!t6xeGER*OzHD@4O#S_aml~PnViJQ)S5OMdw(;i@v!2 za&I^A*jtpwW4l@?%sUWu4mrTRpBwfVy&_r%jk(ty;Ev(S$b%ZDH*mV@z3~LgCS*WD zp-#|)tV=RQO2qGmp4Aq0i`XW~_+05@R`^5%Cv4-KVVzq`9J}X zwz4KyqoANj@s34*(dPMG<0$>Y8~4HWX%oM%!yD4_=157$-2`>^a(Arl!1jsJ6^caQ z3JK9tBU1a&#&c98+{n5;B4qgLWYITwl5r~qeIkf<=UryoI;=K7aXBhl02{4&&m4H6nhr~26^~bD&4$()pUPostXbEh;A#3)EAB;}fHPaZ zx+Q$wQ>ucQ9j5Nr7!lMGd9icaHt(-A!@aT?f4S9bt@eK~zKGx6L$cL?#+QkKiPhvyGU+v_^a zR&4F=JSP|!w&?iqnPA=;>FYU}fC?vr<|@$ley_z99kwM$EOHgD0RLr*_YhJn^TDmL z-i9Y(#Ln~Yro5IS5Xbmq7rbEerpk%!2?9#Pw3zL|EA$&spF?ryZ#tE>M#BlMMRCP* zf+G+6{j#!f`J3#sbOhfQGgi&H>H^K^(_L7#819+zqf@RAYk1{k4vys3%M?#rcT@9! zZ4`!FK9fa1zP}D9q!R0c&!xp-vjF0dvEJ%OB)+-1`J949pN;2vbYwEGOR#4JKT{67 ztaucYuh@e2T~~<1`V2w+^-~Mm79O8bAm%yvVdO$Ney+Vn52PBfu565sl0K^o=rUCO z7p;UQ=!IjV^hcEmTn+z#x_2GD-iCVzyej3Y`eh26J2^@eqq)J}(~sN3D>#R+S0!~T z#zzV2bl$v@pPs7;i@$&W9{PEz+WFyd#eE0XkAq{p&4s;EPo;8Fta9t{z^lw22#&#s zdF%tf_~L&50#iT}cR4C52Jy)*ZD1F9)koJz3_pe9Zk%9fg{3WOmpuZfo;6d=eVAy` zCFf92iw0konaKsscovZL+NeGDYv3DSP{VMvPP4MEhngA12-0J9A_c8|?V4)hA@ zn@D@|FpUe2pNl~rit-DEl%cB#G)1()-Qi3@9?BhNKkE@eK|%eZD)688gzu*Fc=Y1! z#_~fLnPeo|5hk<`aAFdq)FO%}%B%6jB%U(pT^Ogvt##oWkN6GuPWwd5knblI)ngTMk*kroQmlG@qlnobcI9kMbQ&?aiUZ?Z}sc zmY>)5=G`sYr$}*QxSek>oTp0Enr7#%V^V?0!`&-o?1BTYC$fYm=-S+$pS!iQ6!_y2 zn6?S?sME(0sg!Z2jpm1v}uhs7pLP?qP=5_Wp7wOIE-p(jB400*WsRS=usY zgIg^;(og3s&@U8XuIrmB@a}q60P1d6^f7N>@?mWg;zxFRn>!4SPPb|rm4NsVm9ckV z>nNnSFvBTvKYl5cQM#Nru_)FgJEuLc^{ctj-AK`2L5E7#LPW&=R3gumU zBI%8ECD&M^J*To*k*=oxM`LQl`b7?!VQscTjw0 zJle2Xj4WVc0t7={PL-mZ@~n5Ic0MhetIbj2?8L2344c) zf~sk@7bYQfg6rzvf-5=EI-k_Vu@)pS6Oz4;OjyxoqSFr|Y4SOi0)D0#Xwj7kIJXCd z-SAxD9s2U)&00FPC+bps>r2d{=yUnbL>-BiykZ~}PLBSr&4C=}`BXc9D75RCHf`a|ar`O9*?lL@2 z&kd>?%+)CqfB^b&GOm>*wB{KJk;H(UokU-AI?2@5_G7h-btz0h; zJCnn=cy?D);Mr{PfZ$0nuFfKY(GY@x3OVlwt@UPOJT1U?67S@+1U=&nCMJO^q>5ken z`L=iZzN3q`#OHCr7#SJ4dtQBY-P+k1ji$~uDB*NSBs(~t68?$BpGbq4oO4BL!% zr+vV^T@UMr#w>Tk9jmcPzcnGh2xirEEytDCc*h|O*R|q=mb+0?PIC{2)}v~{*;1ag zRBoLIPtNgpKF&{eZk!a(&1s^j;E1pi4;v9I_qEFsQdMkLr{N04WnmT|87zYGDTk~EFpM+jGhoNR1t+Cfe+4^pV8RVo2CxTPMDJ# zxGX>m*{bqqKb=jMD~Vt7Iur~jFf;RP7SkfQ3|oMf22dBnCvEuz-`Hv!!djS6FGkE5 zYWz;?w%YU|WWHGtc0(*La}s<|VmB}vqHp5r0@~`#ctgB8_6RNfWR^6ko@$uiFt)er4~F`x)3M)DsX)E)d0V>h29k=f7@^5B82wy+_^JQcR) zamQ$6-oAdT_m$93P+(}&(oiuq-1SU}7z3rK+E4~k!oJmi>RcWtV0X~^3`2E;d}Bvh z%}kHcjXyLrbet4~@y?WOR~#+OMnZUr=9yD=hz3+( zkHM&B3;xdM`;p-RzgI)L&!Dc~z_<5R-%~%rlBavOVY8UDV=BQ+5yWzRdRm7+- z+-n;%_9Xn?k`HENz zTvTCc`+{0&A*%X%nI&p?wL65vBeV$SD@y&r`T;>PA4jcH4%CQ2XCxe&9rv79!Vs$&}fLeC0CA;iJfc9t!z#t?_!D_Esfnb%Pd0oo=IBrF(stYctaz4@=6Wtgfru+>X;go4N+|gKP)X zFtiKJMjlBLc#|9oUk4RhwTDahDPHz8M}j(kF2TPhIN?0<&cfL((aSFRXsbXeO<19H z_n>gDh8=z8H3khzUE>-tI7PAv($J=j8Ns@8gAeIfu-&P6& z5OF|z)*nEdn@EHsv7KIsXFUklr-`Y=SyBc8d(xk%$Rpg2R^`|R&*)FlS7G)>5GHn z9D#Mux2KL*(;w$w)a{NtK;zy`gfHp7uNP z@g$C(N)%=924Nw=lgM)2~WsI<=tXlU{SNCA+Pg3THup^_Ja1JNRyL}0OlVK4{$ zYj07)`*%35Ew3++MvL5t`N;Jwv|}at%2A@&c!=NWUGW2b5uHyf}knAQmTJJ57rYGMlgSE4+#<$ zSFGdk*rYS%cRu8+NDl0zGSYSEnoJejq+*J0DS14bbr+u4q2do52>S?5B~8l|joWh> ziC!#VdgEW(S6$WUaIW38VX!?I(<{ylsYP~1%;s+NS%Cb;LE#gPu~H0Fu0tEFK8{e| zx>Yi!)y6oa8_vKJkedQNWhc*Fw{(2hhj$lWyc{&_)b2+(uLGD{Jr!5A3amMwzMXai z(3<>s341x|W@I4vzRKU5Xr$Ci(pDD$3(FM2TZkaFt8Lu!u!;XVUmkLQy!v9QH1~-X zGlo)7kEe{6fB{*JZZzUW1uqlTDpg`ROzrC+zugs1d}R7L_Jb74?%v2XN$@DX`<>Lw zS;*z-G+|Uc%D#?lZ%d?7G5Uf1t_zp?kwP~qNVlGY-E988&y#oR4yff%nlCpsqzrv@74a@}?e_IHR7v-Fd0x1KeI8_`d< z&TTd=dkv6P=WT32NMqu=Ld{oYGxW9No*0_a-0a8+^Udls zF7#}f2K=%{qLwik-Z!cXfg+Y^pfO_ABC#Yi&}~GcmVx-4R!Rc zD@CT<{5~U4%Jqbj5vn^DCYccLNJGtgnKbZ0nGreL*iVQiJ=$N(UEUj8UD8vymMLz- zOrzSjC)0R;U{DG}NurVubUZ_$=Bp@xs^LlC8YuJPPxddV*2|($CA}ugUHXw;q74@` zCr~aGM6V@B85Zmi1;~JhzZ%~VDcNRMlse(Hgp>7e+&l+A+?%?`c z?knEmpFGa{)>&22P{bPkw4T;-b#Bn1ys=?XChpooprD|j@9x;^fHoNUB5T;ZLqk>m zZFB-k7W-A>Ax&q1ad?jHAy`FB*nPtpNdy{1j}hUX-2H;w;h-QdDt>KX39b^jZlR-_-Wt>`Vx>N$pNKDG+;ou zan7a8OHO5)%MVf&>haQ&Usd6J($H5PHgR(VARgQS3b2w>CkyfmaUa4R?EjG<3W(EN zL8oCQ=0wZ^S-+B!(tN8cCxBI89>1r4prUzT)yo;l>x3*7W%m5IxvJ^(3a9vRjyPU| zbJ}vN&M$@k$qlFN<+^NQVS&9>z`<@1z@d_8pw#hu;}HDmFIMWkGi!Ucb7)a- z29P*=Jvr@efzDY<%mN_#~qm#>E=Bj0Ev zA>?oDkdU>*MZ;yz17@0@yh@fjDh`grOmI- z)+Y4caCIq_4Dz?-yjaga_2X}m9zpw5C-Xmoz_nA$L_CNdf(-A#e@%9~EP~W|an+cO zAv0>VBmD1s3=pLsD|Pa%>MnclkHUgnjdC*`cs9IM(m_E09h0*)cBijr;&inqeMui4 ze}u0v*)d*-3kyZ@#TdPjnR%_C^LeLlc$a)--x(X1F!d-y0Fr$QK$$uaY3useFd;xF zk(!#CEH}ELyRd6!j8o3PyMGJ^@Jb9#OqgfwbF}k}VCA}GewQwLd3kC4J_Cqwc!o{s z>IL2%nqM5O=WdD$3I-GzQ33_N5sm5OBc(EizLCrjdCUQ&?g%_En;oUO2e(t_)vhu3 zm&<6z>mZ@5-Ur%aie<;$6ejh%ygs_191=jW*t38<{Wv~ocTU~;eOdEG5CZ|%bwhnC zr!glN8=GOZ79a|$nkZG%_|hB%HVP2<4&ASL&Gqm|jEISg(;4_d>h~czF>1sHS^wB3 z-$9-(3y@bbdnS3ULYwowmEDhDEQe_7vSp2IAf7o_@59!UbSs}-vQaSfZyKR2 z^qy_CHU)o4!3~7tqJ(L(00qE*$+{_!{XR_frWE3Mz^3ruEP3TFhigqP+|c)983k<` zXV``S)JA$!u;~O*0tAr)+nz)XTaqYBGUqAzhUQQSDL;F{Sk5nAQKl=}mfL0!NRl;0NuSw1Ln63b7 zuOXI8IH38;^>|c;fr;t4TnZ{Y2M3L)rm7lpf4Q60*oXz_9gjbQ$*DG}mPRkl?k?u+ zU;>6YK$5-`MpFAVVc4`CNhNu&Na$bhhRjnyC3cuODpEdkS**Ga+4TEI+^?H1DurIw zS0+_KQYpSKvVJ{B?V6uJ79>LMyfF6gKTpTnw~9C^VN^N>I=I#mksVjcO&5%mCx;P` zt*mKPc5zWZ)Rf>Y3;LEv$AutGIJFE&H9>)EVPWtJaP{>aOU!3Vu;EJ7_tB+~?H>OO z9xgN(YQq1L9ncy8`$<(`tv{0Zt^?l9LogUktIO~GMOK)qH5Je^=p^QmT9e&dw^gVt z1Wis}UK?O;LRczwW`cr(J%YFEkZ+JB0%!-a6;E0ntR;=)ish4$8$NLG=1W7~{pCvo z8lOl@21Y7OQ(h7lMooF9#W%~>mjd3odC2;cVMU48pO7nNY>4opHbMbCM{M2UV)8Wgo+3mkV!Il%b}Q@R zV`&|ubpAFBJUqPn7RRW7JD8{w{c!gNc)HG9q&$=9}m0#TvH5<@dHmQ*DUMF(!e38hI1 zAD*Qv%)BuUT$Ddf6wpm=%&Q*TjS9@BHtM3bXzG(kLycaXeLD01#Qf0a$*XCCE^(o> z2yx(}prVq*u%DCmdO`P!gclB}qt?pK5xh8R#2zzsvfOn2QMmQhHSko_M72V@XB`w0 zPDGM-IAfEqn}|E$2A{8u6;wUCUEhvy+7hE=$u0C*8sE+~X~J#~s?zw>i%LG^rk8ab z!>B`DhKI=0iTVh)7s;Bok}4=dCNUH?vUcKx2XSH&yC~VPa0Qw9%msAPz|E6!au|Ai|lt)ylPLw1IHk>({2|=J;P6&fW;+IdSTYllA+#Nw5_y#xsPB?bj2Y1%^$|;|niof(F5=p{gXvn?mV4^=UKaI@ zrumHDzn;OrT73OJ6^vBh327>mHIXme`V!Lp70G>uhEF{d(Ku=$*f1Yhtxsi~r<||* z$Z1Pa_r@t5pIjo9Pgw4QA1)fK^O$yPwj8cq)~h%%hc!P2?U10ZE{2^oTH)@h&t2?w ze_~YlH&GW}S(9+;qOgzvk?>xvk@7%tCaR5f1ScW}wj8|aXl(i5=*LFpYE_qQUPMy>me zyEOzPJYM(e>+>qVkoDOcTfu(CksRh79Tqv(si_0cTbx9c9;>=MNdcM7Hxp9R{|MsP z(l`(w_Ve&ZIE_kedUf@*1518F0?f27c#31J5Z+q_lW?{s%ew15D=*}=4H*Yvai7yC zSGz-Nl@e3gkVC_l@$qp*x?RWLFwgYEgGF6!(k@h_2_eRge-&~&zE*Dzj^ncU`Jv41 zy2#@>tDKteI)0QTy7M{FqHv@rJeZ7G3_B=-!>X&Z5a``1kO z>w_8Y3uVFyl=t~!Wxo9?j__!6w_UBLxUhm3nu8QEo;TMNG_{#S=7QPvV0zr#7VjQ= zj|9(R9i^n1(H+kzi-YR2`X{{%!fQG(DZ3LLE3bwo17Buc9S(O9t6}5Pzb$ShvA2Wh@^e%mrDZ1jGQ7WX(Ljd)%n}0MihckPuev^MCl+#Vn({1&8O{iP!ySZDBG5*)X~ZT zhfu8+YGmWiNnf#&;!Ou@IIM$5!3y_3F{*|w=Hyp9uO(E$NZYqj=P`Dq9Deruj(2h5 z0yf%AU-(%c7DYws_&vcGqM-A@7l8}z{{CS;+6yUSZw$Ee)0%k&kl-a~+jj@*%_wq= z@hzW++lmH*q}NAz^|Tl^h*_rX^amgID6B56B4}ppTR@Z#zSUHQfw*i5^CHs7=298< zNqf`ED^wZa&R_-;mkkV;y{vzSl$j$dZ18v5r=`GH+FP2r$f;rmBm`xMwqG~G-L8nA zL78TQUG9f8{OkmNWpgPWEHc~Lz97V5HOw68`NWG4M-v8NaAah|BfW{eiepo|rrPD- zAnD1mWO*N--E^2W($-PNkx2&+-+)4s1KM!4x&Lf8gNM5pyZZe&%du5hes9vO_$Q5_ z0@Is@noUdaJhJ7$bV_pSU5BqdzG2B5o%OVdLa8A^G%v(=j3>Yjq#@>UG3}bi)1Qy~d)OIsa&W*h4RxREZiyMB{^B%| zMNsCqy|G?AA@S(N*`gwOrv4MP{UUP!8okEoudRE5V}nIu<=DZ^xiqYEjye@^VMEz@ zPU5YG&QxDd3W=1#QbuDr^`=`zkosfC7?eP75J^j+N7mAbp_MH;g2riL?xpd!r=lG{ zlB?ER5c0g7hhyh@826TJ*mCfHraly}c3PUvKYW^|=2YVQ+A`zASkW>(MAsH_yi|wH zwBn@N@OMGn-#`?=E1zI0L|+>1o2F{lvvS!NIFb{rRxL}il@GFT`>bj5;}%#{bAw3P zXG1tAbF^KZtS^v1@cU?*O^u9TV5*pgfvpZh(Y&ZL>5_q-{&gEk13Z%GsE-0_-tAB8 zvFcHt@SXH9tLh-z!#Ds32LldqTAVn6h{Lk&jYEGkuhW}4{YD0Ay)H3dH-Eh`2QYbn z1IqsI7!|NTC9(F@-tOz7Dkf0m>Kt2qsZ3JFDt7f%R^U+ldwmNYxBp7F#B2GTWr={V zE0a9!h~NT_r5G*ieSUka_xll#1)q*Vn69xR{iC3D6XFd!Y`?*w2%`!`8cW!O;au?# zePy%_Lgsl)(^?~QHp3nVuD1GiWZ)xFPJ?pm#fQ&i)@s6bb}ZfA_;2-$VW-y});5M& zUpnRNPYu)1jczh?{OVY`2#{UQH|ZMkejx$qYm8c)H)@r{P$5^^r>%IhfKFyJ(d*>a zeZpHlo9oNVMdX~1=K@OJh-D$-QmWfCr{lKpnOa4w#Ra>x0p}d#IOR+sxJy3i`5;VP z_n3pjd95e`G^)qxL={&c&VZt83lWf=Jd(tfp29OTYnE3awp4=AFpnQcOQvo*JY zGTf(7t4M!5Mzh}>U>|#1g5XJoSRWfOJNpGy-EKn;d{)5Q$N^}Dzsa%}w+r)pnT1}} zmpz6yI?%S^!$w?7H^|xGi+W@LE(2=3^e3FY8_jVO;Usc=)D6-y0yJJJuC*TAh4KK+ zQK(yDgxJvT$1V$;2O!WBY8&0{tkF#mvEp&pF5>$QOXWJ$sgVgA+eR3}nT-P$0^zw= zJ6l^!5D8zMMn50+x>26*#EZnGJ2OV@x)2`^XSuUys!Gh}OZAT_*J=polq^G;*!SeQ z4lGqu-WjdX4VJm!O|$^o$ZcW*HfCHQKO=!{0zh*Dj`R)cblY{V2Vd;?z_c&wwg>tB zEXJS$tB9N2fr5i#;mWR?Gh!* zA}+?=_TyfNUIw$guNNrYrtXw<^YXr+u*&;pGuB~n(0J>E=DeNxzn=vl2bSe%$fMI$ zIEWangr)l~mj&#QC-CP%j^fwO2a_vTkznOp>T;?^ti>CX7 z@TYH4HntyA;vZ%T_?x3qOON(l@ zdfu-`e9?9|9SOMth}@zw9$FpK{vxZuunR#GUhIn6R6bLj0Xw%EeH|}= zZm4d$*6D}&%UGS%czC_OL9GwN{5D45#*Ca1Gq@d*FD#h(W`Z}om}ocmnq&R^Cw?4T zc-&#d$smfcvBY#s&<5SubJB8_5ji*IGf2p@Ym}KWo(#w(nbN+=VODuo;}X838wW+B zC&oBM2wy{l4&5d=uu~jT#PKL^tCuSBZw(i8 zUWDM(x9B^V;EzJN#M7?+sy;F!N~JOA(`^7ds@n(7e5(fPAf#KgYxR?fRL7|vf&5Ia zTU3R%r3i_zrva4Y4)=xe0iig>yZJy{R(R2pU_3mQ7P)!U3A-VHtLwbNUX zW#KZDgHKcxl?VXOw=eK!)s4>s@MOV3duFh;%vnp#U|r{rYqi@QsK(u`Oe2Oh!fSLC zofdacl%TeXx=KFVIsEi=qn-v+MAPpRHP4;9o2<#6mbKw#nF0(2WCiKGoOZ9U$)G$ozFxBRmUV+HY!G0x=NhQ#^A z1IDo@F%wQ7qh_zaHlDCmoIEI3O+f?aXN@NcZCFn-aZ+{&K#E+JkU8B?QWVWuxz0R= zvwXm|T?lb3VwzC)3kiYst~z;5p_pnAA3WvW;8z^9D;|NA%tv5dIU{fq$M0_+eWceL z*mIE@o}=MEV}T7Q(80NuK;BuYKx&9}aZtvJBu|0=&GBUi+nD5mC8W22StfsESaSul zbZ2nt8PqSIey<^cR@@o|r9a4Fm>2)ryGz76<(=b*X<@4B`ICrsC&f%4n7SqCHgViN z6PuWiN_9K9{9-~S(lrwY{FGy@K@0q1@Ddi8k)}xyBA~{U0>J88d+0R zj&>{C1x!WYTp6J1NX+QN>cVPLu)d4D&&fZyOwZJUd@6z%k6!P9#J3@yZ^b4}an{8( zQk+#EuoJQ5G^tFT)@H<(8J>wD!#TLvNP%}MH`D{RVq{FVwY9z5KySNSxovxW-pwY6 zD-E;@0BYPUZ91tGVNNz8Eh(3Yj|gJJ6?_3iJAnYsI5vBw+5Sn>X)mDd;gus6hedqD z(NxUTq<}QFNH~%HS*oWfXrZ&SQFjPZ9NWt#ckDA2h8nW# z-I-QCw2LpUe^{LDh|h zF)#xg1icO&wwhIc&~r-WyUOx!VN7q8)bB;0?Bn zpfoE5RNeP+J>lt4S_;Qs&Sz<|mduzg<`kvJQH27(&Th%0bgmEZtpqfOvm@BYWylIu zXEE}ahAs&>M0b)@jVW!sP9!S;HN~6nfR1S%sl2dnJ-#e#1~5{Oiey0g*S#ox57GjpFmXjPO6d;pHy&{BLYCV zM*h|9I3!5Nt6C10&*0)jUtpi1r^ETd!WLBCMLd*mgRdj|KEyDmNot0I%7=H zH18uLNt#EknsH3tP_z)d)Vvr~M4J`y&Nf=cIF{dbFSZt?tyZ@T)EFhh2)sc$eQGdl z5^9ekHExf~aBvyl{B~Dz2+=&+c1C6TT_$r>nse^2&(V+(e#7&YFIOTiGTQzEHC?IY z0d}J$LcT(x!%*<9jOTFmk1Qwyd2&v=UH<;pDR|E9Y_djA?&t1VVKXa1Jv9-1^@uiP z+!PgJnX!5{5aHC#m_8YS5cBYADC|O*W%iqa@I)% z46^Z^_6UoWs(KN6DbGbxIl3lT+;t-vuI*m%G2Z={(mcgX|sI z7lNyGxw2PTN7+DB&AP!V6J^O3&8g-ZQqftPBxtqPpD?bTA^gTK;HYX=c2-jq?8-~6 z0W03kn9f8!^j0O;^=1FQQCtpIheB5%HeH6;;vCnl&IT7IRIqBAsaDTg19S-Q8jix) zEb&cLCd<}7+lkw7th609n-KiB-kGKy0!Dbi3aQ|1!oKV~%IJ@)j3i+lvO_LJZ3kPM z;~D%8Ck?x>rwM_*rqxjLGA5=#xBVv-U*#Oj~bj%VAGv2O80*{@=oJMH^h=t6}_aOpFdS~mJ@QLiqk=qho;8I zHIJZ6948Y_0H5x$=7()2GHV(S_g{S^7|)`hJ<$eY8csgUdO5Ywf4MBnYs!_rB&u^ zy_P^`>1`6CxtxN4Q|U(xPL%nEPEY<+5t!Dou+yc65%k0LySb*wd#Vdk*@%B~v42yV zz`t*TfXtV%V&-QKm7UUVmCdrZBUba3oX}_H7!^hHtzG$uJ8NsY?N@VJ;KT?7&g_wh z=X8)y(Fu>YQ7}pi!Ud`yoSxHou=93^~rLm&2l5bmbO{9 z(4U$Z0#IXB5}EJ6-9 zOiUp;k)zyAi%{z_!q8f*72}(3Tl7_9f<<~$a ze46dnQZHMlJZHeS6?=%xHnjXRVFAK+-^Y(2>VCCN2Xdd5E5@_thi1CnmMq zizd#$7TB4tNRrosLt=_3lozpu?M4iMV+|I~Dk%129VXfw??$!n<#EkwtXUxxq>uc! z!YEXzH%XNRqMfOd01%NXA?#*KB0LZsWX|J_8`TK``-4kd`_^7%yzfUIKJJbc&xj<$iiaSvLRCvO5Qq-38>#_2u^O9D>b+;mlu(nU=LlVyfvqaEM2RC4>BouS?Z z0x>?Fu);5C#+9wl4W`gIS*{t3^kSyrVF!U24vS>{imV|Yx}haQU|iddDY$nee;$3N z9tpB;3tOv3Tgx@LF>*~IW;XW7sFqRaB~HE%e)uifo8bLP++_u&xFWCS;Z5b)KFtZgd9kpsq8Twk^iw&@wN_S9-Ih3qBm1h(@#4@^eon z-eMBWx2&c>U8s=+=Y@i7e~$r>Ot>lbyQAs3Xc|M-v4Z6jc|Sg_uB>cWUaUIp;NyQ# zmX<_AX&3Hw#<>W6$)@;a*h?@tzHr3;zSFPgnLs^8rzN{L+1Am>MITL+#Wp)!YB zJ!alq?sFTp{1n>13kjgK(=U#k>Y5=7;rXtipfo5*g^9`+}gc+#HHJH3rylWwnn_zPx)%?It0m%P2gcl_%<2vc|R-CErv7<3@B!-8lwxM2C%6q8fTp| zNH#1=S`k)0$rajEw^7Z_LHLjol&}QQsQn?Rf?(|MC+Rpq9Wegm4iQEo3bLnwJqcFV z^1_@{#HLwBRH}1?5v!&2`C*!Xy_F@<4vKoakc>J^J>ZduOwDX&ogCsAYKsLVSacz`)-TD}>%4=SaPH4O9RTVf1&jPh%7rbU&{Ui;UpjMd*YlD+1-?(=F4pC!z>^$rRaE7`Lptu^ zas3VLiR84&LjSqJfv9z9c|wJYY}Y_&YtqzuStUaHR4Jvsf(NTS_+2rk3r>C#>5$W0 z{AQei0RiDyZki^SNG?#PFe3uRGv*f5}+-(-`}GQ^)Y=sGNkd zEF})Ypr`pwA2JiV_^0|xz1Kg)!tzKu_RvL5dC5!pvMy+GRpvIU$ZpwuJIzqnKw9B6 zPg|<>Ht(YGp0|B6a1(w{EaBJ-JcQmb?K2~DyPr+#_#6x+QQT;1)R~?@*EZ#_o?>{L z2K@{g;R7Lw%wbZXi}DbP$<9Y9z1I^?DCa)90ccG3S(|-&O-<9N{kxybNRfg?LqdIA z_`U@JA_$X9;ggvVKoz|nIRgWd-<6tQFra=gvPY@0Ysv@g_7>vZG$$J5Ut;UcFg!p= z;W<&ruMI85Vn$;G=Mk}0{a~NBqm%W2fx4g9I$^KZyKq2`@6NWLuW-wmY|QoOMq~hH zl+T!~__X|6hQwDy6&2b~Rz5O74B8uTb* z(Jx+f5`S|bn{NED%)ZKZwP=>jDPE%~r$cv;W3Qz}G~*V7x)E)bcOY%?Ci--}iww-I zi;4kcI@2d=hsD#tOvsyJ{tHkTFI516wD56xA;vp18>T8gE5<-I4gyWWovw4*V|j-I zL(LG!)Jfm=TEvT)NVz%X?5=|q5UrDqk5#-0^k8+*FZ&;+jz3J^?FaPT*oMS^Xs`xC zcmfhM&dg3ACx$Zyd5;}ur?)1foo~^L%Q>X#PfD!zGz>c>ABW^sZ zw0dR`k%)LR4*+4W2py3fTd6@ETLPe=^`QQYoYi|weE|N>HHthQ%V>kLDip9zX zC9zz1lKN8uv`}HG<8T9G;q{urqNf~_(xGRm9}`vP-J7;o2W|!y=>-Oel7_4@Z3)~g zcojhu&h2j6OK-8H`>rEQ`5Va5-`zn+>HVAG@++!od_Q`gxEFhT9ma2qkTa?TMkQ@ay!^#v zB%V@(<9&ER?`v0oa^cH02Yb(!@ryuo1avA9bSkCJsy&!-k8v&E(|rNHu4@lJCLH1c zG^+xSfaUOkFN;_s-a6hWpE;-Jr}R*aT{OF=hMfv zQ-Vy4wSxt1c}PS>{V}LFL&=d3vgfoOB{fVOLUnxlb!HpY3Oi1wbt>oV9ySTJweK!0q6E`Vc=div&q%?4C17YHCNk#9t}m%pOclu}Q* z-Lb02H~_`eM)>L|Kd%gaV=3@E)QMfxQPXyldm`w5%EE-%W88?>x9qVqa(j(dR;b#5 zY=!`BX|S3Wu^)?8CKVB-_haC#N|@&Al*2GhwN){Z6{hdtHxk zZSrl0J)Y@mFKu;(ZFxNNQ2IX^;YPhx#74CO^=q5%(n^~5*qs@5IGajH>|@$I!!k9m zyVuh8z2(dn?9b^Qt!=3|7P6|=WY^udQQGg0$|kssJg2{NO}y16X3m3v*^}(nfwz93 z|3i&fEme!&wRU!AwYh-NZC0x6zMu*3Rf!OsWy1=Sf1{~OI*e3dzp!$%p!Q(Dvr7(F ztMo^AhMyz4O2s%9l$6ybps}!#xTLhF&|@vVT}ChGo8yQs6u*xN4LGvXUBGWOsCt{hGXbmWcL-P)i0UHacW*5S;Ob?62t6QoMd&kyNs zB(-$AGxfaBCtr8x-Bp0aG3%^6VGIo&JJ zR0Gvgdx>2zIQ^)VJ7#0v>R&EuBs`Bg`EUqWnuae{!-s;8fLwRACG-2r%hHOA8H>F1 z6Q+LA6Eg9@7KSQ0T!NE4Z*JAZR}4kA&L${(W^b#1TEy+i@r}<=S=^zT)s0=+RQhDc zT0SqKYAvf+br#Jwxg9UCv2Zq23ZUw-ph8wO{Rjv8;twxt{H*p$#fQ`5Bdeb$YUnDt}mX(^|vZbWql1EB3Oo!6Y;q}{h^MwQI`1BDj zuRdvu0=~c>3h9$@nZI7E^U${+K-3-el|gOmEg%Hb?kwLZtdKgCRtQn%G>-YDOvxWS zPscFw*@97Ef*7}_+~ZtRXK;hnJiC9E0NH#21i05Q`>Ac$v&_XMsfmntN?I7_#(52&7Mcq*S3Lt$A3BqjMQZ-eyyMXQtPd|r zx0yhg<%(haA|L$wdT0*+xtg2?`X<%QryqEo5PK#vAj0*-Mb@y+k0Q1TFU^Jct9spo zx}L1C2U*R=g`V4$5Kpe}OT_-^3kTFv_JCDYM~K_H58k-oJtH^CplzA($;$SBrJPj;Y{OR#|@63I0Is8&kI(T|P< ze&2|HrJK4+Dzp6#jTxmj8kW{hy z>pCW<(!Bgu3cFm$8-kYOW%&u|x`vT!1zVNzo6a#pka=IUJ0r4-guk3CB#T5|zmHhY-Yj|YjY>+Yr zU;quZ9pOC;oZO(o`V-8qj&(|lk%}@0IZ825CZQ1c%PAx5uEa=2yA-5FtWZE z4l>UK3J;X-$~jBFL`0lb*!du}w6uJj+a*H$N!5L{^0vgD;AM5oVoa3Uaiyc^R^e$v zJ=SGE{=Cs%juHUWX^!1ZzTmF^?V>2oL~bcZ7%3s)4+Y7?*1IiEBQfc?DGA_!&mNswf5XB8m$P-#wD-{cb%Xg>kK>(_VPbqCKX?To&p-I=76$P;@J}was zdNGS4D9v5D>?Ocj5j5}0r$bPPA}#HR-#MlF(z!2zhYz$$XOe|VG7-vun^91LMR9Gund#NYNWGbJ3*g2a<4PpLnLL0wx{2R3wq zNAI6LF)NO|z>3x#Q}bJD0r7YgD`fYh`Q3;C+HAg;huW_!RE^}_3-=<(vn^SclLLXq{~N;6(o(-^`PBG&B?$_xS2wqNzx!)ZYV}y%Gj_>6a%r?8|0esPk(zkqB)<#D8XH~Gr+4n2^ zk@Rb`v$GFu=}4?ix!nTj6KS+}Ic0zWk`hOSf~^WzXe%U;UF&F>yh{3l(3MDe4F#&M z+O!v^!{zVMC{p*kc2oz5UHUicTF@I7^y?%xIA}I!Ddi&lm|0A-%3a~MIvptKxm2%s z<7^uA$umVhah=Jp%8o0I=PK=y4SRnwm46FwPF@jE_2-9{hDNwtIu`{os#uxHxXDzy z-KrTsDR*hWQD^<=)$iY|U@sU=@&wSP(fP)}qFxsHb*}}YZS$8MUmWQK%w?0b4CtyU zp-T(t(UQ&QpXGLo(aapyS*vA3RV}&4bm5dj@aHu*sjq@yAn&gF$9hzeRBpWe@2SKv zn3M-Z3Pvl+0se`haMrKjB}Kzpf>@VVsy#^t5gRrP2Y53^D!va3a<8DWAllF&8xo7< z8WtfEwk+**?TKDcxWAHGVO%U-#p=lkl z8ZM!#?fUhaxk8x)$f~1q(+6x_V!AN>nz00h1g#-6%}B{OHQ3aQxiMsVB3JlU3{3c) z?iGB$1@dnuM(zP!&-YyeKz?mvax$RG?-4W+O~wO027tHcHdB55ZukO8Ny+_{2NSjo zhUpJ~_sg8_&)rdh2VPqVaSOB7jYNgnwrw0;@+q;l;Xl515#DMIvw4~mzn@E-tgGuN zgA@}G3nM-KvE<7zd_mUzXBCa&6ItN>Po>D_tpiJ|?~APw_-+~)wQfC&-k7;jQj<3>EJkW&l(>0MA%bP*(cFFvZlvI7O>9n2b#0PI(5Gats*3t_u!FJX3uOi zaA%Cp%7tcRkTG!a+?2oSiJw!Qazznmn!;^TUo#%!*BH_|b*;as_onmUw1_R8J*yXY z%>`CoWsy{wCTKJbw#{rTBh^W3_i&%f1DS-ZRe{fJLD<3uU6bE(Ct_$+55(`}8d z)Cqum{5;ujfse}R4#b*pIQ0?CK5Hx7ws;$+Yo8p305(CuUHok8_Cd}o#A5h}W;i)= zaI761))TzxF6+r5^F1+%zAqe~-IR!L>79e~4M&Kw(Nuy{p|w%SDEsHQH7A}+7K6(- z5lRLX{rgiV>L)CH_O{gQg?+zUq!mr+C9+0J%n4eC2$q4PW7jiKYJGBlu3KggD)?Ss z`CF<)w_TI3iQS5|`1_7%#+x!ntqRqAHPEf}wy{N1lCV|7(e^;hIOxePam>n&i_Gho z%?Ljed=8QrFhb6=E9l<^K1>vIA~fJ`xtxS`OmZ|Q*x6PV>F?I<5A_ylg*I$%&lDRkM_I`tB+{EWmP~Xkm!__bh>S0&N zN>366fiz3LB1@dS#<`fP2f3%xgF~^bw=)O-t%H>`hFNE1;t9MdFT-5faOj_|#ONBQ6WBS$NVT$c0 zZ9l_s^ndBtzf+=F~!qYg<{Spcctl?kLjO**~TB=7NDLrB|bK%66KJ~B1 z;GV<$9T$C3eF|grrShmz+M6igB>$@hFk}4l?XCn zB1OfOL7U_=+O4NLxc|DqW?~WjX{nzRvRjf&v(Q;zcCDdOr>#-!DM~w)M$LXGf(>QP z(a9@$xg$61 zheOlkn_d01W`;>E6f^k z?bhg~*CviVE`K<-#C*)_1wz^%$muJT6DV;dUX#A(p&qC<>7idY2=$)b4yVnd^I$dn z(_a)Zv4>6{94k> z{J%eBqKuSNJ}#?l-(josLESw+qxiukjai(_CN=x}hF6xut4q^6lLEUGasGhB%Ur{+d%jC8a(q;` z*~d(oUrj!7&nRQcgSWw7N!>0Y^$+&aKT`=}U-|0o&6~{Wwe|6*?q*yPhnU!=xW2wy zuIQ?hNXbz-Pr4{5T)D23&NE#Dan@cumL zWs6K~O0JpH9ADXOG^}|(?98z8J@$AupId00jFGm?5ezUZdV{14bZuXY8w=L&tlMA+=xoARKoM(@{f zF9cszZu88og=`+tY*Z}8R79iqx5;l!2xa#`-Xkr_wya`x&U~)tSO+aDGi1)BL`GZMy5Sid;uT#OQhEwr(IwvT ze@jdYqqKV_tWYL$I2c+Fbf$~g)gX3ki`UME!cHjz2LAFZiG{_9L+bc&`BxX*`-1%( zBd)0RWARG~^A`C8?!fDdhlYwK)k9lb+y3>()pY_RNvjI~Hi4D7%&fYk95*+&?aRYy z2_{${bT-~YZcBCPbR!Ms9k^GtQ)tKX&ZNZtoW)ROYIu~m_Y8C@b1eI=$k7t|7Kh1G z#w`Hp^=t8QOW1r21xaJiA&bOjt1@=t2ZioQ*4AjUUW>zkr^vTxo9Y5vV~i!?lcBN! zwrc+BIx&g%F+J%vKMp?NF>}`U@CtsOrJ#9$QU2OyNzxC3+r&TU^Wj*F$8~gFKP_dFTw*R+h-dlq^&YeM(}w4y_%zCaU2X z*Otk;5)^*NJX@p##I_$L4&hx;axO%X$_sDV`J_o}ZFE2NYGGLNMfC{03QMtywHg}e zzcfiHtDQV`%YCe%WPLBO5!Y_r-41#CK6pWsEqb!(RrA6`kW&vqdA#ZS^b4EcmmEVi zZIe8>eIIzxl4PN6@5w{Ti3%hV@vH=x@JW-S&6%dc^=6sfMf1a4NB|xZC67||D~4^8 zZ{{SybPSOnMnb=#q2&r}iSzv?9}ax8V^t{eVo!TY`u%N2w`{YoHJDm<>mgvAGt5zD z>H)P_ySLsr>f?Q<*F@VDq+r2E(CC3-%4LW~&?ArvP&m~7P{!v@Ei5~_XLG*f%$2KUm_Jwh~(V!zb$Z8(4#p?WoJb`N)W;!eVA*%o42zdM$`Gk(bIsbN;f!EN0a_mER3- zvRI>J6ok_=6Tf~H36bImG$%3e$AZBv^WQTeR0Js|%nNq^`UStawTnJ1^h`y6j+Ddu zO8$X(AFV4wZ^*5SJ55BT7V2q-LOokIly9gF^=Ws#oA6ZRmd0&MbTA-_=y*YyG%lBK zeDnBLqCI;N!pVSF)wFm+&EI;8x`nsAfr_V6e!2s6}wHh2?og8wK{MR$dK6hOg`{QCV^Ks&y2Xa;88z8G<%%@tgnx!2?EYOr&8$y1lZeEpp^th758>J`V|tKO}L_Qob0$=oItKrs>) z0KM@*l0}1XJ*ccTet7fFLh8w-lKmQ^f!`p#=cR*eW5;>TF8Z%*-{~`r@r|+u@B1sM zU>U8>ZuiOFKcC5jW$*_I(giv2W!MkD($VsP?F3Y-h3@&c?A|EG9wb+&QjE}04>WwW z7pj9J8g|?uIsS1-dAd=+-)jS`+O70c!>eB?v|f8oA3DrLHV@EC>B}atK;rFm0c%(! z--s2Y1e+1i_-~ zBXc(X{4m}x7b@8IY#vX9-|nRlbK~heW*l@8GH*n2e&V zik}NsV%3TR;nfihT=2S$$3%T?U=VAKwVg|%8=1F6SrcOEH&J&4f3sQ^1SK7}=Ri;L z4ib+|sB<}6ZbQ=f-gvw5+J}E7Uq~>GxY1;L&&>^9rh1=E5 z4t}{O4>ZO6heY|Z(xIRNX}9E0F}gTJl4YN6Nu8)-6XN42sq_dHBxB9u=of58l`W6O zK)Y<8<|_l+stGm5_!hR8loc&CcBQUtJxaYqT7zaSV}30Mx$ITZn5(lJ%7|F05>=tm*rshsZDx|Gd;q#cL5Rw;Ir5k@G4IrEDqWHY)sYBa z7dkV+pBbi13s2dlw3xqhhO)_y^RD^aq?)5ot*%$>iA2M0gSQZR*^ZZ? zRh?ePNNRd|7t(x{*#|aH+Y&OC+X?HPdl%(!Zu%YV^B#s%wx3jWebzelvfK3@FNdYi z$LsoKPCTENq+)0u!yI0sm;e*{9FN7Do94J@-Sq6;ouy6s`mKgKCVaFQa@+9l%xHSk za}?h0=lkXdRq^x-DhoEY1B%ns8KCn#Ez&jI`-~~CvdFgvH9xH51nUdymow}Fb<(IZ zd1u2hBk&r0xusS4sS20g^cIlC&c36Z+WCgRCyUHghO(_pbaLEMhadmlkUz`Pz3?_p zk`$qs-R7I$J*PIi%IjsiV1$ESOD&2!Cm9TepUFkCeYEm>`9H^DCr2(pKc|bjb#V02 zebhWo$Hq9-r^l?HE)||<%<+64ZX6!Y$e!5%xUI%)oD_VckA!^%HPuJy_jd8bI(Cj9 zO02R4*Nqd}&L;t#3JS3}rttWyHmKfAWiVO`93LBm?O`(B)t-4r>5$^ftP>U0L-)@r zdlE}7{1T6TJSCe9f4$eGV? z)46S-0%G`7{%S34+OK1S*2+g2R<-y&W*R0l2x|Sz!AN`%^fX}H^nw9M{rL*mwQ~EE z0>!JA^}JJ-Bbp|bjUtu5)PoFH2ZE9C6qS_&&9Z&w@V|(b@ms~D@iES@_}$9ntI3`0 zYL>Zy>~WSL7aJ53i)oZ)DjVn<{;*aQuB7#+R|xss-@20_2HOK-sQGdZfYb=a#Q1=3 z3))NW0X#~wuR^ed^7(g1PhZcZX4K-vlhJ zq(I;j*RH#=E_S}3X)?1`t1+spbKNb~)#zX`XSS|COI9yZv_kiFLJ3(}`4Yl}00y}I z^NsraqorrPQI(a~Zu=R*=sJyE#}zJcZ^9Fbg5WI`K(b6L9lLOY|Md@?E*!uMuRT3I zK-`$sI^_M!>L}|r8XWm|0RGnJv^;8RH98uV^4n^I6&{z}8uAIgb$?_1+XAav@!Pib z$4aFy6|+??e9i~x&gx(5%*KE0Uu<4vKeuCOTS?U?=~`VKr+;O%+9-!~_VeqS9B~Hd z1vR6{Qq2qzM@L7IYr!yz-r^DF_q3+L2MURqss5Vdx-vi``130bb@FDAA zC+jjf!hEl0)y~iWDTZsRFjb_J562tdgF+%uF+NiE81N8BFTP0yy(F;yf*W8ky}203 zOPo?P!L}#NHn|)dp}gK_w-~BTTJqgx&V_9?aALEew8`wb=}aT7 zc&aFzsw;UCNUm3~&7U3hyUZsODa*0)Ixx%ffYrPCfwys0%Q;TX>X4!03gU)5CN?9biC%_DlI9+jC%(l%xoE+<`w`_r(0(6-qVgXSc zJ53yKu7PPdxFrn@H8nf{w~j*nS#QLNvP3h`GKc95J5e{e!}k{={TBlFhz-oH(AB>C zF&vrq#5dT=9*wU_Nn;Ky^L9mr^L)Tf8h9+a97`Hj;kTnpAN9JDLCNMrEwJNDUhZaQ zGypz3vAk^c>75_Isat@(^JHfRC)>cuioae2_Z#pnFrEgsNsK4%WsQw<=C6F}u59<; zR&-XVd=L7b!$y_V_;D_)cVAP}_oSxbv(`J2X@9-Ysww6D*)p~K@^WMkk9lMhhZ6~J zxqrX)r2&0NU{UD$rdu1DJr((<>098Mhhx`!ZP)KMN#FcOO@oGvHS^2{ zrh!39O7nlxwb1Pkhf07-SV!m>-vlNK;vsma32^OHIRvuwUq$&zC4p;&fE)c_=Q5I- z{C!z2-^IxnCv!uOgYi}ls5Ccf%@L0(6qEDualyZ@8m`+Oy^7!Tka z!1v{_j)wZnJWZ`%LNnna#Sc-9Wxfjqc-3pmE1x3BnDHQ!#95LVMlagS00A~E;4CT( z7zZH{(d7C%TE&kM|HH~!mM@v0YvC?DKo<$PNr=1j4)oFIC9cFx^PkHZ9vWiX1L_Hg zc^yAPPK&mExTX+DGdm2z_Q5Zwo-8aZ{C(?vO+n311%n$6SQI#G82_FzCv^y@g9&eK z<)ieU;}Szo#65$_+%Ev3NP2kif{AjUF81AwvI1J(0lSJW^!fR@qLx;Kg7+2{J`s`8 zGwDTMtFx=Js;Vi!{$jHO(tl6V6_BAELYUB3gevGCAP zPD^mydELqw`sf{>Dyhb|I}y!UieO-1`n~nAegwT_tAVXlSa?}ver9InJ83f=U_1eZ zEZx3G$xobn1pgdK{%ug*{DARh(R}z7@}K(zu$(%9(JAzc@(B5Ft7TOHocKOMeA|CI zsK4!ydmic;Wl{VelT85L<`KAK`|pVJ84`MwmqY1i#!X3wiA3 zWN<@;Truwf=co?}KQlA4@p4EY?0|^xq55ZYu$Aey<}x~6>-a#0S*^}!-=f{rAfQ(C z&u;&hbD@ucV7|;&JRKe%k4ZUur<;A?^p9(0#DyGf;wK$9lwzK4freRl^A7%WexyCv#F6H{v>fRbaD^AcGVUe z$MieL%ooKUfvyU4(gkxX#!XB^S+<}1MZ-P}7?U1uF1zJFI{l^RFuo1IW=~~9Zfw-o z*EfDGRJTtNn;a9!E>vqPMo9v&k@-+%%=WvPPqJ*d7r9nfgU{5lbEgn*nCKR^wwqUQ zgB8xZe|U4t236q8El%#zNt6(!l*4Bo9QC?$gqW**jT?|-?i$Ly!GJ*q9Kgftmvhf4 zl$7yAQ1siX&4bOiJaeGW>v|C`TCRp#0cff*uLSdZ=RZgGcD(VhiHt!=s5i?>bFauE ziRqniyW{vVR30eU(At?A1HNgS+paOs@roWBmcjqd zZG!O6);vyjKg}08b;^Kx`Ft87amnO@>tH-ZCIRoN+2DT2NFlZ8LZsB}5~$)bVCh$B z{>A}Y%srKU!o9y}`9cjEg%{frYKUT+3xlf-{d-*y2FEq$CbyBP@j12ml^dnqW%12? z*MYFsO60i$UN<&#h|xsku`!;t7imzjHx7O49UBKAEa3ch~od17&?*L3@tFfwoOI43CwrOh_6e^#TWuujYdT91@{Yg-6XwL|~r0UOLl zw35nUtS=wgRG)jlLWlgTs!#DFL;v%kO94H}2Nk(9S`obBdb7$NS~#HlJs4H8SZFFLAJfp%b+taq~b1|4JYbD0k?ypkPS=+#)i_ zldK)|e(R!WR$<3>V!{vmtQ?gNRT&d;R$=m&vDm)J)!4O{VVk%qn@ zOqyb~E8A3X*&_(7rbFCYCVtKf`+&ZRdzz?xKyiuIHt}{UT+V8z~zy5(CxWSE4USXdJk7!wPnljtSWeDtg|q)x!*} z2}Wvv6MLpq`DRri_K4;Ou}s;=+P9PVpcO|(Ylz%d3F%b_*tclRUP!W++jmH&+hfgJm&cdj9mHT}qbQxr>(pTIxA9-q1-k{=4znu~=C zThe}VGSul2Kr3M}A|;iAxH2r>MNe0Bd`SPZxmgVpe8}YrM7-!{RLBUX!?mt3 zYcVPrs>?>b1rmSIvSLOii^ygDk>1FYCyO2gaMrk9y7);4Y%VnDVxmjVg~|>ER38;k zg6)rQ*t9=H<#pv@hsRChlg6dLvhJ{DU15PL;q>Z0ejj=G`@GcAqB|3 zPM9T~+hL!ZMzWBi9xu>d4h@WOkW47i6ko$AGXXiL#k#4LeJH+RHGN?#S(l|aSzLp@ zUnlmqO98{#jT9%EFw@12QWWRt(jm)dC2-U^kG}YlOVoB#Q@fiS$GO&tg4fVnI$V*@ ztwbvCd07`rH>?kP4h}_9wD{t}WymP`c;EiENq%~N_in=J7~3#^Nw!4&yv`N*azyN4 zF8slopCoYc4O+Zhe6p0FX>#Z=X%m)MkPnaREl*m+#l&g2LHjjN9>(bSdDJSU=~hB!^Fk#V@BAf90oCV zO(mh+5yqKJ8a{A$QgG7>342eU=Fl8`Z%L4xn4b=GZ^zEB;mMmK(4Z#=$>e0QMUiu< z#$egO{r(2ew%aw;a&u+h-j{Bw!ISWR+1Xov@7B$D zyQqYGSx-q=)*n{DWSYdavd2a>6=cqz*$t#5kgq+fb@{H#$23v&q7yUBD#VqRV*I0S z7X|L`7z1COEmy-cV$M;*&p=a z&Ya;f1-on=m!(jM-8#WC+d5EKp+VX>%O54z5My9-Wx69x!wmDp(9y)0EHilV!sCU+ zsFtaq8H)K*aLIEG>Fz@ixrg`TgjUpn2c;{Y$cR1gGxyh!xA@{qEF_s z=@PbuXbc(b(!sOPG2=*T4ixPK@6HRwWW1D^`x8d1sZNxCv_lcOy^nQBrc{vrdJ@tF zJ-O%dn@3PQ^$LpJD#Kpm;{hnP{cRb%N&un-?}-Sh<7Uz??!qdzUd%U_Ztr*1TL!PI z#DW{(^I<$_lQ|Mi9MLb?gtpc0OS>Jb7OM8EIu`x%0p43z=fnBPpAN5U-PzQAwIO57 z=bM=4Frc#fJA(j(AfWTM(Co0yPZrz;#QL~Z{(>zELkV6Uh%AKzToDL#bOK3mmmf3= zW;C8G;YmCreZEZBRtYGqXTu%_Y) zKCiSE@^2=S%I&I(X5DCQ#@iFR5>0s;z0P%}=VC5g#ONl8{bOpHVq|n~tT$yEZ#1+N zEsM$3@?EM$+fkcNCQJf7uD);E!ngo?HETCePP%i{Q8v9koomE{G4XIf=!p%LOpvkg z%oTh~?gH?IqEdv@B4A5LKq!by^rpj=>v`i5XG|S7!m1KaDMgcnx&FpT`J6xQRGq48 z688gL@eW&U=bn>%Tm47Uaq_JA9G;EOoQ0ef1tkf~%(n$IfnRio#sOoJ3U_6sdiRxo z`0IWEkf2jQMoN`<&BtsJ%Re?azSCS2Th4Nu5tq$NjVJq^{xDLE)$X&DR`;k0KN8k!zZ?HT#aL@0_AA6pMyn;jS>vuZWM>haD zRc(s@g@LODZ@fd--e(vx;N-#~8xgt4?-FV5W5!*38{u(9J^{qcpwNkkK+jf`(v&RA|zj>a*;~cmezDtcByZ_fkQl zhn#ycf*EW=PTJ{dF{-n8WF&sW%AL9X{IL{JfAmmgVWfU|qu=Pk?s z{)I63Opp3c*!90&WJ(7Ft4WI5QUB*v-9UKsG7A6ks%}OwH2p7%|9>?8uWjU?VCx?U zFr^TPOf^IuI9~#)|LAUzxi8%R>dHSq)&Y2=fDCOVa7g>F?&_nDp8iLRFHh(v0mp4K zgudDT`zqwTTay21@nz(HDgz8|#@uGYm;e1o8zLNCum0n6!OaC|05D?~HMZLS#rpo@ zOaJ}E|7RxQ+pX@XG5dGxH_zT}j(*_Jw0)D))pmD3@~?~m0BWjq^Ub5QtggTSK;P6M zhjK|`4VKUN-#_@3)or{{{(qhT#$S7PuQ?O;CqSJ)b0=nEVj3J9<63(gn?*^>4IXAz zB*?N^OaA8L+5X*sWZ2- z5_=uj>;<=*MF(Dh-R6S%)Du9>0=sXJTDf{ac{$_ozh^vP85e-QmyMm)h0Cy(tQ%vb z#lTE&AaO=nZ5lqfqSHbRo_;NagVU;~v5+2vPikuUMMbc{6#-34k^f_r0U*Fm^&}my zam_=y+T2bh0PGDYB?-@rSQW7Ql?pzeI76ZoG!Tq}5q$d9X`y|uf3IzO2Ea0#Fw+Lc zIrZl-(E-l&f7(w@_ZHR$zD&ev8e89gFEsmXu!Ep+Ck0sO|GCa_vjMB?a~n-D{C7kD z*dqXG0Kg0uKbp*c+gF4FFfUterm}4RZ5S&wKp(FWvi`<`|LeJcJ{rJ%oawwRO8M^| z`~o9q_t4`#FVJ1L0Op|VyRpmbI|oz4O&2nqCjF&O+wBvZ6U*V@yEopeaYf~Z-h^>o zL!h~yD+b-Jv8be#!CeW^Kj4R=J^|qIbYbyQi@|uCz6MfCQRXA?x*CiB^Eyh$METTW z*r|`IBBFw)fH3o(#q zX6C@>Gszl4k^}c^;d-Nti#IX+*(?v)Mja zsYQv?B^qYjb~hejWnOU29q1&;)pwML#2;$emk9p%8kc<|&{mG0l_`NCB zl*mqUML$iJ7ewD2ykQNJ`>ujecXdzjh8Q(#_7h}3BM$!Z8QIwxqW)L6yw9yq9YM|Z z^`wV+4TB5RVO&>~aUFOJ^m7{l^iAH7{TP;2l8+3hd6oHa6>%K>8=-~XOwww28 zDy4WVeW}a%wgP?%@LC5FGJM%o?M2&p~M_kjW{=JnEixZ)~g2Cok++>zJ;vD9mJ zX9CI-yUpGfi41GPzTY=I00kJI>_DRRghbogVjxbCyb>;~;T6g0rn(jW{_?%1nZhFY{%6=Fa z@q~8^#(wK-M{Be#HU`XaR>L!BOgfZW7imf+Ga2R zXd5Oxhi{xgHPLnW{1*)EKpE!t=!Fjti&n8SUJnyK-#wS_#faYKNrGH6Ym!7B2-=fS zsCU_La)~?Ioa2kV_Lq)(5S#BUJnC#zUTzbdk&%o0>48Z`715l)UwQ)&WO#ye6n8R% zSTC+3zzXIV6|0f|SadxM>$Dw>zugd`@>t3JDTqkUl>1!abG6XvtIyuI__`ekx5`tX zcoM4VH*`3SJ*rzj{-5@)v#qIZ2@8SCsi*!O&5Htqqp@V>8=nyd!rG+ZJiS%Fs zMnI7upn#!76i_+@A_$@PCcPYb5j8iqd(S<;;C{IK)Bd!dwdPrSX5ROmSu@C|JFDA~ z=)_N5dRkKFEHEHbCVAwk$B@=#nHq*yLGWN@%E>eC^zhC9T$jp8+Ak)@-uC+7h|#Sc*RD> zH;$C1oKntvZQ|vlkAfUZ+6TXUlv|*|8olAoNu*=qPn|`1BBW#16Pz}#%xi5**5^@G z8c`i~-_|(z=wQ9bS5H=T(hjYoS6ESd)67N zG5HMDHLOdWMsF)%poII$)&XNyp4u96F4)s5?izMBoC7))Ce`Zd^KWi!cF|>Qbiu?J z{6UeOE0*?dZ(g)HNB3GH=--OKnT9&40zUIhc@yFv!@{<1y)aOqa=RvfCD>o6SDs;E zGyUY?ftPo81Ut|TS5SOLxJ8sRX3UaqW*oR!y&)TrcQt&v(}L2O&2jN|$|KDF>^F8Y zZw3?8vuG#*i2)6nK;^wyzW>(FrM)RZD&Z@&!r@PUE@xO@+%Thklh?-6?mmBK$QmJ- z5O*e6S#X3;dwx}<^1Qm|YPnOtYDICuXq7O~1HBS#L#R5f*X@cV4RSQ+$tT|46MlB) zgRp5464;;VM2~gcGFw)8;v`zs=pIExhL0Aqhl&6pB{UxD-ng?Gr{t|GF+HH}hs`F8 zX(ezcGMA={83a4S9^wi$^ut=)-VCuK=&ti5X{MrQ3t%8lIHO<=qp)+C5DXoa-}|6- z#!M(H8px;PJjI6jR2%P~+I`~Df&$eqjn)jeS`ZQ_e zxmDbCDV1%qtF@`TjAw@Y9l>CE?l?hlEy< z)0mX$Y+Gi_#M0*VvHL?;4DTqW%vP2#=0~`@r<>Up+#MOD?Typv;g)%{+;#PUED?il z%5ac{Mx@V&6ru!F_eV4cZXW7u+xaHeS@ku7+?B?%DvQn7-6|2aU609>PUbKMGQ5EP zRZ!T&(h9mw@OwY{&?I!L97N4M?bt9OF)qlS`;Lx~v0j$cOH&><&5E{D+o(nlcxGaz z$FWX&iFPAQS*uENd3nO6$lnRif(N^`$uNxff5m^eI0@xV{zol|I=E{-{oPa3co(tG?_q z3x%rYjOn^GaA-VD_6R(==45eDYw=RTr7oH32=Wy%%2#`7zO4;r2#h|ZtSfdij?M({ zp?chyXtlXK&R`ocNLKStgT@e)~dEKC9mEbkfqc49~&CtROK3Tgfl?4vr z01wYc4VxV^t_E1lvoZ#J!_k6LlN%lIusrPb$UeD4}cbQ_nv^GKxnAY(|}i&^il;zDL&mmQiLx6TVl3)`tXLU`oo%H&b>DFAM$8~H^W)gRzibxtQUEJO*!9cOs#AMn;hHC?=xlG5YjJEWb@6u0C0bW3A{w8WBPOze8b&H< zK(Cj+Xb;~WUi@bPd%Vz8YHopJAPzC$bl?q|SXa)KC_6VSfo4j?SO^p(G8Y?ZyE0kWl&rbXaOE*T`WGkPVKKFaxC)*&jajbdxSpyPBLR8 zY$Ta7cYVKMx&2yBJ9UZ|od7dWmWpR^t->nW+#%!nj`>LPGvE7Ysr-*JKGc4%X`ej2 zAK(r%LuMVXshr(wujaT%^>LO2IHUtNrRlT!;)^vlkE?8LsK)}fX_|u>;lWJEyG1h| zD(45jnW1GG@E^6;cHod%=r15q@5tIsw?PafZSNDlCXq-a@|P{5?C3saT2K}!VEu>n z(aCDQy0M7mh8A5Vchcp-k!O7gOn4{H3yG}(S07e@#5av;Fg??(n%de95;D=(RcRDm zP1=HB#q+1DNihV!CsS3B9-l%w4T&Y(M`RLpJVlc&LGxUH?e) z+E~xObC~pfD2}=vUL4Pg70z2kKbw%rFArSe^JT=!adql0+$LG82(RVl8~R%1?r_{S z(o0|P+Og(CPnRG`^cecbo|@G5{MPxfle*<%u7Sjj8%muwuRRAK@7;Aw zCS3@DSSASSj=^cglDuhCpRBeVEM8KL#cJB-h!2gM>E)oJtR-5ioRJE+n^d(Vld*g8 zj)iXKY|`1~w8z;BiC^>=psk8OZ!VCgRZ!5=5I^0x|6w0=|7djbE^}OyEJO1>G~k5( z^9&+tKJcfWZ$yoJ*Yp_J09R774W6*dC$uAT@UNwN`>|AVSyXlM+zE(WNTj9CRUR|v zTPkHH14x{OUL}6G;*q?aRlm@ypfo$diZbUH;2Q(@qx_HxJ4wt6HrF|ZW>YPGGjxRi zoNb5uUf!J;%g`Kn2WLYmq|wx0;8Ui{Ew?Y_iBiUT$OwhmHhngdl7 zcNlF)PRvC!RET%^XEaxTS<*feJ#uck{#Mb;s|d`U{VQIK-GtYMx znRh(j{er-J-EXzy4*kP8{3f3459u>IZ|kl`Z*F>N3bE35M-CUEveB0SQHxS%FFiKE z$k-s|wEzHlB_&bbCWA@`=*-~Lf?rbg&z=1gS_Y`oulZi!((?DOx?8nMT`!gC~sN<=+WfHlj95KWkK=2;>$M30LCM z`2^P)d+<;AFOFlRy-5r_XX~4z@J~A7-^Ty%5C7iWZ=T}s>;2o(|Nr_=Lg)X6`X4_= c0D~zq9T;C)!ru@@JVtpST1J|s>h_WU0#)?F*Z=?k literal 0 HcmV?d00001 diff --git a/docs/images/caliptra_top_signals.png b/docs/images/caliptra_top_signals.png new file mode 100644 index 0000000000000000000000000000000000000000..973047122e77557858be41c37c90f07e14161f66 GIT binary patch literal 45581 zcmce;bzD^M*EULzNDN5lNP~0<(jo9AM?o0}hLRSfyBq25ltxPF?(PyKq&uWx=y&^^ z=Xaj>ob!JFJ@6U!p3kh=_r7DVd#!6-7a?!d6!4x>K1D%6!BhO_wK@t4`UG$u^8^d{ z+wCLj2OLoC)fHq=%15bpfj0rh9~4bgRZ(65=TA^DQJi<4hM`itQ8#EM@U~?3V|F+Qrj(>j=ffw-Y|30E;qyEnov(f%zjXsf${-1M{n7?0> zvStPW2W*>v;PxmeWY7M-P))NYfi6g)D880{=Yo2W0czHft9gXE#G@$s5Iiww3?OK%KEKI2N^kn} z+tf76-bvIHx;D%rbbHWZI#YT*k}6nbGBa#dHH>l6!LUMj{KN%A42>2w%15F7f8P3r zKB?yQP5R8wmk(n;;*doS~It zq5t;{{O1});eY|V;rjCb=`Q~oW#gh+zfS5{{r4s)00UTWZ`;ZLv8Sj}QWR)QU%33c z{=Er4z@R)l!h8MSMvD)lnNSBS_}`l_{%b%%_1ODAL;Rl}{$CSd{KSQ!5f}g8n;86S z!1wYY;(wo}|NR<+1jq6Y6x0v@+C%~&U|?){_ph@RPqz}W!zXtzsZ^ZYKoG$`Dbf5W$OFg3*&%cb;)Us2~^kngQ~Gm6WK&gZIf#oX_MkCu;ktN z={o_Q>5(KoFSszbav}%eIaM<;4Jo%S$5G3b?u6y9JM%uSo%np^q(L%054xR&9REv6 zxijg9{)2U1hFa%{*l`I(BS@XZlWOxRc@c-5H;^^#;!cHy z+Mcm8R%WhaG;oH9qtX{6&zw5zW!?E23m9NfI%r}$hho~T;;h!bB^!=I} zpI2!~PQRV-z1jWs!|-npbYkGi(rSotw&NAQbRTSw`f;y!h4pVF_0b@5XEG1R>eaka z=>!N01^SBebAPTHh>I;y&SBywWj> z`~2)hN#Q!-hZMs0rZAGB2%)84q-Xg_y0H)Uw?mEldY(Sl=2yQ^J+t|TT-XKR~3VR{L1Pzm^-hHcvYj}9Y(v;9m-2>L3M zk#88XbM`K_9dcv^HvA4>z22GVtOVZJcJxHw*yht{aMwpQ5VSK!B~Z?<;0Y~0haqeO zJ?>8W_)Lb$UtKNQZw|0zg}>KKfRhLP5kh|x1KH9 zj}2V4UWvN`>#(fkFpx}_^?b-yN2Qnwwg-{`MtnX|XZ)J0#xTicpyb}D%n)f4NOp4} z)(e93N~^Uqnm?`wSxe7MR;=vKQ8{1ygbnSLR4l2-+Qrs+RK-G@JRCO&bb)9~u7?)1P| z))*@(Z~S(5w=J0@IP*bPP3+|L5S1MwM3?6trsrwwI9PAHZgf~P%e7zMwcB;26znv- zJllFHIA<6oer~(Q?!KPfuWg#)IOtOG?tw>gk%&z8?tBUg<*efITK2q}!^ZykGZjY6 z{dVjNxK`WpN8mx*<3sTUl{?vl_0B@JnyXiQgS}&HQ@3)#VXzBpAmdc|NcreIKxF zo~i{bF4-hI-;hb);FY8289d(IvL;z>du-RMU~g6stxGn|?e`a+_ZPDZmozGRyH{sp zlH89#|MLNdlbB>9w#jlQ)(`f-x|q?1;=kG;SitYHU0+DJ!?-js9P$$_S4$Rs)#o&;1Y63>?2yIWqlRUxro&R* z31P(wl{>!CLTJxt;pE`Sr)Na1vjRKBVm~IaZXighhcH}yQTr{GIemY;(nZn%9Cze( zE>x|Ovy*BA4kImxnI%)#kNgxJIlciLi>)y3>4E zW^S;q>n2G@?nY_VXsp-$&4AwH4Q%1Ws&>v~XdrR&sow7M)j+XeFhuuf)9dTWl2iZm zb*HDb@Nl#91>h;;BGYkrn@32nCGJt-q2qDVWB1D-I6o1-le55MIbPKdsjg{u!`p^5 zD{M=ikIMpmis}d4OS)FuS5w|dEPQI#t{^=2DK;(LmKS<%fsh3FJb0{%d_v{fWFk~} z6+>D13@=d>slB|PaF^15vkrM=y*;RcGBc#M#-j=obr^_KZG4@y#?{3=0o=wk$++lR85liRb!nvg}7+4XNS=Pl1l+COZ;2 zm@$*H->knsnXPxL@Yp4hNRDT)W{#&2F2p8J=Wa#j=m_gSD^Bc7Jt<`+A+`2z8Wk&8aZHxpZkdyi$+yR|Y2-N{E!AomeE+gGIVy?|W#xW& zxo9IRYDmMPnlCK0>|xKWlC6wh$dB9-YJ;<-rH=8E^DhJ6dY&UvF&hJkn)DF^pPHxu!ITZyj+zl%Pj(!+?GeG|+ z^xHv0^JS@8XLNrjPA|G+j(>}Q%2$nJEa~m4y*TD}5Yfv|@AAKXH7DPpuQEr!U%9_p z&PpNU`T2gMx_LY?CAlP;HaxvY$_L%f`t=!}~GdFs^u60F@hm zgF#tJ?SsRwPbFpQR|DtyD&hRLaZGO{Ic#Wb+EJZUQiDhZ9xm(Jj42DFtz&BwgT@%m zD+je=o4@s_hAEzGdDp1lgIaQbm}0YXQhu00!80@7{ZN@GH-wTQT;)h9>Cr>p@nz|A z-R}c-lgzin!yZg{E3q{Q*J-erQQ3a!E{SLYNek31Fsd~9Qzrb!2o4d_S2Ho1g&FQL zKtzdi8eA$jqo@cc_p|+eAsm* z4Zyzi$d40vM*n&Hf&M@x(knC%H_zh`-JPSgh~$Id0G-s-tU zN%21Up4vCa`WvDpaknS^l7D2y+YA%kE!&cy~bpQSP zX6GjXktZrEY>Ghc^sJhGjSJqW!qsxEb3Hf0zgg0tym4+(IDnoj5%#6wqnMrIE+fg- z^G@n%Uw2|I!}`qC&S4dztnq&+E01FYDzr{?*78X!9$FNhgE54UP-h=oJ#V$_tlREu z9XHRjItS2opj`~(!WcVoa~5IR?51!YmjbAh0l{x!;=QCecHu^W$Wq8WJC|`S_%%)S z%+519mUQX$PDaWwi-QogJ(Bf^9b$EUQ{9Gr$EMoP0g_wX^K}-6A_2s&X%u6FOa9T7 z8|Vz9oDezgHO3I4yp(0egCqY*g_R+>PSnF-k4p^EAT*cw@d11azV6trCJg5{Cf_~( z=BJvt7&dd=f$>C1a|**0Olg*tAw>SyB>78Z?pI>e_ut*h9}moej@^_+8h|u6xrX21M(ov1<-lZ>JE-9# z-Ip)VTIkhfr6S+iI_BgL&)sO8e+)FJ8lv5^ZtD<8Z+@e(Rf~R9J>|!U{^WyA(h(-1 zbdKilQFb$>aHp9xXao;|DYvGUGYgPIYy_l;SP_{i6eFUyzHER$aiwHwxMJ@b#;GK{CZbT%7Bmy@B-vRMNL&kOx&;b!Btfvl3?6{THD0!IogfM(R>>(hH8go{nB?%=p{C zel5QKBpp~PHi1#Sv+bcVxyqkM1MkPDwdS!|+n&*AY!!O*=wX#F%grEa1dhJzUPanX zV0-3ySBzf1vx>)Z|GGV@O+S|A-%~K0ZmQD)V??K9<&lCpz^E5_k(#VlhSNA$0>Pmt zIs*b7Bx2QGlkpveCr?VhJUK4=?RvIVo(~+V`b5 z%xG`A_>$^~y$ky`ek70=P0Z-pLp_sk_Zc(Sv})$BE$JcewNI|Z_bla!eT=g#8KTuO zb7$IZQNq?8>J7UcVB;+XoI`_;rSkUb&V_29H>(ZZhL~6c1|G%FW5kRuRd1tdeFgYa z9T-LP%}w3v?HNlmw_zncI_#X(fRCI}%&97gHcY*G%|KLiR7L zM&G_cM)dLK^VO`b`^bY+M})ocH~I=PSiyX$9(+eb=L4#|?kl1W%m!m7N|o5tHCT?} z;I5M_EKaC!Bt}8^2C;N+=6iSa`)DYoErS_{l(UA#ej#GKH}=nXc^R%?`I5rHge+Fs zGTh6)k0a$`pfkCF7=kCQ^y2l!ng}PP6w!iQ3#$YYy*XL5UkU@X1eao^J9K431EIQB zV~^gnfW!C>Nrgg>`-F_m7nTxkC84AqAuzxNyKbHF-qhfV^c zmDbxisNt5nhd>fSZ}M1%GTfw`TE1IpCQmU=OAUjQcGZ$PuY*xLQlds7n;f{^Okvv` zfs_POwB=y=hZe%mtH+P77@Fi5JUP6_J8|%sElYI#6973`f2t8g;`wNGl)o+8TYzxPd8I5z(cQzRwvGc#tPvKkGWyf3TiO0@8$GvEP|2F*Y-M*oq1OmoRX;M= zV$DhqC`wA4u>FgP(}yeBstUWE@vmMN-!ik^=V^V@30K}(iFKOwo#z|&hX3G72XgjL zqpwJ0M}ZY&VOaO^Q?3}SjH+Csn!ddl$%WBdWe&a@N%LM@n5wULcmC6Ck)!YSO<=Mz zCaIDw6pWD7^}ct!2EwqNn#pAACABbboPJ*f(8-KL?v)GV=4+@A9Xnt*wm7q3rE}eOrN0OIz zF63df%4Bi#ybX@@QOj&D<#40pEJ!z&8uu69%{t+-?a5{Q+`y`l>6jJ>b{WtxIm=F{ z5sMFr4vb7>h)Ou&@G#;G#>}~vu4gzDVLM~PHEPqT;w}xHdsP)!r z|8ziw%8K53(Kh(7c@8@8yjlIp8Bwz-K{N@`sSW^~hC?Km8k0f0ur)ShIx^kpX*nDn zsm?tOEpZS!~`TI`04o z1j^$JzJ#07AY(xn!xB(@krL9*I~y=GPmcSA_fNBT?jSq|iCKMJ+ub!81-PGi$tG-< zCb`lvs1eoh=e0rOV3KYE?H~Icl*Qf7BLTH^cJqNaz6q~*uqxG-!jZr0Db>BEGKh8L z6U;$kQ^vISYvJ7viLOnH<)=^I5>-c>r@0;e!Ea z7C=1rOQvBv*<|E}-JD6Mavt~w!{5#fXjA(ovlylbwpctWPU>?sin0Vs+@wYN*>0rz zu)dvzEDcTai zo{>`a$4?4B_2C_uBlqKi(PunsHOTdB4W6_X^LVKbkIu$M-7` z8xJPHbj`1V0zuAN@;!8+;7kJquRr8M*L=G1;|A|a3xJ|%#bcfeSWkkyLAxi^bNYVh zN!J|9`^M@%;&xX{?iJ0)oj5VZ-`=Thf~j|t|6-EQ(?YzbPBaoN${TuTz~}zS@75UZ z($x-=4I>rPy?Ia%g9l{Ua<%!1uS{NM#3A<_2VKs^2g(rUIs!tzs%{e zgLi{%^Y%uNR|e4`Y7^PlW)G|G5q<6t<81y|c%kvG5mehxBlauWTIIGaT34%Y5Z6;z zi~L_+0f-;}NvD2z$0G?0@%DnBZZH^dQuI|jw;cY~Mv0zYQIZe!e=#VMA>v-?>o*TY zS*4zQ{xX;My1*_mq^kKzA^|zRjhMg;rgbZ-Ox_C}EzI=3naWDche-_5rc!y*ZusBi ziz%v2n@o~-xo-5wI7Qz91&sLz{{bs6i*b*0-HO3r-H<-(@LYeegfJnul12r2$j&|B zb0*Ykr;`4TBQ>o{uk`Dk01kKMujt(5;omf>n+GkB-|jFf`Yq#9EWhFzVV_g&-2O!( zs62zZY`j|4v>GhN8rs=GLr<%6x_iq|CpgxhXb$2lRwd_WWcPIa$yI3JIL zC98E)2ki-ANNMN`J-Op-*G}1I>kUj9s3}nD+waew`^bPPP2YW{Ts4{zQxyq}_MmtI za-DE?d;g;(5qz}v@;d+qzvpV)p>JwKTaWH|85)GT<+SkGG@*ElW}9*&YFVJXl;2pt zQ?G_^b`4Lr2qN;W+Skn&%*o(@%n0CK^H-qEC)!9;d#3vdbF=1I)Nw2((N33wU`knU z?kNa74NXJ=yD1svpU&iD!R`YbpI(20#~qWx3db8BAFkT!&=0ci4@@V^w1uvJR(LU? zW8p>vpj97flNQa-HN4FAy?7<^zJ$4=^Fheb={Vao_>QqSjJ0)b2Kr7bQ*M_y)53NA zSOLLfFiy!Lah{8INUpLL@ab+Mv1-S|%tAD>OZgr3CU;3W-YMYykg<`CAr^T*);xgJ zdT1-n+A2c!#<*F_3&7_W6n9x$vI2yV{gaQ;WOmPBnnjRFf==Y-#N!4XyX(2+yw{6_ zpJ;E^B`3G&suFHC7@Ob1`jrw-Q2CxQRV3&ab?a%SFtrs)G(3YOWOije%DXc;tceTR z84JzV@#?!Vh|roiM#wRYOww)iQ2Wyv<-#RS>C-}ik;`=d^GbAm8fPP_#1 zm54~dA>pFnM2bwr4Sr5WfV)`Ld&pc5>nYpDUZ%;lcP8tmgJPhQ+D?jLEH zTeS4CntIpJhO4uCi7%fO6I@yw+qw?>OCsWIIQwd9b|_RArHXTNBvbr`SNR4WR)zV} z6vW@<_^Nf};|ne1fSh-THJNPS;Zw~1pM@67*%O3D?M;p+s_N@OSGPTiY0lL1;Loor z6k9p&emqi>>C~Qd7iV#}zE>VXAAmwAUZ_ z9y@71N?9au{zc>8;$_Y}_6v5Pxj^T9uXYUG_Pm`NUog_v zc=)w=!*li>Vi=FD+}Pj5lx$-r@CGQobz`O&+u^H(&ajC@hA%vld$kZoteyQURicLA z4TH%2?&})6<0C_cb`=%cEUwTDgM=^j-&Y4U9o{$_EH<7!*&7^4Xy2W#N9n_^UtJkJ zlxdH^ONz@u7hbEB6DOgGh9PQ0@k_(o6?FO1^2Mfc$~TYy){R$QB#?2}L+xTYeJLCf z)hVkLBwu8;T-Zd~ams&iVa)St)1Q9Jsy0R=I_JBo-O0X(PIyF10zfI7$oPznLd4X} z+Hq)Ro@FTtp;@2pEzu;Q-c;{E1+8sABqb0+hPLjXv%o8yZKyNBcDyE&HJluBV@o&g z1XPUY!3!a4wYP2`gTY zeRNVH(HHhgHbIIDApOB=f3|pnak!h`(7GS+Htc;XF`7~pN-*dOg7bA$cPyuZn!nEv z&{3>j4LTzm3Vv=QQt*1nX9Xx(Tz)#Ia@|FqvNAVMAv;_;mTz=-ZNZRfA_oDC<^8In zl1X$T&F~j^Mr-2?_|4h%*ZIUx)`wn!U*^>Kb^Fk0V*=)vjr7<|>f6mVI?2flN0oW%emaR9H6` ziKqa!=WtMYKb0djeuvAd^CG*rSKH;hh111u)AD^eE<^$mDYD0^E#Jzd+QP=UCXcx% z%wbIlJu8;;zv`z#`jz$0T5!5if_2+#CsBQEuMJ4T(d|D`yiC}6%e_Nfj_V<4=FtMy zyAa=86{`2czQNPdQfv8i$3xSyEuL(4D=lQM711Sl#}UfaOi+=k60mDVs|3ABqqQj* zW6v)+PZz<8vvG|b&prC;l{*hjK2q|?hPJ;%(5gaOgRa+URm_I^J*RJZB34>@w?msx zA{M&vMTfl}Qzfper_`zr8?2XGU#9eeC&!nYb0cHO4x#J`+EW1)zyz)G12)Axo z2;drAo?>w-FuC1O>-u?q$ahG{w8)$W-=w}I$4!_F%(9P4imElt|9aASHRz3eRVhzN zV`$dQlVQ`SFSdteSraM9HLKseVt-$D{RT3geQyRKRjD|!(0RYzrOeZ^m3#LtSC)pM zuH!QI#3CQussPC@@!xzaVtXw;X2X}qDI59NX{E zS;w=4E%1IO(4GD=lgaGRBZ{y4JZ1r0>hjUCvI7ke%eGY1UWB6@O!Z zu#ot--nZ8Mnq2t3ohr_xzq8;!hQK;ISx`J-gyjY~a@~}6Q=gj=a zC+zkFxm4E-r^kcLhZfln83oMx;;|cGlpW``NP4~b=K}8v-*wheio4LguIW%Z_iH{) z6Oq6Cxr5s_d#iOmxkH>^21}UB9<9*yQYCBtriMNFq%}$wtP(B6Gl7iHS`PJ>08ipS z6#N!H=_YcLal88MTt|csRMV>N^JpAw4{?K7-NbT#N1Nu~y!G;{M$+VgnD6K;I<{8@ z7Gb#xG-tHvCF;#QBQ|2l6$(BoFETYM#|m?^p||o{?^26QSA3d_xyFTSjwf6YAvnwd z)i)@V{Z#kalixAY9;Z(Cs+8`0*>8MAA}RHPci-iJXpkY3Y^gyOf^PF(ot}0)o=9h6 zah|WxcQh1g8i%xQ`f@Z?HN|EOlwL(yMIz;zk#4~Aa2=Kl_PYi^o-gv!ZEuASLbj$b zQ3l(tIltB?YY7?w#NkWi>`|sxMwMU%2abj|`{qA8xz8z@w$?AsWPjqb?MU7Qgj~ z2BV8&fsfT4^r3j4gQfq8@x3vS+UqnuizX5o;KeO3-lnm zd_8LC_Kot-0g>tRD45~|YQ|)n_hZi7_uF#>l7mW$heGC2!{K(~p>Stx%NP3)gkH7- zJ#WXc`UUS}Q?ZO9=k4^;H3i5?Gb@eflgdP%nsMS809`6;2bE~F!zZa`RyS=y27Mh} zLR!;7-;YqXVh5VoIhWG8*GC*F^ zwy$LD&lSq?HzPhzlh&DuWaFN>{_`=vU1@x!!++mODo!#8K7)#jzQvN|p$@oMZtc7f zA{MRu%yaj#<2652RXW6*_G4*KNF}gCdm-)V>h=5m(J$8hQ1Q53yo~U;Th3!Mek@s5 zjcfqSsqZBfJ2}U%^~yXd_u{qAj2e1h~~^SsR~hwC!^xsL-S)UMT8%`O8XYF`ie z-pYu|E8GJdk!Ya0`10g+0}fWn$5dmxH|86Tj>aBu%+r)~H&tZ%5^kiIbc!JW43dmX zEzG0nIK#=smnLsDB&0SS(L$1TY@xB$KMgk-o1NT*HfEfxqEU!8Ag5yYBY4VL$@2~j zwcetY_?4PiSB<=M8XqyQ$NeWX^F-_I@tKDk213e5TBu|%-J(78B|E%yu;F{^0h&Z6 zD5^cw4~2cKTAQY{vN8hpfpT`5B!4&)3ZUcqn{oibRB&&`MZZ7LJC&|Z>@;Rr`;C_w zhN89Sf#Iu*I_ueN^?N~gG#(`4Nqy^gJ$mY2Fx)5rjdVr6*ZDIS6@(gIVwLJM5j7FM zV1KmKa=7iqI_raq{w%KRH?0sG8Ctog`PJL40ZBgP`@ftzBa8-4k(E>oh`I4g=tD8Y z2s1MjpS+`9F@F>DmF$)yN%&_bAd|1$retKJ5<%4hmatFKN(!#rDLS)d@ITs;t|yUA z47Ur8lE%6YQ{xj4EUNR(Q{%v6mxIn1M#VZ??F*HggjB_b-)V4(b;VLn?7({&`c-12 zjb{QMK-7rqQaX{uDoP+c?SCQC6DUEEW}DaZ1@_wwJJT0Y3ww@W?$jV5b4+I*@OSRaPFY>Uo?CwGLZp$p#)nP0!TI~!Yg zkI0aoL0&ZaVY`2gb1EMMqw`86eJx)gpB9K@bpM(_+DzO6g1Zj*g-rIZf8!gf*~xAu z9s!m2RIgX_rLjEf$6j*yie3AVCcoZ|ufN`@6AZ?u`4ndpC7t0A#d?9xyi=cp-dw*% zn0pa7E!av+PR{~FZ$Q|OBZ7IYzo4S^>g!`qZU8pVJl8^(&A!^YRT#kRGEbVuRz8m7EKCF+ob6Zwa;#LhEi;1+Tq?BIAkz}sTHf@RWX+*f-#BYL)RoTVC%y)|C} zW4Zoqj&9`Rs=(tmjfK12mVEwrbaXV(95}SGUmzwa@(~^GJhJEilCmBL#PjBh5)0@w zg|&5TzQ{+)l)|NIFMR)3e+&cX5N>mdpjs6f2Ge4T`;|ON%O{EQ`tS5riV?}*Fo%v~ zN81zdI}!#+_xecS#d#WQny-lTaWyGC)(N{X;5AiLFDCMHM+cRe<`uKL!q{)UbJViqTY16Co7cr( z=?B+rRLi;zaDqLJ!nb!{{tj^78XSAH4ubzCeRVc|VZ2p{vk97Q=END^J1vXyrk`E9 zZ>5&B(`nd1GP^qNSvKrlrkwtyK06!&>U`ySQ`n~oY#L1{ ze!wk-q}v_}P&C|YowlIQ#Cf+OQ_}Bmclk`cu9Y0?3F-w_ymn>UHyID;196_ZCtdzB zj0*bkg!yfAw=s$kuiE{(6c6qvFT*E=DKwa1G6XK0sNJ*jtoq~)3g~{Y#RRHYGzpmu zY05~P_pF1GIvHCJT2lM&RAC)?`hU?02vzuZi}=zK$u`-d*qiHDI_2Tfa2NoSC!K54 zI%XsUDPPDw#%XRx0Y#w8ToJmFIV@_g}-ObS6$RW!$>Nh{yQ=jN@q3ME${lNb4g;Vs{u{B02^kqH4FBZZjqOxU2%MG@#xdL zy*}(h{NDAR>deQg?tJvk`Ts~zeVyZ^A<>Z#$H?u#uDnrZX)KWRKKDoDb1 z(@#xWbAoM^_cNhmzNa0bYw%ef#6j95=KZ-J&iX&+g!PO+t?}%)dwqJo(AImAX=fc! z{h6%Euv{!m)7jlz{iHLWM$=EPVLBQo2s7uVy5j0N{G{m@e17<1eL2%&O7rH(-Tbb@`}?O_SIAEf0=cSR(@N(&mAMxBC|(4(TRHMRS--*sk&0FZa6@b;kiS)x0vl?dJ~p@VX{TiG1el z)x}f^jGM(sj%9hW|0()&{JZfn>0;>&EK`J{&UH6Qi8VO^7sjFcsOj4@NSxy=QBM|cooI=wP zkX~%C*6!bM3@a}VucT1G1yf(K#lqV;mff84y%4MZjX<&RX;uPN zL<*Gx+amJAWC{zcQ*wm445{uUAki2Zd?WdDFlj5JB5U)lHU&?|t$&Cm^W_r{?o{YZ z{_l#X%;hUGj>pTCWs^^6Nb^y4^ZMxv@-@S(|vFBjPO1 zU_ti++aIm>Y-g_^RepD!NbXH*6Kng~(Vrl-di|{3n>41ukyS0c@U-Y-JNuRIV)su zovQ9W%2!m$gZ6VIK6Sz>XFk#7&1%tXYE~)SD72+nX_l58IXjJvFd(t>0fK06qqd+M z6RESvV$Edzs?YY{vN({x6p{!sqkbq>arZ&}({Rw^K(}(U=4SyR*O26@*WD|`4lRc; zPuqZ$0M~LB`D0)(3g=HzeNTboHWoD8Oq1vr)d&WB{wKTGyJ$E9FATpLGPo|YQYEbN zhM1Fmx{Q5+uKLmTtCyK%xux`Y1%k0B@F7n68|8!tU!`7~*JbrlUiFtK-SIA&sk||E zygx<%wE+MT>0fLRNZWH->Cmx0YdhS}1hELMQ(vlNCJ_u9`jfpsaGSn;<9sFa$eU`uV zrm|4)wzDy|qCsAP!upc`(SF*r*KwgfC2ey@mMfk0`|U0)YV;$SRdE>c&wgSr^8jUAVx+%+QcFpy&$#s%0G<%G5rkEPXxf(Dx+lUFeSv}ttu&zZATLM)n3hv8F!P_kH*E* zxQNa7_OVwV?O88L{Tt`T-Vt8GmiHNG7Lrgplb3&%ihvQ`+2`=OIPnB`jViQA9HH9^ zcc&v(qU8)0s>>Z#(a*Jw#YQo^5RUKc8~($EWkGm5CRN+-PGUyf3xhB8n*1>EeNL%bq7&3;Km>nB(S1vTG#@4+%q zw@ZqDr|g0C&b-xlPUd+Zq@2}&ST*%#fH0I)oxF^Tyqss_DRVU>iFr%w1$zgWxidL` z$ze+M^9wJANAs&Mjf_>tu`~S4r~yB68tJQW^*isOrD)T1>hlkU_@%7X2%_Ld+@J3^IO|k6>ItIxlU!``p-w$Z+4uE)Cvi^Hb36=g zf`-)gH?>&!S8LG~`oHR-<~^i_j#*X9(T3r^gDi!aR9E?8pYWgFZeHCC4iT9Q84Hb7 zFMHzO1dZu@@AjJ6I~I5UkehNO8jQ}qNU8E$(Rtlz1o9Q|QkO=bJ;!QHuTGCduXLmM<2%ZSOS^JbC7l zipDRwsr?*)y2~W(C|O>@g^{UK42 z(TEgqQvRwjsIT7YhHm&bPp~QO7t)HAWae{{Q52E&#)Q8Or7dj7IqU;cW(hMPxu_Ik zA|z|0S2R(t>P``(NWOu*Lu}CWbXDA<=K%Am#+m1~`$%2hEMK9Uo{b8iA8B*sNC5@o zsmY99*FO5iCd6E^CP=8zj?;&jikMdx%gPM5|lxl8}9b-sZHnmL{YD4Yl$HRS+& zUr+hrQs$Vs>g)H)!DyNUXHi(l79Cnx3Gcr-sDR z-$M2^x~HKM}D!ZP2O;10OTx->GSAZ#YT6cGNY z*`%zjnhO&hE9J4@6LgP+&9o2G;ZdV&%2G0Y=^Rgyoa8T>B}k&pUeP>~X!BWPE#AH`a}hF0#`=0LYA<9zE|sP2qGy z$-VH!(Vn1TY##6B*;ua?+-#$Ti7=93X~e6t2*s{+V$1{bN8?}`r|-wdiq!K$+x&TW z4&jQ9O7qR1@^kNvuC=5%ZxolBCL?#7$lDoX;utqoo(ZWw#szrA*3Wyz<`pX-=D}D} zlQ#yE0=>kaod@ID{4B0~LA1%{r|)?c2bGuV^`Gx;*Pa$+1ZA?XqcgLGl~Wzdn#8Fr z6?BMMQEFxvL&*Ga;`~>9Mz_xrxcZ-lLBg5hPS;8a@!B&)Y{-+RI66(X+CwM6UdQPB zPNgJ8Sl@LmjAcYj8vV+}#HP+yEtuT)Cfh@%pCEw}{nE!|n3BfWcBn$S*az`?Kq|R0 zE(@-i=!=3_OO81%xo-C;{#pO4pmvmbt|)5al*&m_>UP+4)Ordia6b5_erAFrr1sxc zC-`yP%f^amu{~`;Bl##-M%B!z>#~YT0WebqQ;(XCBt&BZod*V=c`SsKE5dBxZx_@A zzC9FMJSn{+{bun+;kc{)7*G#sjb?C}uft?t(c|0}k&2tV|LKTpn4VI_VKttB{BlO^ zpWO1Ul&AL<63ZD0)W^ov7^&_0=}wQkz(bC+I;LPbI!XbGd#Jjm{R_}ffMmh!*fH;Us#^p^WK66wV?QETTSfyg(N62y?p5WZXg}W? z=_sm&f!#;}7?1vUUX@o$gR_I93_(5(M#OrPW{4d%CR!xa#sV5n4^L3 z^%om{hS7hf8fKt54EBna#R{WUSR0H$v=s{d=YIi^ab-;bb@A%Er7xO{Pn+q*4#-|K z#-O7@j)FCqr%Rvs*W zdo46#&rA}k`SLgdEhvd`kXhArJrCPWuRBDqLKv6StjpH8R@Xx+=~Darby#AQ6Sed6 zo`5HxuK~7GYdG~Q^*?QoUL|pn;+MaMZ;fK$E^JzL=J&*(`z`LxL?g8oQn`-UFZ@pq zly0Rp5l?oA$<8@0{NHxhXzH)I4kz=@{JeHwzRk=)7`>;AY63v!TFukcqmBSn7rFIQ+Y%d6i1a`dlm!?fT1^M@H0ASRi6~~Zy!{4415PAFI zT*NcFIR9ZZ`^n1{Iuk<-M3}B zCgnGual1}G<&Ob`hB4_*^X9d=UVFub8-UxWTkeQdUdBY%+GpV!yNLXo8U}>*8Mzjt z$2HshRjvkVG-kPOQKU@(;%yGen~A886U{lda!utv#E_`ldXr|8JOuWNVEvGa>N z>U3<|>bR41Y}+;}=#FhW9ox2T+qU16|9AUcWQ@8<)fjcoslC^pYtCocvc5)JBy~o@ zcaidGZuiAEOMw3Jr?2=e=L&bFr%p`$hi}WiwL6j{M`jd+>w+$e9h6z?w{)gq-6To| z90K@LZ-7AeFwj$?-gusGFpT8A|09Zt+o+9%%|N95>MpkJ@Fne1^_DWS6l(jq8@5Nj zFmNa612AhgG#(Y%Pg7$v-V{=YXgZNG{K@2-DJekK-umjMhXLWXWSSce*9A>r$C!6! z7`>$XH1iIH>}t2=K<+6Enj@8MTyU3Cq}x4`^LdU<|FQza1iwP0Oa!LccEeW5ejkv5 zPj=cvSFuC|+Ri$-6!tQpP`bjS9L4Ut9xDDqD!Ej)vHH1740cHU?zJ>c?4{r;u zHgm4FV~5+I0w6%&Au7$*2_0ME>7IWmka^loUOrjVsLdq%w?%nGZn+F^ zc|A_v%3)c#=dR=m2vc@Hq-4B{n=$cyrtZEEz)BWss~AEq*kb`Mbq>NNr!91qS_8wp zL%GK(??dRPU9DM;YHfQ|(FLDvD!6!zT^tDHh%^^s-7f(crl_8Nu&upL4duNg5CVL4 zf+lVA>K02j;x<46w%dg|mn(&W>vD6Lyd3)wv&^y+<}^wTpC#B1!XfM%-BL}9{^?RQUa@k*L zKw7Te`sxSgaZzq57=9vKQq~2?URgvdnx6@oUKH9@@Ia+|7x;z5=>R4kWu2g%Ltd3I z-hLc;uaB)Z#8G z*qj9i^gYwgDQfzfdMZT3PX%%?zF+AUE=3talK!L@9O6Pn+pP~N=#g#j@oML+bQgFG zO%U0~N`Up2p;HS+v_gOyYS6MykPL#f{p|C?3~&_@OC3lC%euQhpV|0OqmxNjmOzMl z1~0_lLtvH`uYNZ0<3e}0rPJ)V0xDX6jKXQD>u1=B&EVyl#xdW zZB53Uk~!oO%hxrxgLLOWc!MVO!Rd%>DGjlWoD%xRO>mDWB~)rpz|uHpD|ub6{`Mm~ zmKA7q2Ftnu&n%=|OhExzwpLAu$EF`N8Sc*(f7t7Y=zU4u7!oR5+fD9^RubrMw!Udj z^JG@OmGw5vxinI1iW8*CLU!MwNhwX zNVl(UUZ5V;^LtJFF|=CyL57E6rq_K{>16c$b1q2YCHT8CXID{oemIygs$V?UUjCm~ zRykK&(L!Gd<>bB^zj#@wjYdMbWTw7yN%G{{U&&*`q55fiaP&Z@ZQ1TWG9H6SD(bh! zKWN8G5oRBdm0h5~=KPFY*BaE5N5V65^SQPNHRT^##okn8MKkcnq=(j-WO%pNyF;;M ziC_dufP7M7Sv^Gdm%O19@(oH(6zW=BRx>(YlFO$*3==#uIY65W`q})q|GWJc|4P zNW{K7s}O$%GuBx)zaj!Qa^VSu2Wq)E-ia41IDgpw4eyP@0Y z9hF@;N*o640!G4%dESc=*=Hube^BR3L@w~UMI6WuS#4nrrhgK!&^yB2DLQ6(bHtK_ z3JR?l?U?~b-TJ<+9bLdfRtKdOa}Bs&<)%P3yTbep@c|$1eMaD%aOlT~Z4Fobcnj1g zOwGIbT%Qp;H&g=(!-Qu_q2M?$ee205pv3a4!m}y@&VYJyj=*v_)mlZK0$6loe*k8G zSoJYGaUgJe>M@@RG%!Q=kRQB=#I@NdV}{@8Up2fhKh_h5F2*7~E0CAakGu6CE_?og z0J|c#5TJ@!g+>HKfCSm0{j*tq9b->PK(sPwoC_V!6h@+-)0cj)H*>#0XP@6 zPFMvhCj<*WkP3(_8@Gb$BbF4GO#4PdI6PVYtRZQ6undGamnLgKr1YPq66rqS#Tj zgjh&>5H5}(@Z}%1gv&&Cacq^}Ln6co8U7Sna|+0|p=?ioMSs4|JKCO(#6x}?c7{0f z*)AwiX(ikFPB{NmYk;6ihL7j;i-1en3C=yUdHY+a7&LH2?Yg{@8PV@{gajH`B{BcS zW2v2ObolIYRI4|;w;GhdH4-sP2wjnsVy{-mjOZ`~T!1dFUuF2Dgx!4jJgUNySv2

il|{{96k#$}{q>R&(ns-}H4i6&$lB%P%f<1apKF z76>6%7#HafrQ8ZNhN092$yOkLH-W-R6<`#Al&bi%_~yG%Qs#G8A~}`EL3MBCZPOkQ zG;)1jkqQ~iG&Uwsy;RHU1^T}ILukD^H#MB(!ez5ex+Ch&=QejL%i!q(UCU2+ZcjP` z*`%>y_;tqHp;GDUwtqv|7-kQxCVQkv_tffX6w;61!5S|B6CEP0Y!p^|z%_8-WNpQ_ z@B_6T)_gCgyV`Q2O!TdF<**R(x$gVkboW=zush7n{*K>xNXq!`VJnd@wovCu=-lgm z!+vP&Vi=Um7aQ2Gl~OsY+C0(vod3FA!Mq1{^Gb<+5ij9T%I(DYJD(e2=kLXMEI+>` zpl)eHu2yGyyh*to=1&-HgN!MM_a;S?k4WgIbN9U5#uHjPK(ys5P5l8@*AdOGT(@ zrLs0ZY!CX|fb)R`8g;kjEF&1=#!x5GY?nbjN_)Iz~u1W8Zh z(mi$JESE6|`<#$fa+fO}Y5n-lyNuijD1~ZRj(lg(F0-}gtA`}J8FK{S8=i%kx`A|N z0u#-f<72x>On9e0F$ts-kGkJ7Z1pYB3BH(NqD)^0MJTygn{F!kBjk4A;Y6t&4}5j8 zex@a31`aE1ScaHtqJ;qi(W1J&NvK+>v+3MzIuxUPX^y|> z{}($Jewp?o)k`q(Y!r}vJ7cNw+z>2GY`Dg~PkDu!leBVF6bcvL-Sv|jPBX$x$%bj5R<$D1y5;8t_EyT4xEU8)|b zoRfKELHORfN(~>Gqx=vlWVGj|D?@@t738`u0UPsTe2|zmtbmyRSuh68st{fqeM++Q~)NBK_V<{nCU76C3>d1zCfHvoW#Rx+qV;au$i4w3={B|j zYd)LC>LDIR=Z`^Xona!MR*lQ==D!7uw*ZS!GFw`~#y@CfK(TzAC5hx<*#eW+oU{om z*&;uB>|BaBH6R&jeUdWzIBOZ{?FftVs#jCo$8IcAs=_|UIB)m<$bkWHpF z2)DQ^i}a$|;5X&Pc?%xftI|P^7i?=$7j94xt!KRrW^sz|>c@Y{G~P@3lI{|w*Ooa1 zuX0KYUH08Yr~2>e!%dg{I4a&?qnU$YhTWEOY!YjRbI>nw1L+CYR&DPSX{n1leki};2Up}p`@i7I1!%Dy+5B32HTkMH_Me(yOyop{$gWzqkNJ0Un`W~^KM9f%?Z4u4l$tY;WIdNCIcKu$#OPH?-BufYiE zZig;W$}`1uQR1OJ8;NRWkyl(aiwOIp%^X8}*$L5%*~PBabbPLYiLG-9mro$aQl zM%xyhN&Dp~%@>vk7x=+tWNTr9-Ul6g@k1BmiF$7>5)%0{_Z?FxyUQp#qA&iqM4?oliEf-|7|D+pDu zpF)axGLP{vTYqyv;2WpJvzKWfL152t7(7_+WFYSeh3;{%`}8FswJ!@sm*XDaxa< zB9!Us?x%ODk*HKgF)qvDCL>;F4A>m^1@eO80^3v&_B+YVbP4g9dm9f)9!_g^X3Wf# z_pzlZ=_Q^9x%paI+m|ucia3bnR6;$m(;r^5E@ZZ*F;!D)STahsM_%!W@czFajjm@F z6zp9uSnA=ZScM1(mZ_xG7ZDI;b98^QQ)QV%b7S?_h9zJAvm?$@e53~U+9}E|J41OM z#`Z7hK+DtCSg6^nzaP7O1$&MpjQatRAD76Y-28q!nRk9S_{CX`0ohG9 zO}3xHMCVrG#bGj8b>XsNp9Zxizr|d)o_9=;Q|WJk=TUdnL*+OTXW0wuaERFgSXG;c0)Mwne&cAKhgz$~^F7dy>0D_r<@{_$=}7WP$vq;Kms*Do($x;o zbheyF&G~~fSlu_nrF3Q`#$L`_Dpu`BXq_?0$95%Bo&oU08D;|GP9;0^Ni8@rUWT$-Z!`Rf66kPe!6t@5;?=eWH5P_E|IhA?J&_zSLI=LNdou1av zbf0t7CGpll?3!j*zwF_+7~>1=^F-{-izUEpl%Y{h%#HJKLilc-^3AAysr3YB$EcMv zd8J$|*m9jAmv{b<$Rk;4Ke_*Ky%T%3#ai$FHA(4oY&3)g>Z%X$1rO-(iN0U>AsdC* zJ+*&4O@wK<{KYCYaaC<}EiSb>OmiE2zCM8FkISV?|55mA9HRNY;L?{tX&e`f94_pe zEUr0#oySBnY*|X3ZoTu%)KYfz)}HBsuH`hwRZgOfV^3qwQ>-r#XTd$*&Zt0&JA*+_ zD0FKi0ET&?)cEliKP2|3I38p zFK)dwfFy};3%`1~JDZOiPhTGXI&hniNzjz-$Q10EZg?+$!JzNN9J@M?+Y}ns!cMpG zdZqDU+tEMS57V)G`)+@`ezF{I#q_w&?mi6rQbuiq)050Q?veu0-|n;Ne*JVRIz3yH zo8~>}-tW|szQ*l!yPhw&M%+?PyYO!7>uIUnhm}Ojl=$Jo?;!N(UcFDXM~=M$4*4#e z&GP&uPvG8&pOHh;)=S={Ij_8`5xEU;w|ARGy>9J?uIzUDL5vJ1?*@(k0c(W^b0Jbz z4n+zL8?BaX%vwtK`0N}k>J_k#5j4>332NuA9&tRyKbshpq56UGosk!h&V$$;;mW<} zu<;xF^ijz70-Fu`BvoeB@cVj*&W68Pt6z5as!xGwYc72k;_kmwI5_~&d$*O04m@E2 zlg4KLx)wMyIg}Fg`oAS<68NVCoChNIQ&DRm?PonlnZh4S@vUgkH5*lMtx4-BR?F@{ z?4X4R2txvA&{(QQ2hfP*&iGj2KRT{@7b)%&bA-JaWJ)ics9>$pH^BF3v=PY+9jc|z zCsVg_$-4Vb@p)*a26OPE<%SmrFzq!x=zJt#X@C5?Rinx`1`IX0q&4}R0;i8}9>YN= zjxmHjg|Ckvck{;-{CxHh7OppcsltGLy40NV(!+FPddL~5qzj%}=Th!DU$P_FgC1P& zPxTOB8KJydk-F<*1++LQ<$xY0JOrbxk#YmsJ%pZNRUwmACn?1+FC}do&5W<_TU2h+I>+ay%?%ZzN&m@@=fP@K1vx`$yiey=;x)#OX!=^T`zs$=&)lh^~Uh0 z^Xu&Z|GS;GXt)ft^dcAfoVW9ulS&h?cAd39iVd>zOeSc-TuvR*GRf~1cqb*vE_)~4 zik3U>;am{O9tJ5de<8y*{p{_&QstBQ7}lE_Xg}pzb)v`q=c!OSByEmGJP=&fy#93_(u^+$y*ob}aw&0z-9j|$hJj7Nl!hSaVUwwH|RN#jNasPb%0~P zv$pSmok<&$y|1YHk|L*siJIV^;uJ+}?K1o9U)QWl=dlRY<3)?7J?l(4!Nuw|UyrUklL@J2k4{H) z_2xgD_42)OROg%}H8x7;o`fuNk8fsrf#m)E{`Y7&GN`O!g@Hl3U~>3MMAw8S_j8mq zS;inrhMnB5W?F=sY%*cVCesLQ2tztb4QittvW)Q38<0N(ZXiQo;u*jy0Bom5dGj$9 z=iBYf$+$Xey28V#Fiztvc}vcU?@uE(NR=KNHJTlIGgZnkQ_VJdzV=8@lL{w8fG`^% zr-I0w*{y$O4>ysp9ODel0>%rWjg?&6jxsaOa2{$c!I?M1L>(()E>YQ z*V`D|BkYXFcin{edT0{Vc`vR9aJ%HnEvoRD4Fp^!!R98wGVc1_&^`XQ=c6$BY?nRy z33u-Lj?hnCEpPY*c{jn%5KUVdjs$m8jg(+@cJ3WR4b|*=|MqZ3mb=WnkJxe!JU)Wq z-0=9d}u_-=tqi4$!^t90?7nkxY494EaejHetdFA5k#TwN1xMWFS=aqtI|Kh;c?*V zu7m%3lBeXga~*(0y2g!L(tW54@=Q~ zmAw54Po{a5lhxWAPSr3?Lid7T<^tfkAFS3s1^H_LSG@S9n5X0=kYZjNN2W4ORI@q! zh3}{EPG7bt*L#*?@oL?nA2k=Lr@f_uJJ*+~*X{-N+sUcMF$YoOr3!a|z8y5gvP&S{ z70~?mx^b&zZs40k7`|sP4qQU)#vqE(^g;Y_{Db_(8{^@@nUWYDVoIxy8K12 zz*DH4GvKfsIZSiwZ?`iIKVlgbblq8p#(OCOxP$15S1pKSk_aaLfIRKldVs5ABB$N4 z6TyADiw#9^zAFEulvDU5h_wcVdCM=T%_3Vy?RE)Grw+XC-YXV~WC>G*xdd-3`W33( z=$&HZ`{^Eyx`%RnM9oL<~io`p&qbvR%y_~S04!}56?y!)pN0$_3-GQYugcm(_ zndE&Xu{E;nTts;*V4>c^%0qn(FO9B`8IKf}dok=M85U=V+`KTq&B|peF^idmkm`@# zcsqC5OWk-ah@sep+c^E(e?w{Mz>-3|%;awoE9(}B1@nn-T^80zrLfWQnr6TLR)ci= zoAXsG-~%v>)CMtU3hU`_0}R`m7e^PMH=647d%4I&1c@&g3ksr3jDV(sbp2I_LFG@2+A!PDZ!^m}sKO!lXc)t?C};kSmRx0BaYFuCX6K|% z!F!heX$mUWzW}~t=HRl+#uZGla!>oIG>+~y&EqV#hiRnc^Af4m^2|LJiA1*g?@hV# zkQ}zPF@8+-GvpnHQoejLg=5KNVgQtd;G;P>lNhc{g^CPe0hZ@D_gwTc)aM=Sx_u1_ znz#GaVb*Hjs zmL8Rog~z-UCBN7^-=k`h{R&bR5+m=-s8mrWP3OcIh>Ly3Cm4Pdt4Blbg z)?TyCS#83L?%4NRtwJVrnaz24$yPKSX}rN$6ZCrA3a+PRYElZtV%U{40pWz5*z|Fp z#VdU=Oo;c*c^K${_Yk(Tpy9e)!f>SF%2$4yja6}Ei>0_k6F*pp#X9p@>{k3i78iZr z6XKdMQ+m@0m)zGAI`LoMkw&rHGTq}|+j4+4h){XDc4HzpOv3CJonb;X=GsRm_s)Ng z-r8Kulmxh$S2R2#O%4`mVw!4!#w~CLaXG+xB3)}#5BRGtM*$8(0)TvY%Yr{&wM5mU z8A^1`X_f4DGQLgG`Wtcah+nXI;UMgbG!&nEu_T9H2pTQB#DF30rO9+DfgQ#lUro(7N!h$aqZoh~v<>8ai3$EU2kyOmW$2PbfpVz+51a;*D z`H9T?9e-~SG+=GKN8jbNPHDUg%s`Z_?~_S%;n{`QLD1HOu_L3x>ng-81ssoal!9uO zB2T&3zR>+PK#(IgbRS3!B3A>(7c%vt+`Q`TwWiR7@0lI{|H&F0k)J*y>ZvQ|U)(xr z7^#AqxVAXX#G6nd@X}LY(zeAtGE|XYc!%?u`y2hQXk>k%Wm_DHiO4Ut9VegvhU)P? zgkG6k+4E6!Y zDa9j=?wpkS65AGUjaiDC$FYDp2~vV)0!~1ya$ZX&R)XbueO2{q_FGh z+_36SVWHoV*c^Gj`a^6Mc`QzGw2H%U_y%k|W1U`ZPwHL@@T_5FnfWj1w!hB1JmV4X z$~`PyWn{Hp<2?)NAx>}df(iTb(hkE<__O$%##xUB+v#ywsQU{b=QZ=KjG1!itU}P-WwT|5wsWFkoQ2VVwtfhO+)Q)R8O{EILy^?t3H_zaQY7bwP9*V80_lz9>O+ibQTIcY{XJfW0q&(dmEV2D5r`<#K%M4fl zOR!ZKhj*2gePuAooJGt0tef4H?{GujqCQe`WHCe1FGNyvt2YaRIzyIx@Wa9- z1|nH#Nt63gr0qFf>kfP-E{~|eeaM{1PTRFE$#9P0>0|>T^ z?tF*EBx0cUeroa}eBCl84Ve*zWzjW`mgva_?=>oj>d%8tWKh+IiuSk`6gxcRDE0Ti zrG1+G!E~_ULP~7tWJBNu$o!qL}`=@7F@CSvHu>sxavJ zJH2TU_@1FwAHixI)*j*Lrjl7Fzfpl~HloigV@DU_`XA7#=~EoLp?HS{{KY2pduh=X z?*`zAtJ`~9tg09Ux|P@tAGaYqFfZrePMUl7D+ks}rf^{z?>d{OweYPr2#w$FND$QFjP13r1G|vb z`WrX`?iJ@Pj66-ckdDV?D)Z(~q#lJb=8Sy-fP!Fy1cFayV^TBm<$Jf1wY3@XP>fQl zX1L|?Pb6~BN%v3-Y@7Q2Wg3@sYx8-*@^@VWnS)d%Wuy(Sdlmc4ad<;=-uCUQZZA4U zTFh<+KNbeDxn^T31S;~7|Aby?gp|C-P1jY$GF+ORBYO0b)+RK}iggO@Tx;LVfdfZh zZJ9h2UeoU``R;UkiqAU5s>81)ht!$wv~9VggWf|)4P?I&|%i z`b?EuRRaXEgy{|$G-fkhw+~!qTJ8(OSg~x_OQbsseC(wR#fHK)n|1aBifz>KLwt-$ zwhIMKi2t-^HK6d*BGY2=!Y|ve`&h!nw%`!_WZ~U!jw%t$wh0!^hP9nwU%u%lceq)dCFNpuMxy2W8i50FRr|D^8Ia zNFdKj?dY(M)cXcGAH9{y?70loPHd9#&Tm}WA;~dh{}5DP=Iq1uU_Z(74kk8P;eAJK zfuNTM1Rc_=0Zz6=CZAWS-W?!iEGq4P5})ACfdNTn9*i5By+8XYzKX7mk`6TVqn7C@U1~@e_0*rw&-*r*YM= zoq#bEv7bz_dj~mepeTCElkouRd<}ze9tLXwJZfW6^x2cYKFp0=X7O|f1D(_RJcZsK z&q)D29pu!F&)laR?_FG)>-OLI#Z)Gv5T}k$c8g(Qx^p%5<(S0fB9K(0rSBb>Bq0pr zb_FnB`mcJ@Xa{{*IRy@+gI=9Q(Li_y*|RJ#0CI4 zt=GfU2`U+aJ68jQ4W5YjT(h#)zF>EidkGrLf>)o@8%{!>I}dkjh(1xN=|+X+Tj6td z2x^Z;3VNrDRsBDctVck4&-W`fBY;WM2=J-hKl+eye8PQRJOCVQjZuO3=2@JgEF)Z1 zS^QsMOq5Rb7~9HVmLQ16CRZ%H+Flf2k)XXv>Wd1Q?%)kDDWy`3f%@0(4KVs;(M1=Y zY?e549-Msom(Fg>=P;p4y`BIbo5n4umg)+z`;Pa!G|CmS{=0is#REYV`4SfzSn6 z{WJEykB01x@$3?UY&{5ND()ydBzYDOcSlHW2C&g_Q)DP*j(l?JAa9E9()-zLR#LjQ zm>eY;WSHD*4IESRaf#MqToB$%HJ7`AI_jPFs|Bg@eS4ksepQp2K2(V`O>IJuj@@Ch zYr(ZyNk{9wvYN{#+jNVI&C_O2q~UGR!S49Ro9eu(18b}}tveY#sB62A6e(rk!f4fD zW*JH9N}YqIXJQpwIx1G-trlsy2eM>JWB5l^S5~i-lx#u(E?E2#Ye!W-g4u|c#7J?M zQ85odgo|@dUmj|?Xr;$`y=XITy$MV{)Ltv4n@Ai|EEtZ$zmK)~c!(Sw)(U*v4e|`G z@29qqcax>{jN5|7+JYW?#o?^#YS$)MknER<(q3T`o0op>E0Ctmw|Ui`bgT2iBG!Kwm=Lo%)jFD`JR@EfLSP&-{9ac$2OdFWBPv_kwk+?? z*1B{y@v2*Oi`@TKUUi=3#Y~o_`hO&wR7_x^U_lL&|-3Je5{ z^}t^W5adyXhlZkr+6JoVa~|WG(gd-x-h4GFWIVltXc;C_pio(Dt4+SWTe}=TD)q z6j$t9{r2{sXwj(}Tz(WC+?r!_fkf=bgTs2-?mij2Oa9Q;3BUdImv$4L1CM@>_KBOc z8=;7y3!rwY1Ad8XdeD^l->&(90a5tnsyfmC6G(e}TZ>3`y@4r6<4o{=P#wTvpbb>n z^_4%>+X3jZw$<6CzD1xrpvK=GHnL%}y7p?S@ngl-U0V~+!>~u5kL0=j6N~rl7jBkP zP?`=f->Mw(SKcK1uXbNnxCmeYouK_qv~~*t!{REF!ZI`jC0~emojlsj2DV` z4Tk;<8-icipAxQzf8d@ha;I#B+^{c2a7QK(X2aC3PNX7w`z;${%vhd6Vvz>#7n_pCiN0{C z{SF`zhYgW*$B}+al<81$k2amzrb&G#(}?^57HP>4O|#kkoo^E zWwEe?>a=r>?9gp`$avK~HJtLyqDK;1tqK@GMx-N?Q5qY9MMc)wMIwOzX?LrAmT%Kx*`k0;v?n^<)nYb5^VNF$kmf_)kP7@ z9RI`$-U6!YvU}VeRZb?4reTiyWJz{VSe+n=22@P}L%6fJcIEeLyb&rx82dw577Ap)A;_-+!<~P`4pr-GRyh|1U^j2Qwl+`Vqx+*e^?nhm~k?Ocm9UXheT$PF2yWUj*)l*%1Oa>7I7k_mH@W=DU2rL`W?! zqAZkQAP6{xYC4FcZ2pq~Q&w}NYVkXI9ul~W7je>S5Q_d?(5i5dn;v&>m+754MZi(9eC|*b}{@N(z2HIe20OQoR5c znL9|_6Gk{NLy}dXl0s61VSz(lMkj^-fdL*KMuABIau%^>zyU%dFTph5VxXKigU}#E zd%Paj4tQWXai_z-`+K-zO!93G-g({sEgg#Th@%z%W*0y*IT%#xO5{shJ>?RG9Ei9l zltBEdI?#m}2~9JpS|^Wdi|n@H?NL9hUd|Oqv0x|?bwr(dq&S38WMHwo!!)uTiB1xF zTb9hyoyTe~UFW3=lashdcs7MgESG_c!RB&Ko&xP|VSPl}x0;HZi0xSXdRJNa-sW(B}m~L|6Y{8H+g&Mo?JdQ~CjO3ExMxcgx)I8pR*{v2C z(>Q~!6D~SahKZlhkLS51LRA^>=oKiD`ij=qm~cYwZY%PiZ;V7#^iQoP#$m2z-ene< zr74uxii7Rp)o3~G>qzKTecvf5obh?3p&8n@&#R2pt1aUZarmgFk7f%^-=*rOeWCwl zT7onENVQiO<_{(qUSH!*Uaj6T-KZ(hdfTa~D-n2U@CNKF3_fSK~?G$LJh?OrI zVajdnUdz$8NcrV@1J_8n&$wl~KJfmg1+x$lOe6u_n3$SGEX-D<7ya;aROTKuPVXL; zKLl!kzz!MW5ecGSEZq~5ADM1;`^Wps8Bhef?7}dG)z#(Y^`aUN_u0b<`X>p`R*BgA zBF`&px)7(Gk_1`m2?l8js@n->0EI0z^a%}Q2*)}GPAC_oI}q7+vEvX;v?rc)RHm6l zS@_iMQMJk3e&SjbQ}si(Jy&O z`H+}Kr3DCRw!K{NTLF&31jK=HQk)d1M3cJ6WO<_AaWJ}Ha6|0HA93n z&)+>IzetzG9ho{hfIsjte3AJE#Jw&4i{gnaeU`jFo%tJ0ony%EJzZ?4wVD%AZ}?>H zaT)Ei*m@mlL`7xG-kGZmNt^p6QvvFRPt#CXs-epJS{1o|ZJS*g^kgcO zC8-aTEfZ>o-=;h|1$Z!d_z|-J z^I+_($Mc$i-8YQNn2=D0 z1S=R;BYC7e3^Z6jF>B2{;(QdrKQtaDcA)-$h+X7}kcdsugbHweVr;8<(TEL(y8}7! zYGI_s(1f0qJzxCA)}us!GM!I+p592R;^4Oo2|3`P{t(@PU=kA&xa?t2gJAS|3+bXf zrg;Ec#5j2P9$ric9tXDVFU$@~=%vLAX)Z&MAv)0m&fSucB+5hiL?t)x3ke|Y4h5p{qAP@+v|*thT9ms9+|1dq zTzKZix)9D``r+mM+{Tcd0%J=yh$(bCWWGBCzgs}tGf9CSuG`BhY*n$9knUJxPVB?@ z$bXsf4W{w5=U;c(s7k@gjJX!7VE(6R&=S5fZfS7Rdcck2%AtL&;{nYeVbryPY0nga zw@fjHR)=ZJS2b4F*`%E1v%Xx~nEHD@MlNw>?19HPQOhSWo_-p;|GNwd8O{V{T(-&e zG=_!MZqd|uuHpSREB50B8EthgM80%RMSJ^2+z)$V+kf>vUrSdwU8SQ82PeUl(^M!Y z>d39$x8*Ebv>X#coK@=ja$^p3WqD%fDqL>_`gaO3mSe5Ee)XJWLNFOXEZnfR9y>#- zRw0S{yagVdhQxMQ)V$wgX*8R*uRWbeSuJx8EcJ&Vs;>+bjK6Zf#e;}adDfXHn0Dg6 z)z4>~?R+iFw45Eftuq^^jxO#zxF&tmY|*P}yM5=vn{F7?b~Q;qW2!EhhdhOv92&-B zUs)B!V6(R+Pn|?GGWzm`5l%ux@Hc}o^~vwJ3KhZGWeq~BN*BK8)u4O&>hiv?^JP+g z;n=@vD(ZXN8U=V;bE^Bb0k7>?O53;?QapFr_3A%|HDL;{c7S8$gmt|`JDDM1;1nR> z4vvVk`%u4Peex4u1O*LJu;==xHj2g|kqA~dtX(g7cs|-RL|4G0U^9^l3e&c*LfZ*r zf}Un%)wcmOQ^NpVCYT`zwa4&4jOxG^)`0&Kb%q7m3>U?%dmFKYuZav#dq@%Yba{im z#UlBO5m10H`XIXfKvY&Q9Z_}Sj85S&GBT32+XTuY{7?!AG$F}$0&$w2MqY!iuh4@G z$}X#=#4oyEo(A&M?7L9G!|T2+5v`$U4EKfO*hhvnYNI1#Ss(w6$EudX^@&;rFv96* zU{7w9n@R%dix7xMK?1pdd|_tFYq987Zein4foh_50>CBhPfsE*lFMYM2DN=nX|B;p zwx@s)g;^xQll;1Sz-MMEyxJ+xgA)A3PmFJM%#7X^^e0!uS0Fb`a0p~cVQFhnc;Sn< z`;nmWUgYfy|MmkIxZ}44w+QZI8VrsJvJ0-Z^vj7c#u*Z!+rtA$+pMo?kNoZR}wB>EQb&qW8){=>eCHaEEvwF_{9jA z=$8Yr#wYdMYa9>P^kh-dhmv7A|INAT5R=Lf-gRBYn8mjeDgXOGR8Ae4pgnswTg!T% zR))(cT5o!5U?fOo#X6bePe&8c1~&EhtVA)5sFMby@tqhudM0*yftvXW<|OFLB+ZD-OJH6@%a8|TIoLW zqt$FgrL0OmA7mAIm0PB9agO_7v(nemJf`jS0&%jTVFQtU+Wdv!JYLi~vY{hoyD(#? zM5VmG?s{>>J*pm>;!<;|yfzcVWzR{{4Dv1#>9G;5dR6$FQOueS5}%{hj-f;=-)MQ< ztb$8#m>P*<4a0Gs9k>238;{Md;L_&GSefVdhTBm&+DuD>Dr*wM79BPXPV4~+C>+jY zE_p)|;j;Uc37-$GRQ4Cv0hNJ>jUK4D2t$}<6Y9j3QL5m%^#AR zjarw{SHsaqeM{#)AsS3LQje|1Gae*sXy?J&r!T9`El;r!#lO`alc{rlJIZ$+*^xR6 zf0$SM@D}}EQCEL|Gv@qMC49DPgILL(scoFN(ez8hwU%R{$Mh;D{Jsa`Wo3>Wv4%h^ zH5fsZ8e*s7L(|O0lOjAC*XSvQird=Wr^SBw7xnqzcYZtF${l3{;#+7ZJd{ z#sqN~3QUndv?8!*kW~S{w%6f{K@|A(Du#d14N;}2=(tKAHcV~!_^NJ`f|e0WFPJ;n(j%QHH!|8NLKqb*uf9oEe_aQ z5q^RKvC?FD?|!Qw8EwNweqC;n5Y8fgSQqwvq(F)qYgq)*!XZsh7Q{&r`nM|5HBR{u z^$hlV8*gVB5+Q<-N=QijjZLgYF?~i39-2xddT89sB@(#u6-`y_H-kR@iFej0#Y^0=I~niOiqcxV=NLlKCAP-S!f?&ZN9599a)&Q% z$M7H)tg`6t5}}<_^5YqJk;R+6XMTymJt-U(ksl3__4qVXHa~eBbjai^v{7p%-Y%Jk zrZtco^~0OkS-lsE+n^kunuk6MQ6>QMHA|)vtsrQGRcyxle>ET`w|~ z8*;oO&fjXn*X+kQ?Y+cLORZwM8h zTeAy19*)T5tkOEH$0~$+gl;hOwi+Xa?9V93e`U zybm^o_5zq{?}C-0g@OC%7^j6Kq5}Qx@+Ete9|yuLX@;e6#&wWRyMZRH@x$4w1Lt_V70_ugRwxuznR&REz zm;U}2`Y>hO%W3wM`S`@E`Eb;}t(r0ayb`6;dcj6i`;bQA<3Dp9fNQjMhTFE6*wJ6< zi_222i?!xGgKjKluOY#ihQPIl0^Q`D*rve{+1<)Z=^T(hnJiZs8Jz+{9>EY#9>E?b z#@}7Vvm4kHTe4SLkb3`SD15Sk4FtkrTr-ee29*fGk&XjzPh?_3(61(B_DCJ)Qe zAYq{j3X7@;z@wVhqr}1(V9=(P=6>UOiem)VYs_XU#ZYnp3bT^B0!?&BK*g*0b8)Pr zx*tJvWSBa6P^E586}7npt1}Z3?}K_jUre__xlPMD=nVU zzs~X>kn|XkSJzbUSl)B_!97q=2O8rbZU@m|`yqq~N_9-K0c?1?D^x;$SJ_i{p}R!F z)&^Z&7m3*n#pqR+Z9JD1zi~_lKYhOow)g|7qPW;8tH!NF_gyQ)O@|J^rftkO=I524 zH)|`GhwzXx^!ZFDa?>+*U&|)bo~|aOrUtOcX=GiQeo&(8M!ros%fJ7gS7c-Hy*RnE zx`fhH%wVX1xQ?LEb$uYSV~siSir>SvGt)qWH^mL~zPXV*=6E7MQQ~H!?clEO&ZgcF z*4lD>g(I{*w{YgaHL^c_o#mS6J-v4{&o}|D+&21Z!(Y*coOUW2?a<^bGpINevJH1| z$WtBc-DPMtCP#T>9oQ)R(+7f@tBPj{Ci28kU47?5mXwJP!lIwaS%wCU^sBRKBoIBI z*?kdPJ#2xuJV2G>2PMl2O|UA=d^2D4JGj)TpQ9xs17%n58u$=l9uvQO$}asz&*(#W zMfnfM)2gpvyR9FaoChhzG_xD`==fW`Wxx8nbz*u+TY1=7Y{q_<=SuZV7Oa;6Q{m{-Bc$Y!~8{w=NXhBAZmXrp;xrY{m}p-D}C z0Z8LWjkhk}Kh>oCt&F^bXQ^qH8eCE1IdHCOP<80%X&V3G@Tx)G(89(B9pO7ekaSqG z-n<|boICSrpYKP>(lu(c?j5?0GR+y70XN5X9NU-ZEt}0QBAyF<^(1^aE<@mmHY`Wl z2dD}cSgwsurooP(qO@bPi04D%tWh_orrx&ggTfl5H9!`}xO6fkkIGeA;NTO*}*el7^(rYAP3KZR&ufV8mW)QMIM9#{Gk?zbB$CX8v* z!ZrH136nCq)c92Pj4&zCGD)*UFvNkw34Zk0Y18t!C(xup$}I-c*o`qQ{9j>0-GGx}NpoI^Bqb1T zl*Eji^r*E3POF9+!F~NDKE@T!6s_3hM9DyA2JI;pdws7L595^q0PI(LrEQ_XkImH! znQ}%U#SoEYtwn>Jc&Z*8+;j*N=EOB7xc4pKTgaaU9iCuXa4q!0=B~q18AtS)omILV zYa~gQI`U)jm%L9&PQj6Ec}a$gM0wWw&o=vC$bT+WfxWx^q2LFLEDzWsL8S}W5L|D9 z?^#% zJxDXQ!y!2H;LhR+YA|~9Ek@Ur2zy-e>)3%&@|4Wax`v+9X4B!3MAzogCYyuNd;^q_ zW{CO-wr-wGx9DdIlwLde1B<# z=eDKJeju$N9|809s0#{u2u`-WAIrV6=9+nBgDBJT*g4(oGt3iIYo(Vh-tO7I$tQgb zxZtDNeXxoXhwTlV4z-RaATKZ9!aM3dM|Br_6HZEer7IV@dqcZ12P$}MM#)iTup%70 z{D+2Rmcp8lHUy7v=b-@4=sqg6NG7&QRi>^InLxeASKdG-MFDRX8z@}59-QR|U;oA=1c`G{U=OuEr3C9HR_QieGP$9U=Rmwkj-?Y2Jr{7q0Zct*%ZkNy+6Rp?6ztawdE1=>fOg`_QXL z*B|Dh8WjN#lXvSgmza5Em=iCGwWJn+-lBtYzInaIWdnL;=pW5jbe{+6pwzViu)1X2 zUv8KPQWN)t|D1>$&F*i#`uiGgToc|sk@>sdQDU)GyN;7Vj8T%;X?ks=1uL22V*EhD!~3x-68pPQKiFP%-}7whNv)h49p<~IH2ZT%QN z3Eg)qZGw!`WvKV%d6FfarEb%~&;zg1l3g0-hmRaH2KU!zl8Q&~PSx$ND^v7~CZ>!7 zw*3smQH&)C{@uhCLZ3qYQx8wAlp{7jBwoPf@N~58owZ(YS={BtQO*`+deq;x!M-|3 zly>`n?0=u(`g`i|igCiYBI$J^Vgqb#bxh6f{)#^T>cx&8f9$?fsx8=vc zn0K->pd#eyA4;9%1FZeTjY_Loo-(!AbN9mkb~6#c9t~( zO2gHVyex#Sc&0BnIo-PPPY4x5S0P>?1pHM5Hw!TWPrb8=5M*u^JQ`2Z8Ky-uV@OE* zIx0Z73G|zcy>#-fl5gOme61nGJTUB)jD?GL7@-EB{5RQ)2&#}YabOpmJ3<8E`i{m0 zw4^K0lAqB8Cz%7Hbo;85WN*Y3d&XlHlZZ^i#Dgea({PGwtMBd`ALGu+iv-p($~^RD zk({ZbgfT0$B2`6@_>F~zukz`}6|UbgjpvlMn`6;fSN0C9?Q^!+gd&tdPu}NCo#{aXTgzS z`%Uu}GAUu%H)zzS7qz!A(X@;98%L2MN_ZqYc*ORs<&EkSN>F0eQZ*|}=V_VF8y2v? zq^Wc6saf_+N#MGfmD|y`1|m=J5W|0JtQesz@?~=f_zG7sbLCWQL*MWt3&(0L0{H z>@&t!Z&QX;>rS(-=li8vpxb0nv#vL`uxQo5yM`NC*wvkwLuw1Ew#2cy&);|Iyw34l z$>49t^+lmUdG(2i)11i6qJ4O#SD5?WoC?nly&&o9pWg-NkD{7YZDQty6Xh8L%$JzT zbkZXeS2fmX`K5!vYnE*Hw(+a8Hs$(>M*B9WzS|ZDkzyXzk><^Fmi0Ucoo&ZT;ad5t z&HCxt28ZSvg@?K)FALi)xAetqccJtWHPu5m3$6GxxO;;I6jEMWmo6{5txM})g%IlOKiuP z;;bNC2wwjT)z{y}#0cMW#f=QGUUm|JKc=W5rw&{nhUF#TKY5!4?bA?dA0Sf9g%S#| z++i{mKBkymoIC;U!bNsvb9g4dBsPPB$Ycoxv>lzXa1>s3lkq*qH^Cq4YGEkhx~#NH z^O4zio?;`lIM+7X4YH)M6L|_boa>5VU}a@hr8XK2eGJX@Z+MZ2Px^pszac&M{a)a^aI2TrVArj`UeEI~U zIo2F6ES!s?2_uG+Fjs6=oP$UG_;&N(-gYz0z5D)-r2HEB=DHHam%oTQB-;O(>f z1n~oIpMjyxHpZoYk)Kb3t}*0gwaPq=x_n#|$IsP&aJP+`KInR?Q#&sKRBm0QT+j#{ z_07-Kf#t<2CQ}Nv2&BG!cfC|DsabMC&#`v%sr;ZE){-A__5M*)z+8T6dD3^=%=v4H z=GCC1&mZGb%xp?k*X}ZV=fih}D_(gXRs=9&mjiO{Vop@=3(3#6aLG?kPN;U{x(r1x z&^p@X)6jZzI`%bL*Jxza%GBk+2{CdRwOGu`N3?TeYoH{rsMP4sgA|1@oAu_vp^9 zm@(b!5n8`vcR=rs?6=>dD&+z9si#kATZYJ}mCDONgW|$wR6)KKW3}Q#)i$+pNxV)Y znNEzND8z5~k-Hfqzy4Ts@6)~LAhsp{{Nbj?^zF}E`rf~4Je^-DM)-P*3F%wZ1)G%0 ziZX}ungwhtI|ck%9!IRMt2r!x6}BI(tPqR(=tG?5FSlrypTwocZeDMf!xZJ)q=mM^#%I2zLKg=|hYPzJE*32>-kwCM<%%@il+K;k} zX7nU>7b8U8+h3H7EL^!~&*8LX;D2)TU8TCXod8#5qUIMETeBSS{#1{BFD7n@enQwn zyQU;%({&L^6RH;?Y49A}G(W^B*q1N)y8yu7Itd;C00=r4CcttVe-;Aov z-rG1zXzjT;BPy{U=(s(YDNNaY|2M_7K(^X5`Sw=>m8{9^v*`SB3Tq^_1?G!Vfvmj8 zUy47E9y?fYg2Fn2N?TTK)cHJgA2CCBLI~=mWoizSv0p4BMFpc&@o5%W(%)k;+qdc5iEgE1#kId2 z0NPm$Z%S%cMIqsTGRq~~etj7B)jo2)k4-WzEYAK|L(4z>-gr@~?f!>mqcObp3U-(s z7SfASjJ20KL2|`JeiI%2%QYm+D)VhTX6nrF`sDQ_XN`nuv4WdLi~diq_^Y&?Wc#l= z-{c_pppi1Lw?)`0IaXpAyinxC&^vwKK`ffloO4=hA^a%y6mB1Zz-z0TO>VLzN`dQ7 zpUvES{i2zsHs1cae9^CV<`Nw6v$Hp5+K%9S*oyks{ndoKkSc*n%u~s3z{K;Vs1&Yx z<7MZHtrGEOLjt%1ziZl;#q#ra2=cDFI@z|WLSnj6d0B3akdt5BlshW23D%}uX02z5 z$^6_8NCCWhNSgfaRo7Q1dQPY-{+5^D)7Eg70@-F`%yquQoa=n99xe&9C_;^~bw2zDx`W@tsi{!Hb6yBtJ8y&I3oH)@XjY6xhsACkWoQurZ7_Bhpy>#MG+OJlDO zk*VZb#50BmZ-fZh(XwlVaQ@P)K1NTdL}T9o5&rV{kl(V^{!&%dV+=HeN&R?M2j9eW z>U=e0VG$(oq#YmSc%k`>eq$u@J(AabOPFU7<65x*5DM*Ac&fUI9aw`Bhl3dQA2*id z3mNhU1!UIqPX;C2JLgGv-h{zECWu<3Ni5Y6c?UJ>Fhd%i6D=08BgTw2BJI27gj&r_ z*&$GyTwQEpRV04on<^Oq2az>DQX<8XlCz-*M&mdi-YN?lE6{D)v0@N-)0otC=gozh zbZdpScQ8f4{s|3)`b!%Gl4rMFP2CRIwfOU^7d9)o>V-);#9~El(w%oa)EGY4g;GX+ z7M&b2)zaW{ucLQ-CFk}&xmg?ftBVvQtc)I4-nbU1^{%a!@tl;76;+AIpbZzalbo0> zoA$sp+SVy)w21G0qjTQzi-c-W7k-}}f=1j`OncqGK3x)CoMqy*ju`TBsEc_jrf~(| zpFeHG?}ZjwTP8nKZOis4fT>W8^v{47ZWvt-NaGp^x$TDfky6%TRQ;o#lB~0yu7Wz;R1< zq~PnrMwTc@@mk}pW8*o1cNYKb$SSz*(8=yz$0#z}f6KW~qe(6}olQr-uw^4hnNpzx zJ5q8#qo#4Y${n~e*6P_0E6I;r3l@0 zP!J{{9h)bO3u+>JP005Mt29Hm`S#&FnWqAy$j(5AQ#st{ z;W%}0;#3i?rTtUO?3Ym_3c}R7glq@vWqllE7_z{<#cI$bndN-fdRRU^USR!4S*1D8 zXsTt5|EU4WPg15`=8HCvOmTwdf^v~zwdp7$*>)Q@ahjjZcqls(avb5xH$n;m<>!-e zlCpl6zS?cY1hc{Pw^(>s7;A*>@VRu7pfk?iWYsbl5-jEizw37rr}%5sekI{|^-;dn zbHRbe8|$y*VLtP1*W@FA@|4{O1u)?Dkq(1M z@XFsLPOe`wUS>?@6YVXXov|v8kWc-I0mWhZjjP=HH8Qt0oaft&ld04tD-~mxfKo0F z*}@Hrr+>gZ$OO}u#%UJBUO8C4^6PCCKMlVJQ?VaZx3!!sKJ%bF4ERKS|JQC)pPA^E zJEnYm;K}Q`vuMgC&$!MsF}r%T;Rg6PRh-eZdchY z+*~*Sd#l`T3%lwkmLHS+-F-Ky=r!5opP@tMf+tEmGI(i);Vc~d0eZsX;g{0-!`<j zS`}Hma!<4q$iWzJa~%6~t%>M3I9eJAQqnC`#E}krY~J59e(+CnYO0l5*p59JAK}kb zVoWDvVei%Q$MGR)=2I8!aU)+vCdic)=qd0JzduLYFJpqWv9(m#inqX7`0EIuP35es zs1Pn)r!s@;by&@JUx*_iYhDVB?EQwEcIhBo-U0^)6PsJC+(=}4%@7n-A|7t7E|o;I z&yO#^xU4P!DT}q;z47XHpOa4yr@qU4p1{rT%!A`LR|?5)Nxc`j5Jo^ol9n;J@dp~z}t z4UcK|x8<|*UDku4oHh%Hqv&K}HP@DKc=&D?Ej2C5AnqaYml!T_&&fBns~O(TJduYpt=_E5ReF3>{7;SVgi-7Q_!b45|2`ci% ziL4p^G7{o!lG4&&L}p9x6G)ia5|g{|Xy*fyptcqzHj=cT%_#z480!l( z`Favr#(5uZ5)(;!e#6WoT7#ruEh2()hk#h~)3DD1%bAgf3$gdMryz3nBiT^fsIK@}p#s z8b&Ybsq^{*OEJfPkJqIrHg&YL*5}#p{C%%3SBQ_jwX{Rn&m)uhg<|4HFq>aaE?J!K zM-BHX%E#&$a?sMM@Tll|6I9i#9Of>TiB*0kERKgnGU`cIby51RNV>)5U%V6^6 zb^IJ9)+D|*h(h-m$0Y|JX))I_Zp3DAu?)oR)sUJi$m(TrfbGCH>*-F+%q_N4w%5O3 zO7R+?st$g3dHA?%WIil$^hJzs+12uykh+|ynj02TFnokgrr;Ju$wIUA(30i;Fcb67 zZfLopJ%4e?XXLkU`=uWwi`oqDL*G)F4Mvo|4lv)?;;bkttq3+_;h9mM<=}7Bm_hP! z*!Xrfx%$}$G)j*)oxMD%GJGteFu~&g866)hq)j3CLrsDNkWGE!=KUuw<3o$DQlGnV z_SMbD7>|ul2-JX7ZU2hMe3=ViLXV!t*efe$p`eWzFgv4nO{F!(p31>8FtSp}<*r9_ z!dTg)k(%YA8Zk6t_&4C^B9CfPw0HmDQGUez!D&T>ar955Oyf{li{=oicmFu5-pA!O zwQ1xv+S}W-ul59Oc-il2U@)-+fI+W+?_n?d=s-{D=}(gt9Z5>d%8Dye;<~Ji9BMcF zW%RSH_J=NyUV1edkkG_@q1*hOMCsCadHM2EaA168rbpjuXu7)VrT@ust&_s0}55_8(nXkhEo`l;KRLP{|EfvG%`2i*P7?9ckl z3exO>1uahaAKmx!J7OVUB=WN^eO_8WD@U)2g#%5#|uDoN*o`KHVOMzA>la#hlc3j-Li%I-LmovoWwPfo^uo{wKZdA{FnqTrrk6%cTH7r@W^iGG={nlfvvRT|il*K;AjZ zPvlORh1Tqsd%#dCCXj4%<8;`UA}i|O7d9&(xiTxz%}Ua-!X7YB5K6c~&wOxdDYYko z`jv#e>Xynj#&i;q%rBV*uKw*Ka9jN7JWvQkdR3NMcK2Z^wE%tjlo%Z^3 zn0W`u*~DAcrKK-)yyBE>^U`}VRbrz`eDX3y9VtM=hiTsHdsF)wtqz6~fxeDqRS+Is zUS0WKT9p2#3asy)(ou5

jKT%PU4sXgMss4_Mal--z_B>DwBDLmcYW+f-QikN29I zq6UvHM>Xaz8*Uuajms!CsZ<}NIvNq9r@$(^QE!F8&bcF3{)qEwoW%#9{TcY{zUWx> z4;EAo`$(ITyJPn^iAd|%=H*y77_n=}_AG^loQ!cNQL)z|E@P!8OIizxH)z~nrW$8b zsz^RLrvWp$|3(L&Oiw*m%u+eYZ7Y5c?ac5awf&3^blPO=RSP6cAxs#oRdlz8b4=n) zy&^%w>x_n*`uo}K7!2BOVj6CQwCyMDV4nrsdX^#v<6P5HF=bz@G@e(rGonXaNv6N1 zy29hE&p!X~Y5WOvL8AwKpU{Z>0r$ww8|i@UWw~a+=5lY7vMUPJ=rY0(93f<$5_QNI z@!D6)@yk^Q*J?>cJ1eiz=#P{XV2ueVUAe%3+C$ok9(^XtA8q>>TKIDUctR&^qAWiA zE7JIBFC|G0L4maMZx*+n>nfOd{O!r@(8oY<~#20o} zqz=g)6o>z@P^j(jqkh?NXV&O&^r@C6AKR^n+Bj@oFs#Y5CuY0+k6)PabD# z3lat#wetLg*8HDeoSh$_*rVzxJ(X9wu86<%F)wBX5>WV2D@$x(#(&1U`z^Dw{6N@y zo-J9?OL;))dX=$b`tt>emp4Rzr@kNCJS$Y_($KY^)v=ji0ir5(`*B+(fy+-Br8k*d z`Bp0>R#vOQJ3@gMw&VRVp)f_Ow6+4 zTMx7{h2bN?+t$wIk3#bD+i$vPpG7a74vUR=Lkzt)lO6YH2~1BGk!-w$Ks8v!7vF44 z@%7EA<-m*nP?78^S*HF_$*4jWJId+W1KOt)UU+M_Gs}S@Osw)eXL?z|UXgVu(I;_g zgc1ucUJkGl1-@pNEs8nfr=58&G~ck%+q3zZK_NMo>*svrr8vYZ=l3*8v2B^6IHMlj zv-zerSGm~fvZ++|dZ=wSG=X)B`olhg%wk_=|D96hrS(yd>yMK{3UKN?d#Z&ZK^Hfz zunuoOl}Nj&mL9NyLr?t;q)9L5B*SHi&H`VA&~#|Sr071;_Ii?iHpmzgl;hSNszD4> zz3sfTX`LIl$%cH-=;MVF6XN1xV?PM|1c3m7WMqW@`;!7!Jks&!ON3&LGw8nr|M@=* z4Hs|>5c!w(KL-A%JdlMD_emyhjPK3FBC#X{!Pvz4TS$;!3tgYKa})87yXNQ z#)Ejm?0=Ih1Ywk>` zvoHR?$bk;fOL1)Fm!`RcXucy-O@Roo;*jwd4l}63Gn*_iTKI$f3}}IkwPN*pKS#s zn*VO|^vRPz^C!>#yNw30{`->ve1N0>dyV`Z>HnVb`_uolMjri+{GaV7Ykv>x@Qlv~ zR;X}Eb^9kz2yp&>kW9ag0k`ns$wyHkB^RW9PtX_Tu9?fK<|_0?>4jY9moIaIpNENy zg;QFTi-xmyZOF7+=zG>;qXTAKY?MwOgRdN0ohaJ)c?F4Iv{>z79`vm=? z=6Uz4Mf;&<(@b!^uiIaJ z`ue2cuXE(S325^X&CCUYNrep!vk|s_n}vmRp^=Nt?h*;tOu~X5*DS=u#NUY}9344# z_x7SwQ;UA$YAGuEHZ<_8udmZ6$5~if3NdtI2X|+O8rYt{uh&~NGBu?ql{B8wQ$Ls} zA`tCx#?$@jEeYXwzZ5kvF!-5$6;f7LN4T@I)7#s7+(32^8xw<*laupZB9d%0VwS$8 zvXZUH%E;K*RXg(XqnMb#a$rSqAzsb>zDFfkp0?qqV{wmK+2z5UDkZ5(vn<2?p9{qh zU#)W8_j+wla-nK@35kgmf`YoV;7d6y2=t4PFA8nf8!E91??*2TGCnn0@Fc02m>40O zQIG-zVnj9VRLJ>eAE{ao;yd9MJ9*G16133VxCw%Ep=)sCWd#9Qg9w6hGCRod`grA4L{82-P2-&M@|U3m%ryyQ zP=t^oLjqRglHlOnqWckl|N0VaG3H6iE_UF;pQuf*P9a4}YG}~LS9GQij}P}Z;|VOf zHC8iE8(rxs_>Y>-Ajt||r@yGxYGI@?v9ZQcH4&!YJZmV%5xLTd23;ZeHT_A?fDU05 zM#w6h5#Xs+Z$~L`yU(8U<43@K=@xq4H!mLXNU{T0+Tx{wSS*3-HntnAJ+W_rGeZ^4 zRrIOvt=s2pS`W--koF8N1*v3Gj0jL_Y?dOp7F{|)xh5O@rk*&1&!p*gi~y0Y5kldwhxo6w8d-{sy{;DB%M2k}Of1faT60h} zs%b$71!`yfdiktIgY9Q6t!@_0Wi5XSbInGB{lavAByOE@MV_`?to8EKty)E; z(~M%QT}Oi~b zb$HoRXS)_bM~#5H1kkS;5w6GkGC1Kw63QO$wggprzC6747@t$F-#9BiRv-HDDcQjN z{_t?2@nkdA#$dW!e{xn+7m~4)$oI?CC1-!83Uv1{vr|=MR*@|Rv5NA<*CUEt@5BwH z76=g92yopa3D~kncqt}RgG(vSwUPIOJJ>OX_wEKSw3UA5Cqd=2f_*KojH#3KrNM-) z>p})6od`=l-8y*Y#Ee~*D6_`TUMbxEAdIO$w(I1<6fXsIw!S>!cB~|e_T|4joKC*% ze8Y|Uyhp;|d!k1rvW02_gZfuF!Ta<4_O1R#jH$DRb@A1QyR+dEo5_!X)-#pH31huS zx^`{HlvtU|YSk9;oL@FYgEwo3D|d^`K0??IGCU-$_)dBDSW8QsvP7#EOj6x9lT9@c z(z5Lc1R~4x_L5s6i>SMwxi!pwh`Xl`ov8Iw6*X22$uHl|frj)I;Erax`L5>eCtnkH zV1fcW`x-n4Q#gCpOPa|0qLHi5wuXDU2z2+m0zWlUlV_~B>TE4jwenI+adfx7pAvC88p){pVSSGiil4tFK z-t^0=@olB)`ue@nMk`Q91S&7LtRFs8yeu_zTHY{kIQS(9WPVt;2A)GZ<~?dccG5GZ zumWK%ZG35G_BuhILnAl!hVpJHQGH7KG6!os$gg|_bJ?GV*Ng>6VbQhLF1i(2gT(q1 znQP<3siD_QB^a>!jaPG3wMuk4?gyL_EWM!kE*tOLxd_MzyarM5vOk<_F{sSzqNv6< ztLu4(?OTt>SUcxD_BZ=0&FXLS?ah_}q>oDM$mv99v96{U9u8v=QW!HuTA3dlYL^l?u^E(aR z?$lr$8^YhpE+yLXVg?@ZV*0A31-vnhFmBi5{MeacEp{$yn?>wX~)q6cnyHcuas~(;f;l%x^_}cD^lBnr!cDN4N*8 zz6<-Z_#zME9!&38HolpC6^J?0{7R!D0{Gxf2AoqzKW8!81y@Xd!r-# zbVZyHRg6{jz%X!V$?vMQ37i%5VXrDD&n~1!heo3C2tp5b|?~KSlWGCNSVwA5%A#t^E zakam$j$WKwpc(DaM@sle$86K~P^j{=x+e2y7OL{ag0g=Ct(}vD2)1)TTN7yzlJ;r$ z^6}7{36K1UrBqCNrzW;GyC2f(_C;sg@5+LNK)@4OzA0sL-3$J>VWWLIx%^oj?JYqq z$xWCs)lnU++Pw_j$P;Crsc2?jXTdB|p*)#)og? z$AwJsB>}$)B~w^OwCTJD&Di1p3!l`rKbcByTv1{nB+M2C8RluuTlO?QF8ZiHR^v8W zYE(EHJ};3gq)(e2|V3B)@5 zFHlB}hipRCkZS7esZLQd*|U6(x}zesIu;8b$G=GuVEGt^=S0O+lZ#(eGnM(Hg7g=y zZ8}%Ob#AEL3JRE1ylbIt8HGUG$o;ns?~2&z$~%mkQoh+YZNC~@PU?vgY^FE)JWz~? z&>v;a#92`0mnTYWx-c0KW3%ap^WXw%$q(Fx~zc*V~aj1LvpzH!Kl3$2piWhymnc_cG72N@+*{pILXZ|FFmldmRMJKUE>8(tUv3OC?i z_>>w28FsEa|H9X^Z(v{`C&wPJgL9^0OoC~WVH*!Gs*CS>BNUuLeohSNiA9994-@@=+Rqd zS>q1cSO1JKy^P#EXSE%1y2mgaQd*PjS1<;z$HrLrM;QCi{UVRntr;z>-sGh$Ny^vG z9B9rvhopsf)Ln1i$lgVScj!K_kX`U%tyV-9W(ACi8vPrX{|9|%AwF0ZMf%btQXI@? zOl`c7fn)2vW<|Z47tpzL4ZIK4mE(3>DH9}dJ?9!5J2^2-zv8ih-1e7@em4{WAB8YM z+eJM0$7Ln1BQQ^{>x6aEHY-Txc~ComE>XX2qSm7CoM)eBoW+~VIjaWTc330ApG4o` zEsucLPJ8h9AE4{AaXGGttjfDOgeu0HLv_}iG-MZgHEY|at4y&1FiBoj6tl69VrO4o zznBjHx{rbjTZU_})#X3r3xC6teisc1!~}vcwDd=bnDPVwIHU?gOsOuD$0JZ+FpVtBATk{{)KKaI81*>{7%U;7zOV>aB z==i7xJ6;Ku$Ov>da!d1IW)t`o%7=Be?I$-}m$s^>2Gg@84j|dlBb4p1ttxigRIF=F z|3NW-{gnTRLl@bfNGJ z+1Xjh83O*6u{(`-48wWtc;PxJ>CSO)a^<9lyjCCtzO&qOeY5o~8{n!dEp7v6dsAi3 zeue;^>;3hMn`$1;#KKSV-s%NO-~hTxjIPJYbGOTVBH5okeA1A=JRv($VAoNudt8~a z_qIr-#BTqm&x+Md<#Q~-yYd5BhJIB$yGm7_cK$aJ)^j!L9`D}0gLv{Q30yxtn=q#k zhwwV>x8ch1VJoEEtx$YpWMq^W*V_Ww?$1`E17P!Ke9yz`4&D60Ty1t~X=zc<*G1}x zg+}MKWK&?k8^`YC7up>@W`6(vWLV&qp|Ywf(&=?Dv(ikXGjm^GpRgz2vOR+#Iswy9 z7u565syAIWoBLJ6;Bz<0spQMrB`=cOtE1Y58Fhdi0UQTU!NZ5_Ejd8YE>nB7PhNLu zwRmu!o}FzJlu+DU12AUv_iv-rNZ_*Y0Ekk7-?}9&oxmVuV34&%x#yhk@v`R^^*4`! z+ZCFGIhjf*C?M7?Z#L9Zs$>XHIa9Re71fhFX#5* zyJ;U*s!H7UD|#X(4OdPP4GZR)z}#NMXZx(3WSeJCiR)S~wO^o6OOOPX)Ya8hdMq?< z*yw3&=Wx6%qX|B9t1 zAIGK!cmI--?jCgUAi*~P9!5Jrt#_ZHh=&pCpCGzFcdw=D48M3MXBQVUTp5$bYKXGB zD!lYK&;IFwjK?9rOF~~i(^2}z0=vMlGhbQ1siLAHtK+UxYr5*Nv+uo0plx3qtzP!0 zlb*&VvLI@wj9-DVKK?$)pw&1<*@`#;Ij>=R#I{Gwo%!#K=VdoF-O-R|Tp97T@ZIR-?Yse~O1oRS zR=LNs)n@vP)6>&po=#No>Brn*0#HZXhREviAeQSw_L{pY6!( z&T{whN=HdUnD1AGrzW#IH@jS>w+?-?A2>J)2-!ovvK-+G8&g-E8UrFni{(b{Yo;$gT8c;&AwWy_R530}gufb@MQ@Y;G9`lpY#`_`krRDJ6 zCm!SUH^+gIN5?$357BXiNQK!K;YJKpR{slX{7VjUFhuG6;KOOK>=A9sMTPi)HS__{ z&J67bmRbFr5}|-hCgKkLDmVIRczOGs8i7DcYHD>aL9ob0T@Dk}OhMKjwj{qggoBr^ zi_%lU$F8d;tAxI%I7w0H51k&$PY4J=VT4Da8^|*@{ABH1aWD@d(K=0a>{psZC7Ud0 zSk5mfu#Le}UEKKO>F8KZug{I@XI%n@PJ^<#62Lg-5b@y2H;J5GZD^W-V6g4>2x9a( zh=hgodIU4jVndlgVETKNNB*WSRLz?ZLWm81*94XgJ8X2MZYlh8&-o?QBKo zYh{`0$VDc|2mh9P*RcG(*2s-dnekk-2st7o1PwjVqDmjeEF@sI`$GXt%44+h**c@o zI=2@jqoj;BC5j!mOZif(vB&Kb=u8B*(|%g0(F@wco)E@bD_&BTmY0v-K+@p^*WB9y zL|aWtTAERAjgFNCR>Nu+h#jv+M^BG9$ORtGB_N{|1|Urqq;lD)MEFv5kZ{42B7ChO zwRXyk`|faFt_flLM^4L0Q9N;_f%;U9*^|Q%sk^faWWwZcVYa@CC+X;a#5we-;9D5S zzDBtv8r=F)+b@9r(K}8dixhvBi3=v}3!u#--55X9JzH?A)c3k&`>uHN`u)U3kZF3~ zlILxTSBy>a=t8&sJFkLa zxFlwb_*KI;9$qhlNJ% zx~I>BC_A6+3m_L16eJ0Fx`~S0whKuo-gBS=DzGZFtp0&PCU9Dh7()8nE)+eYrD)Ul zhZ0BhhS^#)LTKttAnpleL~$`gXScXxZWsZdTi5zG3&;SjT}*N^YJ9qMa5f+h15&w5 z>{dKM2KAlF^`McF(d##F5N9gCy~q7hE_ff6&}8}mF*%MtB<-WojNW2CUdpdZu%Wtk zg9EL=!?oc+Ixp95)b!>UUd&RdlzwV|jUW#D$CVuE$%BVko)k`d*G|qUE!-2kPmsI* zU%ya6EqlY2vc^Qe1_vGa_u)^C()%`~J^!4eZgEb1Gj5G?Ot$F9PLa2-*KPH>*OD`Y zBH4W;N$fd4S`ti~((+D7z=^)ZAv+-xQx1pwFZ~YiX^wqKU^(d3C>w{$!iG^UyuHxK zWd_bvP!p;U;)3$n`J|(%DEy=9x1zn*yUSMiu8_QyU&d>co)G zQK+&xL$viI)B>^}c9v>PBu|?Gg|*z6{1qFe;>;%Xd6IT<0{eWJ)nBOzAY+D=s#QGw zDzY>PE$vbKzo&dOig+7hQe4d*_UBw$AX$c z-5K7d#tA-c_oYNfiqABcAJc?T-vA1LO3YwKalEg7@fB!$d2;d~kD9HOS$MI|r zW~=++pK*=Z^92a`UtZ4H^l)#b`JN5&%Iz%gob;A`jMe|3iqiSLh?5>-n<`TAZZ>Ak z7Ti!$P3n;HLrOz*o>9wz?31WSldH({O>eE>-5+{bWbTpKA9=#WNg#`^hSw|}fT-Zv zeTo3rS+GKz>^~$PCFH9K1W8nHw+BZ+p=aFoo1YleE8p$Thi>48F0(PMYVzxh}`+e5}O*)otr)vQkfe(JD*9s)I+2Y zKbu(dk7>4-z}LB5WwFp~_wB2unl})xhNmYXMw8L!DyxYeM!+r$UNc@xrnCtKWCNNo6LJ+QKI#b6UW(OGKIAXB=h5^gY znW6kwrA6z1tZ35~?zXcd*Uf)NSl!l_#9HWU_SmRamxukCdm28ZYOXHf$2PyvtPMT1 zzk-5`Z0?flEj1%b^`Ny`fpr%`TyK9w>0Q^)?7AEz%D}m(B)m|01Isc$rXTC{^#0k; zu;rvY*`-nqSs3JsC16&F!x$=29x)A8}FXiTd&(x64tl1L`*3Uqz-493`;Fxz2`}g zcFvKPgFLc8v2J@Q=$ z&EPU3#_|e;MYiuLk083&!XRQ36&hHSA6s?X9?4uJ&=%O!h_&MuX7shR!Od=_bXo6^ zIzLGK+BfDF#?K8Bgz_RswkieH6}#ZYq4jXs3Wv(bwnvshzD$ooop=3>W8% zTWK=bRjtA=cet-V>CwYN#N0)2`!sL?HiaQP?&G$PpCrYlqCb&z&J@2blS+3InV1?) zJVh1v@XF({L8#6wOJfUJBjGu6-~Z&kh*>@uC;VvNn=I)t&rAP-^cGo&?L+_bA%P69 zKRZ&+m+E9O&K2jxgT)XUuR8#&Lv0 z(55wtgsINxZ&{GQbnplgNai6(q?()SzgL3}!N6WE2fvc_kj%KqY36KN>gGXbrZ4x^ z!~JbFOEwibPm=t2@%(sLLsd=qA#+N+dy4#cisA2kd9`LYMB zo41A}YnCkorwVFnX0DqNugGdxd6Jl`U{eT>WXD3VNyqt1*`j9??eAegCa+Lm%`-Bc z*O{&dA+Q1@Kq*2x21L=_xDE@&q{Y!TWq*l!mAO=qs*t57h2-x8o?k=8B#nK+=3zoWgNRLT2E1`@(dCp@;FG1Sr{HP17 z=QQYi(R8z!D$ltynR_smTXHtl4d9nS4Jw?$Txl(UB$l2X`%av!7!t;^&PW z&BeRH`anR2>C49U4A`767Nnq+PYnheARxca{qbrxyn8xmv72G!Jo91Q0Z#pE1}0bq zIo+>SjHDVR!$usDB1KOwoNVR#S{CB#?(+6d_EUH;`u2Qoy)q(OCZ$hSi^NL^bivR&b*SA-scv!*PyxFnL&!F8wo>0TrRN1^a{I5`jac%H+ zIQ}B6|Kyr8FyL*z>4u~bl$~Eu#7aO~q=(2fEtAR)w+M)^-=8B(OmH%e&^%?Wv&n+L znDiU;zcA=8e9wCC{i5|@PNk`}UiP&`k9$AeXXUAoj`$0;)+*wAd&N<9PB3Z(Yd*o0 zKk_~}TEbSPJQppV$o%@=IdGZR-u|WLLJ(cUlU0`-jL0WGQl_m; zP6qLH7@Q^3NlN|H((L64`E&TQ9#+swrn?QP(<{y_9Vmllbq)ro>&2DdgO6z^(K8t( zGp1|}V9I9yM0)+#t?*7J$>A>rMvOJ53QDthhh@sHATzKWH|TZ`uEJLzrw_&w5K zx6)47y{#N$y^E~<8`)C0PjbcTf(i!qP*0y_-Ga2n5Em-RjAt%r`fQNm9}lC+kJGQ8;rEgL4+P z6C22^m8Qq6cq-GyIZEy|6R4ny?Y2NLRPFurFZn@`ZTWal1XU}M@@lXMK1z2#O1j5L z=O;>X9FUBHGN5QqBlKI~D$(x!g?Mz53?!Xd3caBIz+E7Iri|p8Wqgi zc>(`~kUDS}N3Qs~_E8VrWzcMEOAL$h{%EmdjtF5v`%8*8H?C0B#6gTwQ^8k_UUq}1fB~?9eEeGH^2Yx6IpbC(&!cUYd(iE+@awy z_dJ71meR~iins2ep>G|4R z240s{-^8rt3@!>L2@r!^OBgUgr?qgdUG%Zn?7+Z&+x>;mug1g*(7wY~yLqI8Gn{rl z-yMItxLrZ`q@d7oj ztlkft7?3LrNlJ|p8FjAj$@o7~?Jr2U@C^sjls^E zDHG8+K6c9)dcfl!!dV&IeG$2Gc6EJZ^K~Jfe%?zIQ<9Zvps=<|D*_#Z&*i zb0zdzzc&#tNG2STKf%yD4&Ivy6f4wSpxKVB%jUxO-mXSb6&9AmW$^kzGEM;P9yn>7 z&@b7EAmwfQhj7@u^$6t}ci!h3PRNkIp6XaX}|Ku1(o+FR{km*pA;xD|>UaUpA__+rKwYZ;f@g{~C7yA5i>A`}+V#by?Ynm}}#l;yHD3 zJA3;LN=k`MRA5TEd4oIX^=7Y9!1GV9v{VE~_U4#xi{~v}u&#JtgKs}eJ^weU6&yh( znc#O|M}D;Ufs{HCgD>eM6Pn*&<}*R-Fhl!f>jpShKNrMp2Z+FkI*0P4-hd|vle~gO zIA3|uD~&1HY#G5Jx5_NK4*C5-B7qHIn%o6Uc>}qnHF3m(UUwDAL_YM89AnUL`=XK; zqT|L~(o; z#rECX2uYhO{A$k81{+)abOz3f{{-28<>g=6z6g{ZO1C|d3uY5arK^Wu#ST-n4!=G;cQc?JRGA+eo8lk{{ z8S;`(Z5haazWmHMKtKwQ0{M0{a-`9C_?3v-%qaP!deuIEyuB1snsl_<7@M8-6AP8L z?SDLMi_yOD!Z)uDNLWm^91=GCve#MHi< zWeV^_IB4n(Zs2Z7O`TUHRLQ2g>%zTLDE3qQTiX#)2wx$V1K?)&l8V)6cNb4}em>3YC>bvKl*wK> zC1kGd>({S_$6~inZ^`E0f37Zv+G_vgf?_d6t>PS$l@S)7^ooptQiEO_zKr ze0HDgdIJA`gg#e9a7jlgGH;32pLI(`JMGimzvj??KafPE)XjOv>GE1qF0JWdX&F6;D{*lrmz-l`ff&Y%qWNZQh9SQuU+Cuc<|HQ z{4_h2u;+!Xu2c1z;SRAh&H3TrWQ)VcRq5|q79|Lm1%ZVpD5ZUMs?PoWxQ z;>FH^seEvgbigQMtnAIa4>}oMdS&*|C!JGTq1)Ref4{&cH$4Qu<*IR1)pm@>i98*^ zO_{+W!N?d{@5#OpGFK0t#3ssSE#|Yb>A0z;Ww2)Dj@ zKgfH7&RakDYy9y&Rxaf)JFA7v#~!hIcu(;!opmm|E-bmzwNrqTVO+;I0b4{)uOIln zt=Iq#5vBzUxy@Y7Yo$PH*Dc$Xc7GSZOvmc^#{reTP-55)cOpo}NQ&^K@eo@%*q(~G z?(}Z)dPcD^dMqulO0MsNg)oLjiss2fAn15HZ{m71TqN3E)JG+T4Y%Omqu6IH$VX1# z=Gk9Y(_zc);TnxH6>R{LGa(^^iO-VD8m6(-Po?dJkKw4fjV#McOuR4t#LDgX>T1uT zmp$aYNL;GGR=vLx*ShN;bkR4YXmK8Vtp%k;)&~*46R?*iMdpyt{1ssVWM(<^6$S$l zE9uA_`eT`CQV_eiB@*NFApq%?%?}VvEcQ7fgmAe?uk}9W)H4CN_6>RQ@LyHP5rMcI z`sVR)vz5eYnb4|Ps!M@lm!;ugzIR=6oC;|E%X;Zj>=poywM+DwsWM$lcV3i#8tmBE znfa_OT5@J`w|oxi_5;uIYeua;{Ew*aR6K8J$?S)RdkV(g4EG+yl|q}d*cDb;>?DcZ z$WD4mKeiRNFIH3$2RAFQl$%b+J@?mYX?+En#bGJc<+~&`6SdNK`p2|u4iaQ0;VPCr z-O7uC{O8@uXIsp^sGthu{gPHg|3Sf0bw%3Fwmtu9R&qUFQ z;EXS{Ef+9uIi9<~OR*a#=-wX9=4Y1J=b9sM>Q&Dx#9JN7ETKS2i2nUsDc(Wt{JI_~S8B@5Au2)ZRBrZA~^GpzpsF<8F?~B#61Hv~@uP-T^EB2e8-sSOw zu)K?$^O0Qm^BRPs^c)bG4Tva@?XSL=^}F3^X`h2tOM3VGd`WdD(sfUT#M)GemM_dg znV=4zUr}B;z<&6Syomy5%?ib=zy54$1DKk7hlgt+G`~zKTsf>uxf*|zoNbCE0>PS& z)J!Uw%Z4liu<7N~k18$hd{(L+@u4OyE_?;XDRP2JgQ2fy%5+*`BV3FZ!&H*BJj#!Mz#X1=0c)d zk%i%%qWcA__V=5`&;qAoUB!qc{uYAAKXRyaB*KcN^r1!YrK;!GJ$s2w|P;1_oKTBxeERtUGj}zYY5N4<0p~gDZ zf^bsBQ?nMP9O3J@yE&K|xw>3TVfw**j8rC*_NqIaB%3IAscyP^)IzKpZa&xGxTn-n zo{&?l)6A0vZRgm6b^rPLe*Spvp;s!dPy^JG(L8=UcxHFphf)E)f-_^-xgNmZspn%$ zo#UckW@YkYZ+(*KT13XYKCKewtIt9*mHE`+`8XRQCw711`PIy-y;*L*TbhKJ)!Br( zSVR8nR3dr%y&!3Xe)HGV(zYu?!za^aSElg8M?@;!#4=xTp$~do8DVeQn&+VO@*`Xk8zD`mcTVYzO!C~wpwg0)*JMt{`o6cZd3L-H{{qbUt z)Ae6(_${w8S>m@*IDYpS=+y{zF`=mQzpR-HSQ%rb zrJ*bA9)8dcoNM94Q2ivEN3WRXMV+?ClL5B1#SE4p?+i8DK~xvj7*1 zPED?G4JWQi)P{F34@pW`T2o}`D24oaH6-i~r-WNAss-2T6m-v=1*{#S`?r&RA|^R5 z69cgxrFOuF=NW$f=FjFChsV;?Ao*dX9-m%oH1+ zzea?H3x!0UvE1k=2s5m%b%~#y3On2yJM% ze}BFHEqXq@50#UW?F?Tc3`!P3cCRRAw(P8#B~0TNqgfYT9g8_G=|8ibEyrVKo@Zq} zP^&L^1uF7a9r#(=!<9mxTAtTHI^ON%N5b5cgGH3|bY*@Hhr4H?(FTnvCBwhEeP{aM zaoR_hxv;wzQ6RbhHhViu2NWxqvi}!%1CzfFmgBMr0fZy>EqUQ!{SKuPHDvz_fDsvE zu_iVTIBY4vLz@M7t9qV=#31hP!R27hOMnVZ(*r09#V2GJInQMxx`(k9L4U_tq zKKF~cS4`eYe-qUogDmvFjX1l8%_TKV{%$g`>OmAz@m;r*oqyz3L8hlGl(21lWMt0~ z-oj5~qGqF&h_JOql?<{G8653eXx~varbj_*+WEz5W^FFU&hLzBZeiB-)&Dk%l>)|0Wxj-K0dX1QZMz5gXbvm4F*^AqT}1S)jd7wi8XjDm7kfGRKP4?B9BPsb=F3& zc02FCF5nwjUkX%o(~(x4-ft0Lk8=2#5Ec595n@IRX)SNl)f&qG7wf`GIT*psmeMDh zKuAMxUK0TD#f_IbL4Fg+oB}|k8)4(O8OZay;QX`*9ZY)KHI>RAPgTl)|8P~(Wh7s; z7zCIj-r*KW&oS@)&Nu$>B?us?q^#G2yXDeBf{-Th*iGa91pRhDRHP(_E4Do_X~Hh> z*gdf(<}_IYvwbKAlDDB@B+-7&&jTy$EH7BS#R5}Vf9m3lc}z+-YHCrCYsi|gbzcS} z6m8=5Y|Ke@-1Vt5=0-F1BMIX|bc9hK@>qHV)aJs56Yy47unMDMh}4L}2X}mmdZ?GT zyqA11Z+FW*T#3kTu3zFP@Zy`%E58lVs?eS$GTmCMQ8YLS1zj$~%YWsBDQVf+(LJ1x zfQuRPdjgp0Pp-4jAThpACGrbtY&t!e_d6u!_H1L4Sjm&{d_~&PT?`D4_f3(1_$qvW z@L0_l0vfHm)rey+!2*2ydlQ>3z?@F|Wbto4m%Q7_8i>W8+`EG*0eD7DKn>`)bY&SK z9HukZTwZtA`N9+g8PF`^dI_V3pY?Yt4%_GzOykc3!F_ytO1j1wQ*{E#5hYp17i(C7 z608xka_Mnqq$v239y#$hEO|uZ$0NG?jIXXn_p(&-g@b9tw_|<@XNK`+DVi8ju1)R* zE@M5;2cu3M^wga+!w+abO1_Ns{@6Trymf@tosM-VzBqOHhFK8P`)(AT#`$}R$Ck*Q z;jl#!N_yRD4ZY>HjHa24Te?Vplf+JkkDl21`eRbtc!%oK!IkF$YVxRetBHmu58-XsDW`%v^%|c3k92QQ?nnY3%HW-CAK&p4Uv&GE z{=lo{%hu}Gt-5Frr=i$Mv((pCKOn&>3q(L#-+tj(|N7XZ?RFpz%SOOOTvlnyuwmsj z*+g1WWuMkIp02>(%Bz7SR*GHZ4=qq0Z1Jl^pfa#_r6Uz9W7J{V{!5%A@jVFsBw+Ee z9`7yjYA6MLus^S^= zi*NYv*LKU!yW4a~v*+jhgRjO7_Htc;v_4F-(0O+tc@hKOtf8nFdpbA=(3Wa-E#K@} zX|Ab4TbUROh*qP7y~qR<5F9Kgw|^<;Dzsg=Yb||@+{g%b`zdH=@cNZo?8b|Fx@hP& zcRD*wp`Wp@v0sL@@=(jE<_ed{@J^vAf4xpP`}1hB+#|Z-`iLlY%fjY7dr#_qaTOI6 z)hG(LZ+qJ6fghP43A7!sz0OjX^njW!?C>crcuoDe@%LE@&N-f%`od<1vqoe7EVsOu zlY5)oWGS?^C&{#Kf z8@WoPDjj5^qibJA_}(u>%yLeWIs`$DdZY5Yc9`E5)7~^9 z^_o&J%+jK3b}+Ew$M=&Y#%!oCE6f2ajqOvh5IT(C(<&h3>1QQ1U#!XBSu=f1M-pzK zL>uEh#;@5a=f5;}u$ulWb^2%w2Bn?lNP#fIcYx+d_#Nj8@Cs;b&T}m| z#J-Zo6&8VI>@oogG0(t`j|qcID^8*o523vTLf|P@_a0N zw7sa$A(Iibn-*`r4yvD!4ln-E@9O2oQA^Ldy6_Ae){_+IOoRGX)_bb?8{5CH$blLq z!~4NC4XBF}_c@eJHYvA2JYg(1>%K>T$klWo$Xt>rN|$>MqiBdWW%f;^f*sj;d9txvgF^oNU>Vp<>oQesLJU^``UFduV)`uOi0A$wSa;ZAbjoM!n75saIW(-U>G8@$1P7$u|r+ovSX|qd@y~!X&Qs zj)G**@7I)zdJ>bQcP3^n41@c|E~m|($0@&IUD2#%f21Lm_*iAv43`J3=tf0&(tz~G+bBNy`XiZa!$H}HBfk2ad0WZ6UgWWLL-|CvTO#Jchj8@O& z;ga>|&s1v!t>OAPKe9XJb!;+HPu>?WT?tKNA~YP4krv-$TtwAZWk98nN- z73#_18k+YqL{4^WeuE*NpfCNcD1-S-eBtM~EvJ9Y!YAUtG! zy3uy@+T)@7%NVwEIiTFMK;+~B+I0DmNJE1+Zo7Ej=o2|*lUInk1;s)tQp$%hKGBO7 zKaQT9`Y^<(d>+9G1m zO!f@RV7{^&JvBJRa4bwCCH^c0Ei;)PkZ7U#cn-#kWabfocI(tae|9OkHj-Ns3jjcC zjEell>|{r}{-E6%v(@F=A~#xsJ*P=y;Iyr>itZDWXvMsBqT-z3NjVOi$Q=BSNm33^ zyCbE#LEqvu9H~vy0Jn)=%XGWckO&r0ynltSLL}YjX#<~l!x-mEW6u+MK=g(|r=xbi zh!6-kAP^{FuGtM9QCO=ZLgq$2!j3(PPVi}LtoKq!Ix;JQ@0=KV11-Azpwpvc&q4UA z@xEvSjHigoOpRbFaDw85YlmH*^|aEO9{&$toI##=Qo9MqWF~7;+w!n{*j~<9zAuhU zu6#AlAX{PRX`jzW(m1o#&m~O?!INTuQ(cm7R3BzL5m0HzN^f?Nd`DzfOYw#koV;_= zj!^5l$JGy|f7F(=pZFgS%fF^iLX-#sluo_8-1Pu%T++~ah<=ZC=RXZ0#CgE$2>$C` z0RNVx|6AjrevNFha*CR?lSy^c=7T(4ZK;|cDY4J34Ihzq{n^BaLQ(berxH5kyrCGG z93LMasQHMTQb9vphyLb=-BdUq=~&)-<*dG-)NG{&9oFB_JY{-6v z1EBWK>c(}E!xM;X#;N)y=!{Ycf@t3o_%1tnq>yRa!yLym5sNjv)Y-!rlmlDJNv)pOTg1vTN3GG97d4`l<>DrsOnmiY@TlPp8)m#6ui81 z*bxPad8?^QcR#El^s1#luk|QR^X#HL;77xIWvz?vBLKIoCKSq|PXM|)O64%eX}Kt| zndv^+NYq`;P1Ldbt!@}-dv|?u4Wu%yHC;D)>1{_ojzzmM?sH)G096l+0{c9gvrVq- zIvyut(mOwkTof`1Sq+}S>Q+$Zn(P9W>$fthpyfr!^7TZ(D-e`r55U*(%>ZDSW{y+w z3U375e)&IKy>(nv;kUP~f`G&z4KjdAcf-&Pl7e)XbPe6zEz;fH-Cfes-Q6i2@87HF!PL5&2mew%_Oj}Dt-o#o62ZRGefKA z$GbRUa5F@uEi@$LESu;F0fS7c!rzykR;A(%U_7vAX&9IvRJUyG9oOo!YP%zgqtiSn zARd{Qh>HaJr_*diukgW&=Zm`-f!L^$mh*OO0*`B*1(?Y5k?~J(w0lzF64Y}ExMIz+ zfiAOjKjXr@k~rxvk4DH~$X_KQKiz5>0&XV;k27B)*ifpyRv({tuTNKkINS>&r$nOz zxOT5a{W3O7nGU;2??tip)1WEy@y1#QEY>XG4&YHy5IC)Df4WS60Sg6!YE$V`!qzgn zfNDG5>nr9hUyh9UZ2f$>tB86Zr&W*snVaYA%{5BldnYJo4Zh(@FWGzLb=9lW9^cG; znQO4lCSL04jQouVrKk>Xi$d$q5(!wi~9wE=m<~#Ag_Kf z|Hc8azT1vpObg%ON0IiJ&sazm-#}o%p9I=7b9KEi(iuU%)rSuL%&?EiT#jWH?-O81 zfU2PV&v(+fJ}Iy3vl*|9)T@dUm3EI-Mbvrc^wSD++4W@F7#gZA2S0;<^qzO2SP`i= z+gvQQLqkK=aFFdjz`jP!F#H?cg;4C$GVWCG>o>?$7HV)^^Yt<2vPD zguJc-50iDD7qF+?dxdgOA*SkqR*7 z_@maG=bD#SDlgUD8dC%SHcdpKk6d<oFB=1=d;rnrwf0I`#XhemlbCY1R5qX%X8R zywvb;(rlr>7OK!Q&sVd!5`gYJ6|*PXkzjPC=S4s|`p%kczN`Xf7;YJW*}2>%^S{>< zAX7`|SyMgYa%5X5Lu?NE77{(4?m4tZzqOtj8CJfkPIapej{cD|L9oRTxEE-$rH#iM+bLy#2Y!VhHs+4X~nf z@bhKD$#eur@tZ1ZXo2r!+E)pXn0PR4xaQqO! z4A-(c^FELrfb8L5`sE3>L|=Nt84~EDl(NDdPZ%UU6`cvvJj*ft;g|nG78PcF{E6aq z_sd*rGC#aHzR;Od+3!?P4(BBH|F7OKq!UjkP%BWTwR2tbqy2g}ek=zw^4^eFG^tBw z4%LQ44NKMUep&wg$KiEf6sM<2L@;D)SYwbqd<2E|_AC^!gswo0E9rd7R%A|T-4fhp z9bj`ZV=PNy{ob_?1>9tZ=#zUX+`22eQUDU_qmLvv)gp}CcgTJo50Bquly=}C1By-n zaGY=darTt!+{K)o$Zd6#37@?M&eQ>!prd16Jdvx z;-Wz~DL!-O#m3HN7gS*-ss`gG5YL?T5VjuTyYx5ynF|RK#Z;>MOvwStzL1Y_F0&0* z<{G3OuyadW9iFS?r*X2G%&_BhukeRt zt`j1R>c;=2$LRm zz>B@2u;Z|z8o?(HT8-48O;=AYFL_Z!G4x>Z+m$77$$beXeHn&&>6N(f^xO5PlZu26 zzN{3W&;1dVmV<_B&Pm}z?!%Jp{brNAE9`JhU7V6zWzoAx`Z9h!HEt?DJuVkDt0kAb z8!iF~J1&Xq*|nAU5Jo#ePynJoZw5k^<7r7(>kF?JXC^V3$L4Vh%rVa?`!}za^w7?N`XcELBbod1vl}Z*Ve00T zcCX1p8LeHkAI-IR%OI3^m#Z|`eRJNqB_(Lz+wI@nWxH$y#_z7rUfP_W__(W7e;+24 z9C`e6s0e>}UY~!H$;gbdD|6ya!clreej69Z)^e8q6)Yp&S*pCV-rhEjbBK+jx1RLL z?ZV`P5@A@UJq~Ke_p(8ukrOI&Dq}AfeQUlCjPb}Yh`4)nSat7A&BaV0wbGhB+AOJk zLGnwb#J?81oXLE+H2xC1viKWr1GCV!NWB2gCCt3W{iSFHk>2~qcY6=(+k5WOQ&DGr7 z_iVA^`r(qlo|t_9m5*AbfZx`PS?ScY%V2f1Pdv`K0pX@`-?+f6@1;%K7ccsLJxq?k zq~W2+!)cQ!mZ>O1Op{anmByDZhY85QE8EM%Zz%wEhu@MuSq6}HA4Q0EXgD$NH4`z-lG`A4IIcMNFL?5*RgVMX6v^#h&^(irAV|jW`X~NHCUBGoHjq|8@ zk716GjR^y$o@~^ik}3} z*xbBkhHnV6hm~YF4LG=9N1Cz~>HpZzp$8V}+uAY%9H*j)CyUlbL2)sc%gVB+uv+H_ zf1}d zeBwM?3++7H7z*NG|4#wL6gT5ykd2+Au{&`e*d;OmGr_|t92lfjGcn>CjRgR z3!&iaHKufQc>Bl1=sW%hCd-S9!PW3Bnbq0{F*05`C z3N8InXTJ_M$T~*x>94F75j0f=94<6{~@<*};5Nt$GDiaE7>gs2p zf662g3?HpYIT?Ae?fRzo4k3pPBR-$PQXAgE`#H166DT8!=~sSzvZ$HF z-feHaBw6BM=gw9CVgEp{ygo)W^O=rU6oQ7a9{jQ|u7QlWF=Y$CnX0`r0I;9>y=mjj zMmuYhtc#56RR&$zs^GE~8dTJksh2(GPLBr0EKHh5+0#bv?)^Qr0u^7Le3$^8TZLs? zUmVRY+1~YY+;V*913*hO#b>$pOShpj6yL-KCQxef9BSt)pRWsXPrsAZ&_GTC?e6Yw zMt|ph%d>R7Pb@X(_sVu54_kG_P|BBFetVWzQ!_1nOUUg6CCT1rI=Z;9QBYJ=<+i(n z!V(pfl)zR3PP?x@m39Oy?XLN&ysM7cB@W?rhvashLY zTP8I9l?&12RT2M<%H@2JY`CFgG$7ME2pu|Z`bKl>T!M%(!iRxh?(Wxx(?&a2QM-+u|q{}LVAS+&93Mv1%Z`eG_d%QiAw zu<(_(tx58_E-E8J(@R!_26MRHm&Fesg9O(YD8S?WnYGngh+1t68g5j>kyPBy{b#vx0oQ*wS z49A%|cM4Ep8XFte`nQA|#`JY{zdWBudd`_bRT+<$n{j}xWEBSP=ZL3=8+FJLMMjUD ztgP1u3^GVpC)^oO_G8-)iuyPWF6Hj29Q;3Qz zlJ~w~PHjx()E_MuuY>ll$SQTItpjnTiNy z?s1O|xx&)XbabqCIpuTZB0Gs{i99^Yr>!7%P?CJ2qmQpIwB|4Lt2qut$D;mwUqfO< zrcfZKw{Gq1Q8yr>+@7Tb>3t@bfmS?!Vm3quhMRVSqIKx|gCt~eVZ@Y*Ox4e>xD4ii z;oo~H761}CSNcwH|5EziGpKJq}a||1)Q_8z^AhM0XcB>8Neoh8FrTo+Rgog zZ(s$Vfk4fC?k#VK6LP>8T%yMrNa%<+gd7yTN0103)(N%i{m{;pw8?4R)FmKN2O#~c z1ec`rr*paAG6RM>xv4f~ZgrfEjLgo~Ix|IGhizHB9hw4};LD7Q4u4+zRp-(ar@6sW z$M=&6YXC=2nN3BkuXP&?G9Wmp!Nm1EbYkN8hIKUauhJoL{Z7;w#hlN1UuljP9grH2&|XPE)&4A3x)EC%@+{-W~V@hJIj0#~TE z2OGJqSj(V&`&5K|e**2!E}69ZtL(2%7?`S~n=JW4P~`92)gnhrlg)YMuTpP&+-j=3 zETatz3^ok`#S7F{glCT-Pj|KT15#4O?h3e+l>5RxDl|ED4C&9bdRChu z-Lx6mj4lUk|C^-z|BZ*Aba=7s*3}1wgkzpiTl5ekN&a102sk4AaC;XEWA3M?#rXek z1O8tilM^m0OBhF)WqphuQ}@>#{e4$r<2Ok*rLBW1?yCe7HPOlLHuq_lAOFD$6gD%0 z@vWiFw4PNC#|zo&qvJl6+ygD16}p3jENp1Up` z$1uby9JiX&`peGVBaPhKCgTTSO!Jt z;QnlaA-F5!3wt!Y8~KI0vg<%0Q-_L-=}9r$D1v>6sv78bAw!ausmjs%U`tK<>Md}g zQf`e!mo89cYcNQc&|MreptPYTRaBV+c%to@-@re6Ndr5p302V6Qg45?yfZ`XC4;k7S3s>7$4?3?*MOl)k`v z$Q<@$%Hlwrx2A4T13+Fk5INQ2YLGfz`n&tRBbdb^v(?6cOR*zamhz#4B;@fE{9I|? z2%)AC8LifhnsZM7$~Eg<=Eyz0&+Rwn+K0E-%0CPA{&zLkgM^)!XnQ_y#yh?1#W`v+ za$*AGSytR^Rdc)Wp5nc#Re=_F+N?oBn0COrYxwT^#wHi$T^cgiM_yiPfMTG$aCW8x z-U%21nB9J8J3V({0K2VRwuSM@I$g9`ai_h0pD`D$_;uGd^78XN=jBVDyTwb7jGK3w zyUmOBR`r;3K>xkxva;YQu0@Qt>kS$nc%{cFPVtm$oM-Yqyu8I=kmCye|KLMt1iZpr zmd6+b=ZSklth5D|#=S?uiK5=uqw2?FKgHx&WdR*XAioOa-JkIFdduY^w^QNiJA;ka zt(*^Y2cF5Ope>wGuU3oqLFHP(a=RJS$=3AtY{%Cq0K-b=|FTO#bVO+N#bsqyBJ#Fe z6Y|5zHI6d}o_!#~5d(l(Xte7;2gIuDK*>KWl9K(;p&zVghU*WQ zW<(y3RoW{NMHJU91|~imE;wS&Y(iTXN-dhcY0TBzn{+h6MBH8OZXOhugOc^R=qm(f zbM=8WMWoxuK#)W>=&tM4jMN28pi$rbMd=5Ou|B<_IE#hv!0kf3uR12{y$s>%=f5Js zGjHJ;_kPq@hZSIJ#u#&aE=WR61tdrX9d#n`23q8#YB&bG6OgZMWG=39JZT>Jn5zlV zq31$B6g?j_dsh9dR%$>-OWdDU6XV8vt5fTd5R1;w)N>ndTezhNK8EIN({&}rgZe%v zH#^1RC~t1pO5d*B&8J4)-c2hk`pvc#bj=zX5OXkYY<}_hm>~HxqooB5bS3%$8CXn$ zVUot{>8XhbW@+_`obT>Kn#)09w#<2xHWjko|H!LSRFDy>oy`pAjJ34xRw8&@4`sFR zSHf)MY==bJRGN#0-%is{lup%QOQfvA zQp-}SH(!M4R(Z#O-Rk+q)6Ip*L8(^l=95{{*(vH^MPtqf1^Pw5z%v8wnDuUHP3pX^ z7$RC}TBjG^r`zJWI5T<15n(Qy0LV-!HY9B>w7s>4$RJ!S=9u_KKNXD-dD z7QyTqUR!@!Z8b<)i@GQEJLX#Iw4xG;!2@V>dYW~vx){;Zy%Bc0XLZi6eucX4RQR4q zMK;VdDs5-MkVefKLEUk7-SIn)ju>ghR`n0Jx>j41OLeQ`ZuMl&nK71G)(t+Z(|*lJ zoVDX=vqn+BMqDqU|*j74x52rX#=UPkR5pvx9( zykn~OU#|mc*!0w6p-3+>X?iC7bh{XunGfoEoOxzKl~RDpo?E^yDKxz(9x9o3e+yk zkQV_L(1SQybzs!LjxU=u3vk5L>vqFUGnD-I2!Qj$!E`Zk2=T^BFGjzFoR-rf|LP{>2Z4>!IG1N)v%EB*C-|T5g4Jn#J;o#VN{h=&8DgJP87WTQ{ID zeD!O0$Hx#y2~GzKn*Lwph?V!bg};c=^s2Gfy)|-JC_$9Lj0lFh%XS5z*%w{>B-h;a zn78M*?fs7Httjs2+4EnuDm}PK-|j`B`&MO{K0bPDQeZ_G=b_4Uk*8yC?nhFcZ)g&i zK=kzWe??)2CS0?*T{u&2^pon$1cA6NL_!hAIl12FhgyW6Ive9Su#xIqWWX+6&Pw0j zsNOl<<~_f&){$!^&l1i<0(m|NRRi<&6dn8d>2&L&j|DDcQi&Z)OB!e2YoYY=(+`Qi zVMb|+R#synfPvEF>AYTIeWktV=EdON^=eP|sc_53+Lp++F`i?rk)Dyqj$WmrL+yLN zduul7D_EeUAh0Rd8d(6#Zv6N2o&5f|t*dY>KTz|@XS&a}KAf1@pXf_ydNLlb@TlM= z;Bl$B5qWO}xVC1z3VaG$vvOFsZqGMc%L*aEk&*oXM4%{qjAdkQPDA!R?Z|%QI68=W zT;}bp(#(cpCdJE5DY5nWtpW?Ur0+W+g{ZYASCSvzWlmw^ZF=&>uW0np@~h>rW-ZFT zM!pf8#jio7Xxv|Kcy1cD|H(H9bPrEHtViMBzx!vOa5Ge@($-Ic zE_Syk-12lz$9TEu#q44SJD&C{XvpXW_E|NgnC>XjdS6SxH5FV`ASNJ0;{nty^&iOB zsW&GoT&(aXT#eSKvwxqx7m12GM!Sz8wr+6u zWyT#Amlsjkvy22MiH73*iuOoUepV68`PV(lwF0+Kz@9g=Ki>1LwH$@p_bh zkslrtdCoUcCFPC|O;*%i2r$qC@&ee9@$7`ZpfB2NhwN|7>|powkY#I^JJCGXU`onw zpaqg46q|z4^A@!P7p*dD5UG7Ken-#3ta4Su(YLAk<@GsS9i@gKeFsVq8T*R$TINWi z1H<2hTh6lXFCIw01xE^Km=ik{2OO5un&4P}c&|OI<@(oS3wyWEo7epZv~xmWfYNCqaFxIYA!tSyD zPw+^9sqLj!>hU*i-E0+Ku_&EE-OPB|g9e*zDB9KfpYEX`m% z-19n8f)n>XWnS6TOX@y_b^R74LFna_+*@Q6oGig`A^RtMP#eqIGgoUjtn$E% z=X=isf8Nm?dwX3g#Ay5NE7OAMdDqbPbN7~mR-3@BuqN>t@}~tD&x9o3-yvir3o60+ zE8L&qzHq`J`Nh_$ATI~RQV*UzmnpfOUOeN{8FskVDXt%rip)qg-xTmS%O3Qq?Ta>A zh~ra%K5T2iPEJh(Jhrer+9_b09;(ST)PryMno)fN^YoPn6EEqHmW1Uwt|H$lkxLqo zYixWaN`t7FRAs%^sawj1c8TqC@CqchzvYEO6sSVmAPV~H^;y0mK0TR8L`6eX?W#MW z5s(5J6kuLK?Pg$Ob)@sAamhWiBp0bz=^uce5IvBF{Q z%RgNXUabmzGiCFBR#eLl@lCF*?;cEu)yWL@3l6oa4k)}2#omRIzE1di>CB#hXTbzozi;ybb#LE3?Q%SaM+vS z#hYeDiO@>PG;D8|>o+`>L$q#HJ8a3V!X~JSp89kQ=+g6R=W-sISEdwdvDHRg=vP$_ zF&CCnFx~F|flB6DaI9LJuurWNZezMF)XmO1Y!A=pLrjqmQ-iezuUe~$-tG^2N_N35 zqhhh)+wpCz9idT&I7Qg_4-&PXbw>CTUG!Q(9$%>s*#75oF~?hTYHp0YD?P?|afT(S+-cKTHFSakq?LR&xXX2(zIyC$8(6Q5Z$ybhT zYk--ZN&7Tsh<5*d>*_1Dr#Kl0VKnFJ9ObAwd59Cx@zx_rP&w-EVc9}oT3jAaQo#N^ zAC`v})&r^$&xR+9|E{MbHr$?aLHkGC8JltpFeD>{A9PugdhXErf%+M^nhT$d+hdD3 z!;Ab@^cI0cqMGpf_z*Uhfj@DLw@7MmZd zk9V6y7tOThcdAhJT;nZgh)TrFZv<4*(IrhxOw7`iG*$tVX8Z89q5K@* zHovi|YN9mm=<{1{vT~q0uVj6e@SPCf_~Gh(QGE?A&||3Ibt`DgOVU!rC>i%p@u`_2 zJ6g%d#^1GBVa2#v;ko7iC|yuwDO+7R@NF|`%_;Q9lejF4(3_>Oqt#0X-Q#PaSuyq^ zuRa3JjEJ6)-@h65OXTq*+*>oyk=WT`6Wq9nu@BO-1ME8f5~_|H@+Zt~T+TTNmB|~p zGNXofFL!R>6>FfnFPP--&wgmG>NaVf9O${<-uv9XmLaI8PO01Ww`3SK&w!=+(zlRc zgy>14sbJ70_UNf5|KV|8yb5NKslDI?g|#gZG-IH0uGprM4Q)^4QB>qOk? zGSuQgVTZp^4)Flz((y~VJ~n4jZKGON!p@A=*QUI}>Vz@wT1*^*WgyBcMxy$G{*wXs zS1-F9^s&@xn9X$__%~lcBH;U9Z$~qr!gKVyrT4$SdXpd{z@RZPSS~?mG#dUU+K2uP zgz18K%{RrHIA`9~zyL9$^U3$Fbe3VGFbvv2Uup9W^SttE)*0;OJpf6AC@vl{* zW-RDM%1$DQQ`ptmPK-X+C|}oybAb}=cU+oJwiwphp0F@>KIAok=w{V(_1+v^+M&U| z{aBTEBO?(}%y)MrIS`ncR9P1tZiGSNhG$M-*Bfn3$6?$B(Gan8=M?f7%|4orN}J(F zEUh~4rhZ5i|4hU}S>GCrHAE%-WMM@pa;Y$yHrLl#H8>v)#YXZb}{;B&% z7ViV43?QOWGe&U)9N8`!7fHUMHqtdo0v5(Sv$pjcIH;waV#THzGT&_sHNMLZ?w_`4 z2b{M~l~s(a>o=-lJmsiixF@P%@bq-Ej+aqv8KvN=0F9ml_%a9svg7m&mv>>GgKq=A|n`q4L;P}bnamH;SXpta) z4O$JDgLkZ0&!qKEVZ}bL(w;K{Sz#@}cKGe#zIt)I-5zp0x`}(AOaw^%!S)^G?0e1n zzFp&!KjL@3N$bFFG%D_=Fx@>TpKi1L#+?9)9bzI^o?*ZyvA&m~LW^h>RW z>2s4CTL1k2{Cr4QX&!mYX9p2t{l^|<%ckuM;fv|OTZ`Q+ls<5H9#&l09<$x1dX%RJ zB7JY)Rg@&Tg+s>mDlTi1a6LaN+Hg=}K zmPj_*0h;41g)B41?MC}K{-1QZf!3>SE;QQ8;ceYWXZgj=nPUAEz7GE6d{3Qk@P8=9 z)y0x1&6q=gb&HJv-Jf6!Ucg@0Lk}!wSgHi-m)AGhCBa8Qi%L7ez9GRQ*>!eep@`WQ zq)BeThfU3ofYzhFF4kC+_tDGO7tupD>uzL0DeEbxr7E>jGanshsOY#Z!k#OZb#agy zV0X@8KxM&orNZ*gq@dS(WSwgPqF#cw zKUqH4ak4vV&@C7ot=@8J?Ft{>Zc_ngt}ZWz&;QR&Px4#VxqVzUF%HcXpSSLdtomjp z{buE5-L&-Py=&_P=lw%XI#3fhrpcb5=0uV^(*Ni6|9Mkr1H;E{j`5L!vK1|VeDbk= z=Nqf8oAyQkMI2hSpZEcjeE@{e z!3nzNX?wmmJA@dojLOq*P|79Q!YDSIo!^BaKCa)uBpRB1O z^7IyPF5bbH%BqS=-+u<@;G@(& z^uR8VwDF8eJ^oS<(Qv;NG1p?r&Q@v>QKV@J z7eO^7=-@IOy)@!IKwpgfDjphb%=P#8XQZ4cDN*eVC*mWFCX)`~pats6j?lBUYZXkF z4IO_zmn-A!%MCz1=pC=+GElfB1r7Vein#iGU9Z0*#EtlRaO!luIjn57-R)b7GE9{~ z$^`fo!jdt)SRjQF1_)vi875HK$S?pM%GWVh4gvzcP#|-KVF!c{C@Y4b-9&OA*EQ~@*n(uZj%yPB6OnliB=`}})TN`z?{ja*$U{#Wcl*Nhfxyex z$UHd>4Y2&;SP%z=H4sg*0aQyP@cMx)k+VO; zX9mSprav7S$vpQ>C>!=%^`nRbD8fvFHA$C#j9HdhCDeik4rN%x(v(>1xq$l(F*%Lv z^^i#92C`fhOoB-=J4?1+@0jnPexm!fOvBN~grxYtQxVm$%3993)#^?)=IH&eNqcPk zWP+D$Y<~dDjjveVO#k#O{RsLI*=T%w0S^ae&tXxGiLx!|_C z$$+T}>qq!BYb|9{Ndg@)5!vi3u zXaA9<%Er;r*;(!+9>WtNwe(!gTR@s5>#mq9Z1giXL%uo2*Qu7-o#$52<2LQBLky(L zahS2cCoDGgU4&W^f;F^1bu$uCowcmDQQI6?RwwQLeIT8-PylkLbw5GARdyS20z2$m z<>TT2oJvex=i_2Tc$+#K00d~|w^>}?#sugqa;;X|L?Hz!PL)K0fMO^d3jMfZ=gxiy zjOH-|0Sxa!pAr$QE9id$81ndrnytJpkstG6B_bAhk{4l*MtB!oo6ni#m&e8CN}pPC_v8TcgZ2+3+Je@)`>u2cizMrNB_(8 z&KyW(SOdg~#)prof&%2gD?N-lewMnDn1oj4*COeYkM`T-E?4^~;Mgw{p^Sy@jQnL3 zaXQLlx}ror(jbCjf5;=AkUnm6abw6vztddQl`6+vdRcQxaS%sErZP>Nt``W?{v=2b zO^7gc?rbMwaEb!8`&YK}R#}~Xg*+-TU2mRCw%rC3&>tx9<@UoOHJxBj=?1UFB^X*=D3C2l)JtM?SN3%%27p}^Ntjfj$`KWn%g zc;b>EFtM%9-X*pVV^xE|7?df}R1|ja|mRZAi`5OAl#qrJj8UP^7)JRp#MlNr%?QwCtPb zMQ@F1M}aX%he*#JU0YhFk;*?GMwZM+!mW?Pwp>sBZ5LoKjH@&U|vLq0vlCXhshbSOl8vlgF)TTw6#9DYGAa6-; zl;DGWu;ZpkYl_pN8C6PXUeRpER(fJVamBomuBTRGZ^3D#%-rMyL$3n1w z{Vt?kp{q#Ac+UqhTCD-5cyroPgKaxIONDV~8295x zbcO8$@6y8-r$W8Fm{!=47cx&N*e8iIH-+z^4^E*-)7Yw^0qOa2LvJ{#31l_0?E9T> z(P#f)>5{sA8jr872C0s|yvK)ix&ReT=8U+V;-^O^+VJ<98?CUG36hw8$p(L}jCNqy zZ?y8w$?o~1G{(FR_&khWV~z!n3`F06f+I#UW+EsJ)=Kyyg02l={{%ngT0_aQOGcyx z{6&9*l58>H;S|HY5zA<5*!cK-mFilge z?o$%GTpm%dy6B*wJ2^_C!AeI2(`@i&x$1m;Q>0*CGqhfVZ5dp0`{YagOEDqb)2*Jl zY4`mFo|Kxq5W!AKQSaTXUDp{QmF=%^lkbrN;c8~?V-Kzj4o*fXEETDn$DVZ5y@#ku zaPVjsE1{8Jes;+5UcG*9CFmLan6!7$2+LcqlWbQ^vm#V4LhqQY|GLd1S!UD3y&Vnq z-Wl@I=cC=~LXAV~;b%5oyc0xzWV6^rps2ASMh3q{{=@DF`?HE&v0=Z2`Jp-K zrB>!WgF3}3JtDkYJbzGi-|Do}UApHk7qzi;+_kOpWNum{mYSp{V_%wBR%*eoD4m^z zCn;#?QjMOLiqZJi2Zu=L^TgaqOUJtZS3&@R($>;J*zsYG;J!Hr(AZ939r`Oieip0? z_I$q?q_0p4cZ@^hL(EX@hw2HW)N4(Nco&8~kD}sci5*)JX}Q^I`K^=3wNMy&to4N2 zF=$Io3WBwC&*eGLXdV{d(+>tx#%m1=WB8h=sY#0ADneT7e{aw{o{X;J{w7)pZ}~9B z-&`VP_s6v3H|qmK!{_&|jwjuU?J9oavsa>3Lo#idl^@tOXHb@l9X9HAsn5xU|!;{HS1{ z@*kLYarmo@{I;@63@&}nPxtWy>TPe{@TiIl3n=`H7&rp5=w36|0d#ETL#NcG3f0*s zF~P4WXu+#cAc&}^bps^O-6_VBPDWm5#Pby3d$-ZMcP)^W{n%;czglJimg~aa{qGGO zfAUYSp{I?;b+Z_Wng!|al#WF&gh~g~3mQpZxpqaVl^4R)wYy!o1+`)*E{~YSYWsoW zT2GcU-dtlYqJMV?^vA0C@WT&lSFeIrSA0xIaa>LaQU29@Fr}46=PyFly|hK{?4vvy z9$Gw{6a}w9K8k(|b%$&ZM-&J96Tjk!F|m<=pTlkr#3#3|1e}H89kcZ1N?Ng$&afNt z(icbq(OWd)xrnag5lfk90!F$7KfX+@`l!hGnm{jA8l-Z>P;25Ve+T>Up& z*?D<=ax&&SjIpAGJffrnc@5UL-l&ATKQ9Ytsmh0O2(1j%gRfyg$p({S)~@4y33-vY zQq~FgvnFR*CufMEeVB*Sp*209>f@hVBmzy^J_b@^%fDz{m<|TUtC$D&vQ5OG(qGJk z)+OzqC8DPWTz;qb;zYdvSXeR_%FYY4#%d`WPQt$04TS#S<0I+mz7#Nw*f<-eGp(yY zG;^FHwpHO3Mcw!MI(^!9u=Hb#f|gzN$#LuyZoQza@SD8Z5P9k<%G0!{&hbR|7$JF~ zcc^{@rD*>Km}c=uU`!47qcOkhm2rF+YobZRRTz4Ke3|iSc(91{?MAoDR?U}?)>{f` zYPj~l+q|4Xh+6=?yqUt|3Y1sZBe+hWQcccemMy*E#4Fadn7p&E3!>X?1Y%Ix-dpVC z6kvl0&uWfp&Oii7_`EB$NE3x#|9>|9{OUR#q0gNE0wyLV8pzlsq}gGVH55X^d?oBK zlxPckVhXpNEF7?YPbc={q|f6e(jI*!n4PML>abnN)nEGd56923rvn6^o?@>y-nr^O z==W^*Z#F5%C}*QU!1gs8;9j6*&P-VO#97#HYuL={SD2_KE%3=Vyl2f)x=pHPyD5WOz3hhM@WZd z;OyMU{2;~YyfpoaHvRnbF>qOut`XMriA!5l)M5I>$9QAOqLdq_mE#8b{&;z>+ z6en4IwXR{4+B(QTmk+oa0?)NqlrPpo)-`$-rKSiDc z&)td?$K}=v8LYAR0*bR=6a>>8*7-vUq!zI^ba*xtHPOvnZd+v&chYIs9fvsoDByxF z-nMphLKDi#AbYEJ`)}{g-oa1mf93R)zSU`C0?V(fo|_Zt zS+oatBdt5`!h^woHZ!bBsw1>&Xor#b0KJEe7wZf!)zf4-&UTFJaLbLZj~c!dv^I6Pl+!^ zLeJ@Nw6`?`lp#I_U;eU8pg(aS&B<0GtUA`N`uRZ$T5-w%=<<~GOz&71mtv`vH=Z9( zt>$%+tmX}q>z=@W^oe?4;TIp$08xfl%|GR(A-@^(qv&2LFz)_Bty#$mf#;HtAL8#1 zAgXegcVCnkz%?76lU{dq)j*(Y5SGtof#Aw2DH-;_ zlZOWN!ot4=^co+5LP8^2TpTxfpNfbh|glX=L=Lu&Hevt?kmHhY}a<> zML-N1WAMLE@@%t973g~o1tMy>E1VM9qV1+{*HJ5 z-~6DS33%duuIoCh_g4aMCfYRpU{x2+J=8~qB@430x55ljA1bN!VR(FEBQU>(40>Aq z9g!nP^@>ll2K=eJc1g+ge%qZuawYk91#!U-+ zFjA-3wbxE|C@q7YAeR!<@NUIn()QZpG4F?$d=QtMaiM-gi>7+qAR0*O zWaOEv)z*P$uO`%ce?TU&82-*m=t z`5lmK$k0l#z6Ghpx8qG|HLQ-uM#{tmg>pWHsP8n(WbInHwzc$Dzntt7i1jCp8u`5m zdvmpVTj*qz(|;!w36;e94kiSzl`eAXh8LgS*uW!4IE~Y!;4ohEb7TpSpQ& zz*JsvQRL$F!r)O0Y&JXZYvai5wL&YkkssIeyPYTubOy#6`^5 z`OZwX3w4GmpGaHEQoVcd%%FbJCsNBq7%oH|(w?hl16DrRDUsOvj2vP;HdC2Is~JN$ z2^(8}Bd<`auondz!>VlPYN~Md75eFs?1NOw1lkXtRxO*vR^jN`VtRNf$HI+#1w)_Y zuVPRxBuk+P?5-INp3vNzJCI{Hb{V+}+`&8u|BS};dtJ}h`sJL!OcDEQVqx#2-d;IrMHklM+N|7DjIRP2{|_6^I!8Pn zCfEOzpnpRP@M`Ynag9WB^8}@q^!PEKa6x)sg5kdwK+1-;Ht1K83k~tnWBJ|82%kP4 zowKv-YQ2l;(|sA$+^h#8nL?TkB^iw$?x@n8e!(hEoUC{M?rg2|Y7q~r)ASgY5Q;sj zZhw(%lU@U{FDoi$;<~le$6|W!rIUJ?5HQnOUfrR(nkx1fcMy6UN0K7m4;LIT3yIdh?ug5{<>11nd zvgh&``AGg$Yzwl#BAI4xf*fhTbpf|V8_xQ73Qdq7;@99Qi!!bA4-8&45I4E!K#i4{ zTO#{n7b^S{f38O2a9jK%={*HR^QV#NZLFRkhXc^QZu1xU26S=ystj0 z^nZ2j{|4OV+n$CkPbs)5v$QQJZTh9As=eRX_cm8exKyKvMKAV-yDe-I#vwffk=s4e zFY7MeR!rBV!^$4F{A-l{zi}Su=Q~n0k32ZlZExD1*gwvGI`~usU-#ATPc2OSFMqD8 zNBJfUdzNb32;@YsGJY9GJsc3lMGq=T5RTcuPVB}lxiDW7ip-(rHj?TtyoSD8&@(u~ zI$mgobkCGMnB`F3^_tuW{HXEpTorBDFX7uKNwWE2bYiu`$E`wXCycIHi=Sx(0!@eb za?8X0P4oH2m)y%*mUup&_lH?dR9Hj^xmN3@X|qyLTcwJ7C-GVtPYcDHy3l*?e>Rq( z^~zGIK6aiu72EbaSYMh8cFr|Ope4cUiS@T%J#N*VhJnCsD0FLEBB|+hpO%28j2ptX zFzLf>!3neLWY^WqwTy%9?6XLsr0v4JksiSX{L6@a&m%{1k%J+X@QB<~5R=|~I{l8q zd_IoL&~HGfNZZQB7v61piHn2uMGn8b3NaZ+YE zAJV*JZ>6sjC_NqS1|4qq`iNWM<4u<*^9rSetjOLI-gng2D<1rFSJm2B&--Awv{UE`q4 z)bR!9jYde(#mKfh2gB&YIOYM-SD(lPL~!qD1SzB(CrawH^zo}Vn#tOn{8^HbPitJR=2%Yx+v?`_DFG9^qNbQ*Ud{@e@SIsQumYS;`RznVIsRS>Rs=yJFO z@{x2fr|uPMu9fHUy3jTrTKcd()l?H<-kT)U8^2n{>SfIroS7@U5-s;7LVICO?Nzly z*MY9+_b=GuZwG!O7#bXksO@)>G+3M2v!}yRwBjOGqTd~w-(wZA!fbuEWaN_gqab=jaBHh1Bhx8BGT`f`DY6?7?W<+A zg~2|zZA3Ai$2eBF8YM2->4WDV0@~6ShD0r%*g~J=LPdGkO1Z;8V>hT=;RHp`yGXb$ z7+kdQC#b$QB1=hpWnu%0KCEZe3l@a*lRCw_0?HYHGPF5*J0jvc6ZB>wMpSQnrksOE zIU~PY0w`ng`Vxm>lp1-n={se5%kIOE_o_|VA*b_5>Q@t4-({QK=X~X|5@D9Z#A;3cPCyS_J)Ygle;9#Bl~(uV+GiSm zT5yV2Zz3m+AVHbY*0&S_V47%f(2BV6P|xsPIOL@?#2*>Dp*7$)%YXc7iI@P!WLnoGFT!{;dZh!Wx%cG`iXSIZ8c%yc&+xg;S388mV!oS}OJ%5qyXTd|v z^38Xn%-`W)SYu&-P>INkxSbZo)k9(X)Da+4^YweZp$yAUbAjjGXv?#nX2H+1-0ZPB z4*12@^C3UPaBAv-tRq}-kCC222aeTv)Ub^cNO!WC87XdA?@o&;2O=jL;J2%?NQ_r= zz9MmDQGar;i^41e@b01aJQk};>i>lBa#7VpKG!Ab{P;K}82H;S^v4p5r)QYH%?0Vp zl8?N3kenMt0H*m@bqKr0TtU8A^UV(`SN*>S0*DSf)cYjTET;L-w2^IGAqB#40eY_p5~4*0z)PfhBiZL+yy~G6oI&A4HuM@^aUwL zrT*5yIB#C)RJ!K)d z?n?XmIiokk*4k3l6#5f((q@z-@DML(Qq-wu>uvYAX5_%dceROx(#m?=Z&kzTc@GJu z_cC)nop=QEjR+bg-xmC?OAYV~D+u#p|?eFSF!Z! zo1ZR4C))#72lp`+-bva?hJY^Q{#0u?g}XJ@p&<=Zv_`S4qJlzYL4CPObEOgA;E_Lx z_n2w*LwU+uMo-rl?@KPKQ{FSM>aK@ExSvkq^vZiefoPE@~%Vn>6)`6BbEV1zdNJDbM{-1kzJ{?0N>k!%^rR zib6%F?D&WPQtZBzYBMk!LgBt4O^H0&VLLIqyl{;YTl%mEgfX$aDcjBLNNkg ztf;6M3J6iZ=->-ah>s3Q$95dn|AwX~hlHFuw|$qu+;AB*D;W=U=5JQw*bK}3#Uu7g z-}pJHfSnrefEpi;Ot?^2 z$(`KX(fP7`vIs|hgYqknX2(k5N7hYzEQ4E{ZpWLqHwtWRyzNN^ipB%+E^Ek%8f$UR zAOX!?hdpX;8-dQp7|hbsO>xm<51hm5nV_?^AYx&oJpd_)14sdwy873_CS!obN1?i` z?HIXjz%!^`6!E0Rz^sszQNA4gs?vYogy-);>XY#F>g&jL7|4T@6XZ_?=9 zEpaZ-vWxO8@;bdEIXZtfX)iFB?JcX%wQ9%A4F`G-RFvS3Dy0PJUpkTwU7BgajCp%c zPe{Bf8p%DQdAt|wVhw(oi40HKxs8`0C=h!aGDFNrp207lV!mYc{>1$qRWf^lplduE zBe(umjJk*{Zg!5exkLZSLq%6DH0ZL7WK_JKZpjm~+nb2tTF-q|%49wgPbUA|+g~`= zjrY>?7w$bWvjP~(j3W9Zf4dM>WvlBeZP{?xO|`VjZvj!?vTi#sshSU&j4_x$CJ(XTj3JW3c*FzuJ~PtcbvmpItSxiXi8|yIu-aGNk2G9xwesZj4}L)^`=3+t zDUIp-km{eiwVxk~$vJL$s9NLQ7Wr_fuAvf17=6IJ9ygQGN`YTGGBq^BXH@j|s~AG{ z0f&e<(Jb9GtypOp3c+)3}fs+w`R?MFFa^aHw*ht>9{$xfVOf;Wh z>mrh*l2(sV{Ori|iCVSL&qP{1SvB~V*P5Tg2P4*k{|I^R_&dJp-%${vJC-XlxtukG z6~CeuD9UTY9z29SHVM+kZnW&us$e)Gaa8JAW!{s&_Kz;?9c{Us&d$Hhr{w+tXyVy97}a0c>yvPecxY&*D+NeYt-Q^9((X5j`VJPU zh8X^}0|B><3QG9y7*2f*k=NRHMX=Byev(Rbe~|qW%3cs2gj-5u+R`Yb?tMy zXWpSX_U(plId!R%37NWvfC0P-TTVufCqg9s-e}72d@`I`DnWwUQ~h|68*H%}>BY}+ zBi`KR%XUolIzODSq6-h#WcIf?u*^rEcB=#QCnMM{8)J=#NXqc$ZbCC8o1=+13O0@ zcHE7xv3japhE2mrMQshE@#O7LFQdqdZu^Lg09dqk%&NIOsw*fq( zR5(a7wU?&PCQ1HSn=G;`p;U-+h-GN_W<+FLV~0a`?)Er>ZU{@dCWy{qI0QoJ%s>-; z;$Vw-gz$2AJ@V?c97s~%RR#rt^iMQXDvrfHH{8F;9by#n$xGwt3 zW-xXL)1dR3vSgXtK1{r1=cLP9x38Dh3OHo>2bCR#sLPY)Lhii1j<)QV!hC zSE@+)5hTIEvuva*v~a-7jW{MC{jKUSf?hExAx34y5P^Qau5uz7==+0mu4=x$ieAea zt?RzqQ+|nN;n|I1j$Y+EZ^C${?``S!3QrT(QI{GWK1w=91;%|Bq4GJ=()U_9_NuTl z+$`4kI9^qPb#=7vQO{>ZbecatdU?XatifCCPRnb*{~qdfj5e*mI^ftS%xg`)s{2c1 z(gZzbNXu>bRN(U9P{!+^N6%WPj`T>P37=+|TX+1|Mx8YNZY?HG@{Y!&>%|fdSw~ER z+qpi?&Pj}L6tdaUUXQ%C(yGmIJJ#5{U0mMVnQ1E-NyCIAhwZYqTL1<84rr3A5wXK? z_kyGTRlC0YQ{8-ggCeD!GCH3X#O3zQk0tQl=mCS)F`L}YL7CJ)};`SXpY zv@~#h6$px}(17;IL$?q6UuSw|;5B6KMZ1lryWXPLFE{VUNPl26{?fKQ^1z?+oQSq7@?5~>tdiU@Lk@I&I_RTquck`tz zs(!)#bupkUv(Ay8KTN^u75yFtr*M7Ce(jwI)??`sy@vkmR}^pw-#cq8-!(15s5w-;fWpwNtc=pWP^X6Enx#e4uFN`caQrkmvR;;@d!vxfQ&pt? zOjXF%iw|2PtlL38z(P^1KnViaVAr4}4z)i>a_@h?~jN z@eENf{m7!TtFIvx@i$#e&bMkG|I|`LIiePC+j*bYtbn(mN&vuRVRw)gtoa z^Y29BBND1nbH!_YO}Y`%vm4K1CMwU8`;O3_qfXKfF8ojr3HLF9Ut(ip zUGL(Otvh2z(7^HDHAUCqCsQ1ciJWBA>a|wB581Etc;=DF=d6Ly`r%zSsu&1w%r|{_ zo1gC4&)#0#tBQKS(u{-IXE=HSg6aIl(QKzQg>II9=cb&Bt(FU%xdFj!jA({4^B$&@ zHXJ-pe04`}F`UA1tc!5l`0)7*j~b%6rToECW=+^c%OYuj2a%{&yd-i z9?oO6sd|`y@Y`2u%I^7vtzE}|1g^KPoxvegVp(3mIniIG% z*1UR5|q76qLcJZ*a;X4d!ET9t}oR-_1zj@%jKYY28NUXa2;iX>L_hQdw~&7 z^~K?2@?3=2q2@W7$Y+OjRe&^t;-s}L;e+v;#;JE_I6)R3@)uT$@+j_u68pI2(EKYD zVbEe|@4$sd)6N6fc|50Y-n=6PC#|S$><$i2P{Jl2gUv|<8opvl3Kb0!l8|Ux0<}S%`2d6H#r`0c?3UErW*TXN!|3Q} zCh+c$6SPa={hibIfrFi0T1$)S63kXaatd-7L+}_0cb_lx+XunFe3BJy%_}bM9S?^_PNddkH%sbGH*jlCyJntQQ{uJN`L(omhfE8t|)Y~xF; zFrg`+sV+pL@QskeGtELUP$R)%)@9O$S!Gs1v^^Zv^~~3t`>RUKFi0%me_MjJHEzH7 zL>%vrQ8e%*yH2Vv^Kd;U?v$nXe&BNMP>(oW%YF3hy-hd%V?NHM5GJm9 z1DGu))Es3f93i}(XWl?NpYrOlIThJAF8%7oR#h;zwh8cG8VXPfPn}K1rzfD`;G?T% zAV(5|B;$A8n`A&#(Eizm=_rS*r6v4<){KI5RZZExGL>MYGS8Afdgn*G=2_l;llPg6 zv3MLOjes@RdZxoHv5KLd^-TTvExf_j%dU0`ee2tg3$H){^3I9n=N+0c!EY{eMKx#e zL+-%UsRzH(P#;)1t+@dL?RJMwbd33W2zni@(`#E@hO4Tnc`HFT;g*@@$G~Wx!q&<# zFkbh%Mw~%-V>3veE^}J@9fukSp=P6G1+KO}h^LxOmj@->HWPfgzPH`byWt&ZX-3n( zdH}Dr*Lm^BXBqMv0F-VnHAE%JJW*F1i`$!AvX?8nJ$gr_#*m7ac%DlLkijK^GX~7u zo|uh1hB!tAB0lXjsDRaSz7r(T2CQc`hE%XP=i!<5Z4XxS{Gj}nQMp7g#KtRDfS2}&fhk=Wywr=3n(xOgJdybv@4H(q&9&VDM070fAg+^YcK~D#h0%(P z{?*-tmBqk5%aFTB8cL9+nTiof9B z$!!n^I)|{+yqnG8wh94fv88raKq7E{ez?H$vOqbq_iYUhAFo-|Ldm+$yuRK5_C@G# zXe^t+1`6_HwuxwIQ6aJ>z^HP{ z2Pq7qDWKL1ha2O@2~gv!4eS#vJW`|DtU`VsQMHV((Ry_C!q1c(F;-UZ7aM#i4H(yh zLVkl+PdiJ;B8B?S25-%csq67aJazimGzhcaOBeA%Mr%l*4ZJNAiDF*N8wi!Lx3!#h zBVWfKVMCD4ZARK)#KmcoT&!ZVLSTQKuGAqsO!N!fSxOZntIEk=j_(lwMbLS(ECXE1 zqE|PlYNn)3F*v7g;r1M8u9;FB-A`#ccmi zkN-DtI>(D!A>SHaP;a?~;ixa}u&5iiTx)~q3u|IcWo|>&U_xIiX|9%B- zuDf8x4V0L+L~1+Up==Lj!mZ}zR0yj6mZk6jlAwIFF+TX{4&bJ=qfr78z-6{G>X!h7 z&IaYoX<}lczGnh5ej?WHx+29&NBzk}MfHqEi*;8=ifF9q3@eN~Av=*G*10({TJ4@c zrT|#4tfjRERYoNX*x>I4xDVDin!#PQwOj21>jX)Ie)g{E&83WS7)D){sK=>y*f*{>KSwlaE}vv$>X=ad!!LW&Cw3K?+_yxDjcqhho+ zSyj?wjdktDA@4dOsBjabN~ir}P{aCm7c+)uaL`(Qu-SxC)c3>V=COMtw4%U*Gkok` zLRDX~$mnsKf6VW@*+PQ-V}NuW;yw0uI-vZwb%o0JUP8j~xYJ$oed;NE@1f$Ud>yFw z(|4}6JpnoZiEYNk)-jIDJJ8;^F{u|SA8`@v-`O^%YGe~7_EF_uD&K!y91u=iRr)Sv zr_7WbO{ANM?OCVr;?Z`1Hb!@;}>f1 zbaRzEqF3j8*Y~6W_jViB7V(>13NmiJ>p-l#^4#gKm;ZgA0N+*0;I|(U%h7~CF#7NH z^)$nJH&v3x0E^GQ)90|IL8-VMlWNnM_PcjAuF_}0uEF%Jey0E9VjG^PDpUz3J9pg@ z=P95`J&Rj$U>pkq!no{@R42Xqhf+=Dfobi7o|@}4lPT|`=?E$tQL~TQsLy$J!Mxk& znErI^FJHyP-ajaK$fX2S0nQdNCvBukn#!P2vQ8M^yC$npxhI+)c1tBxnVFaf`6!zd zbVk%{+!qt01=hTDK~P;dpOQ(+;^Lyz%7F2xhpaY&S0K=aL*ao|(AYhs^M-$^Z57M8 ztybjX%F1K_dMNi=ritnw(M=Y1F8_sxQAN}NX_>lxss0OE#7zP@>It zRc>-OJBJB~Bqwl7piA?ks!zbu<04F?Sz&IjUi|g}exM0Z+*sDU#OA17&8Utw5y;xu zv2US-s+bbnw>dU*=TQbUFhL}|I1$I{FG%diW|Uf#V44CjN^|XC)Zx?n zLI%@dokQY1ByE=kT;5*~1D`zReeL^5v+?JPS8vGlNU4t`KKV?-un}5Ks}#@;^OI*c zWeqq=GuxY9^Ej`o$|vx#JfK~Sfd{mbmzaUg>4Owu#@E(g;En=sC(~$STYG>a>>&QF z{1@FR4&K-Gpa^oXx?yiT2IGuRKY}W1-lb~+r;YUKXn`CdrQ=X%R(4No0=zjOS^ZUS z!$!W06YVZBcR$8Wdr-;(6C0kAoVc?)D#{}KVx6Yc$vN7HlW_An>-e*+r4efENsY~B zZ#!G*Wd55ZWn7;qac2OGsso_0k00fYEI#`#M2JAZ;K*tqy#C&QW%Pz=?AMDKp^j+T z-IDrx^2qu+GDme)!?gs~Zfy`GrKcCflDggU()f?^STSIXG{rR{ao#{&!^o^$(CDML z8W}aV5uKD{tus)%kR;gtY!&uz?-Okg5aQ(}d&jqGuqiOQ zS#lWMCA**LLMY z`|*k_)PLUO#&kJ|?q9krI(Ijx=vGqlRge~oSKe^9AOt+&gX7Uw@71Wp4-KrTim9*t z>{ujNUVfn|D&yFm6u?d*yaeWqNrdeaE^-k1eVs&Ab@dO@q2z}1Z6EQqauLU+k6Hf3 z!Th&Tg9LZKwJR+lYo%L5)jxY)Ug8o64rgi>Ni507bU1@Ou^HP1eZz5i0nZAqE<7Bn;l!Mb+T84O@-ioU+?$Tn(b7 ztYLd}1<@RZ!6`U&R+9*lf9&zOXJNaFA-x|GaKlH9Ct<<9b%b^HzyXv{OvLY_u*z4; z>d8_X*=iozzLmBX30I+TGcWeQ9!IH*_l(LWX-pi)#c642{EzY7Q-7QmWZx{?Jn}zI zPi7=SSYVuJj799gCmKA=Akg!UeLz=m0>SZ41sh4VmPost}+hry3v`1AZ zN&@3gYZ>ko!SlTj)4WF)%}|Wc_d!5#nZKUp+pIc;14N8;-0j`BEI_$8zjPy zL#w>aLY`!tYF|a@;Q36zygx0W_{v5L=y6Eie2_$!?$Vw;P;#oZA!0U%BG6LsRp_`6 znfQ5E5dEJIl;E~NwficW6-JWCN!0 zPJlU-8ct--{tT=Qwp6{fJ{a<~6J1~Ba|A^F`Ug#O&r`ZgY!X3%&?%V`v?!=!V({scm|YSQ-XqrV(u zlWt;bZ5WJ1*{3Q>5m4y11OjJoAH{)^j>GOd3pht3-_yh4`hjlqFXBkpd4HEtSQOM@ z<1Wt+p=&G!_a8xdGe~7vvW0lXs5ErP$S%mYTTW?#Ceu6!OYA%MQH?92-?qkN3Yb28RZWI_ai~an_%h zSG}w3YyM~~+2^(7@~eZYlU8_eF#RFk%GlM+^v_STt?wV-fiD|@%8wFcSn_^fe3?cP zoO`Df)iS;ci27q%6q^=vBys=KJ_Fz2{+3n7;G3_}Eczst>olaFl2E)M!tJ`=Sp@N7 zSq6K{J&j{IGn_CtXed2$b0uMRSRB^zUN#NzJt6}^M|M~F)XVD>2gz`B9Q^QOKNWy*Gu4|!KugLaIiHI(-o?n{cngZ6hM@`Ev(|#7)Xq6cS zaz?yiphv*uEH)=9d%4Y#dx4#}C+J&@$QlGpIM{|3a~ISZrvIul-pfX^>o-mbLq;~I zMq9zPwDc$g8QAq^Y`c`*dV&0jrU7ufIJ$02oS_x+NxWu?I`$9qBg`n4nSas>!=xu}VQ-wDqMr;1NX9nrV__!+HUe_fE|@Z&+z5XPN{Pf|G%9ET z_#yyKZ8%(Wsph|XutE&Cx=Bhj<|(U+y=-E5osjF<#yuzY?&dmPmo&Rr`TY%R*RKUg zjhh<}mLDvs%D@sB8SWe>V~toOwF@nT@t#8tf;&6w6F{QrR{fr$eqplkJg?NPYa6D2 zH)y=Lz~^RepMO5Ou)0r*6lRv0>Q)EEuv#V&men$6pJo}-&PPAOA7#WfmpK46pdQ+Z*1E)S%Qerwp5X@x!IOW|7}#w%5Uu6d#i*ettW$h&M;wbbc&U0k#40= zLT-83dN|2}9NbT*RU zNn6~w4hIkN+$^qS!>Go52AQj!`G@pSL^YhjIrk#Su}?U<%lS3|0XE#+2VIPA9o#}S z5Fv`U$LTZk#RP>0byiE=hPNae9P0*~Dm}eRE&@G^r|ns&V(IQtP>8_ZI+k2mxC+x< zh>ozK&>*-R*)iLKu5zJ=+QE({bYE4+3j$Aq0+;o1HA4r}4AKlK(u3j#!nj6rL3Qgz z_hf}*^vR*?r@gQq6yJUIDC-x_c%AAz7k>U^0&FakUciXp%MZCP7$2LJ(2i&AQW~ee zFGw9%D4^Y>M(E<9siMIcpvS{N0Nww@K)jT(mvH7BUU4s4V^UUFS;&y;02?wwi*)^J zme>`MPxr3uVDmJ)$XKjH!uxgryEs@mud}!5oXXolBMgDJp>zZj_=tn(^1?e(M{URT zSDICJ?kA1zR*=(SAdvF0j%P{Gcigmh?nKW7unpWycQP9q63BRVVIE6X-Q~pm>5u&X zEZqM);@iQ-R*y25c&Nkm{}?R|@T5hXdkVM=FpwYp-$SRre=@<9`iM-zB5C;V1^(X` zdpc?OG-?(3Rl`s9pZ zYnncdGVh|gKLB)#e-H8g=G|A&f<0m@;?DHqAKCp+c<;+qEZzCE`j1;DAYhMFCD)T= z{lhEY!j7T3%QWGD`(pnex7q}OJu<1NhyUikhxqSRF#xLz{8KhU*bhDaX{#?11@?%| m76eRyiJV11 zK$5_cB#B@^KwMbDl2MTi5+(j_v%BKs_o;gSc;BjDy;a|m-R{>gSW-OUvL}zwmQKt5BL!Z1`8#FWv_!vI0>fU4hM{6x|0ZzK(g+T6x@EzsB@W3 zokS{d9VcU9@JAaC#%TT#RfM4v;0_`bD!L2!|G-VBANMolKSc2B;ld0{(p2?wx&z^r z6A!2TMCDz9#KH;_0hd(R%}1M4iGWT6X30OC&L_i_V=7g}--R?lxgpX|Fn*vi0a>Lf zoC)i*l$SN>7?GW^845WsSOh;@h6#Nrn3c(_;lx5LDJ}9#5vA@fYx{ z7RzO_s-#m+I5ashP^nVLd?s-ab$QZ$sa;`-Bylget(4JPhuo43tMgg#Q3Q#FTCg!n zy;Pt!S-6DT4CyVxU?IW^Nyy{Yp>j(K&t!$k1kf8IO4Fj0OzL21p+BtvH^7smNf3ag z9;w-n24p%$lGJ9vU5nf-RJmo@e5%0eOaz*sL{Syx7ntdw7;$(9t5QJ%LsMo#0k2H$4uv6D84H-i?f?|ctCQeq)W+y7`hZ)Uj5#Ts z-vWb~KwLUq&Y6#SB09Ms7YqWKfhZ)hS^Wy!TabqoQSc%wFj=iy|2T6_h#Ah}8`T;wiV)qq0eSY&u^M zIz4J}l=roWPKYF2j61%*Z7Pxm)NKx(sG8JkQcTIIdNb zx+HA2YMeWT%U?h&@hy)vOLNS|=rOmF43YP_=MukR$=(r4rLwedKw25e| zMZu~p;AL^G#+UL-91aJACn8$4E#c6*5s^ykv@)p>lI2ta0=yS-#WE>j3QC2NkPX4C zCb!pU*ZNJcpEhf~vIntRGiZdc#A@>h{ICE~DT6MBF<`?IQ70sXWl5CZ8QLrHXJUj4_PBBu+RkcCMxE2C zrZDiG-V~99jZRj~yJgH9P|IZ&pH(Ln88{&;bqDzsTH>0hm&9@0o5gi*kINu%sMKW?P~Z#?Y~+ZdA>^GD5W_*d3Sng$7#`MYKL`9_)1{#KC$<; zA!LL}qewxUg=%@hL;?Gwf>0pI39>T3+$0DI%n?YMq6yZg_wkOOV$5(RTeIP`C5SOJ28Zn{zyG(vm0fMMfBM;-ium~sc zJx-p_(odoy8Z(hzU*7K2FbSo>hNffQjN1~|llnY(#$n`C@c=(*XUdM*^(q;N6b0+lgbG}#bPhdfC-`Wn*1!k}ODG0~ z;RqpBHE%L!2%pAz?aBr1lp`U;dSA`LNSt=txHWw8JyPYNtLg_VeT z!9w^vDNcxG8Hs=iByw>luFzx@omQm-->JS)$uoRI7_v{Hqj4-BT)F+js%_b2a z6ClbUCKPc2lZ-m^Qq0XJazRBf<-Z|zEAGib3L61IqIgi4mT+uB&S8EO%7oQ) zBwSDkP@y%K@tfqHKs28ZVQD(3z?}?Dp%5cf#6=tlG>W8USW;%w#dQ*5SYA z7py7~rwoU6gx9W*Qn`@ZriE}L3Rb4{pz5qr7mwK8s9lz{La;XKGsxwX4+I7TGJ}l8 zBQ0?vnn`7FT<^s|HVcKE`J|r^=7}_>6}fz|RESjpEaHW8b~R?k^cj7OjfUV1stVZj zDv1P3N=;PSZAP>*HpYnZuCz#0h^Ru@m?(0#N;(Y0VB&oLQLz)nj=05>WHfx`Gkcf;;c#G;mlrd5_7mxL4zl2 zAq`fM80N99Q*B@vqub=v=oN99iPrm^R8;NIheB{Rt>Sdbf`RtNbLL=J9My$cSIh-6 z7m_2gLYFRrlX{CgXv7?BEN5dQ6d5xbl&Kh^){$e!2GtixfK z<_vK8=6bUY;afwVylwT$($)&TCS1h z9bOHXfjqkUD1N0#NnseqJ;W_1jJF3G50Z`CNQCXo?Cg;66F6c}|%G6V`mL~Sj|bP5&ic1h)V z6|T!gporMadsf(@z;b$*Bqa@@*))o%aFtr4$$Ir!hdmdKV5AhovMld-?tHlDZN>>mifX^ccqD+g7LSHzI2JNXr zEFX!-g=!>_)JdbRAj3y*5hp~_VzXQkPNpbI7>WxrI2A}+GkhrYIJ9AzOTt8=h`dnn z8Ucb)#^p&v!k1P^7!D(Ra$Cq*@Mz;X&Tb1}em|%_aS8xq#wdgUqEkX7i}0_JYLg*C zK~XVVfmQHINJr$JxIH457X+M*pDSQDm{3^kRC!f=28Y}P>vga?HKK7U4DmuClyM<0 z&X%<}0Otb6(@}>}AJgeG3Aa?EG8F;>hazINxE;(BgoA1cL=}*y<=PaiC1I~1NlW!Q zI+D@bwHRD*CUg`NfNf^ROap03nU6vwnsmzT$Y9wBpOug(?aOMVOw25zvE7 znMa$6CbATu8DGc=gEZ=Y8fE|Wh@9`%527FHO4{l zoVB@msG1L06jFD9lm6ifu>fgJ{CvW!9`m1YS& zq%xQcCZ9zP5{NQwz*6}nKV3UXsAFbj$mvkpoKm8|fZ~hI+N88DSrCwdNIp#ZG+uqc zEOPraemsf@H3=M6ajGn4$R{MWunw{2JozM@usRby5KrW!J>fNDIX0FE=>i%bn~~~s zXwC;S=wDv;Wfuz&~mUHE0(WK7^Yn*UFlhEY#5|zT6(d%RiX&SJlFdyj@ zs1r^U5_T1sHu&fb^3jn2bu*a|gmPwk7IG>TQI#=4t8_5sPBAt)9L=(*&>^t-kcc*t z;5RE2k0f9*2iZa4o{4zad_ZZAoAr4(pcA2DN8DhHm{3EQFcE>2HXltYvj!pNHAp=g zZAb%CDNWiQPnm-Tq1hZ^p)?a$Iq6(BfSPf$OGmnCr%>ggl@cFn#3QVQ^eJr~FTv0S zr!xl>;uMflONg@ym`Gd_N%)ln9?jvBkkuuH0tqk1q_x1N5f8BUR4}JY`b`+5(kh%8 zzair(q~n|;n@uI<1v=$sC@3VCSglf#!ks33nta^i1pG1JvOK(tW+=5YEsSOKL{b25 zYAuDZ3%B~y@~A6iRK?SYKP2RXbJM~36^k|h|A`-}`4W&)}x z#PB#K$+MBN0PokCgj}P>4N@N_i#t*l1s>1Hk!aMTh?}CIR*D4>oC`@je96biAdfv0 z5b-(A!$*U-3tWj99fL&DF?T$t2ochl*1(Uo2T}$HB`&0rVH2Tq2W=SQQ<#%+EyYR* zkv2hQQ~?(p&V@8Y0(gZo;4#=}LMbvNQ70Y|I9-H6jKzEy?G1ZLg~11EIzDFW#FX1h zx*TqVBsn~nHHHvMuh60njh0jc(oKUUvv!FI7TD=HVpNz?L5|Y-@K7cXxP$^MQOj&; zo7W|lS#WQV4aqE7LqrnO5D`p|!-NJ=CY`aA0`V5?X^0c%`5m+)0N^5KDia4FT`31Y zd5^}G!sYRx&A@8ppqS(oF(L_ZVn?2pYsEr)2$osWDko@-Kn1N%&m<$M6bM#@2;iBN zev4C=Q>83yR7x1tp_CrhA}Fm#VM;>~7!k5r5`>swwdsJ0!96aI&=@sDl?C3>2o!NE z(U3`@l%)iQyvf1(AbBusle;ONXG46>pv$ffr8Q(a12cIG$46BuB+7beFCM}&rWD1- zGXftbc56lMpvcPwq*_%d$l)fVqhQ4RfI=>KK(BNX~<~p3~b>UL8sPDh7-oPSedusm^x}!$9$=rn)XKgw8b1VJA_7+mGPiP zd&~gK2%}64+Ytv52kTb`DMcn1PezP+$XrOKEP0DEqYPP{Dyt);cLRW_%tw_r%o!xj zVVhPZ3Py3IO%gzZIk87Zs@yTV0@bSUurjEUYvsOlL15A%;3<=tQu=YNT!O^q5k7YS z2L-G{+7^ZrI-7%KR4Q*UX3evDm{Q4DyEbb8)1vH7I>Sj^1(bk2Nfze-b7(gKjs<|^ zIGuy>v?7oJTyVjONJ4Cw^a`Q^E#?#1zzc-NkkFwm_;hZwN0krQqQn!fJRkHMl)1DH z^+4{tF%b#M!eLV5^m`l@E03$Ju#*$G)4T*lh!5*5>Jti(s2+)v@^Fgr+JO5h*@BBw z`XctE8CNF6;D*+h#l|P zWJz(tr8dBvCY#q<)N!xLO*uH1-zcI~CfIFO8hnVECGeD!hs0>oj%NuZV1i6?G^?@k z;3WmhCMm^{nv@-v*wd(n&(eGlbqOH15^~6a{VQQk#d*|#oAo+n2{T|`Xg+RaIK;2h ziWLF~5O@V0NLNBH1>>NI)n_bn1&@hY6+kxpj>EzLpfL;~yPC&4DpDy_x~y`O&7QX_ zMQ$<1+R~z|E@^}mSv123+n7w05}H$hV9r7!2=#~qkjZ62{8EJoWjwUimu5mN4ZIHI z4@8P_IjKsYH@P5tl!9E2pj|BiebcuNlS!Kx_C_I${ z4=R=<^`t11mc^3_86j5+ZI)DlQK%UMi3k8Ood>L-IHG5S$-KLemIERzLgl?SHmu8w zFmXJoNhN|N8r7=-6=G1?WoE=@004kxWx_n3;=8dHr3xmjfRfiboe6csXOEbGsUh(k zWE88E2A-MFq?Lkz4%Tb4dVew-k7-rrlp<&is`PZ6F?$mdzd0Wey7{PUW7A41k_5C9 zpZGLbFipfbm%`<9N+p~=5>|-=VWm-Lj^y-!oEPIUNg#^&1Sq1hxuk%{OnO2pJgLuP zIWK|Q@*HN*F(#42B*})DED#ms>qwaxeRB0ER!~Hp&Xkt2SRQYU0i!;l zg9(D;X=m<@R?`|&iDdE z*pt%PQAnyJJaRk+I9H!1D$0v)&qEKCsgHc-S(CA6h>i}&G-m*CWo>K%XR$^cX zEmLbq$e&lFdo>wKTh{ixBb+iM9LsU|#)*A_@!EAKe$+*S<%5Y~gOCm;_ z8kjPubuvOWsgO$zTq^ zjEF-RQ>(cMl(6_6Nwrg}_3D$HTu(#`2?cA{`xramDD!^Wpn)?^#0q>Aaez#lVq;m7 zNT5#4hN-MsnuL8)f{tUTQSXMVMpM?F@MV3lk0Nt=GRZ$hJELKm6T4_c84IEz)E*!$ z8lT%{7pbYZ%cj)=;Fxhy37FR7OkAC@Wc?;GZsx4CNek$qs4|lwjT|5(!zr)`g*`7f zq^P7{D}gk|EUp5(r8L-02ygi~8RdLFL@b6N(rDzD3|OuZpTyiD2kMA{p0hdRgK0cZ zqI~tB3#mbm9z2OSVA>J((r{4E+3hr3C}>nP3Mw7UEy8^!p2iZSV>-1=4LjpXJLBZv z8Zfhn4CEOkC1w%5o%KjD%FhS3xJ1Nqx@0m+6ck~%kl#~QzYE&0a(&2;&+XB~kGhE8)tejp-0BP@xfpC}zZ?DT7@dgOm&gixor?iApVYl4A;p z1N5}Lj%ZF3NhAe|G_5Qsc?V%#(Ez{OR*Vbkgnm3_3z+z^l-SAmA`XKznvvL&8UhcQ zNG7JRMiU;Jj0eR2h*%2BI-A#^2=QPDB!N`gNdc$y%VW48!xaQ#76XNiU8OSm^{@|v z=@etlDCGV$MLZ#%l|oW12Fxp&@o7i{=M_ur-jv>9&8gxZv5$47(VSIGrFezdXx6F` zKuEkGCPHY$WW|$GT{IL?X8l~qr-S7NeiZ6=1-&U&<49)MR4|(H$m|8HzzGUFOV-M! zBY}iI>IkA{EF_9YhztqxIRXa@B%H{H!y(ct_er?Cj|m{zpq()4{1Uf>_PR8Rh?jOI174b?y$L;uY8j9lk#yRdFxYgE zTAq?eyjmniYH~4?U4e1(P$cG!tFl5fZppy}Xkvgu6GJataq#jV)q{1ncL21Ml zi6_l;evSxk0pQh42=zvoPH42OUx+y{Lct(@K+i-ehc;xj+3dntEQ@)49C#u_B&moX zrUFDr&g1oDLx@r8NmHhfKrVMMQY3FpIQ%iA2oT=!M3%Lu>^UPE#^qd4D9P$Dzd;?b z+T@W;HpU<@tEI*2L@eqfqK=r?k;>Z*ep)XPf|ZISuq2U%aZxfRclc3tgw;z^8X^=m z&{ky}1vByL5J%Kxw(4jDIDDW|C-YG}D>cQel$6&rfkOhbE}Q3KK{=i?IayMdOZk#a zP@ZLIu{0zKsN-CeN8MR)DoPCwMuE%fvr$hDN`cQ+2hqUCIg5_gsL`>8UJbi)gDxGf zJGI5ZhmWt*Hm-#__L*#_ghe_Uzg3HjS4w zeDLqqS{%Y2-?zKfL>Q@e`QSy55A8&plvt(w2rc>{gU9Y|H(ak#D;E~%t75;|= zAh6g{hkr}1$T{NPsL36Rhy3`$KNrA@>A0u}2uSxXS=?_JY;4+55PtSQCsMEDht8Tn zK+oCR4$Rpyw^O~QQ$^4GhaP~K?-$nq0@_)JT(A!Q`MKKlOfS~@*Lv#x>KX|IOz0xG zwWvp=K6vQ||I$p&+DzACAmF>^U{$Tw)CMoz^-qmF5vXX<5ePW@ziRon=wZlNhI2`p#BxyAC5Btm?Mq?wQg{ zU+q5nv9UXOZ-`{rz4Xv?v$B_3ZN016SvW9u8bnM!zFa%8ed)HMhMzvL3}W8seq(R1 z6%Q{F{g&q5lveq9$x+~pu^aEPv7$10W^tR6?)8%ME)})k^zxHfVM9(WS-SkI8~gh8 ztJkVm*Y~@XPED-b{!HoF3B8UEzkj(^?T>fmIJvr1#HM#pQJ;Ph8n>uDx^Ey~n@(cxdp5#G;wZd&hwscwoY~ zV$kOJ?r!VWoSQS^MESt%z)hD+E4tpkKlt%Icg4`Fn=8i5y|`lQmUhGMo-RE!Xy~K^ z*S~*d;^FJxb)cOKO|L%~aPH0lr}fB#8~X-d&&8|b+`)z))^gD;?o6(|FxAl6uPDc)(_6RRztXEBxuenOxv3e6(p5ms z`^r7RMl+-d-?hgpzW(#aA^pd!uiGwd+jMWv@OxwhyRT2f(^uZE-#q^PhcA_$dGC#* zUFN(M*w^RI%y!vhsqJ4nTeLg7<^GkWORh>{uG7nv)=8*);K4_K?Hf_raNLd`#YXOr zpXZhA)_0i|BIXR^(C_@ORArto%p=>*m9CJl>ns@WoU*amAERot`my_|Rc};gj#>2v zymfsicXPkCZvO$Poh`UO=8bDv`sj8AeR*50CTrSI>tDKiq?xv@f6AZ&x#?)S|Ipn1 zE%<^9*k5Np^Um9Jb<5Ce^IHvmYn9-l_!xfa@GAIj?%w%D7388>*jIGwg^H~sMr^us zVrb&GNp9-UGu;}^^{xa9(k6R7nm@6xFSGTH58L(5CH?mwKRDN~^wg+^-1^7(j6}<~ zw>FrZx!AW(RlxtORmZyToLFHdy73c zd;j{O%JAkw%dFNvZFJdRSn}b@%gg?n4jn~$3Ic~@U> z&9R;f+R$I8n!dfHkKbNzVUOv}SlNs6bbl~v# zZQ^HKOVk}3)a?GL{WVA3N~^eBr)!;sz7DP?_6G0c!){+XvikGSz!YyC8cgpP*QCd# z`Q9&E4NQL|kxE+*U)ZJmjkgC3yLE8%98xiI?AWp2TpgS{U8jv;Nb%0@56-;b;}Rc_ zvat~h+O3r?p3rXj=p}WPmsjp+e3|P{E|~P?;H|@NpBmi+Tk`SAC))`yNUPf4*W5U= z)%E4I7d9L1Xjf#fpPcuB;p0N3lfKsCk2eLVeB-{p_n&XyU_nMlZ@yK|y*;U@Z1Uum z{fSwb2L8{x{#^Fktq;5O`Dn|4{i;Rp(OcbfeQj6n+COpnZ%b~VMA^V|FVtm4#=CDW#D zy?1cMsEnaS;|sTMBzG;C{pyNwvL}&LAvoCBcb`4H(m!U={&AE3c*$A#!+H1TFRq=- z9?wj<{MGL6+eb_u`k||By9Gnm(I>au{-Mj9+6S$(dn@Ycc6_#=PI}YU;r&j&bz|rL z+85MS2Gs!=)PolrRN=O{>X8jQ)hc@88zs5g@6{?}w1;CWHpfp6yR&wW?Bv%wo^eh0 zx4!a4u_N|D>A)T7^9!al##c3ND_@!XAa!=vkuU7?M?LN?tAN@!S`8x4AxrP;-_E_) z=l0=OJZ|(i5XDyQY&E!d?zQs2&dup?==9=NRc=_%w7E^K+6zx)>NirJ+R6j6+LbCh z)9kgzrduB_cu6((aE}px?fzza``oFT70m8#z53+VmXMww4lH&)2`F7==RW&<(D9-b zN!M=^M?45#o7<&DpSRD0L@=T5T=TLy!){OOw(*b8#>@fHZqw}>a~}7eI&f*H`h)Vn zwdkKYh|XFwW^^Tv`H-l|)EH5iQw1?U1xYGYT=`YgiNzZvrWKp6^sE^1_~GbUw=W#r zJ$u+~Z-4)o7Cqy|%Ssk^z4z$;)ywa_v3%wH2|btCR*bSQ8_36qQ&V?B7D=zQcVDs{ zj68UFb;P<)tbI3JT6pJpcCaA0W`KQ0_sutdIpux@`9QFT1IZzg`2DA8m&+x~7PgCz zu7aLxL5ev*wLH`wq?jKZJ&FcCe@b~oQ_N|fZ06irB@^1;OY=E!?V&+!F0JK*eVMi2 zacb87l|Sw+axNRV#5?`;Py0*v{9N|?x}(vh-%QNRghxMfBp z+}O6sJ78$jsk=HEH`hzsM>Y(%s(GYcr71P8-LrvdEq-oS8#)eB&w!QZKpN=qCDe0Y z?;C5DE?&LuCNR}CAPV-ZXKv*Uee~6`pLfi1t^09S_5|7M>euYG$H2nfn*b6R^?1di zUoM`%x%N|f>qDt$=$gd&@?|r+t=v{L3qy}}-t!eY^8P{hVDG-!ePU!$MJ2KrSv;%g z*BY5`cCKtwLO%P2>)qn#iw3+l-}ZUqN+UbkkdHFlwe5B5ZvDC2pM~f!&x!oGcKu_^ z){a_f3*Y=>KUeN0)-L`0muJiR4!d_)_+8r|2!Z}1-Cs~JpO5d*ukYRCpT6#Fuf#JrbwKv}!zj&EdfNN51PYV!+*7P5M2sO`UXa-PVVD0EF1|@vs)9jobH@ zPyJ!ZuuQ`d*WbjJb!xqwT{3NU&n@_uliw&?Xl{6P;abaDguLGE;iR@dJ{%5WU&EpS zEtW0bxVPuU1I|YGt6+X{J6@S{cdltzLYh{(-Y<58B*dW~KCH|DksbnKZ`%t0xOSK3 zjceav_y&N}rYrXVhu<1HTW0CCXK(KtKYg<=J>zT2+hq5gM>o4X!6=WHU1Kk=J=Fcd zosl(bnJ$i8GBf>)o=0DGVsH1ob-=m(%RSeyp?fa8xvqTi1le16uYF^3@40;pdoHq#8g69@w)E-ZaP1v=~s8v+VQQ!03r@Qt({(H$)az^ZC^5c6)^w0 z)`^EM-{ezcuP%LFi=O%R-O|%z+dMOGKDAp$M)#tA@JEi<#23YT}3-sQWRY0(5@mxd6*C$%aV&!kP>HF4t^Gx~v z!mSJFf|fHX6CuckN1AmM?6C}HIzOF2vj)FP1bzPc^^?n^8g^f4pN||lLdpE3a;i}fuY11_Sp zeV;;Y$gKU~h3#*aec71V+ptPsKLXxWBzQWxrmwy7;i7G>)yBGw+eRMVyR}*v+;#ZW z_R)zyfBivPxETRF!F0X`{4)IG(rP-Gd8K&B^cl;4S67vA08K`leeWF7b;|j&Mg8aW z9ai+&8Meoxe7E88_p39)=jh07;$?lbo1Q)sXz_jjA-)f$ont3vY<5M}wtub!16v$d zzw&h8fQG*+#6R8Gu&F)Kwn^1G9yAAB>I!S5+27VOvTjknaibe=U1|SppXt>kOZ|pT zr*=M8vu*po&1IXlr1!Y7`M%kH+EMlle!U+!r5RM@U6VUY9#MY}3{`a(5w z+nUB=?nx>4B;GQHOiX=D6lu`S0`SuZzTg7RBGcKvy%O#I{Cj0 z=<$DM*0~>4%IekQnQHs}H^*K=p8xW_IS;=5eebN@>;F#5%7cXA4S?d0+|BkII^?xUV zG+A36;HCqrzwFr1HUBVwY1Od9&pcnPaIh)$OwKY?{QTe2wk@c9>89PnU8|~Iy3Gps z)PDEJV1N5^y;tA-@Z8UWedlIhTY2_c)e=sX0NTIqtm5WRo#8?A@7c)IbL<`Z)n8t& z(p$0{;Prho&ps9Qxw2~0Ta5k$sV*441PfjDr=!}6LGbd`skET7o`XnPl`W{d01KMc zN%B-!NLM9HrJCxjvIW4>)H7XtJ1DE(x*8?%Di&Ay)5n8QBQgA4?SW=TuPUV- zYm~OUP^F}!^?}E~_H>cF$3IcV-uG)(>+xTmlj0#h-k^+?g?-K1f4&Kf;@pXI$DSHR z&*v*ub*@uMwTu6&xAOn|nrrj7-8^5m%sQv<_7N?{josOAU#*rUWc$K2wwi62z;|QX zRIQiy>8Vd@&!6YQznd$wf~#|26Lltk$l9z-a#Fcw^1;mEBKV>rv5pqdPZOKGa6Pq z`RJ)SF4q?CPT#$DTADiZ?Xf2_sq;T(vbjYh8vRQDN#}e;-_~QRcl~dD?63c9yPgN8 z&zLcP>4(!VwCpHYHe*7&X79L1E-Kq~(RlgkyDG2lzFOS)l$GYYfS_Mi@*H6Oo~`%y z^s5Z>&sX?Cga7X})jD=A>G$}reWjodJ@WO9y%p!1^#!1z@rbS8zx@7TP?t7;@CeZC zYs$;ZzpCKL9S}@ogF&BUTqbk%#PKx)7u-&lA6BrxAO2|?)azJizoyk%>i92*?K-kglv6UGUhl^b zZj^!wd#bX`zhi8suy^Z&-+El$ukElFG;}7#Ws#pdZhG+NZu8@%BJPrB6Ciai?P${P z%_EkQvw&@jgW|4!X&#t<&psz6^tux1A73>l@zoEVOD`;0T7IB|weMe_w;OhIzcl>g zryoCj{#)wn>$|ucdt?>gH0*JVoYn8awb2i6F6!54Zx(P1rJ#;py->PR_%ysVZaORM zj=e0nyrkp(SDJnTYS5`e7C!-N9kWGsJG`}WAYe{P_akkl;ZCe8g#T0z_l=!*{@Zt( zk3KRbu^YHBT|sZ%d>quj+gETWhh^`V&3P2M*QR~Thg3ykUAG5!08L1M3C$Vy=&y6P zM?AhWg8O|M(Q*Q4>Kr-@xU|8G^OuK@IG#Dw;(x=t0y)uU805j?!m({LvI~) zUj|&u+UsY(bFb~trYaB@-vl-2{u$-{UnwCsGnd8{{{(a%d}d*RH%OrKw*c+Fuz%SO zQU1>mptWvwoqhkAHt``=(2rSpyt>zNWY}KCxwgH4eT+UikUJ9QK!<5cd{O`3TlpgM zwoGb1sroFyDdLUg>p$E4=-$OnTMvzB@}lV5Zx+-5yyB$k#PlT-CbK)C=dj%uJ2~q*{Kv6i8V1@br|E5b{8C?e*O{z=+1kJkF2+x0W^NpS7u4Imcr!=Bw9Qw&| zyzz?9My3Eid}R$YrR02v$9J`SYi;!Hwj&-~=Rj+&JbflrXJL=cHytZmE}7gJ#Fxd! z!<9*{WFt_+g~0paE=6S?&*JAxmNx{A!i_IUo&={~`=6RU^vbGs+~Mtm-nyiMr$ztU%d>b*cuaWH7ko%WbTg~kbP1@$VxU#FMyw+#pPi_M$*_ez! zG(pB4nE|(om;5%l|K8z`Zp^9ZG-ZGzGN*m-1ut&8cM>>y?IwqQ`QSw6(7<&I*&)HI zc(!r~*>+hEi+&J*wtw}VTc_TqEdUd;tuh|ZjTKGr)N|EzBXBJME6hObM0(1&rXuBf! z&^gUKUHp2zDQM%Kf6N`y&bjQnCE-S{?pq%)z0SPz$|cZ*T-yTkY`?Pk&WTg=++7>k zcU+G4e6gxo)bTr>he`MSFJ#T!;Whi*8n*Tzwi+~Vc7m?s#JQg}xAtC@+{AOIiMs7` zL(r1N<*yG6eWdx38VdY$8vfN1mv4s)URH$cx$qVsvo3>DsT5dJm&1JIXgu4tqEqc? zH?W2VAKe-rEHld*wND#A?uGUH}Ou`oo25tL6zcBXj z{+%X|wzl8)d6yko(CWSfzeh~PD&8}cEWWV6uw>4NM;pvDq{{@Wo&EZq{Q$ZI8bzx$ ztp=_+x}!zuQkkI98}d^lYmFLbM%zrifNd|SRri_LH(l>uKC+sa*A4V27taE;;Pw%* zMES`Fzsn?Zn)Iqnl^?pFv(Vh277PlXJz&>P2 z#jPFNR+n!R_fB5#x$xe!Q3rsdi0-Z}8dT@A#O{^;9hW};#L{5+rJjO^-}QUs2O)IB zdDzCcUVB}7b@P;Y)xhQ!u*rk{cW&-fLLU3(z(l~y4|uH_8J7%b7-?tjGg9Y24-%QD z{LD;t@9S?bZ17-BIazV$!aQrw<>g{Ncr`TJMsD2ExL57Ro9~`^zXJ5Le_1}P;3)%# z0q&nV*S)Ch%#rnlm1Q7Eyx8_W$Ufc3q08%b=rZvM#3^nCI$j&=y>R*pXaNQsEsE@* zPyd1AlZ{Uh^`9@#9YO>Bt_41@?fJswHvj^xPaSeAK==33k8iAqubZ}eo2%|%&|bU* z+M)H1+Iu^1EnTriG-g}EII(@725@*;$$1caI`rN@9P|y~wFi%VJopmGA=YQsU0wP| zO>5z8k436M(4wUPp6& z7mJ72zWFIvXX4e(cV_Q__Vo^1zrK6^>e1pRW25(1E{N80?)kGf@Z`~F4-7RvnyFyp zAf5JDb8FRi?N@_|uD@Ve+DULQUfxK0c-z=#Ukm{9qTvL)c}M{?pz1dH(lJdK9LqDw zcX_s9OP}lCHox3{b_O)HD-N#;UItO&^2!cN`ohvm{N1t3lh`8uZ?Q#MvS@apYrR?^ znI1T`_{OYBPtH87KRaPa^Tg6&@9i#JTt9z0EfahN* zL3U39#=m#?olPf?v~Ulwi)v*7@Lf2P1y#lEn`PEk+(Tg0Cdi9+-*idT>%p}hmsjuW z+YtYHcJiD08~_$|wwC=;mj@FopB?qhxlLC#?rse7;kGPjnJ-?}{7CC3*tMiBXwTd& z`|H0oN-xym`{4Hvt{5KX&sEF<=TjDD487iH@PKbjeABtd)(2lL+j8&1_7PjbagEYr z%XT`+<&pb0u!W7k z`FR6-?&!9XTD4@C?}Lu?l%KeP__Q#O9iRQiR%anNDYSYZ=zH>o7vJb=5Zk`Q(#~12 zq&h!iLmR}$RaFHz()iRq0e{+!KQ7k^IPHr~D`CH@%e7yOJ&u5$jX^pHobtE~3b5De zKVGvP1mrfoPxpED_4l)mpTC$kxj~bPmSaj}Cvw4+orxFf%^vaSugj&*KXS)X-_?OM zk{QsmO?S_Fw-gvlX7pU_^jXm1N`MmV;H))#68r%`$2(s&8FS&w=jv<%COgMGRj|GL z`ctExYdCAs(GkCXq>%R1##lVyNkb1t~<`Xas3x52*VpdcWKb} zaeb@%K&~F3Ww{wW*8jPwySkYk);sX}wlQB>$4as3iGnE2TP3#fl61 zblr+yfA}N;Qh+pZR6G=9e$Q@k>u412*b*p+2ep4osIs@4fY(6|5oL?4>p+jWc8ivq zT0ZW*alRcye>EWSS}*9Fc}g=?L5~2>GWTq9C^ukni<0$xo%!*CLH}cUor_ntvW`35 zdg2>vUv4@D=y||b2mU5tJb6zmuY-#~k`wXC@#~UXXo{|++mOib<_CdYd zjg9qQe&D_00bu1%&LR9u`L8$cc=-KAJCftSo8)WujuGgsyZ6HX&|A2u_R#C;7nWaN z?|7pq1s4D0;6T-M{@zB@$RRyuH{Ky>?~ZCZb_dH{ANu>yNVSWVd|JQhrJZH|^}Eg* zmeu>V6HwNaH*a4Y{JZ#voVv6DPj=Qh7ZLleU+6dR#eM@uywFcSzC)d%6MO$zZ_c9kdUky8(ELZ8XL3i$ z@JYYM-fzx`$Njp^UOTW~^G0;Ru(;+_lwl;zwhY! z)(ic1zVb4C7CtokjBNFQ4(t0~yEAI*qSH&~mS247Q1eH#fB)+$xokzN(mUsFeYVZ@ zaQnH%j~_R{-?|6&J^04g{U+Xin1A?#vGmTX;8e)t-)~=~*t}!E#h?-G zJMvC9$IDGEyXm2u)Q0I+=s)bE|`IlAkE7n?t5`2O%+`??9Xy)>tBAu%)af7pBPcrM@fZ#*w#XYXCeCfTx+glx*D z$R0(*-ee`&lD&6k%8r!CR%BJOM`eU?AJ>cCpU-#PzwiC{{dqs`Ki|D^UDtUY>pYI< z^LdV3UooY&-Fcw=RdjXh)@Hp*n!)FNy}|?J$iktX5*ORtCrpmK5sNxvy&F`B_1b%* zu6JuJ>dboy0IpTH@I0x1N#pb;jynK&9$vexiT>(lY${_H`BbTUWm8c(?r4W8q(Izc z9PK7c*N9^)3zZ{DdJ6)!;#HSF+F%wtjDW@hr9&g;|N1vb!%XCs zMiF3WC37ey+81*)GBPt;0Z}|S>S7p)_~mpX5(G?w{sw^(3&h<{_bK@!(=c1x1xvo= zXygZDlrXH5tSb#9&cchg5W{2d?_&I?Sk=JULO95edM<_hyamRHV2{h(Z97;Wxi<8N zou=5`I?AxPi85FK~A|9wFf<~&K0Iy0}NJ;c4a z!sU#W_mJ?+DI~}I`&i0f7axfqj_CNYiFcDvwot>rMfjB1;)W)Ry#O#4h;eAuk=fD( zQgb354aop&WNB#8`=@j4A^&CnN-P#6RbhZ~hK=YUwf)yBiDS zPjy`@4F3FbNUPkdVik0E?j@C?g4ZBZHmeG;Whfd-4nyqdy`cI{8dt;$StwB@7AfIQ zS&xxd;Ks7xLS)^wx)G zq5uq%fm@nR0`&RA<{_|yC{@=U@PmP@R5(APWF9*X8b2mIhPi6{9 z`*;T@8$A0dMh`{fJCWyPDuTteYQ6C?Z>nhtVU%cr-76{3)089xU4H3|*x0iIsN_Q>RhN zzofLal`e0!^Asp@%g7DZ3H(;+YKh-LvW`6zWz(Kr@2ZNJC1;z*|+XO~5c; z&nSUma=UY!j;YCiMViDR6e*5OP-5^Q8SA={M_q)H&$>YA>Xl%`)D2K&WxvqddGUX@ zo%Tt2S*bQ`sK*5LyDW?k+K+0*3~mgA(VddnvwAZl^`!o`QRWV-<%s=)AFW->wnBDm z0OjG~s;Hc#d;-2;a%>T`a)5iwTHAc`>Q!@&p^vht)0H##jATxl70mjQFv zs~2&)Xl{6hCUuYJsN5MEqWGig$ttMsNj%_#ScVJ))u;~%DWvPyk@ZR^vY|(|&+2%& zT_f?(8%6Gqi*>DC7D3AV?{`^(8-|7J=1;4@bO#{yZD@!bA`{W1AV^K=WL z&JoW{P8ovIyN_}#=_s$5-doLgM&RX`G0J`&Y#c+#8XzQ!h+k{3j=&6cV9HUD(Fn zG10GPj*d2(@yEYe&*ak_}WkM;#r$V_&g5S9aG3HE5Un zvDXtR9gGYOOTcVB@6n;pUel(2w0WyN5~oozxZp7>?Joup5CF@gzph5Z19fz=LW+l3!nyp~7SNf);wkX=rB&}p|de1h`3b)Ng(nWV}9@n6eAw2l{zuHhG9F? z;x0qG4GIMRbTA+e1Lh~-xEZj5m1OZQ zN!Y{7JvuS^z;`$02s3U%(KSZLP+~FGfzi5(*j@;nJkNiA@stjghPy7GGK0)wZyFu@ zFhh30KlxPS!I}S`-VbF1@FtsYL?s}nL=^(qdUVBb`4V{j!p+|3Y;>@yZ|J?nC;RZm zx1)rHltsw4BW00cZj0~1FXq9(x9{R%sQC#+z-#UievfY!nTR6jQW%wz!+5a+Ayy!r zkfcdrFBn&BL96Cd2)&aH(qZ?8(STL)NUgNzRy6|oO;D`jgTkzDsW>x})qN$e6_=$? z1OqJN<;aySG^?e+;CLMxC(~Ax|H(Dfui6R{5)v-*N^qj$u{xs}?MIF8M0o=Rh|#Ix z=R2NpWMCJ{a67E@`8#f_x>RY;+BB%&w-THGbigER(up3s92(-uNQ=03Ys`Ke83i@( zO{^`$46zQ)iMs)fxu2pg({khYro0`qqeP3`B>yn^4?*}6b~mAV5QuQCUT%&UIRXba zxM7o3b+j2eq0+y9cDe8DO&vPHxRX<&q-lO}s=0Y7@8;Hhh|#yGV#tx0ae?|cI4IXa zgXy>e#r{Azcrk<&r&M0f{{U$KBOUU<#;Z~*!sA}QdRBm9QEg!Qpri8y1_?T{rTB1hvXcUAi|D%DnvW?6V4F zDzydwF@~V?jh{fv&BSxsAIAZ}@HM5iWAEJd-9?rTU?x$A)OBUh()62l_T5V*f{`bH z^kxItsNW#Lf;tKBY0hfQ0~idUyW~yF*I<4c=qv59fopgNI@n_f5rBZNS`$^Ox*D^K zYOE4y1KN+)AfuWs*Wx(BPGs_0Z=LQf1bPDY=&dvyZ2v3A;g0*o$S+UyDE*Bp5-qQ{ z4|Q8y${xdwq!W2p*05MKolh*i5d%Py`ifwML1-w}kQm!>42h0A=zP2j1=rf03MbEk9$MoUq<}70Qdszw>A|N`L>JFCnRc={9Xs0EE!&2)`bzGh(9J@QYJ@R>ODq$%5)WPy+;# zIM>(PiE-|!6wXV#h^LCH&s{W{z&{R?*@=JaGQh#8A8usI9S)cRv~4nQ$Hq6eMYsGd zOrcrld}^Qk#R9bSsSUuekPBCnGN))6rZ2%pVp8Pv(7W9KaQNqu^!DdF!975MLvR_6 zz_4I{)2m$WlJEd2E-!4{cAxur9fobbz%H3Fzx(9C*2Jb~_tnn#)D;-1;WY(G0xvM| zgmQPzd^ay8_A{Q63WbWMjcVJ$0Cs(fB<>S^j=A7G4?>Bz?CFz2>`U#wKOLzxg)srb z4kL)p7U&mFjn{5Os)6CUFg_`=zK^4~VqHdZCeNpNEEZZh^NlhJ&zOiG?lm9Mzv}hk zF+8|jb-^7+!`KSC8Nsu-8z=f6$sUNuDlT-hm^6ncMug{l5sIJh=1@O*e{ZFZU`fn= zhug$=(=;hSj{UgAj5l7|k@mNhwp$ML!-U-F?)RRxfmf4Da2V(21X)p*(V_@u(eGMK z@33n(qC~iBze2(xv<`a)bCh659_+j^Q~SiC66ngdN@`PJJwFYlX`h98eEgkn7mCOc z#vYM}%QFQ)EU#a0^=3#iS>l6 z6Qoz#IvH8_|DuMXa%Q{? zFq~>FuNkRmDwr>sj}UBh?tkyl)3;R^RK>R0m25n4L$#DQt{%gee)y zcEx7P%!zI77v$Nr9WmStVv2=gvPTiyjPk~YQNgGdm)ODy*Z1p{! zFU@Ij2~I%PSVoAR_GK?Pu06Zh+5?;%s?Q$GAuKbIwYU8Xn_7l3Z77~Ew(>SaU8^JJ zap5zNY+yHIJ`tgqjd@C(CCOqJj>XUO%!u0khzCT>+3O1v7!5rZatZkQmJ~8gZp{Lg zN@G1Z$duZ!v&+4o_vdX@r}{3$$FE* zNo|It#RRg6?}cLd9w*|g1%ow=^aNc1tdq02P_&s+VdjHX9a3oO+p#pcbAL-Q^b}D- zcjoJ}U~r5;9j#!v@}nVV_Yel>xFfM6yMwS8f`Z;Kk5k(VR;rsdgDF;Casj@X2*K6S zPq%ZcTHGLG)dDy6+(&i$VmlM%9@En8h^pVZ`=!am0|#5`uH75ExNG74if7jVQ&vP* zc6|zgB8-rXP*Zr+=b9GdYlgze6`(2l>j)kjtK7Dyihb|G;yIhZ*vID`~V8ri8lMkbjXELsy~<#+nuo0MV}Z>LBy?@ws;W6BNR zO-l@S`awJzGAsk=N_?NP7#+t^6OD#Wq?+P*W46C&z~18n;HtpTg@uoXMw>9?BO=r- z9|;(W{PIEe@Kps?AnzvjlXuwE#+uj4%|?x)h-*~KQdEj%20yfYs_DSdXP$5Mk-S&q z3{gSg55W>~-RN!IhD%Gn?vBHTFLs~X!{oKJmf&MACY<${+}a+gAB`7PsE=EGcU|Qw zUXuF*HlogZG2v>X)(E+2dzRQ;50Gubj54)Cl1&1SRfM%92f2P`c0jjK9XVcuYN$PE+WwEj89V+Bswp9P- zniv!H@_T5VT&RJk_H}JEI=aQ4TVl^Jx@4Qh(97SqpNjA*avCj)3zB9P2RC?wR*zHM8SXu?NA%#T-?(=k+`;<%}oAdW(5;^e~ zpo^?~e=ToY&+v_IRqr;8z%w4~Dj3fd3zTgtg;~Gl_S5St{uwI!r&T!nRmuP)gXv9} zWpe4BVfJP%Ytf!52=7&t&q0|?IOZ%p4X{s3bwFm==28>S%0mSf{%pi>H5v@lJ$HWf z;}Qu?F~j|7e|gP9fyi$(C(C!!%KT>nUu{eo((A2oPMT%jZT8s@XsFI>N?_@~t+jjl z5D|26VbrGw$n3}I{U5-TrRR;%HWogkzofQw-(#w{#mK*+SJ{1j)enk0v5)M=D21xS z%}Ikz9vTC!FuE-Rk^L6YxBsNi(ZA{Q`Bt15>ZtV9$`BVE=F>1t*R7-Cs~OU6rFWIC z&bYtN;muPnmcc!vm|=I}ro}JV_o_3(I#Q-U71CZl@0|8sSB$KgPcC;(I}cP zmidk|y@eCew_ZVdWmBN*shua&6D~G9Pi4>55dQ~oMO@c;euEGNzJdyNj0dCrR-Wm2 z^Ztr+U{Uu3fB9wrC9a+AY04f$1QJMqk?m8qZM7?;bY8Qjwj$j6gZ-{LA!>cP1w5_J5^8Obj^e2Z@*c#RSKo5P_qjO3Ek!O4anu3Jj)ml3(Ea|qZ3A?9j>UuYQWM%#3AE>}hZ^td z02x~37waEUle#C|3`sE3x4*z6s@&r1UkzQmMfK)GX(}^<%@b4;SssviKQehPTR zKT@V(Y0KQ?kP^R!4=Ore%|uk9%cppk6`d6X5rzG7o~}&br5nK#AX^!jH9PITi_H3l zhM4gN@eLcc;+NU+b4fEv`dkD4_9yd+-(N!O7w)C36kN|w z$t=hyt)K5E+4t7!x4%Aqmnvz|BU8XUJaHU%X%JJYeYDm(mukv;=&@36s7!Eoh|OWG ze+~l${-V55gNY2Uh56W4#Itqd!=H)GSX36Em~bl~Mwk7mRnei@tM>s;Q^bjUoHB_3 zOPj=$g+iMByiCNX^l-^FbGB9%BMfpqreaCwj7qE`ZQVk&$d1Mw{1J>%lFY?+Yza`^Js~y%7+fncf;|uu`~PN z-Y-c1GI2`@Jl^vLTK6+(X=j-%HAm$?;bCZC2``-`T9Rq-7+h1S6L$<@A}su5F80|) zai%_ns3@xjv*uJf>fIOO&4!cqCeo$n3tW`yA{XV=c3sMnwE;+?FKDECK7*pju6AGz zKsnQ*+SnN$&RIsrl+Tc*X0Ib$syD1N91p4Mu?s0i>0>{)kaD*#a8lIUmyC`spB5(i z`pFjOo{ONVj?9L_tZ2|oTI~=urQ>LOiE+DcUEl3X_=@h`bxsrf1{C273EuHvSTxMS zZKA%^%I8}1Eq2F!5fE(iaNm1@l8Sf9vj$0p(Pk$T1FlnI-6q7)k#FYN?~Aqfbg-C$(3-wWfriH_1RxOSuOH+FhSgqGGaMkI_Q|789>V zd(TrdiL3Y)+rYb<9t9Za*ftzTiJRQC(-#d(1h(5*&cnMb2dt(HP#YVj)>dUrIXCTm zZ%sSl5iO@2{1&sOX*YI!61v(^I}evkVVuR+`@@p_@;HI%5}%#7viH}L8r!zBA|Z0` z1*04bG+l#Gn^<|f)+Wx2ZCT@O8-0&^!)03RPsUoa1v3xSSSQL*1Ua`wI1>%i8Ku-v zP)#`Hilrfk2bkYnUr_1A1TiA$0+*HQIZWuwb(?TH=;A59_lPUPQ`0M-d=#9S`fR%F z`eZ|?u|_QMt!JTc)?krDXCzZob_y!0#080*2S@K3W{Y^O>Am8(_2a=J!Oyh2V_d%< zJ28*mO7Fe$)Zdoo#L{mMfg;+x8P6`e5re4Hg5cv1Y?85dm^|h)^Ng0;MYh{pgqJmX zGKOW+;#~?U9kT^m+60;xX`Pq9h9X`)_VWoF5{&WOqiG}L=*-sfUEG!;`NIuwl!%L4 z5e;4o!Hd7doAK$C$7Mf6EP>Xm-9)|L@#l=?wntVYQ&?YrlSg*J^}+`1>q~RNX8iM* zVNN1C{dG%*f12wlLSIORj6@EQ>vQ4W1j#mGg2pZh7R{H);jpGIQaT(PKw1ybvL~_w zjz1b28%DGp&5QcfiG#Eyes-NvuWH-WSnC-}=mo@Oa-zqh+->U)aH?;U0K^L9j;I?p zk%gX}`pX*&`;)Ap3}k>-1rSrK6cbeRI=}jSLmuO=x57ue!bPRS4V)9hEvtX{S62BC7_gK zx+Hkh^9|DHuzjz=a4(oNsex+lekESc59~w07~xb+HUT={Tv}k_WcFqV#nZ+1iphCR zl65_~I?{!x#Ah)@Sz@mnhPhtF7i+&1S(2M7u~(OlUmwX98M$_*K#`bVORi`<_AX%6 z%=sE!?iO0B&DZX^nDKos7i;UNqhIK$*wWD~%5q-YY?WWy)grkJWs2>XXUx_a&2(fr zH*2CB!?CNs+x&Lh<*WU8`aX$O?oWVkW3L^yYd#-q(cQEYEpYNDj$rD{aREsSUcoeB zTfoJ?YI>?ql7Gkt5-3CWrS)WZQ!I*-^Tw`bmdbpfpq`-3$xRgQ)?1t4ju*!qBt3Hp zYl$~hi5SNlVD|xsykg`A&ExmKMg@+4-rHIkp9OeHrWK~HZr$Ws5kR#3w8D+uo$RZ%;m98$7?#u;rf9@7Fxe#`4N33VvmGh0u3RLt_a#3J;J=!T#A0VjJh{JNWsqT6zk_Sel;XOnKOAYR0?Nn$WOs zq@;?ykZIGggb`Y*pT6iFZyh=bdMd4$$+~UhUq6U{jhx1?OQZDmZ*0To!Aj+9`2&sC zcfA~hfT8sp{ucG+1mB;ufJrbt$pTe-s!(~G`>9Qf`ZoDz-#J4UsrBxzrIALw0RK#2 zys(sxeS|)@na@rx->dbuR_j>>{nGsxh~z}GK_AVee)*w(SIPCt-F?tDF%;MRebb{+ zc8Gco-)b}W)rc<9DBCdk!fY9d-gzlydURU0d>3UpgoNO=REEq`B~9md?%MYKMqTg?{DqR#T| zWZ<|hRF$`B^{fvM=yA`mVeTyKmovrX;$Yd4Dg16Jxw7A~_Jq=fGO6LcMl5CN;XGE} z=6L0;XzZ+pum$yS>-h!ZD(l{bM>oXVb(up%2IB(cOtC1QEiP=~ONh|B0oQ}?!LF?- zgSZA(5ouqdz3u&?`?|gYicbobiaS#rN!C^TM*TDzwO;T+rySt+QoQ%O9$h&+*bm&^ z#^>3TQ6^>>DA5kiZP+yQ|AdHhIY_xL4k=9M8NJxtyHbU@>41gpE7=K04TD;L3(g`l zJ1M@$IUbZ>(7@NkA^g#B=4Gmr>G(6CP6Z%e(Fvz=7ma(k3r;MQJx;oP`B}3GTR?v? zzf?35>nycYY5X;O^y|>t_+)h1_*{F2*37Nn>@Q?ggP+-DPwIrc;c-fD>KmOCpk4YL zp{T;Bu~(#_yAkJsU9oFa{J{p_fYPJLjY4KI;cy+p%u&%YD%gdiU{SN82qzwnm%yPq z&%nIv+;eGoZ{~{?V|XJ)7>}9>AjJci?R&;*MhtoGuNz#ej+3JqS-M&R&EmSBepUnm zSoOW0{Y3I-jwCo^Px}|}Th7qs?FKTP%$?bdG@Q$;sS#1)7N&$5m|RP|oawEkOPkxH zda|wzSXorlA|y2hsk;=_!F3lCrLx+jdieFaaU4zjj?+sMnj|~@Sp@<<-K36!T}qcl8pqKWuYduAPdqqu*KxE|;F)*f%fOA?XKgw? zk;4vMSLDmbN1H0uFLOM83HV~|EFzz;&K2TZUs&Z%D^^h1cXsU`%aZgB?&D4g zF80t%>{XqVbe33PwZM09f0ja8ly$v5Wr2=gAUA!1%Dacd@g-A`&P#FA8Y$p$GYD6L2eKyJM?4 zeR@mgc2ri%x%Y{5uru+;p!Om!`7!y4e8m0bD^w2}BYf9G;brdKWQ&-S(;a;j;Dk-{xoeu-2+aw!{f4(@>C1CXhKC9-pbL|(xNii|xHy#mkOmQuW zsUEo2ucp7*PNvFB`o3YML}TgO&AKG)`rbE$#)?q#h?rLvPNDcmr^6Oc=Q%61)8;z5 zyAzHGZhF4&mqf6GQHqB zPlqL}qjg>drW&M(_FI5aoqKl!utYx5jm{7v9L7)SFxH}r?#csYlU6=o+W4Yx z{2e*gd$qo-5Bm>L5;(0WiS=K`I!*2JgGu=3B^?W1lwp(o`=oR1?o0BjGfzHBNft0s z6(Lv` zA&w095y@2fZ`})*_P2!=cfDM*7;$xZTI@3n3zwbqLwAtQZZNr?rbj!GnA>j`=F-#$ za85m`QA6Bqm1treh(lZpd?0J)$nzIj*pV`W|JReazB+pje_KP(=(}~~E;|ADTuA}^ zc8*W;>JiQ^qZFV$wB)$obXqz*`@G)et(9y_%pd}mOxD%NKoUar_`;Ju2>g#@^Gic- zmCSK50L5nkGou6drtZO63?q$kB5Z5dd2>5LEAR9q3O@EQLX>@d6+z%mp)k6a-IXsr?mvcO85_S&vPj9okk>)J@(sNABF)ow*6D}H$3J_@ z-GhK!ZgaJ`Po_H^NeDyJ(zvX325ogF3?yjyS;v#F4^O z`Cf8*PE9tR+Thro>X&JL-PErlB53@%0vV7D@o(q^>NWXaT;10ZlkvelTH`-4rHzzW zc{Qn(q4_9&vvpV@___tT?CZ!=aZtdx82R{dUS3twSz*XmAiL~?ryO0F96g39CLtcO zi{r>Qrw4pE~5G{yUwkyV{!RjrB6{oZRm^k5W^p6}14E*)E+W;o=^J6}GXL8w__Ratf zTCrZ9Dg3)?h549K)(B#P38uLoeR#qc{#aPXuW;0-tHs%n4QoNeY{1{E@ACis;(y^* zIeh~pP4Df+tF09HLK9XxhJZxlKbPLTVnQu4_R7>1%hX7dpFouXnq~1y%2$@@M8tS}($1A4A?YVOVzCDtXbgMt+LKj`Xy#^A?_XtbrUQT=$Tg#*ODup+ z^~t{c)s69!b3;{G_dK6z4;=^2f2l#aX}{i6O?B{>psSVLq3nVyLadbEv|5zR-_hkg zV7UJDJUEqR+A%Ipzs@zlNC};j$#Q1;&~FCtztjHznOwwWC!tyb82oLVO-UXI+x3QhgIePpxJ%U?Kw}<(mn%)*f|V z$yo(^B{QkX!zhmOuUAm1e?t{zi3?MVJ)mRbJA0sy5QBSIa?A?PKR#104bC%98O zp%5yTtGlo70oLmkfsLFl68}NwPp@1HO|zGihRxY5sQk;hXVFacI}(FRx(>v0hktRC z3ZGdm3dt6#e@-twBKHm`VI(3gd;YsrFONzE6+rpCeEp{P@5;z2!OAd-yJT!GjeYQ_+u~TOZwUIw%zDec+v9Uw`)q~ zDPGKd0b%G8!^?b-{I~FMIvRNFCuanN0U?gRMu|~};=g^u;MO-GSy%U~TFlT0v(s8s zRco0gnCu9SrOkUt#C{5)B-|H(useB=ln4!u1#6DPtM9@ve*EIShH;wC&>KK!8piFC z9aU+WL+CwAI*WsbpRI#c4NWy=A^>9~L&vCNP)qpnNF?q&EWQ)ID~^??6`^frd6X>U zfI#riGl7)hP2Ded>_c5ieh~~Ul*!$HUJpwsc{88}3zP~4&i%)FC^+`NtA~ysEQ5Y9 z<$ruIKU5=}*V~1u6(6FtZx+dpE1`xh_ZK=xDLNPX&9U&6zZ=224BN!Mf&CJmnmy$W zPptRvJA$9*=5_!=_XqlW^bu}MQ}JQj>?el7HB58GO zCcr8H_j2AR8uRN+vj7o;OV`q-3n+<;+79GM9t6CYU|(!b)Eb@B56u3ny^(@_pE>sX zWO3ulKZVBV^t8gl0!s!`Wt>2n;$1|${HwOCbH}eST_cw;8Cw5d3aN&UnHIX^2z*{@op}7hatu58Q^Rniez~IT=;OGpJWp!qG0LQ(?{Qr z-_B1Nb=`wm!QAkH`CoDp97k>~C?vcg<>OGdCuV<4B6YPfh{(tuI2d zDg`eaysm+Nk!$-4AU1KmL34M&w;HX=$>NDRDovLk-nn%AVI+yiANFPOQT-CTV3bkP zZW`XvBNs{n#YvDalUv2gI`E`*_kOWN@{i8bB30MG9tpVN#5CU8W%Cpl9GBnoh|^TL zWk#0a6yP026>WdC|1s|a=Jn{Ax;!zt+pG|Q?YzCmqg#gRm@=i^3636lT})gGjRR%T zlxIQjoHEYQf5W~E;~y<$j-lC9Et~;Mm2EU8P;jM4p0B#PdTX<|Y65?ptE3iM{YtJ0 z`{xZnlI`&_azMB-h|bqbE893J7Xk|-q&nV&Q|dFh1ob- z_F`VolS>Ht+n!!#5MBX(F+i-UnUODS36?vu@?eZNe2q1#T#%b zN|7V;_yb-+xB0$ls^1r=_~RZdyZ6$fQ>fJJpp5%T1Ztt(zN~bbRRNOiciheyTSu6QSEcmHX((_+*%SY|!v> z_}AZDN0vY?>sfWX7=-8OE0u6iO(wixMcz{PPI4V>fDHbTKY9sgs~<1WbpEl~{#ovM zSNhJxd>53VKh{n880qI7-}6A>vke2uaRi#`G`>3V5@)=yEIQn$eAkZe|Llf|5xX0o zChH=C;r!w}$E1p*bNo6c$NuO3W8>pr0lC|3$2MJk>hWjnJOs=-0hb^k3g>Yf*P)}h z(x*sV12T+MK4led@V~1OIq;j~h*YJZMF8XNF4nxW5$^iBYl!?ndk9e#U)|>cpyail z5<3L^--;jRsS411$e0jC%N0R)?k-H)QxBSnJN~}nYWw{RqNDYxraTG}!7GlyWJVx8 zhiyWjt~e;`6CK!z1);K>kiq40xBJhMs@^Y;gn;YvdQ*n&XNbO5CglF`@AqG*tfF)_ z+W>fcE7hr?5CK%m=P$zoBWRA~lfEyI@xUj*IFtNY1m1d8yuM*!#V0@mlMPWO04l7D z%FN5v6VYJ-@s}zP))7mhrAt6yxzQJXUQPvws_Lm+QOEk35QN4x4$akNz$R6}Jfl5N zJ(cRuAy4@$p^pd1g&TpVB)cM7zm0daL0+x9vu!EYht{S7A_wk~VYGdJ2J$6&0>jAJ z^aN^wx{r;0;)RMli=BV{Wa!0-Uc1FR$?3X-Ow4s}t;~y}#Q_q^_h-Zw_~i07W7oAV z7a7e^#!w}Kl!_4ua|bfpiY~x1Pn6O1Xb!*E!!GAe$t)sqhj}2612XI%hb{@zpKZ`W zu>tIwwTkqOH_`_#jc~CeB z*OH8QrYxiVX!A;a3}B?0U?yBo)nSp5kq@Ei3 zc+kvDG|6}S8YNZ>eZfyVKx4a0PG3&8btfDg{^!+dg9 z>K`p}Wz?p6d|r{nc^$!`y1ebT%n^8w*K} zjF>bj&yoguZ_qp7@CWIVW*0GAb*bm)P?T8k5~x?{P>r6#)FJY}5iQXP(o$LG!Zv)` zr7A^PpYo798zRZ1HLr}LZ)`vaex9Id;{eF9ZA;eH)lcd#oRB zy6N}Gfa;h5LA%^i61S#_@BSt^4P89(0%F4GFg`nJNpKXjKNB23lC+W7-qF#W_%$iI zAU{nFNJ&sP_?<0hyrvj?;%;&}o2!o&!;_XFSMyyM2qDrL1m4>qmyu-Z#?9=#@tBBS zv}E0-z$IIeSbAuqFF%;dkTYE7QOPMJj6(xw{DtyJp)Nw$g^V6_Tnen~CR@;1p~U)e&>it z;H!M3>o_rgh4F6;-!9uYvv-uhq0&GnN`VW`OuH1LxETnqt)&sn-t*ThhI6p2D!-v1 zjumg_j8-vU6c3+4(i0XTQ|zdn+6qIay;qVS%Y zm269{G${+iKQ7E!v=Eu!6sMl>hKu{Hrx$l&zPIYSh-ONG%%OS{@$<0&2n=jM9W=b2 z)>8eh#jUDGvyp-3__kUSe;0!TVJu9fi~~>i#MN#bh|lZtImA2qh+Z1(L^GHbBp(8W~Cn>V_d=O!pQg%v(;31KeMY zdg_a|y?N2*<+Ex@B)W)-*%;hZLt0|83z6xr_?-=q`Xh{HOaM9Kv6Dn}6iSEp3ZoC- zOs2{?iZmV`da^#|6|%;)QVZ{j$rPfFFYLPTYllWCh>(ven72wJXPBo^^FUA%QKA#s$%^&DefTwGLuAB*NTZoNm@r?Szz-qv5(Lu@CeP$)kZ7Tj;R{*becMVu!uD4&VV z3<~fozH^!G>#w)zIp<{P9Y^>qBtcFx%^dF~T#iG5GpijkP^DL_-|!wZwM<1U5IAWO zA~ClQz%)Bp0ev7WBp3n>VHmPZhR4=&p~@g!Skq)91_U5y#&(MAHMqAZF>0s0FuG5a zAYIJM=0>^g=~+v8IT8{qEdK`Omf<@+cN=S$&Xa2p?qCy84ed)7h@J2`+`lIRjD=13 z#=-A zdPy+bVMF^;y@gqAnS9)^HsO47`j!ggG{pg$6paJ6s2`tfs+{n2EJMFoJ_5*}et4)O zS70BT6Q>m?eN7JjAOse}(I23av(yduUAfkD^S_)wRJLe34pIxpROcf(d_==y-`2Vo*EMO9W-V?x76%Kzzp^6=rVzN}J8dl?F91ycU~(Gv#|&3Q9$)-uqX0 zN^^+M zs^PouPqZe1+yaDn+p8PcJL=4=P00qktQ@$0ek6I%PZ_gLFEV^7YE~a!dLpZG!%K9m z#J-JU^gh#{dROz;)Dti;9==5HnA7yeRpqQvOY?hP4U7gXWsEx_Qmi{U;5Y9EaY zx}W8n_P30%KY@JfZ-qS1u3lAvMqNhW9);8M*}c9z+v1OC+bm`Tp3+__ds*fIccQtM z3_`tSaOeoU6!sfwW7kOHhaTtmWW~Db)INJ-%B8PuiWoeGJc}2WvGl0JsQpWs^XCcVGxtRB;*k943 zA{OsH|BRVk5_;Dw(DXa2)lJc56{hct05;Zxa==p%0c@$OOe+J#9Tc-$N)TV7)X`{>jx$fW=rqB9hP`)cQ#kZ9v9cbj_BQP zF=us8+bzQAzD`r$VvS43$-$f)!{CF+_~uyI2lL->mC0hsyyect6PsUkG^BHJl=(4? zd!Bp*GC|f`l?y$%b(XhX9uDpR`hDdXRJKO)$Y9U~JS-8$*FV3?g9HSR7niWkL9kVABEM*@njQ2Z4&G zBy!e0$zD%FL2Br_3Hxuuit9+`^ZuLZWuXH$d<4Q&0Qw0kX>bKuF!ztRz!tfFg5SPgN-KiH%Nn<)4ooLvlbo(p`ho5~VWF0ed|Doc$wO&8=oiU2u*TeG`2%kH_K;u@8u_T7G)UO(UbNHSP()BpC> zaa_l$^#|>tqFYb-&(S6*Vx1D7K9Lr;3I}_;41Cv*Il6pMhhc!M!wc(|rsJDRW_|;g zuaU3EcBzlvfBdN7X6(&)tkQTId(6|ZAM-mkxwGsPti(aoxdu*}cC$q)u@v`al`&ql zo}{;VUIhxM4RmoD;|^8ofs(9*Z{>*bTp(o6H~)BlX)`SIwus$;_&J=+@YwhtnGHhx zZ;>*BLz9(>l?cR89*6ZskmX6O*58nC#Xd*C!Iqx}tY|v81u?KH=%MYqsdKv#b`-E@ zn8Umw;pDL$Nm?jHHF9HX zq_Om!JX{^aukD2Ic0m46!`H^o@8;CS;78A&O32&xhNeQD-&|qv9OtJ@n|CJ;m$MTxU=m3 zk0RalFO)AOLqDch{uF`w=-oEQd$LKqL8K5WKV|tz+3%vh*N;;jUX$w&#yAy|Wfwxt zu$#p?_;j)oy-8BU=xyzOXWm)*2w7)(g%OiOaQ`#x6=!dt`fv59M&S?^$ zn<)&e27%|Ed`s|E)K@UHtpA}y71$?u?UysIbC`3Ofx?N4QI((Jma8aS9pgQys=Q4o z2C%hXe}u!U$hklQ0Skf(&B-1pDJ6NcbZx<{s3!7RJ&4o|83xC0eU1EQzC?Tz8x0Kc+Muz%LFl7k?CBQPHZex>uS1^YL4@ zx`^#Uns7+iTenKQqGWcS{A|Rl3cA-OtVWY#@IbC*jwn4pN{IN5z>NY1j8*E$!=D|L z_h?Kx#S6mlPyurLQ2HG?T5>W~n{cx|6Q#9o2W}y)d%T9^?Jpao%H)cIEd=+@Ddq-^ z%i=3h6x6@J@p??rf#e=z@W)n7)0CT6^GuCDJd#}G+}FtNjD&T^{=UnZNw9-MN-BbT z68GX)NmcLJlBcRNSG0#L3iz_msTETHr2axx!&5X_kSwF|_z zN}TQ~GM$upT2|*O&Gt5z4CYZQ11IkU{iK#!$a#VvKAGnt&lhSWbK^Xg*st)|XqsY6 z3#6W3`JgD#7PpVoUW06G_--;@8Lz>lnL~e{2qKWV$$e7d?SXt4StKzJNl8p1f=AQ$ zKE)`h`{qux?>C{FXGeQd#WX^Ha9VTF(xXUaqBD%eg1BaPJf8|iZ58UKdG);u-1(;Q zJUo#k?|PcV!o4$CVEbjJA8#6BW0>Jy#M2pLyDTMOXJG6aeAR)41ESbw@V|=q>y}C1 z?=>pxJ&h!z-YuZ_8hHG5Qe|0&(D_AgniO@T@D<|}ES;ONA${$QsY%bY?8614if*t{ zf<|8cNqn7b$y}MsctbkZhSV>NC9pE>Fuqj}a~_L}Kcn^{;SOfdrP3fS_srhlJ`Q=x zO2eqkFW;U9#FD$)3>C4ex$cA}JtWI}oxvV-;$gPSCjqMvKmQmdt9K28CtvjJynAmu zP{TgEe5L}F9jN0zZ`qfBpz9{h3))rahz=im&8{SI3IksyJirZFM596MRA<$87+oVi zErnS`s>-mPUF@?w=l_D~5prL$?WWyl7VRHd?V8g%^ZHm}Q#4U)=Uz%44K(s&xZ(6yqwB@|9h}#rjpgYZWXL zDlsEztbU&Pu8pE>*11va>}rLhG0yc5$?_>ibuI0vblgRilIXJHB_p`hw3Jh>rM{L@ zVt*(vsvy}(6if1)a)J@pimw5ewZaqa#3Kddz$nm*wS5@LCv`q_ar@3nTYO$cu$aR*@lofSVT z(=}p_2Q(iQpJqjOTo&y}Ay*~FcxYkAya&0fEe@$*C3&$`Qd^ii#F@{iGkkw0?YT(I zqSYo$*FHp2_W1^qGOWX;kco)PrO(fmP0DT@GfwVXW^69=yD}A}pWqnmt_-53|J` zIpk;JS!R?~wBbjkID`uZ3(A!$q1e7)SZcM!MXq+vf|)*f%4sMwmZ9?O^&RPlXI0pP z3RO~GC$Wkav#R;-t%#*P#cQJ!^CIJ#X&cv_KVcNab(1JuG|*L8i>A4~hKAa!_fkyp z>zv@Qb3a_P#uP4-|72ZUG;@l2J2k<=5>)7IjJN4eO}EFo`tx&nfw;=nYwuR?N8^p3 zLO(ix+-Bj_N`N}=S;Ko!P#uO5NJf16>(lJIc$@2)0p1UPw{R-5N<18VkAt_!OXZm& z2&eU%<=?V{@sc50D#ZK&31DqVg^eczX$nai3e*ctSu~Zp za3O?A)c$f+2qRnRPKPG?-sor3{*j|${#t_bio>NR9zQ%UQ&GHRyXXJjKS`bu=YXW1 zBoDbTJg|O^!-sDPo7%i`g9K^;{;lN)Ub=#KpIKx+o!zO&z1ui zfWfNf$jY@jM2UK?H8Si57iB?kJQ+<-7>e6;I$M;TDK!b{cM|_|)P0{rlDA_t*l{U{ zM~3!s)RJ(xyy-iw=-7DLM0?ZES`v@llLifv9fuzFYNCs|+#l?+CFYP9rCQgl{d zH_MW!3xS1~$V|QExY_-axw;!b#W?n)3(}e2_g4!Up#lz#L6hQZ`5Fp+Lr^$=L!$}P z>A0f`X5BI=X(42S7L7QRMJSnO^eze$RHYScuT@VcR?d*4gF z*S)93{rgiv-*pv0Xg3X%#D9>SBAsPigympZItB*iiQy&OJtu7!vSd6h>$0(i*h{9D z)KVQu5gL)Suc;q2XxYt~o>aHu;A0qL#U769Kcy*%J1%K*Gg2<)z{k4q{&Fny@eJ## zywjxi*DI@Whsc+qqcekz0GGo>TE{zh3WG^iM(obwg}4mF^J$6ltAyYvgCFMXvKh}; zRohE2mY}N&MMabfJm+&AEqSe?>R6x|+eo3YC&k@G)fVFyFs3{>kyfWOdy+EBE7@c4ah?5f@)S&Q zoz8pdQGS7&S`UIq%Yc{io}!5E)_E_c%VIl(V60!;Xw=pb^c!(05B0RGKlNbq=3+&z zkPVBdmC09$xyCp?34rl8!OzL_EG7nLk>UOBvA2|~>u_Gap4!dmpTZQb!m(Wvot>O1 zIL#Bk-TQb0tsfQjeI8EmRH`Z?rFSrCnjR?+WhK_=DpGk61CC%YVVpij~o^&e$|BK%%-LFPz)gua7Je4oT5)ZC-%-R`9<9<*W3TrBH)ekq#o2Q(9S*2}2pW;NSFQx?M| z0qUbTBHsa8;<*_1*;$c_%6wg4t!9YG_nFBt?H1#a$0@wBJ#9B13ZvPZf$st3D%Eh%V_n zl@^#>(_)gdFn{v2t80Du+-cKCL@M$zKC%oQM`27dT8HV}4UANZ{+9EkBw-Q60mZrj z773A#SHV7PSVQnus2=r^?rGTS^V+(B>IziV(h&$`tzwIXGc!|uDP$18_dC7qK zBxm$oJgxpt6bdFleZu>78ndOy>oLVwb1B$LjjEq*+4zHnP_Z(f2ZAV!Ds5$^(uhOdlT}UD|LpP0IG^t0_~3B(+BF_=XB} zamOWoQF7u_6gDI?d1ceNEJ}#Kkjz>5f|z3%@`RMbsx9MB1>&D~zuV}|B}jb4@9`2m zWJ-HwI70t3&Pn4JMp?v!(6uGY-XvnH7A?W=0lr(@lY@T{O$%(IVMFYLz`< zg2&@=ANObcdd%!2@p+NTux~~G+B&GQ#)z@7g-Y8llAwhX;rr(Cbf+jU{)2Wf%Aw_E z8}3=mI1$6W`4u|Y`hatWXrZW(4v7;{q+ic$DAqa-?#!Mk?^OD_OaOyRN~!m^|LX(a zVAq>4S8ri)(BIa(qq>%U;aR}q%X;{2yrdHpCYPq^4b|n&*BH=|%jTwu{S=lod{pmR z^aTDhFMJ}h&n`PCApz7I?UwncUDu{W9t;Wwn|VyB3-d@jH2Qv4zju*9o6t~+W-1N( zxrHxEu@s5n5&sH2mlMPjK1XrV?jpMKz4Ya#Y;|65Yo})g=k}UjO7(w<&q>$)#rz1_ zF65EgQ_O<@&*Mhb=INfU4G%GDOEv}Dyxo8wMlR$7^oqmgYWJVRVZ4b5sYsr+kXhIL1;!wOm1B>2a1Q##<1n9l7-~jU zdv(0hIv^V+FpbxKuRt*o?x#_O(Miz68NCHFv;tt7oa2hS=gf~T>jJx}L;e6=$i=8x z&|j>5nMhHD`3Y$KD6E(%_f;dl1K_j-Hq@Kd!*3}E!i3KL>8fhe<8W!bNaxoH9>1TvsT7pbSkoEjQ+=mDmx|c#kpPv1-AQD2;>pS?fOX3CIprstpd*zIslAP#9Z3VY+{FD=eemRd?W()`|jvG1*# zx%Lwo#sQheEG_hY5G;?NrXJq^{XMu*yzUBchrX!L@u=7$26E;8s9cc<$LmSsxsQ1^R8SQ3V0 zTZO4dysDWNgZ`j-W%(qT?ZH5)@w$QLsm4y0^IvwN=Dk>R{c@JaZm`W=n(K-F&-YbN zcMYc3;8M%EK?Wsi>AH25y&7ZjmHC&Z;8_zoeTXMw4tNL_yi^4TVZL?lYO``BfQNYS zGLG-+ZGGch{tI@Eb2^(B{(<>b$$d@!H;QUq1zV6pmY-il_Pk|+!q`-lij$1}UA6t` z2*o@bC-V|}whX=*hl9q-tC}*79jGyw&l?R#qLcW~WN8(xTMjx^Ganbua#hWGBO+0+i1ADeai!$QONcZstHSbubf|3~LE^!}5k4m*);41y zu6%Tr&R#_^pa*PszUWC1gv*V3&Ln5QfyE_NvVeOfvSq^=Q%u5j#kQycBzRvG7wXp( z!&JtXYPknM)# z!)Ol41LCl$;=`Hb>QZlwhyRRxu$8A4H7-+_RGfwde2RBIA!Bb|V{fM7$IAvP>cYZ+ zhZtc)y0u{4oWFWWfOMkuDcBvuZ?{S(pq@THvqX}l0X*sg0zu!r_jaS3Us-kU%BM$% zThYa^0mAekCGC)tco9cD`8oic7070qed_Dx(`njv>ws1~avQXSBtAA#fW9Z`qUT-h`Dboj%rYYVUCJWhsG3SsrS+t_Xjr)`#sKv8Hx=s zNwQXoIwa_>Vv5fHy?ap2xxGg#OI3Vnw8hAiw;iNVQA=%NrFGCZ6dp}tlT-u8mHk=` zElCIFG9hhPZf4z3d=!9U+XOZ3M0Q!c9%=*}LXEo3BS@uV(}XgtOch9#{a;FUf3bS- zde)+7vi%-U+M|V>Kx0Nuf587o^ldQK>~g`Q<=~lR94?}G{afXL^19*grW&X2a_DcK z{J1{Kz9H`8#e&3evNz&!s zTGO)=q!5{lt2o{F7UH$Z@$-5dYNer$v+nDjaz_-Q-R7_ICGn0+PCm3!`?cW!wWKGjkHFW=oA>J-ghd|lpx$d>cN#U>upR)q&-;YRi1bKmNs&MQga78KyRDOEdiB)0ZF~zu3AwnTS zIL1*J_LWtC=B0aWBU%uUEYUfayBDvftlEfSCC!>YrW%3$ZQ2v@c^H}AlD-3o5u=DY z9eRJvxpThafYn8?mp23MfxFr9A5Zs|DmX15G;}ZDu=nG~*O~k$8K#}*T-)B$YUz-e zE1=OV)BEF`^@%y{*Pfk|)Y=N~LpU_$fO~#P$iMj*=7Ku8_J}uVM|(0`F)=SO?C<%d zNPrrq^^B>jQo0~_i(qi#7!^a9#J!Vlcdl{CQP!}>>)24+*1ns;B&bQjx2QLf)=$s3 z)biI;0`-bWvI4BrlMWpMCWW?92h|AF4oCVvZP9<$wG%^)xVu@xawr*Cad?&{t{wN2yc`=I7CZQCqw_1)rC@so*jv=A|G4tG=J9M478NvU3_w$ z!eme*9rz4Dn;xxm&ys*S-g)Q3YijpZ7(ZFRfK*Tl8k*%GY(nI9dTiuQI$}CM6`X!G%sF*j)N+Z<8<&wZ^qYX) zkkx#DCV((yTif@aYEWG6JM!5=NJq8(xV2jUCc3}h%nPe3&z)SHZI>AE(AkA>V_y|j zAUfw{$}d3h;CI)v{(BqSw4q~-JayXoo83Pt&T>H+)yDiIU(yh{(D^=Vexw2%HftJ* z%R**ipeD(^l@H0miED}}94D=Jf9E>D=Tf*cs-YfP5)pA)bOyELOm0W`mmyV~Chy!A z2P=dJ0%vdPg{+9WtXdU1zr3e>v(^R#`J>PzmNRKEPBFXSlS9NT?f-KU-TV(G-Y$vy zr*>Jy9y>upzN-^LNK~HDLuUxK7erfqgY_Z$o$ZNi#YZ#*SpSkB(4yoxdGC+SHy{2^ zy5qtcs=P`DV~xsz8<5xZVzlEqiu{^kE$kR@#%x(e05~j%xrlArv5&4$2hmIQ810^j>;L?yv@EyXCSJ5oi)^b$lS&3suKUK4 zQE{Dz}uj+;T#}1P}rZR>&nBae`;3We&L8C2c4tO%c9pQsZUtn`WSv%(QF4Jiq zey$`T!z4cbxxW<^iU;6ajz33T>ZAUtEi>+Y-)C1SC}EVYZ=qM1Mf)R#U(Tls&w=rq zwsIuK#J2AtP@&F%OsZP#Eso$(M@w}F0_2K1S8mW&2110}d|`Ug7WB z$M7dDQuLPlG%gBgje9!bs-^s_Og~KHdFr9YxAy-!dDhQ-14ePJQ!V0|ze0y7Z>1b} z14>nC+-|7!{C`oZ)`|Zdz+Fu{ZGIFXf{e$2xJ&d@aU+Ow5GDOO1(g$t{TE)z<0Aj| zXMY1Afd~Q6VZeYpAm!fx_>@80fjR_;Jonn_kFs91AR$9t8<@RwI2a5IB-L}T{Q%1h z9}58vrXwzMKm?z>{}L*dsSx>&d(WUWiI9TKd}q?VAb#V8>5a)~^T|;@ViybW8|>?| zY)5@1ohrLvPoq3iC%RPq1U!(2SZng$j9W%zb{VEsl>?`?Z4r(~DVW7co7vx&a##_- zwSbT2Yt;yw@~NAXq9V^imv645IP%Z6Yr^S-LRn(LI)ERQtnmqo_On1|&QMwC804O- zGWz+w;giPB+H1Bmc{YZq5u2eT&%eww6oL-6XC^3bYTpP^e&xDbL!p&EY!_`n|E!cN znl2_0Gp7nQ=*HAHC^P)Q$a?YaXT>{G(zja@5~GqeB=s<4?^paL0$?Gq3^7_w3|aLW zKkdJ^hBo5cguR<(*S=1s=+wnv%u9^NoTO#1tyq1SYViLur1mOX;n5Gz>F4yEvWBn( z+GgJe_NUM#=LwvA+?$B394rURj|z8&OAVhXH(@p9`HGXgXOpZ>U1Hf(-oklm^bwGp z^?C5_1{e`Vkm)7vlqv!$W^ZKUu>fiWlN(>y5(;cYjN2|23v47~;HDcSkgpBmPKVic{8r|8mn22XbdF9)jOpb4)QC{2 z((eJ(OH%RTL{^g31vdI6BwR>X0=yai=a;J)}Q~FaS0+ zYcAWKytU(Nl$yDZlr$W5&%3z;zZBAUjy4waE}2c2hADJ-VE*BUU%$`VZ^|{N6s%vKlAYwy@4P?n6oyd3YY`wR*)T z*%|0VxImlRyL0_VU=cGAH`v3E)zt`QN(kdnn&^i{zLQmF1PlO6`Nj7Gq$BRzV08ZC z8G5Vd5<}t>*p;rS^**o-rP(gbU!CXI3ypy}O!V%jii9<#vhCo0#&KNGBV_9bjMMm- z3}gR3G6pFrDR}knmZN)^7(M~EL%l*K274Rn$O<&=RP*%m%k{lr!fSpHRzJ;R1YL+% z^utbAJaNu2!o$s1dDI*syH$8a0HRcQh%J( z3O<2JyA5QJ(Hvc9j!NpQW=;Q=ri&7m=$G(K?jYvS^<)Pw3AfpX&cF(n`l!1IFpeU> ziJY5x57~AOgMV_Z)uZ%gIrVgb?(*Km4$D)(|2|&s4TzHBYjqB7v*U^UUO+mFAux0w zy%MLNxzf8K2jsE^I-bclBUT#2u8-l{`kL|B3sZUWA#>w;?9j8o>p`cB99V6AL;rFl zr(dC=OS@)%-n%}<*wtfXjia5JfiE#K=jyOt=201BDIQlUHR6js2{Z?$Rz3Oke?%Qx%>IlQ?8$ULArEv7c~|V>$wSYuu7aNJKMJG1%Xu51EC~ zB<+3FMm-EUq-D-*h8fNTmBHyDQvPS&KE8?ay zA0J1Mn54KnJf|=CZS4RLattA3SOwp@7{$x~ddWWccTv0CtDIBkA2dwID3*|M>vyO> zgE^>wt;J{wi5a@cBpX=wx&HjB%Pl0SO8|5#{i(P2#)R!UnabzY-rSv|X|Eo`W6COt z`%{sd{$&fu=}&C-_pL^$mR=Dil)aGQJwZjD!iQdZZn`L+n9Sf(c{9#|`j3&Y;jU6% z9;)3J!e|A+Jmz1a?2MtX`b(QMoqQe?_&2>yF>NQC7{lbpqm_Rj1RX!6Lo95VLroUUQ0CST!&DnkgvLt^s-np?>KHMrhb^6G^9PTPI_T5>K^i;ov%YP^ zt_Z}-2N*;Iy$2c3|BH}DF+ycad9(cnFqJ~Eqi4J)4IK#7YWOF&Q0I`62~9Dq4zqL* zZ0`Ow4TUFfR3`<49SdG~jA98M9HjE2*uJO zJG#$m`K$vqyCURDe{h;+nNFNeWw^NqxwHZS(~#}ZOYlv_g$xS_!Zf#$!W}}>ImBt% zm@QK24-EC(<}Q%*|JE|4lu*mq(BUr|VJOrUp5PWepGrZ+c{zw`^E-6LiYJGwV;Ff0 zBu;mH0-pBMzt4o>ENjA&=M5AI8M+ivlYd6O;b-z1lP;TTNkia!>Tm^a0O$0aUDLI( zeFW$j12*U83oI85-B17CFs}!pg!}bB1$Pwnp&~ldeey`#Xy*q$e`@G5&47Yz6qB4> z0iR*uM9Nl^)y>>r#P~nOrcvl(kNaZYuG|OyeyfwG53qCmJxU&tEO)Qge?z1Er+g(D z^Wy5^h$kxK9X$mrxJLnSyZ?OuPv95=_<>Jg8K_N`I?nWqJ~BLp4BV3$MhQ|k;5BZn zy@7t`>M1}UVD?ghND(`06BUsWiwT7;ZX0S6rSEp9(8b{OZ31+9zdJ?JLulk7rNnO| zBTj~aVf_KL?=#cJDxOUp;bW?b;<{BUU_S8r?F~4Y*Abd<1709Kz;4i`{vf1co7q`K zwU$%ldEYq2w7L7N*7egd=Qm(6ggL^39dJE;{hRFrAyC%DAh0ON2OeBKI*gcgyHv+V z{f7$>1SE1iI1O1KNZz%Yh3$qVjj()P?+=aj|CE&ok>4Z@(|>hq?~;b#Y)8wb6o5os*fT${me^xE1t-;+z9H_K z6>22TIQy!S9fj?s)4@;eBEha(~2@X}CT_#_;#&53?k`~5&*$h7bm;G}1vGalZ& zFY?x>=_|hcBn@j*PCs4}{olL;w)SM&Er5R>3|vfDJ-QDKoAlkcaOZ#1M*gw|VF8VK z;8I!1lG`RoG>Sl=PzjC|h1@qj9q@-SKYltT;a;f@vKZJOi20t=o3MBM3I1(u5t7cv zKzvdS`>ON%k-Zn{>5UB@g~n>U?Wi3-LskeA5h&cHEoe(inT+Mt9)RO{z!`gR{7+UG zS@5pRyCax<#h`R&_JO^6`n>mL5O00dOgUM&EE>%qE9m zLMrPtWG=3qqzK*9Xkm58)QJ%0X@&D9x(W7KIu$>?bLW;X$ymxw!*LzkeE#{Y$}SV< zZ=(Wwtl@~N-Q?A<5FiUzAptsz>J7m2{+8!y&owv1Fi&p)rO_PWaEm<})<3=|?*f

v-CNAc_z$VTdvMGQwek{&O-%qU1HM)0{i;T(d-5io{{EDTa>IZgf?HKP1g9646E zjn|rIt%*@&0&bV~m(y76a$n!N(tE{XL6Jh}@AkPq&Q8`LOoSvn$z@8KHYvkY|5i z-QbEW*PiqwV_hlDACj>l6)_1KTOhhUpO^&S8}fyEnR@}m>+#<1n;PlQfjWl2tHok^ z@G!lXYW6&F2t{{&F@YB?LjHVfvUx@P#=`2$*~5$mqq8gb21Kq0X|F4YKujC-d+TL@ zGS70?w=3iOv>tgKi5xG?+BTpPu!IWlZ(IJHdHXk5dY@IEf~Td;ctqpHQ|mRc;PuI@ zhL?1J!uIsR{Ox79BvmI_ra+-dU44+JE7nUnQkHOHFthIEguyMF8H0)s&^5WIECR+| z{9$h&)Sl#ieL6O_zYx~X{oCjt^%Ji5B~pxihtIQ_;n;uag_iw18XrJ)q#KU2qZb1oiep&U1}Jgxv&O!o4%P1}=}mDY$Ym z7iTGWzmGr2QvA8{h_gchD8)y~kZ=rN=3E(6;K2Rd1{zvZG^UhG38s3~xD$H9NX{I~ z*P`J%k8t%x>*CE)aZG;4l3Pkm3z)^dAa=)nV`2}*2H!L-FfO>^UwiB+cOMlveiRUY9jK4zfBH1>2vtU>ikNQzLH#fyLcq3;U7msO^ zuJ^bbc_`EZ{X;9=RUuN?j~{FBUW?~&dOrbcaL(_+7o^43TH)&0&gPw427?w4ExpB)B;d+iDC0UZ8X*cA~BX5HX zx;7CT{<)U3z7d|&1-9p=dzB1tzKarlx0%A3_R9|4bCSGjJKk~ocp$cL5uwieK(AQx zBl1h4xTN6+!>2-qA3llH!#V>k2oQZy8S}5Q+~|uT8C~q(+(UPdw2s;ft`aJSCpcb;^QoK48oFjKB zM?hBc!gJwR4jKLQHMvp#hV++EN+Q*G5u#J4vL@` zJ+!KyC{nu{CK##CQY5Oaemwc%gY!?WHTuBEtx;3OBNG}t{A2Ex?WY~i7V-DqUQrY_ zd&-NJM}B+pw+W=A2qy7WmAxIYYdK;wT=H+*)zWFQ+-UrMwd720RcY)~p#OaaWokPG^_b-Pjk{8mHBb9QD!v5g z8}ehRxD=@_D}NdWbzFFC8$H2kSD+o?-@c z6zUxO;=g$<^RUL=+_fg;i1oFi2TemPLTUC!HGGuo`>7fAQ}f@Cg2VaE?ka`@R_X z(8gTWOb$%#FTWE0w|Fjq9^}oJOC0_vhfK<);bJC==N7xdpQfgT?(| zkcCkD{A$SMNc$sNopL!S7&xMJG20L)##Zf3sdIr4teA83oBsU6vsF1}!EW4sGyJkE zAQd?8Epz!Ew5OVA-`}*lYl&Lf9x4)FVG4ua`SE#Rz8m@Z?;#it|Cud1I`|0z7rBg6 zQSr?$qvABsx||2>1HDUnM)UI*_0~kIOVx$5QAnLfR6Jiyf`gzP zJW{HGG?QtvClf-J{&5ZncWM=sc4qnLQxjAMeRRk&tMbGqrx5(I?@t%F>p|wXY~WXowAsd6nwQbGHF|p%1AUCez)v)TfL~EH z4>Lj&01u4M5`>9&qN^1_iF?lhGt8VKTqOo1EYpU09x%t8YxJD^{(QdH!p$@t)6Lu# z-k_`e$y>+}+iOa%k$?5;=F|nHmmKJwn4kjnloi*GrLfP%RYCX zu7(3hcH1}A@^a+ApG`3lQ%v$sma+b7>Wk2!5ij7FD3ur>6#T9ueGyjtAf%8BO_iqD zF7R2=WJ1R({CZ1*bVmS>uzUuqv>Jo|W}|T!$>v2@FZJJgkh!#* z;V~4oFaU337O#a!efSW~NB>g&-aInv#`l-|$3|b^bLM1ZgjhY1rmg4dj+!dU{ItBm zac(rgRPCGEi;aKuLAu+2`}dx(uGtE#pgRj?#q&SG7`eN?R7#MI>%&gsOW92?tCxR% zY-j8cV?a$L#)YLc<^%us1EPO+&$k#Bq#m-T=P%WJSMOQEz+ca8SZ>_~U(RYuclMA& zlGkGB$}dwOz^Q9jdvQJTx<<1>@3PYM3IOt z26QcrPg+y>mg|wci}^W;Cmwdxr_XlgQaEq;A5raa!kq#`d`!Mg1Ab>&;PIPybb#(x39OA${Nl`OG$sp4(Yni0Z-A3^e|_2Tr~ zhxpt%*~zK}MR-eZ(hvTxog_40ZmDvAo5@!czC(cYrEQ+Zo_q%z&@TEQ#tHX?caf^~ zMbyzCP{h@(G0kYXhSSLo)u>6%lg+&bSKU0jrD_mgBGt@dnpgAy=$%3xfCqTIuG@f2 zoE}+P6;8X=%*K{>Y0rWFHV&6}`Y1J4^E8x3>UJmH1}q?Fnv~=Olv}onfkxeU+%{1k z(p}l}kTW%`@%jwbVs@94J_)%{ga)X$Yywd7o2UDut1dnAVtq6nGMR+~=ir?J4Li?L zcHL|u*^mB{p@y=mvSX=|bkoMLLA@L0=dg!R@LD1W8nKD^WDwBgszH2~S1nOx>SDHk zX3VkNhR_gdkS@O~ht$=mBec3hmh<@Tmkr>~iDhRcZAA^8eLmAXBA|KFQq)a#_<57i zLac;Q?TZFBdvpKsy_NV0?+=kzhe~%pATn`8O8xuH^Zfk$mL0CD_iW-+;6qcQvICOP z^E@ljG6CS0QUNst^W>c8pQVcGDxzte)#(j}{Ocn|a$|?pX!++L-#n0=bU*fcI^>kOyi_rxav+SG=3e0Sr|C2FVhdQ-LuGK-3< zo0#0X)0-w$81$DeTXynLLIwS9I452jp*s zbcg`U_5IGx_4!2!jrsRY&`TUw=a}o2U&z2+5umjYn&FheCo96*1OMyt=CxaKee8qf zyXy-Tkjk}V>7w^@DL=>Rpg-EMSyjObt-O|!4;dAvOO}cu`dexG%Ts;FHKT??F>;dYcEy9oc;63zSClt)K~C= zaLhfJMW1_@Y%2(0=@Gt*o>LEtB*e#pa*mbmzgeJaGK+u9;VI*-Qw^`$-t+YO)gXQX z<&yq-tL(S4juO}bMIM7y!`6U}FL`?!WC+WAKUH(!t zZR#{#7bP`N_#4_y!K=eVj?~sFwd=31!IbHY&AqhCAli7oRn?ZsS3%{`c{o7boQ^}f z$6zWyerVA0fz6@}Q{wKV=kd4pw>~G$uGs0>drh3vd$(yT3-32x;m7}8_Wb8iD`k)s z)%6{$?*>J@oe5Y6H8S{Ogg~nF?)Jb!Unv3dF7DL zpJv-1wVSZoWO*N?txZdSJ#4IvogRHEdcCI+JWQ_2M}nV|9+z*+fvVKF(c|y}wa^c1 zE}`4g87_d|Lv9LNSCK%>siSfZ%FKwFPRzncm(_8fI*NG}i!-u&nQ4k5SZVo_q-OMS zEhxpKwN$n;P1HdAU#d>W6{DrWbXGlm%`YtBgHimcWJ!Uqhx>G*byNaou*pY$BB(Hj zXJuZ~dE*cL3RfXGo`WY1wv1e8&V}~e#3`Npp+fis^|hyuZavuS-|S>W&AoSYx(!sj z|NbBv3-FTkck>7Of5M8|!mfDEbG7GiW$Jn~!e55f@AVhcTbJrj-CW?}@}!%KL1qm* zS}o(xLof4;acwf1MVR1L0w3Gg8uF>CKe50+?y~V{T3xGhO2zL6_12;PY5EH!1FJ#QW<#jrNS7Na?7)!^$8-p@M*7`K1t`bj*F*7>$= z22ES?bEu6x6`d1mz;nryAsJn111=Cgx=%zIiz_`GKXT?P#hmVYjc9SA&T+{5UKFD; zR~d3Ufz3z=?0|;qBfE{1^t(Y2?e3=UE7vjhJ?*4S{XbC_&W}iLzAeav)-56h5y*M3 zv5WLNy4H3>1>LANEzGKc@)wKcszsTG1fCGj#L=P=0{vC=r$2RhsyCq=zS@ukkAC(= zgGT!2T3Uy$eppbZTAG^n*knr=!+?O)djxaw-rFWhpHut0n}HUOXE>aUU#SQ6_c6JifdWOYW7+XU1Klu9W&R)JR^UwH-=@_gJiisibKAt3?fXP^E{Eb( zcL&3|12<7>TFOT16Se@B?kV)h;Ks4s$Effot{A5GMNjz*7f%vFv(k7Y^rU> zed*{pr~WzG%l9bmL4Vym3Dg75Po0fVSGzd<<@uX~zJ%`*yB>G1A1+eBCPfnyRvEMW zdji^h>vb}qguAp99G>QpaPxBkz4NipIab}I4;v?aI>Py9$%ESEn@B%m>pA&7Oz$uq z%T@7X@ZD+x*6JwIloPYr4hJE-beT?U}_T zyxd@tJNX2TY>&@S%OTTQw$M-pDPqftzpr}!e_t_Tfm>}ECiw+W(kD;krs>jWlwL;M zAMB-#hHj_XG5CwkP#liBc%fD|*$CXB z8{vNHu8AQ`}06I-s+2a8KVWpeqWWyhjzK8jR&@ zJ=~U5yOAWjiz55;sq;HghURI)=bXXAl;b7DD}yRW&Q@!5nBCcYb(4X12W?y#sDc|3 zMCs{jGJhb|6pQJqBu1#!Nlndfe`>KsAAXU|k2N$JE)s&t;On1ve`Y-;3g{Pob=0de zR$RnAyOVwl<Uatbe-Ym3Jvt?~s_2ztz zm3ZJBEG)N>^6Qz!itkeC+>B$5%A2a{M8EMXZ%wAYmS->l?N{bE&1Vj*p+@?l#qc8( zIk)#bIvEa&-?q(Mj!*nkpp5QUA#b*LD5mk~3pSRu5(0qL@f5_ZWvEf)H=<4zVGL;q zHPm=;Xg92}FQQGFflwBkc4IUaeS{?4nR8I+m&u2_Y>{p%3TFJZQ~F z4n!Z*pkGrO9ecS7+*N5)>Mem;+77lVTOM7^xPgmSdK>=|I~lG|L{Tm?{%To+5eiR-r5#j84p%T5i#*s(Hkb7%#F` zD?PskKn+g1&nr>*v#B%f@D%9-(D9m7CN8c73!R};pj+(U!5V^N&UBmn4w*yrLNUwb zWQn3XF!`!9$$qZ!k)utjN+Lp6z^_cQ$MBo|{GCUq375coattgO&kKc@vtrmBH=(@| zBlKRp!y7ND>z+IeaM<{^%1?qvH@bMtF_b*xk?4AVlz-e$B8kt{zF-O}I2-eaNB+z^ zR-YL6<^O4re@9Sg6pn=06NIfG-ij`YHrwN#%}9pLSGmqd#Ic5t6t4__w_xlU(asPd z4d1|{e0$W3T4^Z7V;Ts4KM;vP=r7)jj_K;Qs&5)%9Bldx&I=8ivx=`a?5bbw>>d0< z?K$=QnShn=SSME_n?sBU#_>oIHs+K@SSp_(PvDBAotvNAj|-?5CVz}kFEDFku1z;N)McVI zeTViMDIXjPeZV%)EHAl(S3Ie~ZKjO8jOuPgRzS9MXZxWDp{`p)*ODb7hQi;Rq-1wh zC;y>5&+hHmlX}b$D{8Ib2Q$Axz$K;5k-E`-q#FVm0{ZG*2e}Cxs`qHvDRXe*F=rwFI;E^xKB7A$9I?37#p$0 zl$tTg2ok)reG!B3&CE_-VfO0^u}sCd=984xdbxC~T!MyrS6!mDlG{vO1h#2-stRJ< zmm*qR#T`DZVO!XoCAaw~KTm?+Mcb5B`}`E(4df{oTZ6iz4kzA`EP4Hdrqt=x$HBwo zD$j9}tbyJ&*Pv8Q+)(n!Fso^Q`aIRmrDn@}BJFD2<^|{o44w^!SFh4IEYWy)2%bar zXlGO`R`$1|&&Q|Xn2#UrLXdx6dsMpBE8I6p%`*19uW6#D=`8k24S0>0ogY>UicF{S z$U8n{rWZv@L^Om(hZd@8Q7Y(F8qANRgS75cJdM0FeN`>XXXy3fmqwU!VWNS`wxq+sX@YQ#78O-U4fkPMHj|JPl5U4Wq-fN8IaieNAG*~` zy8Z4#kqwHnlil(THdHKrT35?t$POgnPZ4+d3`gKfh+LB&bV!~_f8Z~4+KI3!Xxf3)^L{-hoY3!3jEas z4mz;bA)L{?)=HPf!mIS1uU(gkS=f?yD6D#I93tXi6MRm>Rp%j zQCK}a0cXeh(0Hs^%qt>xC_3Ky&&Z23nUxq-A3fwpTik<(0bf|Rej_e6Bk)fF>t z+$%LVlES?s2nX@CT1b!ci+v_FYu6Je@5)%jpvC;FCA4*Kj!Si%#`5?^iEE{+hh%OZ zdGLtMfqEQZrdIU&@1 zDpU-lqZP`@%#fHK2{{3>AJ>ZIED}}xOShm1t>n9yCGnu>xk*k5n!cm3&ZB`(WAtj#Gn-g=13EO1fKFp!a_YVEpU;+?uftn(>HB>R$ zls&jLYBcW!8wE=*Ira^2kMHL>LrTA~nc$MYU0aO9ZbZp+0)I!11CM-iWn;&a!p5&pvkh-h{T!UQv| z8>J5m5X3rsl6k%K9c)09pS+O6M{!`)9UAxy%u@L8kOYp><^tDPi9?LWNyHMvj&tISCnK zT?+iLSXHXSA;a~_dBx#{VHXR198Y4H>a(G*Aa3e6>l8ff@@LPESCW%wY8u7`#B4E5 zAH8KdCMk}4LOdVKa-yz}RZFa|*NosOO?8);U`^$~!A}A5n}(lENz7UjHyC5bN{z6) zJ4)r&8XZSyc*w+X7KkCB^z)ZLVVh*Wp89w(_9aMZO8v3>)0S}(b1G6l&nf!|PB1lw zHk7|Sg;SBVu8{`&32v2W)%Vjj_H+*Vr{bbEBk>uV{cMu&cVav3>b26flOokB(N7+y zt8HjtWIe|#-+Nb6&ARu5*0Ney-%tEq)F%*g!4e`yg!kz{us39iQl9t^$5sZ&KbCIB zdmm7M-Q3EN)wkA%D1lJ!z)Iz7TBXer&D>3>&AAf~J_%sXFKy?=6+{Nm91_gv?468H z;WIR{cI2LxMgFb-f$Jont8ihl-YB_;mf2AZzgng`xnoCzcAf|G!(yM?485+H1B^4w z^_)~!OG}_Vn`_LYsxQolOzM)*vW}%@kfMuilwgWPcx`*zow|yXA-n{%jOKas@Qc4l zuigMedNn<)G($f1*8olk2@vv3fk~RSGhOk=Ez*)D;sq^5QZ|S;9yR>b>6IO)5V4}& z_G}Xk;g4K8@+)6b1Dj;ZlmC$4yjf5A$l%(*p6jbrvvkapB){$lYz`d$X9Ts2h#x$w zB7VsbPS=DRQU0t$nX6nN{fgxoeKLkAj^j*`#+yw)6npai@?J^uTk!G_@fermK~49* zvRV*IG2LV_|JC*L3)Df88c+wleI?=$TI?8Qu61It&(ZFooqe0bcu);;#0A>^8|u}9 zN5NGocC)g-Z$C`+A?nTT-oEg*(J=#M_737{cd6GJT@Gk#XOd2eUbgBqS0*do!l^-c zT_c^0Ik7{Ie_aNbsi%v0!hYFFBqa+CCUSJpEUAr9PN>~xFE-O`{B@t=MPIxIA|mYPD#d zaRJTT-W~eSdMoEpdV463J7%nN*mdvPN%JYNsjCl@%Z%V&OVKo|JGe?+b|-U!)cz|+ z#-|OPPC~tAD?yWlP7uHMDP9~X$aqH;sHs)Z!93}9=l(9fmdzT(NkfRzmfSbox$3MA8>7dV}-$@V7Gr3?HYakVD zCSb1zuM0$>N}!GOpD&z>M<{nR4k9!rFr-0>qYC7sm;X%|V)oP68D1q`NnR^n4!9Ck zc2|i}RMylPhlyHk0!h(S)5B<8q#400yuTpXBQ7<*ew|h-R-Ob?+Y?VkvA*t1W>n!6 zozMlbX|k+}VDn#2ec|-mc3&SB99UE{n~_`1HvBG5%#@JByVvJ(Ri3CP?7r zk+1GA#R;8cZlY+ ztI=9B6H;ehrU8yW*v;yz{kA`-ubb9MsLjaiOqJVyYk6zfW~3Q+bNp+uLWQp11A7K| zvF%BBo}HF(ydth*&f41R=;LJ?stVFi3A3b^AW^^)}e^`Q;ZYS}WdJh2(E zrp&+Iq*pwPpEf~b7?|_Xj*o)GE-sZI5phG^)UzkU zaZ{aQxEk5j%H-r6ET{j`agO)|)yr=5MU%z%{k<8VO}(xI*cin$6?Mw|7QznUW%!#2 zp;(S`r_pNCs40fJHu1;djm|fSo#E4H4rzQtkH#o_*in9|jw4R@jZr61dec!D*#i++ z!cq2dQ~F2a*ahBp6DRc*b$N}xkqgq#k87URKdT`>%rL3ruWQ%EcDhiZTqACEL~tqW z%fk29%O?0*S6WK+Ho64eKeFdWPIeafEm&NgjTG%rBq~O@aRvCg#beB}Ik@~s&UmiG%uWO~}iwS67(zWb= zRQpEWYl0PHiQVQ$rWzU3igs);`b53T?#DALaOr_zBfSu7P+wjW_=WaU&ONm(ocP8pXob|Zs62*VCUsc@yw&~gk&27ETw9gFlk8;0M zoWhH#xPFwiZ+$eXP5M$r+|U8j2#3*AO1)Mi0)|N&rhO=K55ADLfb~~$6C%s*n1?rV zQBGufMz+&KuUH*8rvI2B@46YVfsJ}5{e5Ig+S2~NCq!OLrqe(-ANnu%D4%~zzaQv_ zzY|jqN0e2k?fkIR@IKY%ax{X+=P3>q!&!(I9&?N)XnVW&jD>~RQABeYrXO--VA8&y zp7C;};fGFA^xLn*XOqa-{+syUxco@2f455bA8lzx1(+yR4rFgAouyc-GcBerl>Vzi z1vLZLfp_B8>gfTwZHCg(O)6A@Jvl*r!X?st#u_+jsRNZ)YkknX+NFMv1ka!1;rH#g z2VPsIq*G+3taoN6kZG^=E_}C^z#67V4Sup&=-+zO414PPH`@-5_8acM@S}FHv0pz? zP)`W)N=^+)#{XqWqbZt?UHr*kk-DdTGY6=D+bVB`cH%?Ql7m^SH-w}U#l|M+UuD*pU`5^KgBVml_v|L?Kc zQ*SxsKpi&L;Q|FMoOZcw@WTC{$Y~soJqe_oXV!M7u^URr^%woWx&ErU;@cvs7B$+d?~^Xf3`JQp;jw6Vi8Sj!3(Q*c#D2ub|LbR2_tS-LmrPomD^LC- zV`R^oSHB)1@oMR%-V07FP*Mj+w1o}y{`m{RN(8l7bRv0S5%h;;h?$T*4R-D|oS!uc z-Sse4YJ^J%ql&iKalNFx?DJkNU;nQkGGAizg)La*COoYZLKVgX?M1rdH$Obw?*&y>7M_NmIw;LqD11 z%B%Xo7Q?#NK@Q8?MdK->`}^bPi{w!`W1lVQ-M)q5MGMnpc?L&tY`X!(Gs9^C!c2U5 zrs8k<q9<>c*F|qVE-ra$jNoo&Ksd1E+MJY>{4WZ5BL%~ z)aC-EMu5lqsN@v3s=4=xI$jz_ z!LP7scY^8(@o+dQyEk$KtX0-t8igf(kgBhAXgYNl z_~U9VtU&FuAv!F1YA;vcgCnvTbxZLW1Q)LNMPqTtJ~Vr*g2LW+7t~+16!S z{{T1qD%S`ZX>AvX`lBlQa0;tB-xB@D>I#e>uS6`+6Hu|!q53BL$E`82u14YI^z+9b zxy?U(uCVeMP`g=<01=XesoFfvcd5ZRNmJi5cv%`*iz+q&1?0%;f zmB(46c69lN+Hv%~94D{cjc38yTyQG;O7fvP69wgs>>E#6_hc&~hWPU^P_6jw6>JWF zK3jrHF(-0faZZlo|9KVFw;uLT_%*C=Nt-_L=I+=@&v7u~Srcaex&)r=bQ%S`!pIPn zgou)xo3bsZV)OAbUXdRI&4squD}*+x9x@G`+fKAhan_x1v2i;~FqiY`{VyTB{Qtj; z1bgOxo~IC1x4+(j3>FOzd%&hJtscIcWHr$Tz7;T_o1YVJTsy1(=dS;+_d@pbYd=cb zeXEw%OuUZ{S;B$;WeLxEq+E)rE!+UHhAuP$X6^i0>V8!_pfB!2f;FlPi*$>tcDcEe zU|-7-5S;FIG=dlWk^aKOlzLMgUPI3I+DDXsNUn@Nw1A=m^gZ=39~r1Ix$m|ZqKvL z{$nGNy=?18*@}Cp9>GY0^*6Vo%gAkQ_+L^a3gi7%^v4Kg_>`5zgyRMEZ#%<4%O0V^ z@jP%7zcu{TG1k4g;fMW*H58&pYI@r%w*Vv4I$(Xn@o*A2Ax)UM;vD8inI00AhwS}= z-!@~8ip<)VloLPPo~$KgQc00a8CJT!wKu9E=sb|D9M(6uahC&U+9pFoE7`!(dx=LGlU-+b9q>nWxdv!R$;qo^J& z@W+{j4Tydvzna0K1#%M%fg$pL-2~Iq+`l?l^H090oi9AqKMfOtMM%=t=>tCnCcIC^ z9!oh#p+(*b18*N6VQ~hN5kyzSswRo3F4ZoSj4bVdl=qPYK~NSz0nb=fm_Dj6Y%PEP z>UKNZ$DZVg0w$6*su$u&2F>4d{B9vv%Li}mo9EwUhVqOsW}hnTLzu&2FmbO#SEYpR4oK4fbixpvUhQRZFeFQWy~syeK%h{DE^%VK35WWmo(*&Ti!#KqPzg3u3NuCP?ZW_kk%7rB zGIXso2O!&v!@VCz*3;I-GsrHDaPyqWydUr)4cwh>!eRJu8-tu2$o%R&1X1aN6Iu=; zYT+BjQJhhP4hddvB#w`xF^%GUnNi!zGxbZm_aiQsZu&buXusHy5w48t{c=}kHwF*6 zm&w$)$(5M|Q!dFSIHt2cxKBYm6bOu62%WBz&M6%5N3se_h0{DlUg7g8QZv&)BPv|OJ}_uIG*LBn~7 z?-eWGoOr@ebv4FBp)Zo+xZq4bjq|Z}rzB&(khged_^Ys+bL`0K^&h6SK8TLi^G1SnMCikQhkrVN@qSaA`woBhjcFh}s*W$A(s z1uK3@>haL4f+M-`Jd7nIZI%WV;AfaGMoJTPms53|E!R_BXE#(*MJLTVS9yW{ut+h6 zeNIM*#$b{6&7#0}Uejt*uuw{*6)+Fd)i-&KmOe)(ZR-J6X;#kk<0n4YKjkOtD}q5o z_l*1eO%$i7Lo{PNtpN*F2dGWQ=0$lxEr?z_2z3rq`C*WrNjX^K<4B42upyzzNUZy5 z;j6#}XmU;ACRvF8}b%Is~gY8y(URepDSRZw<$6kP+%^a1%jAtjcD7xNA4N z@hB41!hvh`5RBR8y)y{Ti@QJc3)>=zjZ}_|K4(42uMin*WYE0(wZR1 zO6RnAyT2YP5YpqCn2ni>xPF-b8zpfrecmNX5-CC2v!^x_zfzds^B~&8!*__QOg(SK zv(lOw+Vf35^{EFjtS0%tcCNG;E9u7~^Bkma6ZP{KH(T1|yp-}$1NaV=2xBctqHxB? z(Zr@_RuBTzRgxBiLG2a~;?)Fa#O$m{YVr^PZ0&AL9%&_8|AC-g91Z-2_IUJu) zG}&<7Yxkk77mc|oNDnrSCVTJ_Q9B_wZ4k7CcQF5A4SS*X#HkeOO=5{{$@kJK)^$O| zv_cBh7s|;$N|@dD+5|TOYzJo$QO(^({BA}lDMQ<+TP@O9MLE5HklJ%4E&}t8D}{1gT!essQhr9B&-G= zVh+Y0bOp?IE}dz_G$lIQ4&3HuDGuN(p0+4EXA;u13K1ziN{z@o)3c1Y`hoYqs_tJn zd_4He6n+;t(+dGKbW*MQmJ ztR}X%mR+gtc7gT~5`}aQ+&I>5a<%hLHI{ZcOCu$vJzERap2!`){ZCc_40Jgpxi_9E ze%GaCQ_&Ee@K!o)5w-|3r)mQwaXFo=M4Y&cyj|uqZLY&@w&a74yx;%eGYyGO0258n ztn=zwWWANvU2A$eKJN{)!ZyD(CZaPRFsn%R*;5)p+|UNG*I7}Q7rLDpsUbz$Mx?n_ z2E}h&T2Hi}`b^3=g|oamW05m(TAEo!BW``%&ou`VWq;hTv_%eDKDgRMArKoOM{VqC z!o$ewoGXdJy_M8(P&-+rNJdq;~W>o<9ySdtXUzW11V+ zv@M!ib9b3B1x1P0wa0y#+{!<6@?Q62-NN%`%-3^zDo`yk65|a|Cwg13k$R&af-b)? z&dFD4qL{s(UO#ko?v|yFyU#oCqQ*2?(th-IwdqWVxhjXK!H?Gsmnr?qwOLp%1Q+f~ z?5|2A$$fKcGI(ESH(`3hpIvvQc7exVR3hVqYdLjO)nZQ+XrMo^n1qBpcgfe@BUx%p1IUK6;q_itYak>RcBQ?%3#i>e|zU1jakqNQ^^DWB^EOd0)T!b(F6b z%63P9XEC4EE$W7K3n81Jm|VafGNW?AhxsX2NUn8wwoYUk4L;|@Pbq@28*1!(`Q7Y_ zg^V^(t{SI|r(VhD$oC}8WgUXxiK;0PE%X$K=sC(-Oh+6BsL@0M6jdcj3gQGz{7K z@~GarV%D<}h?y<2?`aE;y<$q*QeGXO4e$E@69EQlZ13Oit`O2(!wt~8TlMtmr%8DA z!E8w`)vf#Gr=6q6W{@#Ad~H)79&l6%AHmp<Vp*VOqn_^!6~*FS31pIh)m)x#$ocA+c4Hesk9GX z5b77V9l#{PbI2D*R%)cy*`^wF_OMa|IL@4V7e}#JyQK|kkx93MXEr+u{jqD8T)~DU zMkNBl7<`f$zd%;iL8pT)z-q!xo3|b#hlC(F_52-;6N6SNgrSdU|FsYUorUpaK}|As z!5bm07BX4?;8yaagcgOFc^H<{zo5?S5XevFx(MRI-LyJSWY&z3Ryr6XP%Ilth2O8) z*Qxw^=R9w>T)1{evefc(bFDsU6vXJ`A+Y>E|F}Wot^{nKGwMvW0vzWnvOe8U(i?1kS%cZR$~{s0SZI zw}ScmQ5v1;U){l*We`qmWpN0Z=zSpkP5>NY~WMv(|R% z`pw6E{`Ba$T^nIC9c1jY_PHkSPYnxhhZ`Dg(O+6vE2WH1F|7h-ZKK1zslgju#^`*O zp}i>RJO0%tQgvtn8kY7xXeVAA1vh=f2=SfG-KlTq3a<@S>ACo#3|-tDzn~?B=OyM_!O+jT<%F9*ch2F8KTC?ig6ETS=x@#wS{yc9Ipy*U>e|u|N6P z4yvw3L8hAx1#ZO_gn9MGU~vHJL-g1BAU;j~pY9zPQGTq+IgC`ZYym34?@iTnhd-C* zY#RQYH{>R(CgsM(wlT^>@AY-|BMhjbi-f{6Dq2*Xp)?$LD^R|gNj>3i6fu0(P--I%IMuE^8Aw_d_X^r{0(O6fGPg^)fTp z7bldx0PWwOt4dd#I|A9co6a<5ZS`?O1(CRja2t_IA0{a#n_e?T-74{6%}v8xkQ`=v zW`t95Bf+;0FCuE|(l%g}XS2kdV$!wU5@D<^BH9MdbT8R+w&rXf>ouT#n1Mo@bx41iQu<^3Fs3xi@Q zV!@h1N!!ZxIO}P??Dr#$9D0~z-R*k)B08UoezIDZV9NanHRS^c_RVH0H84D`r%t~s zHjW{GNE0cfnp(5fod))yqn5*h!;glsv)CcFq%%iOit!;19QV~NJ1+hi|8oX=fgfA* zrH)BR4(h19;AQvZNf*sIsATKzF2-3T5#unPaj>-m&;G2}8Le{LFyvMM4d(6P&s0Y+ z{ctbym7yc4;dF2}4WaB4Itg<^{&SN7%AAR*fa*9e34}e5dt6%8qeQ93$dApWqw%UO z#!AO9(U1fOxoMaREu(J`nR7B&qkj63nkCY_wTjLK)aRNt;x*%}vIyve2qU<4 z6cZ?Oa-*hBp1h5*3hGk$ij%jryI$7d8P?jJ zucEU}bT!re53ydEYf=5xX#OG(|l!$c9Ic6eJvs{UZ;$NiXoe}4qnL%Gyip8^h@_!?WvB0$pk#)$gv!dpQ?DxtrgXqJ*ZT($b^t< zh5l!#sjd+XtG%X?$iy?52b5V~QBw}RAw$>{X)g?$^~IrZ2%v%i`3O=db@f9l;-R1} z(1QIKU@W@*6qx`;gb=aXZ8Q}e6nNkbbV_QS#kqz z(P{{(^lI{%Pe1YTPHG@vH#*5wJJlh0d2Ufh_Xb67-1u~+(6}YzMThzpMh1ECGHw3U z5?&vU380Z-Q=|n8Q4J0kfIq1ebiJ>FKcKMd>R841!=oWl^8BLQqoWm_O9J~@1ZM?w zS#P(i2b{)4Q^<07X#|+(2Vvsk%Jic%2oggg2}u35gHB6xn158~h>~G0A~@O{Y1ljb z?3Ct#+^#VByy7O2R-G#Qd->PZw1d&T<@&BY&j3K03Ss5UN5a7rjlA3+;{sLP;HReO z$||eqTt9g-&=TG1@@s6)pa1ga1>GkNrL%1zIsq(al2iVa@k)62@UB;$bh+)AtC) zlN^o~>Rdd~87wiXrnXmK?Yj?r!widpPNstIPGjY!W^B|%q?AF0c`#8hFDr1tU9Qzc z;7O0ny8H8VUu{Mv`W5&1t$J9Ox|6v{vXK@Ef*#8b@$Gco3T}~%M$^5%Y2y{vgm@kf z=&g_GlAq#nJ)x&wJEdm0*}mlkMtb4-v&sY~MZX$#SFXO- z&D@LQNF`9Ez9!=sB>^$fyVrV1$EbbB*OC)a(7mH~JhorgoQlWnzy7C+Y%N5+u12 z?p-n`2U@*p+jxeZn$xvC>DHz1lIO^UlH|5dp54R;-8zzB3m(cqA_~Mcu$;gG)@YFZ+`Iu#jq6JIXsqVr5=VxjZGKn9+cbd}3o zk1XIUMmHJymqj1WrXRgUm_g!54=ch z*aG;yr$!SHLgj-M1d5NTmU07(&G|z^RJF}uA*5hmx&J69g#`y$X*rYQAL}G^>f@nE zL-MVU^dAJ~0J*XdP&duJjjS?T+Vk6HJOjtT{T;-4Z`9DfJT0JHck3G;$FMC6roc$7 zsE3MG1u4|UuRGzfTKt;%w5ex;>IM7F0${wDvO)}V5V@$p)HGP{9l!@^1U@4m zAa>q^pHqq@iTNh&%Z!9+Bj8^joFUJxu-k6}2-x{nmFvVKj*gTj#<38-O^1jo-=Ko(OM#aaZP=C!jBhy57HqM;c6T~N&eV<&!S*=P0&-0?M?Otf+F>I6h ztlugM+gw6U$l5FaeeExpgy>m;7+mmG9tU~G8pyPj+gM_Gn@MsbOI_a?!<_NeH-d2C z_NqF1YBSk8f4%)FNzum7G;D(%Z(jtFO8wk7Is*)u|5-IT7a8CU)rxg#20uzh~?=Aj}An;>p*M{F7Cbk&6U%L zDGy@V?~f%?L!pTZOpZbL0p`bM026382N3oHP?PDTkP1fmlsqivi46`$>L2*8f-BP| z7|ZEy*MYKTw~>!WvE$s+GYm=Y29;rhGjLC*UJjf?j#;qR%ePCp7|4k;jqsbPj}P6D ztPXRPWN3M=ZG4dyJZf01=;(vbTtDLsg^$2!Mr0OP`Re$uAl@me&v@pshV6gkteiNj^F+3bIx)fVNL{Uy4rxdsAx~;_7*He7N#JKPzO-l-; zc$#Zp}8!(>Rev7YP6c}_9mDfNNh?Z%q@!bo+It{aTZ7a~56#RipfNSu$x zcec5RH>u29A3L~#_<8&c^#lWo>Qwl% z<+*P+7>1u0)%5}r4cTspA7{S3xH-AZyezd*HY!VEDpHz)wI7zCRJX*iK>Qanxb38g zezpYUu1R|6H@U684__#~n6jBnc0PcQXK8|2%@mx(?Ief8IxALoVq#8nDECMng9;ho zw{K8BkmBd?rbDHsk?hI2j=$@`omqQfP+7Qm`%7r7SmAI%^r-s+x2iX-&ueh7y>m)U z``354*h`wXn2hH{&C3+(P_M5IZqxXiB#l)S^NvQTMYtd(5{dIauXMB;_cJTaXic}* z7x5%&u3%x6&|hLs&@JYLx?*(o&5&BNep3CMTqq#6iz}7pLcjc*5)~+{3#N*KIrty z17HLKh@!13U6yV-19Vyd!V&41v>Nl-FB$XD-wd#pwx6tl93?S+7Q{(4*~Rr^=XM7NV-cd zIZT%)L+cm=qNz7cW`Z1Tg8iN@KT{qR0wtz)6!YZ(D%*P%4E6gj3)k=8HIC65{Qwmp z*Czup^|i9LJ=*b8Xs8M|SMa)YjRPd3Mbp&AtgKaMoMA5uxfb{0-dS}qu3Q##pZm?$>=j&>(?%u zwGk{)S{C}?It#v9Eo*k#h}F8$uFXLFvT-lQGYY&+)Dh89&NAuEh18pzFUpTeV+38 zXT0f#qdVxReB<4SGD6>1$g#;P9g(dPT4gP{&CLNOIHO~y!e)N8(-hrdC51dT%KrMGh=dHJwDs$x5h_1D=2`LT^u_sa@V;TN| zA!}{xIoCy&>^JY2^v=wuci=V&aju;GI=O(n6sN6JI)A=;D4T?&Fu30!vp!o*c!nH-J0e!cEp0@E#?3cSZjyBLVe;yWB&_icCDMs?KS+dwH zf>B8h71F>jq=g1E=at>_sx>BuY9$30;{ zFDGe?7x0R+b^lpSJh^b{F}R5)9ENH^6$D4I!$hpecg6D!6%JFMgw0L9u=t5g9Wq}& z&*VfwIQQf2TSSs`(eSB!DZ({WuYQ^2(GlVnf)@nx z0Sgs>iVu_n&t+~;yv}=p?T~)6_67sI!a7SO`I3s$NE!R4^07?--?WLZsjyTAAL00? zVftJsMKG9`TKjm8v1OJh*%1CU)1bhgo)}JJZ`U-mapsv7NwG1Na{BvYY&{S+kQc0| zwau<_?O*OcXkSI2I!=&09CkOB?U5o1pWfFOV?>a_y-9 za(hjC%X3&Ov{h{c3Hf?>ycKWWb}h%T`dIt6ojr+T-Lz7qVk-v!6u*q+LyDt%O;oQY zX_!kr@6TuV2K4G6e8;qTl=UGvF5=8Pc2&r~I|dOnw*1D+uwfw`ok@Aw>%vqL&IYmv zeYrn=GTyoz^Lp)$vJcK}Or+>!x6Frcph+)XeVzpMoA<>dko;KN8EAPu$9+_1!$gLv zl*aIFS_l=zpGSJyV)>k~hR_3{Fs~hm4R3;SIl~v+Tg;2e6UG3aue`m#zs!QI=B@ly`J{9G>Ws>)^IAeh1&@&Ke3P zj&Uy0*G9gS2!4Sr5#Dw*3`}Ufg9B3JiZGbmR3Xwbo{{83=ab<{#<>M)h|MrvYk%}S zg3k+XfQQSXVoKqw%nyYX}1KY*Q4Yf(=_Nf&MM9YYT>|_7Tw%87a35SXh-~LDr$24T*erR z{<@s&oxDh_Q#AQg_Y1!T%;)>bxw2>^QZYS@A?doGF)x%+jHnujt_x!+N{))RoHnsu z{sj@#7KMhQPZ;~S;Woht$kAFHH(ln(P1k@fk=B6uq_ZNCW}Or@ukj20+mY}QU6q1b zeov+R+{nZy#gAkIq9~m*=CphR;>TNX357lWjMwhls;Ua!w!>tQZYkT5UHlJ z+>aP5dVD}{MC+zU!n0FV=rtfge?6qf&))T_-Q;GLTsVP-b;$K$qKsF?r5oMF^t7H= zUuhFp#jF4^-yY$ke+Td6A5GUVr3ZH-tF@BkyH|m4;Aeqj9zmlSS(hgMxovh=U^DcH zqNjHKE}`(Vv0F~0R!9j9v70CnNj9msXK-N(>?&Jsdq4{jvz}Tm&V{rPB9<#PtyEr3 zqU6NANT|k-r%K~(PB9xS?62J6Qg^1GxuYP_!ZD}CsNe2JO|c#p%^Sz8#2#|O@i!2b zT8Ie~;syVC8JWpg=%hSUlHziFhPK`Uq~*5VZs;fj!QpmeIK!>7r8)JDqZ#?a< zbzYcXP`oVpKyTo_m}$XXV+GHzT4#nY{y+|tIRsRR8Da@W-AQ4uy{Ny~o_-gp*8a6J zLy}+reuC(e*c(iLYDGhvS*{Wj9lN__A0G-1Ouyh-?`BHx%~jO`gbJ_Ul76|}f_-TN~cJAC@5Ah)pxfwSWE)!>oL#(fWVdVe#lLST5&_);6 zg-@CbvcrDjMQzU3i)X&WC)_)Q=CeJygS&P|_*C9%8=LA0;(f9GJw~VzJ!fsM4kIm3 z?C-E82S?;%yXw*9zi95&Y!+R%kxoMv;~XW7W3uGmf^-#!kG?GQXsgUPIc927;C-jC zLnBV`-ur9v=X+AQNyauR4Z|+5O9K)w^dJICCFytlHS7hO{(?Dc9G~Pq0%LINwiU}QuM#+} zOtBr}_k#&NV!ptjH(7p%xS7TQMqwYvVe3oXIq7hR>t<1o~eO!*{FNlJC_b{GqZ zQ~%Ne=(RV~Qru?@y!|p>r%V;hSyKzAWRO%LAyRt;9$Qz`kipL=9XdU%eC4{xB&EM( z$XML1H!e%QtxATf4hFb}Oh(_lkndnMm6IsPzSsybi%a}(g~e2vu$UxC#dw%5Ax6j2 zQ|+=a^wc%C!boLcxvKpZu*(h0$O4i)3h{}zBw-{`uKkrni8f4BxP-LX^$YE6_)LoT z#B9S?u|lc!rnqIFif`$kU;excmY&y)9L}z&p|pdB*p#2mx(t~+rk>tAgsObLA%WWH zTMWg?PRjvl$E!&mYv;Y%ltbbz7B9;&S|K^dw({zr)@BA5gi^kVuc@?ccdZbub`I0n zyy4{JuIcf|Q597zp?8d!zW&dOukW1)pYN->YW5NWPr1Uc@y%>O!D#sc}6z z9E-R#!c5~aAFhq{ z-rG;(hI_f8SYAW^BZ4)*bUZAUYFm#+m3q~Q6@0mWi}4ndxxrURW$8_xPr7VZa%NkK zDln?5bDYmBP6V*XRlte|ZZZr~9~Zm=^36%4OO=Qi$N4YSKOK3VQ?B>B_F zAfD2_N)~WQXne1+jbhgoinL96ic77iq4mr2`?;_6D~o#U^Bmes)!hvc%8SM)jJ?DUHy=%u@Ab zY6KE{5hs3`Kq>C4Qaz%8R=9GRZ2c0G0RG*4?FFVM8l3iTR;j#WVIAzjS$GtbmGlJ``V%)m-Gd z}5QL(b&htC$)E|6{ zg-h$Gz9e~$EYlb~oePl`7jqg!J=H$vM-%lxJ9ihoR4HoXEr^83)~v28@-3!{xOzQD zGf|vpTDcJSyxm!ToQ$YI!=G6V^EpY(eYTybv`aogK!T*kuuFK@7uN`7NPt<;RF?nOar zXDOF+r0Gq>F8YS^oh@`v_m@}q$6M)b+ijET?RrudnotE6Dvhm+TXm?Mce?6BPVEd& zr}k1G5@y@-q37u|7qr0$*A${z#iH-ROb24*QBhu6HS!hFRzz`cbb$3VJ3n?fOqBhM#O~G zzRQQC(F|!^uV){r>?d-BTL%@Y9S|Do+oBHMFTcYyhqkkYKxRbQhAp5GWYQ!1xHo^@ zCT8o7^gr+V|LdyV@q1LR9`Z{0QZF>-65`*wqwY7wnyLXLmqgTh8N*_nCMLOdBA%N< z(s#C{h^&I|#fzKOO8o^|@|S-~O5E+FIY{qhN-gX(!DMQ~WMHR(BE^JnAZ`rV6Wj;u zp|qSb2{Q}C<~*kiNeY#S&kAH?nS`ji7W~id`>XXY{~w^1@<+gC(m@afCxt&gS)RmF z0f`<34(nqPw6Xn%F7TRDLr9-%zP>-icN*u2Iqz@;_%A~FQs^+*7D62ag;(6?L|3IGK%)65aLVzd2E5pgx=Ivj$qLtkl`DmvhFw871 zFP|C91YauI=yk_8p>2VvE{>;&C*^#@3|UBAMg()HKaOL}EY*6rv=TbZ8=U#A0TZIV zZr&0^>RzJF78#6qJbEjt&@z3H!hbIHjX60`ftr-E(i6k+ll`-r%)ot!1op4JHRr+X zOeD4{i6rmoY%fEDK5)R_l>B7hU*+y-J@~C&<3@-nA*SbQ9NTi%1PTM$jb+w-~`Ap%C|CDWN8d17&GH{J1ijgprBw-r6(ym{h zY&5th5xGaalhIfRSb+o1Z$<47!DZqbaF) z+!tfgCN4Jy&|day^)Ik_)Oil+|6nL7BBtJ+l3XQIzB%=ZstfU6BS4cO2*v^6hi4~Z z?Y$Y=MmIbUb~h7Icy&jsVX_dZ6U$VAgpGc_e&k8%=|B^9;|bqX4qNtl;>=->IUHIA5WJ+N*J;lI4Oz;+@Z@?=o~cGXxo#iF)u)Ca4YB zsb=tQjTP3ZtSKz_=0!+6ifjt3^dp@?$ue^GC`iE|M_t87MXMm?&-^diKOk1$JT+5f z&d^uQW5q>|DsU#83cquRe(J~cTL|YN|6BT*QwxMwBxf@N-!4`X)n3gT@5VO{3SJ%m z<_!3%UM)9g*bVVb?SmSU+@r3dO@IDljz+IjtmLyT@~zTf|GggTphu`MvAUhhs-BRe z8jE?4kDe7zWrr|GriW+jC~;QEM8MW9WN-$-1Kz%!2)Wn?Oq|}yhxF!a)?<+{%FxJ)3!3(TT z5kKMR(6^m4f=#bMznpUEFJX3Dz_H1T=UM} z*5hI7x@OJ-fNbg1!v{|yF$Cz-acLm3kgBzX2Gh4gCX`=J26U%eVae0!tDl2QkXC7Awu|* z4EPUUTK6lxece{1i_(I0qL=a8Jq*-hPk6m3MPtdDwD0;blAJ`|6A2sXY4#aqcvFbp zDh2xt9@Sj|zDT-D3FM(9d?RZlm@!r9*Hp$dD7!*8j;T90Zt$Z%-Lk9K2^^lrpSw)# zZFnXFc$6PsSnhC*kV{0!W8C=o@Lkg<8;O`?$R-99zGzWIx4Y&?vOGOpU6p#xkl`l9 zBo=Ki5_FgRbDJL%hR;+iaP`Y{#wW~5;IcLWp~d;2liFvvCK*-Qtt=g}1NpmOZE}Qt zsu_6=u=f!A+iE@z${)GOVk&b+5>2u3F^lI>d#Crz;orRi4o>r%jGwM4L}@Wgxpo@_ zJ-O(=Z+4sQIv0kH?3;9WI7w|}gN(`8xIU$c^#>g>c~#POPs`H^7UWUUMSNK`*D*zk z9_syJf*8)9=hhQW|7xgsFZhfk2>E1e8O`Z=P3ruZ5Zb3ZOx|+s?bFAlPsZ3jky;T4 zjq;oB243J!eT9+{{VAZSK*MX6pTa;1xU~LVs@c+5|}u;WxSnIo+?Sx4{*8Y(37an#rW z`77Ruv?qVmkFBpv2rop4OfEVRY4ySlG55{~+u5N7O`q%9Q;|0@E>GG9N4;nY zk2+VjhhiLH_0^7vljexg+xjwASg-)cu%g)U|cFO&Gx`R$)JhElXyxBtQo(jE-V2xwsq;dX(%^Wf?|Hg zTG&Zxdi!7E5B-H>alQ@A%$j_|ysE^-Riivn}73HeUUZo;HhUt?mLpXhblt&^y!`ro;!{y@>L`z9}l~RQjy4*G_F&0!}6ei zy_u2ElG^6l#azQ|8j@siGeIW6 zhf?^`k(s@9W&Rkpd3KKBgAV`mmK;IaA!t%5R?OU66r%e&R#)tbzIZUWgJ^Kx{8vZ$ zH%5JPZM-C#$igV>GM>yu)_Wy&nZv&)OcGo)3Ojy1Q82k6{XsL|r!I4rb)P{kL{kiV zHM-Hv4^13KceTFI9pxW3o#7vO=&9ppYkFQc9)g;q9KU}4QxlW*Kjk=A17WH^LRfu1 zGCIs*n9}Y}W(}*D0qc+04IZQ~SPP*KEa1I>|=zaZ?GF#{W+Vg~XeSp6=Dho3!k|HtDfYEH?0!ey*Y-B1eep%V6eh z+xrEg13d)38%=VxrMk6P@Zpy3-b@A2_SI7W3H4Uc*TDVO$^6&a{j_g5ms{hWYP2!e zbcVOj%7LT@a?ylt6>{y$OuN=G2Rkx$U12(u^1;}2XZi~_QTWCN5!KPaaJHuG6mD9g zueuItZe(HPhwQ_4D$Oaz{rFe{1-?Me9pT2pNSx+TKignNAI523(G7ZH^&ToFSSanL z*k44W&BJawOsa(5bu9v#{oB*?uQ(qnPJ8&hZI|kLO`>t49Dfv%+PMudr92w3x{yM| ztj|Fp5O+AS6k6|Eb~n$K1LKwa;|&NM|I`(x7ei} zJCR0RN)uWc>Mqp}9qH=!A<3gTk&mT_wH`{ZpAwf*G&2i9>&hlfe8MwOI2^b?*PRtu zLa)}p1*v}K4I;7`?*j0brJ{KUJAG+dv!ahq`;%I)peC3nGXgu{q}lg|1C8e(R(NXzKN?Gr^!jS^}RG;f8LzN8b1ZHwc~H-!y2; z1yid5_nCj@a)!i~4t5%`>IVgijk!KUf05EB^``F(Ri)WOq?Unfsn0Yp$zRzoJmOIs z82dfEgI;T3J;$I1HHED7{6u+By&Q*v%v$T>xfJ%a3vPl=Cguv_8=Yy_Z_8&f22e~L zF&Qd)L@Ix1P76cz=7wR zF9SiD3w^Sv_-IYxVBq=N(sg9@euKO_cRtZfTG@QCC_^$Ds~=g}zwrHi77~MNVMJP( zQ#It&kCuN!d_0pbHjErI(h~o?@ie)%{y?)Pn~fCDbFEK6T9vj(jQWQOz?1qj?l7-0 zkIgqSj~ZvIz4_~a8#zAU5S6O=t8hCHE~gX3H?q}-TqEz*f#OC7Kuv+MRRPipimNMi zzl5T6v*ZX5R)FDNFpQ%RO9odrR!O`Xk@3UH_Ys3Q_ta6-myI)BnI_hPzq~~(?p|Fs z`QiCAkaGdgCCT1J;`+{1jPsStsl`7$f2qz;y?E@JR-#{?si`0qzKY7ZDaty5#LKbY zNKUAEb}s!+>!W?@iP$gRVqEP`h^JN|LamTz)M(P;>{)3tM+){+#7-oeDl|a^kbGbf ziw1v^u+6hA?Hu9_ws@N458U{i$)z984n|+3!g>k9+Cmubtc{3VBuTHU!h6c*ro49L z6mgIXW^EZH8=rGvGJi063+%giFG(y%9q(LbZiW6;W~9qcfApVS{vRTui6ye*oeO*# zo3k7b&l$ifH=PpT2>f?}St{LWwl}t(BU((ej3@ovq~AC%lBT&5&;-eCmr*PbS%tgXF6RrEmw>7pqQU)p=WQnS zMCtjygQP%Uw*G_cSPAvJKea2oc$t*$v)rtefa5jix029y*pnC7-cO(YwWI%bWe;mD zO&B~FS>-cXLPBS+ewn!_)C`k&}_p{nC2`1{BBOdRQbK)qMNKoEF- zb!~OJY|pU>2h@9vC%;I4>j7;C_d*o#=q-`+)ER(S67_12=%b%7OXhM!u*GE}e36*^ zlPZdu5dUG3ukXcs5Vk07iC>{e0`{R*>)&2P*4lQ&IW!R3MrZ zZ!>VWIu`v-X;q5dqw2#mu|6rIp+SL#o}f4tU)cr+uix=$aT7T$SZzTuE=j^cjN{q) z%l4Q$5t9>fy9fXZYlO^Z8Tca2^MCHojOTH(2W@VSY3bu$=-iLmSeK~a=l?|Q{V8;< zIu^P{BdGo{SBB%WF8S`yr@rkLc7I(LS!Ey0f0ZSFtDPY>n{|6?0tFIf+LJ=K@gSpp zP}MD0drzSz6xNzRuHt8al;X&-Hdvh=)S!2f=z{@0dX}WRI7IHsIR4MdDSwV&i|4V~ zeQo0lptbDoH>|V(O%_Vk8{~3w2O!9!)V^QQ&MvDH&y9zw89IwqL3G8hHlJ?i`0=zT zf{twdXma)kn*MFk?k5l1{_gwZ%^v3Jq#*_CHV9b%E_eTAk6++veauXc zu&VG+fdD}#0+_+J+$a|LLa0yDmFSMZ@dbgSEk-~)d==qy**2AVcnEz!$*Tp&`we7_ zXMD*<3)4~_oGWewo4UCNxdQ)VQ-4@nN)q%r#_-o^hNp@*5S|wAKToGWcv@($kA+~> zstKvi0|tm?Q`b-xaGZt5?on{aUR^S*eOQpG3JQfjgf9F_K*J{)PQ#y>?cLs*!*pjL zb-E+I2qX?Kg)9v8&X`zJ-mv-j=M92YhOC&8)i)<3q!tPegN!|xbJ0TLu@Q4S8vSEU z3<}F4_{m?iL&dpSoG^r1&RY2U;q))<+Mgosa6i5SKn?q#mC6g&#NllPdmy&Fl&P!d zMeZjcIgS+OHZ)BeAkNpMcs_E+k5Fmeqak#1fFZP-Dh|f-o3A~-1T2>99dSL_Vu@l*ZjQrONgFTLPw4WoGOT~<)xk7~^imNJ}XKIUM3C5IXB z>~%iKnmoRB?{Ab}n_Weg+~z-*d<_0foqZ4=!7Y8m0SFXJgJc#O)mKZ+)Y(5_{4EF3 z>>p>oXTe{{APjQl)@@w>b0{eular zAuPCtpSS}ktqlN(&cZ-(^&yhQ4hCh${Vxo(mSFrHA8QN1Q9W=CNgshwqJGd@l^{}n z+rcLPGsoiIRoWqoc%u!!>n_NI(#RI1e#|!+O!%M6%3?a#z@D{D3cMwVgCcV3N5r>+mqRUsDLDgU*O3DYoy4s9;8_stmK)l}L_fwJf zijq$0$;H340MX9x@WTe;{v1Czbq@{-nm0YWx6H&B`itV>Ri%NIP-lv$UJ4*5yJ<-7 zIT%zCV#hE?h`3dLN~N4}O|V1mgf2M-+qnc#m(35AXK_30Zf?U_ z-p%>kV+;Qq)Ue{})^xF9Hp7jVUbqh;c+T8o6I{?W_)p z%eP3YBUKZ8@v=ERu%nG0M#jr2tWKY|GI1U5d;9pY^SD2?uJ0ydh9_*@hr)jK$63m# zi{tr?#TJ>OYQ4rN%kg%(c7fvOiyJA|tbRf-0c5e=>+F8;4eq^G(Nn5VYG1CNyE)E1 z;1g_6v(y~Vq+$sHY*)@1LT+g-t}9mqcqS!|u9A$rb}(BC-T{=KW4&kk7oWd2rc98y z+0}s=QJS!;t6dq#SyIKM$9Fxbont?+`yffgj(Ko;1?Z4R`Op^4i?q(>iPOJ8Yz9~_ z(PzwzzX1Yxq>{3+gQ`m*NRtDddQImsau)%uh1O+Kb*aHAeVjLH(o+U*{eaw z-dRP+UXe|9WMpS%HjJ!9Mn-lUjmIOv>p?o$0XM4KhfkjL|4GNPT#pyZ{m*8=FvARKwc^EFZ; zZd|BpO~V_DLe{W6#~TlolG1r1(ZC(G8dZ>48)&~VQ@#z(xF2w+jnd_j$_20P1%@5Z zB59Q=TDu0%_PJ_ar`XkM=CYkc>(mw_86j^i62f|dX9IY2nVzYPNPC0jmXg97ne#uI z?9Q@9B|{2(wu^`LCU9t4NRA`GKKUV+e)Q_IMxTMGEUEg~Lt~)tVdgHJ6W35mpo!HA z@Ah!@{=5C?N=TaXHkf#TH#vmwimK(u>;qIb>MLxsmbC0TKa<7HVdwsVH(EteLhi6v zx^by_kV)ER>P~A-2w}jh{qy`r7)xSqvjSCW);>qVx`P97yejbDTJNn?IYH4DR;M>C zuK<{9+3mt=uBYVbY4J|;r(FkED)_)4Ck%wGMo04 z)}1v|-Ue0eC$O_t4UU!JCliJywMvSV+_q(JT0gLo73%?k#^oN1#L|GR}D@jyT~&LSU2 z2KYbtG2$tf`&+Y_Y%aS~8@oNYG+!@aj>LAmdi2@BGKZ3uK2NGbzs zPK$Yx_k>DnPvBq4fFs*Dqd4Vn%Q*Oi$d#OvOagBz1K{`8Z`J`DJSMCxA*6+U}4c7SHNU zjN*K>(^QlG&ofiexh%Z=TonsW&Ev?%#dJ>&4fkb{x~Tw(A05DxD7`#y`}KdX_Pnz3}1@#nJ#Uy&L+!g{KP5* zc#xCn;rz7TpE8mJ8{7J3?3o4A2;NJT$|YznF97{c_uWa?dpuhd^2!VA~mK8ot8RI$E!j^;pX4&v_$bY5A)0L6~InN)V5A}6cxP(@T( z!8`cs-@PrUGggK%@Ghk#ry>qG^*&+>O2+kV%q}+Mvt3V3F96|=Z^tEKL-Y~f(K)_s zbqe0^FtmJZmQz9x0sW}J5~d1n2*T9BWL*`tFPaz)dF2dS^jh`9zVTKy%-5pv_8F7^ zToCgY5j&M0sXd)tL2QaN?Sm58G$|-t-b~J3WxBkP(wWbwa+v7klee7=`1qt}C+F&X zQ?mhOU2w_=zb*GV#aNcuy#YYx?9Gw`P+acWyuu=ffI zZGMN(a6FctLD}(?%LCk?09><3JmR`Kb*dU&1{PAfEBJ9nuW!D($;P5UvWDD?ZJSQm zmq_7s_9AkxhIR|yrhLoc<6O1cg*UepUL0M(S@}7W8q_dzsc}0t?3>gXxwMrqPS7 zI9?!kBiPjZYjfRwHyhwxMll3ejPkSM8rUi0vz}4|(+m%-+%G?B5~t?9X@aX!M~842 z-@*k$+QD`hxXT7MYru?6Iu>%dU`XpSxjwI{_X4x=$*2vgsSPwj9eZ5pPVwsMYsMY? zu?^27ABqh1j8Uyb%e$CQMnH(1g3azKpeci-GRd`%)#Xz`9-~uNQ=Kq@*!g}-Pxi$P zx;rsgo64?oeozp&j$_7Ny#FqU{{VoMLQYK9Gw#w~iQ!8*>y(OweBI|gKP|(YGUu_U z1Voft(ZC&`T)qz+?7f};1G$-cBSBxEkXL9T>QLH9wKdLLv*N$ll@YLet42j6VNH_2 zM(GSuAc=BwgZ^_X_WGTtwOh73n}8MGcq@RF2es}?+sF)uiXnMuQEpVPIhSs&ddxD6 zgy6T#zd>E?V(^zXo|h^W>l4Y*d+& zLFJ+xU+R+I{t(r_0@DI7S1!L~+{@9xpSzm#lb-I0Rf>hfC};!Ij&M=DC|dnR;HxpZ~YNmCMMz#L+c#gk;? z^N5~L))an=I38HP#hi4n>$){8V=^_QG&12w&&zOmRh^(9jZw|Blg_1?A#UqLS=!-s z973vVPKGYWa7u0Zo;-M8q|nVqq<{C*j5l5jwW+TL@FH1yO&`bmtkasPc`9nCaC&mn zOoWEdb3X&gf(V_Ju}^>;9Qgp}K6#Z*Xv@YCY#{FQu)F^? zlpdh7`ZX#3&NO+-JOja`$#csVzOSyt5npY7kEoaP(S%{EG~Dj?^^1D|B&5_yKw>Y) z1+S&!YuD(lN>07@?26VS_Kz3Kbtdrou6Vu#(0F!J136LK#Kb~wBmXg3UPQYyj#_Bg z?bfK?a^{Mc|4eP8MB2TC2Y=6NAst9O5_l*eVUnG^t+X!PXK-c;^Ar>T*0mM?ev1Dz zzLgtagw*%J=I|3qwHLP}GU&-oasmz$^K8-CyhiI{g~7x1WupV9*KjWUoCp|>Nr`7} z;*`iEjUP|)n^_%YomB#ift!@L*lvL47uP`v^GppA#S4G1*QiFTusUUv*R1CaJXD+9 z5Vk41@lPNXLr-AkqAG?)n#9GKLbJb>Bs3+obzja;Pqx_}=H?W@$)uOZbLeDdy2JV_ zttJh^?rTfJR?`$T63-yX$&Mhj!xHI0?873w&gHB3MOZX?v~SvFN7&RBFsQVosS??1 z7GsBM4QWl+8I=)WNt~XrE!sc>Ik-1z5nck|*n?R2c29c*-k&@DO!?HdmFTqp;gBQA zM%QRT#HC1vgP`EhAH0uBbnwfmrohE^mUg5EvIsETezQ&Ob!ff z%pRSB#7rmwu+ZNe_TF!(-7_NHJ;v)@}$t6qTpBtlIco*JW^nU(3Jx{=tcqnpGWqYqBu=XwH=K zP@@@eByv4tr98rj=vAv{Ix8JeS;2VsjI~yXrb*O;r*3fJIF(YI{0KdoaER5(JY7n4 z5Kx^Um+d0iZ=#WA>DiW{*zGZCU}Uj~m8Ae>d=dC(ZC;ZID~Jnp2evMz=o1I^h^hQ< zIsZ|AplUPCL^Hr`}7uz<^MiOqN@a|v|lfXB9W{XN(RP>Zzla4C_>G%FK`Ls6lL zP{HF>2zPYb>^tF+o`sd3m_5cqFN#zq(;Mpa=CDQYQ4S(~{!-2Wx93~bz-UytS@k8N zZ=iW5Vy%TY2~FnXo*OfYw;+4;0Y&$*0f;VTcv^W}4bfAi!|P3-ICj>&0k0ey-@9kD zhAHmcE^v)N)5iT*QmU!dV@E2o2AyZYQQ^UK3Y{&3t)}NY;B;`Y-_lSDz(QpXHYD-C zl&Yqf18u7ACl49}6-#H#h$Ls~^VB#Kb-mzl!b()b!9~=6S1GTKPINr~Tz|20X_ER!zAQ^PtyRjVDR#Ph+*+OzhBdlj&a+U)sDY=VK8O?P(%5@Dq zG37j2A2b}^(~P8t#nq46h(e8&oKY4vR`V&4LJIg(Ii?|0jFRjxt`XF#fU?cJ5b^2u z6yLE77~*LmHv=4@bI0!iHUH=_ex_-W@K>D@+xG8Aq@sl#hP7`EJkdD40ZTAl`XnWC ze#_Qa{~f|!YMb?27FxkI>vU-mcdjsc`mL8$-+u!Yd#Ga3!zJt>;)?vil(3IWp;yS=gsOXFtR0yv! zakpY)m~sV(_yOcHFQ2Wt3WLr#TP2F#vsZ!OCYG{jumg4M}SJ{n8Uzw=XLxqgLx zBGKmECxlc!{02Sz!QnE@wfz*A0{I#Yqnq#B>qDLGt3 zl&Jp(+6ui7csO+n)sZX(j?m~jsC*2h9i zl;;~)_w=YdCcGDt2mC{$B-64_+J8ItdJ(C?e3u{jYXbpNQ3N~o6+>1cyx$&lvDF6e z8r^xv6cirioAH&x*T0bI>oxT$Miu-Atnnh7>Jeu-8tFmIRG;}e)Ci?Kc=&l<%?!bx zE`&|ey8YCA;H38I zRT4`BPrnB8F)Lg{;v#|g2&e-5lVX=(aOy$ZZ>k7H!Kh5d z+9|;tKH3Hz?5F6Ak>+6LLD1RjwX%&=G*;AVZati{0|Lx!*kdqr5Op!Xv52olR0i|+ zmPoCq;RSldTzy9`UH9`4m!cpNP z(-{UKd_@HJkOEdNsU+Lw#HTB(ZF@)?<-_S6fHpnFb3Aro+CZk6#%eL=H#tMhfrZl@s zP-PyzUuQ73mmULmF!8C>mQrOyj&X9wG&LAfAfxu6&uC=;L&keMQu%YoL3bL7UhO|h z(%+AQiS2BE#r84T^&6nhzwr88VL~SwUY*FoLS&FlpcVGUzY!9m`w~3A z5fV&-%isBqIlyc%1uY%Cr$SjdXs$zDlm`;=Y}4Y$e(@_N+%2z$(NevJXSr2ySq$R@ zY}^JaZ~KZbWX05vT{5-SJVKJX2QpumSylFaP7{C5CQKyk9sx*v*LS72*YS{Q9e}w| zc^h=1ZnVh$uVBTq8ROEZ0R||vBBkdwu#LnfszlY92(m_NhJXL`%ML8}=4m$|K(Ctd zwU!`^+aUA4Mj8m=RD_3#J80#oV5D{@9EKr32)WL+D`(k6@gXVn50D|#dZR#&ioFZR$e zeIj8hoNB@QN0ADj6h>yE=%0dVF$40YavbkZJiWx_FMD+NQK$VJAM>e|enY3Cn>!nN z#mpYgY}J=6Q0QWHXzzMMpuxsn3r6{;J(&Z^^LE^NVKVZA?K0q;tYU@(&_xZ zY3yvW=O$-fGriaM)YLS%U8V+%ncE4>wqS{t2UHg}#p7aE<)&obP?|0NY2mZ)RXZ5k zFL7o&xj1adBaDOiZr#nc18^{`?mF+u@j(X~##6Uc4oNogDU94RVe6LLb%oFA z(_uf%9+pL=Wpfx}6Rp3RGuH!8((7^w7{oE=7|i^ge*bx*Uy@<*z?9eX4Y?C_;*8Co z2&3wnnY{gn4R*#I&7S}HL)llLpgwE-A!YGPRTc;oU?zR0;7nXB9kf+KmjfbR;Y6zn zF0*`oFpEK(PuH8&W%#t8qv@Wz&S54S~qU@{pD|=uuJDIssbOd;4?chBfj`&R$Zzs?{EPEApg>Ci3f| z{6>?x1{Jf$Jtv7fFM{3(k#P~>Y;J?7{il-MD|JsmBF+%W3;T56gy}~dfga9R92-Kf z@BucQWEXskYe1Z)7!-qbCS{Ede0#MGh)_=uqHWq6RyWLNU$DP?j>xNjo?fe?g1cfP zuBTGa6h!$Zy8+|EC*PB)LUJ9bgR8ga7bP`V-f~(!6v3L6lz$iyVJUG`^J*>HArJ>> z;0~gi0wX_ZQK@P$S7NN4X=4byvtiqUB7W-WouLW`!<}OpTgW2n{iYJlE^I8Gg!3QU z1gWDBFj`98{1HNWy6^VELmbC2)4VB+B;`1*Yx|i+Bmj28q3nVGm6KpQa#s?zH*z>W z&*FCANK-a9=}#%`)U(QO{Yd3sRQkFBTkjc=OL^!ZeUl$P9TEwwtw>% z@>zqeze%Hgi%N82Mn%q zKF!07Eq>LT7Hn;f3)^3=t2+;a{XQt-2`XZ6CsAx?Aq^^ZThQEjBm&UMjjxP8#hB4P zr(l@QMkhKSl#7P$J7dhZG>d5n|BLk9j8=?NDhqb&oqJq|PxK11wpQ^<1A0~*?e;OE ztDxWr|3#zg`(zQpI31TiSb(rDZvqB1R|TSBttP;ps#p|Hfbv%SwKBUPT8F4KihwOB zn2<=E0NrDcvhasYDE_d-&7X*-RlBz31qMaB^p_kk2{w_Mq`pvS=zscf&;x0f>alnw z{l3U5y~%9zwXhFonG`2~m#`_F(3*PU-6!25Ej+Cs32n4Ecd{^lt6Ty3auM{zo~%L& zdzVJL0L7{_5ql@_yL*AKk+R9n(7F zFUJpI+0*<5VL=!9sQrH|k1+BgSQvScw*B%5itX^+YvkCi2O*O>Xf6@bB?J~}F%`k+ zTC_;kKwKqY2U=p6pBp+A-EbsQ@z{U2+bL{VkfDrd*~oA-9Zej5KX0KhdDHrR=(kul z_^$a}L;aThLxjZh7|F6E8j+JL!^c{Xhc@R?-%N*w?x+&*kSVMDk8bB#cDPw_@zsPC9m^IM&B z|2}4_BA&Yx`irs@xH>Zo6abXf%@~ZSdxyyg8O~X^JUdf;*IMGXtVgK6F+XrjC&A73 z9S2?dkE{>Yq#-4*9p^3WCr7oLl7x^Nu3>wLzoZCBj;<(&GC!Uq2kgomrB|*XP=4l$ zGU3n+P>=)&_Unm9W$k7!pM(fY(SO80VD) zCEpHjb_DNyisqI&E%?WliQe~C-d;v4XdE?;?>TOny1kD0W(GUB5*=5+1O(fVjR`on zn`2-#FuVx(ef6~94bR@jBt3Edjx6(}ZL6glvu$3igQs98z!d z;^=4R?@Y`cq=(l6{n+%|E3xDLyu>8MbW@M7#P-`kZ{I5_(Zef6PlVBZ1uG{O%HGkl zhOZBqzCVxVXqoUI!SQ9^X`px&r#pG3X!vxL)mq^&F|<<5Y6sc3zNxj)Jj{RdLB==F zBWJ<;6n=!g@2$O^8$!-gvdBj!590eJkR>+)bxBEt@>&GokUFxLN98x?(Ke)4=>hgl z{^Rqifnx)Td%YL9en{zH z7{GiS3us8$hbHnrBpL_T5r7>D&6>ROUf8Cn-$JN50ju^W7FwXV*(b5R{FuNmO|ZW` z4xyX4L8-CxC`W|T^A6#-_AQi@QE^>51P(BLFhMM+7@;307jcU$ z!Wbi!o0X`o;yP}>1M`vcpe#di>4fS=!!J~)v20GOFsB*Zi;>el55Nhn`6$+#Zv`W( zJQIc=nbe9&HmBM-sl2saOW}iEf)gV7^?~ZC;GGx1ol}ivL@r%0XMeZ_4L!0IEN}#U zWK|c&c4lx2Q>c9nfWbZxqK(?z%`Yg{1U+S|OVZIx3_Z15i<}Uxoo0iO?1DB@J3{2# zAYqWO)d1Xz-XMdzo{3j>_3qXZXIbzvk{O_Ut$G@zo@!XCeSj7ClM!M%u^0718p}4Y zSX&&edR`57m)bOi4688hBKdL01gMJFbuPCPUy&Ab8Y zl_#KmCvym#I~j-oIj&IN(H^W}gT@4A|% zErTQ-ylQ)YUm(u^?E+~pM>yn%OktBK3V1y{kZkGP2JiPbu!AM%pY8iNzE-oK7#2Jv zMi}+e`)TGu(3nuYHYuo$=|0_`K?}Hf% zGPBeHTvZ=1#)T3?B{Ne!r$TWK6S^GLBwBMt)4{UfK|=5RDWNT3T|Gg1@c`T7W;HV? z6rZSsk8C&f93;Avn25qR0IgdD>>V)&-mzkU$`*GGO#JZda)c$KNa^*U4KPkE!k9Jz zE-MdU$Q<^IA754i%OIacLE#=v#%F;9NfjB3)1Dsz1(pL$G~MAP!T8%aes^zE)_>b0 zS#yq55y(rJtEDtjkr7^*tsxg2V7^60#_}}@0O22_LZmcEQDs{?5n6e%FOhy=Gek0} zMc~xx_qrU+D_oF2;gGeG&VB>+Qgw>^QEvLYS(u+^#7)5QQPxNyTy#0eZF1Id;0Gxb zznCIutiJtj`gEk2CO+Hx4(j)sB2T4JH=~|gN`es}puJhwDz1;i09=OrIf!X@_0V-ef3QCk+g$ zJ?rNhB=lX<7qqyU4=q9FC|)Uf1#T7;)3+z`eBX=AKLJY~xs9*m7kVEGl4MCY%iX<{ zB&Y<((%p8pHEx*P0IzrNQ5DQrFd?r}+RkS1tDneM?9b5s1U9x*0)2K%n9*F#0vn?+ zF%H}7oV_UuYQoflsJL^^WFP%+OVM}CB;Ipz#O@KB+aH+AZgOLo=D(*Ds}H*HzF!9& z@DB_d;y&N|EU0+d?Pu3bV+XSyUbm_SX9O(8_m)6J*g85|U;H z)z07KDSaQr>g5ERoLfRSzKq^G%WFfj3kI3jTz1ivm5JYmzB%PlxESj@b}u_quUX2J zd2Q)6PR7rFC6)5^O_wqJ@9#iIg-~GtFdyy_FGhJOVJWsUGs#VJOAlGwW~!0o%HyrG3Ss9Rp)XxMM^#_O z*z?!d=UZ}00HO06^@AJ^yTp*|EQRr~NJ*}jPPDu=47~H;VvQX7)|Kh3_Lr0183ExV z219+pAlZS;6&WaB5)AzF?$lIJrZt+ox^_`o9(m|ezTpdGuVUHUv#)}yQl6SGy|#Le zDVG^1r}-EG18!)Q+d|JhRy&>3*Wpsx)ssJM$QP4~Ad+6d4}I76V8yLQl~n9Ln@1dX zBU#SoGK1wo*(-d9?ay33jIXhlYDH+lH?6I6Np0Zr)zb^K(B)Lz)8pQBMDC+4mrh@N z$ki+^QBF78_p_u5 z+k!D+HeVhURZX;cz0II%BT?`sp6`a=jY)67*v!nf>rGpX?M%~1tXK?kxkGa!w-`;CHAIrIRqMGDBAhjh ze6U>&qz@!vX$_qY%)JKd(6V?2x*U1D9Tq(+b^5`T;&x_=Bm9)}o7@&Y-FKN))`#AT zuQCNSADR2oUXe}GA0+*S(C^gY{%?u%B+|11SooMPZG23>ys9k@^ALf2Fb%r*EYim!NvTorM@N70r~iiv7*nA(xcy^% zH7#I9@BpVYxYAnv$rZJqqGU|fFw|}luY$aX{4vtsW7 ziKT-gjK6g?Ne+R%KD�ty#Rhpc_7Hlzm~<*-r_RGKZ3O|F@ds|E_3XU`S1xNp6_t zWy`4+9VcaRsO1gfYka%{1B-Sg7pW|Sq#i!u+;s0)9PSlh&?E)=f({c$p!@Vf$bcY| zp}H`bimJY!Bd=dWG=o>x&zX;y8N`W0)2r@zW2zA>oty7%nV0ee@d5gvpSXwBf;?+8 zEU?=vOcdGl4HLcqV2Zx7onoW4p%8Z5Iq7>1xN@jkPyf(##ci^2(1|9)ZN#1_e4^4L}6^y z*t@}~ia0hiikIcpjsQizH22mFF{7a0Q_ku2lW1Uv;7UT1G)y!M4fJ*N@7M-q?yv+h z%4_GoJ8>OYAaS?($J5+;l!nRs@EH0%DW$Jl`ULdguJz0;9MO%NKmSGMrj}wDFe3#Y z$DE7@5=I_L>M5(45=&ro>Uc_kw_bJ}4~TM6Jqvaxk2G$61>6p+Z`9#JUb?G+9r2F^ z$A3tesm?RJ1%SKE2Gn8K`~e80v53g>)5sV6hy=;H*z|%vdmDKjn-#N6$6~{ z#(!)f`>I^w&@Op-11>!uPWe+N_u7r3toOgZnnN(Ht3_S|R}%hVsDfdbq2<73T$xw` zEPcGJM6rVV0pdU@`G9J?m#K4S5OS{Y2D(?jdvzG7ntA_(+WOO!>I^Y+8FE$c9}9>| zp>UjX6+5K*z7u@c^l!bJr})uARsRHSk;>t^={_OqH1M~k3JR-$A*Awvv)UK4n!_!2 zp_0)apSq;xpEDVaG`Gfa3YkfD=NREUPZPf zcQH)|T~fRbNJ+TPsi+oDt0G>5!zrd;FzqZ3Wl@~vt{m0e0Yzldd9J{G23^-30u-0R z{y-<~3s-(gFkC{W-0n@stYvifiFvuj3oZ4nLE5`a3q63*>D2`eZ?pndW`&3Nsv}IKSh@j zc-sj$z+v?gQ3f*y8=sRqsYnlO1HQpC)WQPvEPHgi7`Qg+G|8mTZ2REh)CiNhsEt9J z8)g^51%3hug!Z&jzN^Lku0@*=qqfN&Ld?7_^2N$70=JJo5Z;W~+o;s-FV3=Ot9 zK!Gt=LI~yZdab_CV40w+K9G0dOyyrvswyiw!rgHormI!&eiy zZQdnq1Z@TkT;ubTmgr&+lw)95)YK%-QZn*d-$rUL=z&B!74JCr>sv3ZGxB=5?0hMx zPV4jw4~yZQ2RFFz6H@RWZ!a47+>;!}(^~ad^?Vj;BZubG-BDgXcNhp)c|P}Khkxhq zcz@%tQZ-l;fFK(u?O3AlDh3k5(t2)t?ErW(#ddRkKE9@|X=8%8C;7x!u#b7KKhX|^ ziQ1@A4D{XSNSA`+{N&rqe1&>yv>9eoCrx^WuVUjFp2^@0 zeA>XyLnWr?bY;3h$&C;T7wXMk0cwAXNg#F#+9;o6{}4@WC#^BkI%)N2X){aw;9jg^ zUUYZ9AO4Bw9$4$3^}D|$Gl*k*uC1_;^Qr>kE-AIUU4cqdBuVU6;FL_J=IwwI=X?gO zz-gFFs2#;aV|3-e$LN1OG=|BFfOFvwUj15Y`+%ye^`xo1L^ae5M70y)yTdZiGALO8lLrvKTloUB=;re1nMTN zvT*l7YMua66VlQW%>*4aLGj*nj%O=?0@eaTR3eMQxX)K{7=zgEm`Zyz z&EI?b-yBXa`iW^e8-yq6oNXqHkgeT@HR?5|UVA{9YjkpJJPmg>f>F5h_tTd?N+&dh z7Ju>xJ3zS9l_B#1F-6-MPsAUDL%8Y@YWGdn3E547u>I<&z!81Vw@1%DsS7w ze$8K4rHM}O=cA#xO6SuLC@w)OGt0*j!aeN7t;Q&p^8K4nF6ol+@ZGtMgXr7@0WD$H@db1W_ z_V*~{mGfvB&5`=i3j~-6YC^45<=+eDe;kHC6rlUdKv#D&=|yga2)=@+r4RswcjrFl zrAinui)Ll=v11A+G1OdY3MhR!aTv~M(;ZuW(Qsx$_ANKSVdO(BDfsg7CF|9)*_Tw| zQW+zJW?O0uA?AA$5k6m_ohy&Oq0aWE0$3vj@J*WT=ZzxKf&WH~L(bQWH93H{@2OH{ zXF7f(=@pyb{nkUWuXw^D%$~wvI-lK3!lrjkZ;JsM}B zHH)5%IH;2_jt!-?WnNK_+W?;*wS*k!PQ-0?Gx-6s-%@DQ>+=;QMx?Or2Tfi+BqNmM z@^9{J?f!bvK!%Y>;G&nj@8sgQ&4eK$`__eFWhOs|M399L1E%e*gQRhXL%fZX4?rn3 zwXBd_4Mi@warbA6{rNc^j^vYJPDZ?}gD8OVh3$Q3;L!gG>r&gE*hAOPG)DN!@^Ij8 zZjtRDn%2u007>J`w%SL+UTZC^NN`2__01hY5nBszsTDQG<#(QHAZQfMub+VasUJu5 zJ*bhENipvr<$nqW%!NZl+uX@CpxF|2{?N!wHOw@jX2pfg|G90_Tt^0#X<0qD^Kuxn zx_`X96Ll`RwBsBld|z*&lg!7o2=sr#Ow_K&4H5Dh?5dR6X=WB(0c(of^rjb(M4O($ zk5Sn8nWVV1vd7_R@!b)00CC2<)}TLP3!O}xH6A!uE z7&GLD$^QrIT;#p<5eB>j_207NO?t2~d)oe|rca4PW=P6CSIx^|Qe6PEP-EoCG>;*B z_8}VaiiBlRZqF)AicaBr{Em2B2%Jq=nECA%FImUMM)L!hv^E53?TD^KIc0rcZa0Zx zD=wrp6U1+}ifU9ZfPci6^1W=_5F;V}Vb}$fA3y78G~|^%RR89P1-x~X(#U&JFJD~t zr78$dE3DMmwL@qzjI&rB&<9m$^nK~@H`pd{h$(|dXW^~KzL&lj(Z1egdL|{@B32)KM^j1Y(>jPknou|9h-HOG~^tK=? z79jm2RQLPbh4o4K@Wogx2N)vjAnU=qDnc-MSwg~6w>&@$R1*!^kn}kt(`!ImZsFhs z7VKN#DKSi3rMQdHUC3(6AN_IybK9yWV}yNz_*6$ily4=YoO#hsLWuPc)7e#`JFr=N z0_~)ibv%u>7#0X2Ydefod|*mDiV6*6GuD<*5(^AN`CU_5bT0aH+&j5t6Q=Y#MVd_s>=V~VGq>UofZ^V*d9(w(Q3n-6*Z^4^bjflh5T@r~Hsvtv2H`-9<`hQdkb zK%)5zONGz-{sZ^#kME~*gxv5q%m?PVgp%C9Qts_G4ksR}nlkT~=TazK1R(4IKaAKS zW8)HKUf1CxzTdD9UI_hz1xRHllm9sS=QP5Ppm42sEkoXt&^76zof%qnp^(*w zCAbWh$9du(@)$YGKfr@6d+7I_r*K`SZA|~0l zG!@tZOB(A7Q+?clHp!pD9CLrSVK8qI^_#JRdYgpo;Hl>NsGO$$b~rsSUcq|td*;4- zN*URvB7qozQ&7|D`lK@kE@&OGg{g4C$`0uNKM@|ha%e}t#7}21*ODkW(G*iS?Sj(1 z!P4(QAd&cZk|f02G1S}mTtQ|zSQE%T=i4j*6W*Ig8n7<@{y9BKY8^e-!;iyhR>VWU zC$KuTs)(2-E6CmjMi+^^Xv3fP6Ci$t-D9~`Ox&(7Jhf*Cq|&z-k^Lp2cOWat#q*ru zOJ0~tJ?we$i+)I=Q(Wu0<~_ku2KGVYr*)|BZkb2}%@x}d*CgZXO=>JnEbkp9WO zB@kw`L^)su2~t$>HK-j_U)L6P#;n7Y?WE|BVpj6Mr5du$ZdQGg!Tims<(P}ROz&?t zON z?d!zHubfr9ZhW$S>dTjs7hiDMEQI(LwH7?UZ^(W_WvJAQRJpdhA8* zZ%HQ(-^A->P4%o-fpMS}$gzKwPUbK>e%SRxK3>+n+Z1L=Q=4Q-6Gw`8XZ>QL9csmH zJH1YDjh|67e}6j1ky+(xWgm1ONo_%UUtu-K!5 zEFULf;j(*o&KOfS_kg-yTMe)hD%!vy{u=n7J=RpxdNns^{L1_@_$cZxS#CkH9};^4 zDJGvJ7X*NEJ3{j_@z}6Z0&e%|7X;eOFS9QsZmk`t-7$Z!1~Eq@GNC$-FCw&f(a{i_ ziAXH(L|BC}Qwn++vQp?}v^Eg1sU8fVIEA||9rD@pVZIwK79_cQn?e zEH0m)0m{}{w72Z2pulsLt6OCE0R-aFP5aX9kSpWh7im!o|Ksy6X~IP2hnMT&9}+yO z;|n;2IS^l3>Y2N+w;5Rl_q!d?XnMTk>vdrK=4N4!ZYha+y&%=I{K_KIsBj%>r;8E!6Nw;4%J z-l1r&pNQdj!iRH2)0d{5@G5sbMb9ii6xu<3{`{*s+~7VAZQ|Z@FZz48fFqj-==ts% z2$;r6?EwCNScpCtOqPAGdjI(1aN!gT^AZ6HT1idASyyp;&wZbyFCLu=?s0K!KgxoZ9)AkLLo-fPU~Jhp6d0x z{R2%SJfHe7Z$m0V&oYQq9iWyL!&0Pt->rB(XcFhNdQ)=X7_l=(Vd-BM=3&3m)f#9M z#<1z#5wTw6Kj;mW`)9wHIlhR1(q4ogPL%N58r-{oQl#hP^*0BtV7h!3A?Oipza6&v zS1$Mf=cFh%hrH%2176C&4^RSf1e3>k$EGRf8bB!zyB%)^$Rwb{je27b>;Q|d9gvky z+oOP)wF0oH10ME|0T8q(r^~2O)VD8fY=?vYF}o8;Esj8e8c^+o_hGX>(;p3-AAKWR z{IU07a38txLVUU8?Gf2NZ7o&G8+D@EFDcBzSkG70YDITpTVL~IH;a45jk&3@rJt}z zV);G?>U@b3QJ$NCQ-S9P%cn578Sow$FzF;7vJ2pxGsxWObNKuc%v?Um5s#bJTm<8HyXSBeZ*1Ok!*0*h&8XpO@rD=w2(Dqp zQ0%vLI(mA!gdER^BbY-FVEOq;@qV0|P+ns_Gf%2jNtSb_TjygYo}#W-%(<1m5jnT* zPgmexM3JL=k(?uM_Ye!$^L?N9&q(0~#W3dLTrZUYJ4d?Muu6t@FTg<*3~Au^PI(G1 zmI7WU5g6LxF^I}qqdC-EgreB-~ODm&^ z==)dT+>|*$h#1!-?40F)arC$nX%2fTZwCJRuC3uKKA|dgKWZB*P1}`}{_A7joMc8( zkf2+xr;g&FT>31j;vFuo6Acu6irqd<*2YZmfXhAT0qZN207-COM&BWvr}~K(?7o)M zH>Ahee`4z^jdd3`FseYMVdpVqN&SfUgV$qWMiz!aYNP8?7k|Fzdwb;L0kPRwEqm{S zOc$5Qn)c#f|7xVNsrR8WkGpjuXs`DcY@RDEc-Zk3b<)j#uKpIvJ6pHtx-P}PpfF^GsNU6A@zjcry9mDUKs zI+7krgwBye?(wsr+KE?x+E;h8 zJbFg$7Z=y-c(RE4UCehon{U^Y@|; zW5s~2T;%mwA+kb5DV!jvkY18q0^z81tF^ia_~ULd1QlfKI%+CjRfuw|-JGjaJ3x*s`ZHEZ8DdyVs`kO zopTzEFWo-q=m{Y&Y=(#f89U98i7a_uO!$uq+rFK{QzXrq`B^-lH#*vSjvMps8WY{O zZ8p$jQ6{wjBs81T;)s1)f&91%7Xht`MV23vrkpC&Skn zE_dm$+fX0$?NYC~gFc2=umDPk42SK;rc{uN&=5Qu=d@)@=b5Wbm-#6WfSl7yV-q=~ zI_<&?Rj)=3%v79vpPIfN^{{>0gr1Gvo;$*K^EKd_rQ>BuQsJgV z1wY#l6o-@_1w{FCTZWss&gx0x_r6F#O^y|;LO)MAR5vq*U;f%=+aq`}VagKfN!NbC zFNM$F=Ldc`3)DvI3&73jfa1o^D%rUyjE7^C7bqs*_fWmCA=z;n%#X`Ac(O|BgNK2^lkI9+d<*!TJZVR2J)lhUf52Wcs+%;KLUqgIc-H-{L72!wS+te zm;I<;8_04_W~r^zcesJ(>-IzKFE^frv$dp^EzW7wm+9zT`uyeY8yRA8i=UHCA!!MW z&J#)>)f*a=+&QtrB)9hyVE+C`?5tKaQd=L)9vBs43v!5I%pmo+J8QQMo5T*FP;i($ zyo?>XSR=MoSH`PI`Yrs zsAqi+o;e=9ZM%Wrvgs+N3j(Di7YkKaeeqFVrnU+iF%8EA$L|V)pO0~vC4Jv|;vULK zkNG4_1f@yYaI-@9YcoOkBvWtG|NTjNd3?@saoilfBr;3k3UM(BpPZ#nTT()eK%|Oe z#nVpHvqed}CEVXHeCblT1(0&$A z|5q#=;`Q$62+$l9{`@TXZRS*N1eRLh{b~GA1q*I{026S27{_<*)P2% zpjh3-INKk^UE~gM%`Uq3s-8^*@JiANr<&4>H}uNFE>Lk_nyvIt>Ae`nr+TLI1`74H z?}viKALU^_N~(UrE1$62WI5t;>f5XVw=IZ>LJz5NerI`J-bVrlu9+Sci{JgTAX$wG z{5x4ikmXFSAasd6DKkeea&)LTr>P52=;z7|xu}ycg5YN?!OD~pbz=s~zEAz*LLQCD zKldPNT;=SywgiN$Fu*6{5ykk(lA#(~8TOr}tm<-Q@$&A5@EV?G>a`LzXJH~JhChBm#xLMz_!m0338se|8)jn17}d@)FC31CH;h_ zw1KQby^lgmh19WB)F_6+%%ES0jMuT}YTth8Oj|4Dsy2=(s~q2o9f$gnZjQNI4!@V_ zp;QFko!b_1XW^~9GL~K@_>cj|H3KDrBjUN4Tbgg^<-R6np6fCB$1Oa;tnzkp6Z)nE zDCDa$NxpW1vh2Iv2=yK4K8MupL-~FIV7igY(nY%aF^K(@tnHx+<0P;#Ki=DheZ!H| zCy^3cPj>8KE%uBqNxP8s>?c64a$qllNa}~iR9j2H^S(-ilf!w7PQv%a#<^LefWc9K zh$Z$any#3-ajD+i4Iq>!faqrnYUHdS!<4!P9Fs9f&EjDlnsANRCxoj~H~3#3bYOvJ_F?3AEj-7y2xU7h9Shrf$B z?*FNXTjS}0(7ia<)LI}j!VY2gCN`d(+h+gTkFarmXwG-JPDDmw;aYli1~y?{-dtaC zm6yHahOi~c@uK_sr?>0SaW3~#My_Ozsy9X)&Mw6%(Yz#Ii?Ax_fBAYkk09|A!D*x> zdsiUWU?nSnhEa_zD~o(r0*1qw)?vvNxX1cmwd2)5r4wE)3akmzyuu7gP)dF$%M?+a@XB8m2 zaWDBIQ**z(H)6y93gv0A!Q894f?RINH<)9j(#O0Rldv9=XNcdM@G0L>1Domf%KnIC zOparz>GV^-;Y*~~&SGnxKXkGd%}SoS6VQ~kC2~PE_}o-;r*^jVmCi_68{H+GTOk*u zm+())lxF-Wh#v)ypI3YgGODU6yDpuN?=mGCY00c&=yUjY)_eG` ztj7&2CTf$ZHy63nk|YL09Ia_pNJ|(lz_|LViz1XN505JU^Hsf^n=7sQfL@j3hP(PU zTZr$%_^?lJwRk7Hs>>9bKM?{kMfWqe@$~eD%qknGSbZb`ie^ zT@2qcHX4n7c!0ggA(YBf{_{h1jL4m`m#ut`DoV4Y6*|Q`eB5n5EZ?4C%8=w?p|g=4 zMPu?`ISu@9KHQW`KZm6mXmf174II{N*W-zRMscx}HCPjK;HCP6ae6YLOc`FH&92;1eF93O`nl#t)qi0zU z3*zdu0~DbH<}+O#%K%9Dc#lqexUj588Mqe3rLQl*!peAZTAxKC5jlN`(Eko`f^Fh)vp>P<{_MzcTg*Bi*yXx6?g10C5oq?f`;EP9AU=kEVu z?7QP?{@eeP=u~KjBAtfzprtA8DcYNc(nLw8MdP$Jr9DJ@($qj5MNy%>6-Pz8R1)>O z-X}iezTLma_n-Uo*PZuykLz_^&vi9`wZ=A#BO(9CDx@XUpe2nr;J+PUe7d}iRrw0` z5v@#F-?+3c2-US~8--c-#rUpz7{8LBqaGkManRz`h~0w!4Zacc0#=cSuky9fx&woL z!v*0bk(Ix9(k>J#-@Uf?6dz+c^6M^@8UN!ud-VfKQrS_$clNUCodh@Wqkr{Djvd2V zWrUvM#`Ch?$p={bq7pDBkr306S{&Z!*@1Y!@JNAaqCh?k{1j=`T@Tb{NibCGe56Q+ z+yS^&Z_Yce?skKko|dC#`B;6^X98WahRq(A((@4`!;jx0ai@iaMsQM(tPMBs*I1_lWFF zPq7PdaCDJZ3w2C;6Z+aXeZj!%=TfK9B*e4OYnSDJ2)6zkU*1EM0T^d-4Tp}F2uLa) zO+pxrIACZGYG=z|$GtXANk9I1cksT$R&zn;u8vgzt`x}OMXf^xla=J!I?PkUe3ftI z&13GL_Cj{itkgXFSwa+^J{s?~m*zx|M?F`{YG**uK?@_Y?(NNIT_*tW$(?3?@C5l; z=1Op|ezi}Xgn~14gv~h%Rmp@DmGJ&n!u&B;-EY4%U4mluKwlK*gV?43={u62NFQ9#5KNrkg0pcQuGqifnE?gK4C3UCzsV#U%>}76>gxJ3kvlX>e_X<=vAkhrN>0vQau4Xo7pAPKX zb-3hV#N6Lk?^aqnc@k-#B_Obo*K!L3X=PuE%=P$z444F_yyjN@L@-l3vLbZj2k5^= z2Mo2jRNX;DI}|y_G132SFi+S>BU=og+b zA13@ra}X9sHU^RFi-|D%%jKl6Sa5I<)hc;jtOaPW10Io;+L9M8kY#fM@YX64LCTAG zlS|Cgt0Pu6yo z4;sUyG%Z{fdR_5v{ArV-Ct zR9aWK@$&SRGoP#T3Fg!BMQslL@u$-I}CX#AQYC{uQV!@1vkgU~IP*kqSc{p-|h%Gd4daOAv6P#x=;*xJ(qero|@J)jp(Mhd2e zsj6kTw84Ar#Se5+xv4Ia-V2XjFghmL=O*W-s{KGn8*xasc&KIBv(FLr4iMtjG>E=S zXjE?W+vMqcFgR5u6pLd#iL~b>v%8$oJRt{P&2NQ1qc^4%&xHQc)gfoNrB`cV9V=hpDD`x<%?6)Xy&`_ga4a zTC%3-Y=fda9+MhVjPbkrJo?~gSOj4MSi$w-yCF?5Q+I4xES%~1B$LwtpO!V4h#s4* zEPPc~>hybSBq~Xv!$P6(rY8R>ozUe(VPlx~?0jGUIuf&=hM>DK@8AgaNQo31Y8BWe z$Q734m-ZcsJn!odL-MEkSS3fNs7$k^3pl6KfZ(5dDL{X=q`Kh{Y#=e<$S>%t0_i##h$0H@Nxw~8BVSUpm}NCu`GC}>YA?r}jkcRnhEw~5+s}3HmZ zRj==$rFMTrOAXmGO}KEC?*ekRF0$1Py6#Kh(5&e2oW3_Cy)71pkValVmKXi)Lhe9# zdxt@R%G+4qi^Fi{6YwWH=^pBn(c{UIfU+5BQzmMNyre7k;H5l|N%=tbF0niJaeZfZaAI+c3&dtrWF$qB1jK!nE4|3(T&vQ!S@nI4c$x0XkV&=8%kfW$ zw#Ma&jOUqQE)^+f^!`ze5mqDGSCszd(JW4DwH3zXj;)#vcaGM!e9Jb*J!hC`Ikj2P zn(|lzI41rZqkz>j&5)H~KOd7i*&_X(aNkohhlYqrel_~$|9L5&()g*uZI zSCMw&IRlm6Ij~1uO5PO6^iWZ z#3Q7By9@jfxe3##j;~Wx@|W4k>ZSDJrQ>;n0V6+g9U7-<>ZbmU!BnbF{UO0DDwmk`3u6 zrj(&8z05w+NIR+0sq6A#DSZ4)7^#pf0&$l-!Njp*6Zj!V|AlAp;^K?lrd8v6o@>bj ztVd{Y$56B$aLLBn*F|I!Tc+G!zx^g$4S}ik|9+pb+@GDzE=%H>Ef=r`{c%*-&lk48 z8#1xJ5xs+=Wz{+$H7k_5EfP&dEpLO`(DPI?)iVn}s(M~k1-nT#uTqZlC9+({8+hP+ zchxGP_0e}cYt_T^Kt5SEEVED097JNy-TV1LtYn}>U7SjNzeCopq5RQ{y%y{%^2x-; zt2zgJOlZHmYAZXS<`-H+B3?eC$u;-z37^s+jHdVPl>c|B7^_}Uh*G*~6%LIhmhU`H zWYS^tbLnx-ck&tMLv`eT#BBZC945W*bXG{55j~47UkU#4R)Mm^nWWdnQ|?Tw-QI$> zz&5~h9By4}t;Z6PxzhtXkwt2)Ju=|QuX~%c;b|FGa!G`yF0UUdtZxh|;cTnx98qq2&*V6P@iif1wnQ=1WK`B%oZ*U8EZq6F6meR-3`JF{u(A0M*H`?fu z(>FfX#Ga<~*_PL^K3VrXDi^cEg*f&) zhE*P%L?Gnaw9;{_Teff7U&uViR#A%oZH;B+T5r!6P$@2uRq_vM@Jcm zsX~nWqrvyA1ofRvb6Cz;+_tLWb=})s%~5oEPx(35r&TZ13343YJ|zS$E!BH=#nb6Z zuUN^$5Kn*A%01k6X;=5ZOhtO|!XF*fxn@)4{WkrGcDlO1+Y8Gr$Pq?0!KhBn`GUij zQjq5jAdjshevzL*!Mm zSI;a4Typ8nsPKGr1Iu0aFw5j2wbYkUxA;iWHF;LIXi|aIaGhRLT8neE0ns6)uPs4! zw0<=67kOPaUHh(J&KH4O+<7_++5y_rGmxLV%njlr_cu@` zvP$_;Q=o>hy-5gw{ukS87z-r4?@+D;aYG#+iwg$!HB*#9JS*p*s0Y*?^J9I?l?XsX?sUuk|J6%X&l)<%$ zkwMuiovF7`S8vJ>sx{qFF^keCKdrOxbq>RKI78~_Ub0Fb(|P&HszulXgj6T-?j9sp z&m%P~QZ_nB6fg4bRIhxGw!xV1x_JdmtpjPxRSnkW!(NWA(4Z&5V!rEasbJ}4$wIg2 z`V4-VJihA6^ZO`X_F1n2;v>D96a+Sv^jAJ^AOagqBQFGDk#&oW4M>VAv78`*Zt-<6 z`@f3H-^XLJPzzh!k5pJFqL~=>_{$fcy@#h|7vLvXdC6Be#+rfN^~qsK!|mWaE4%0b z3xRKIQTlmY7CR=H5jAVyAX*Wy&V?_g#Z4amnBhHt0&-Xiexz^R(GoKrRbuDc28~sP zMRn+FOZBEgry+DFcdL%h_n4=o3uha2%}?-uXK1P81anHU#7ou8b6PRBGOB7>&5}`o zm*rl#suSt{K-51wPspe$=2?b}S_)^lGZH}D@yw5-3P4X?kf0&dE3dsq<;cUGazo7i zpCo|sC#?^SzmLxDCOZEpWkkv`yGIqi|0i}nzrKG~AYH##YPpf<9M|NIe+=z_2d^Fq zy_amS#0>=cA=W~*c;}xzjaj_t2F=Wk)P_wTAj|t`d&t1W>n?wl`l$tfOk5}J2~+)y z8@Iv4ORlH|l;+)1{No0ge(SMQ{U(vfXdKmMM)vsz@sjfDs)^DO`@jxBDxOK;V-$Fl zxVaZaoCY4cY#20I|M<2v39nG~RFix5Zu&ZqhGhNZVXMA9LFdAyD~e%o#>q4o#(+ z6o7-Tix5PUf^y`CvZrM}RtONl5c=B5$#;3qDQq#USrf&<;}3{vHWbLB=Rr=i%8Z@O zzDj$GlQie`C$gqiyC3NfXB^PCQ?7o2Jumed?wC%R23>AF5s*}P%)CsxJ<&i)_DPvV z4K{yS&vd9YDRE*>&}3+x$W=zaM~F3J`<%N@HN`5^uu!4{FcHV7Qy);9AIU|Lpio=r zcFGS?>1bb;YR;x8;tb(b;^B5#g~eh6i(kP(GQ!}23%vUwdSKt#fdN>J8s4Gw=tU6& z6BYT{^ESe~_?zxQWl4D}Y5Ww9U34+lYh&IERV^uLeuL0+J1|`Exi&MA>Y?S}TLB+d zl=|;JVluC~nrPSiO@{Y3Pn`cx0qQTThj}bsh}6F3DLiZBh>8+agv0gSS=q zj?PHGNLxV7a?Ustwu27Q?d{FHvwUw~@uNU1OAyIfninrMw#FI^S|IlK8E1_zRGgTn zonpgI49^{)@ZyHV_9J?SUx!Ck$MFbh>YYcL$M)x|g!Dt0Ep9!e9(97p$^uFy1*LZd z@N5~XB(87%J%j&@_&s#IJ@}~OL>EK2Ts@j)_2jAF^?Jy;tR*FyfJ+;#$d&!M$46?n z?z@uQKyG4I07-d>EBaZusd7QO{LPP>0K@GZ*vfFn5MiA(38KU$l))=iCXO~czvW%* zf9Rusy`Bx`zh6(50N|Bag}nF2?KJh2N&o!B;V;XEc-oso^Yw+@RrmHFH((jB zD+NbIJ4j^q;a`B4)bgy%s@@e5bPW=wQ2~1uQUVW1<}+Oz5}=$KBlGJEOma_p$P$q&HnwxR)RL40TObAKmyvW-|SMH7w zWm+AXhI?n>7l!=)g{r&#>B?dWfJv88?bi~5n(-hl^}k+eMApZ11vn|;D~Zrwc6%k* z23_@Pc<%EF9EMejWR?KM$y6b-(4bS78n(CApFJ&a>?fCMF-Z&BE=O?9?KCP)rV3L0~`RNaODkyAouHl+^ht%&aPPzwPQjytyGSJ*npLcQ3x&LduOVVBxvy}lqPqN zn3le7Cy}aRYwgMGG2@4eI0|0N@3*!MB@x@lw^?1nG@W7&xR7c|2f{h9?Jmbrl!4nY z1!fg)QMX=ZLcs_?-^1Dqap|9r+;ls}c^{GUbwf2Zac6_dQ^=cl!$U!i0CS0YVI z%9ufGU__vzM3uQ217w;C;RaOW(TV*TT}wk+Kc#P_|~iaH7j z^k96^cO+M4_-QXdIs1(Sr3Kn{k;5_qyE1XctOdmWU+7`6& z@#h94``$SJ2;^W%5MMpAH3wEKA)sZemih9ZAUZmZ)M!hbmRCH(oTO;Di&J(Di3(rzy}%$uAifiV9Lqd)Fj+}Z35{Epbws^x5h)!c%eA+`y zyu8VQW3nSLySsvl#dXwFw-ZcV?8Ee>Ww_PlL&a*(qj`|18ev!UnUAL0v< zA#L#6OSUg1`3@LOgi{@T1^(`(3M%atldL|1W@Eo#o6D0`gUz1^UE-{_8~Yhd^44Ts z-DjmbegID@#!u1D{?rXw5q}sO@ko*J7RFdoOr~p@T;1X2;vz1>OUFR`8`Qm9Wl$nk zO1Otf-&FtPk!WBY6Uah!D^uiR?H|ph0Yf3P)Y)7Va*L@AWQ&$EH^GN(87288UtO2k zgnP*UUSNnR=kKBXr=Ue%X+EhU5#?h{a^Es}p&jX1iL~lR`?^MAiUBhWrfdx83^88? zHlVKuZWi>`Y2qqlS7~g}1zaqeOHRtIPg=*)0W)rg4b?Um7?A}wYeBobWA*6cSpCmb zY{2Z--9G8HIg*D7cw-d#ovwB=m{}_KsV$cKJ-TxhVJwsnH~~!{mNEg_ullA3*Ac^o zMA3$nr}p-8;K9*^8dVWVDKdV#_}xkGHYonf<4LoiydUB1;uZ4ms3@4TqsH1Mzu zK5TnAUzx01noHb87r$?M3SfeDl488cS?Mv?nna(+7DNdJ*>D^b2bi{IV1c!tSAJQ1 zZs@YuI@81br#j283nU!!fY{TZ>=g~3Np>NhcqG4V>iEL@%Jp z)?*ka=9Dm>K(BF`Oc%Gbahud$XSbXI8qz%qkUq$>5FEq#oOOM%78)f=C`J&Dy!JN0fBTFpsBosOluVa3Kxgh9Pv zmNqg!U?6Wa^T}EtC$b*b>umJ*%K^v1!F_pYuHpVfCa|Euk#S#^x8Csv8$yN*Soc5OhmCIAD(H+B652NJU0sd}j{ zj=Fwp{G}BXqx_68^tvw=??*1spx1t+TlvS_!^F@yzlAKx&07sm zmIOEwKO=$!-S))*BrSA}gUL4nKL$fC1YU-DTJiXC= zU)sQGY-M14iJs8&Tajw_0y_0{(M#Rx+?&S$JCpBDrm{4_r_2byG5Dge)p`EU zTzqZTIh@}0qR$t@M)EGdok8{!q=4+Vt^Qs+WL491` z6wfMi!m7HvwJ>Ekdl!1yg=IBR@P?g{Nky#N5f`e{|0(9%M$7T+Z_svBtDm(rcO+mL z|F}rOZJrx9GGVF< zzwn5=@7UXHAC6VFE7j{a{%G0$y5N3Sy~l#f9=;;?hn$OFRkmSjOW&(SRIeYwBh7QqponwsMmm>X*@Xo~y~&6tmadQ!NHD2ThyjX^En4uO|WYG=dWUEHl$xOqE#3|^J;!;;tYJ)zB=d%whjB)&PjbUXNm zK0%zMuxDqoDuL#Zh;Xra>v5w_OQrv7aQ`^?P1&I-?)mlF52@Uz9FIE(1WA_$b5e=%_VZ!`N;lV+&Gcn zo&SA)uT<`}9chj1e<2o#h13wT6KQhqn`(hKR+15MFe_6mg8j^}A@NjE5kVr0Z1n-D zhaF#-t~O&34dO5b)6Ru`f7iKgv26vv0Oy!oB*MBQP}06DoBWz2fDl7z`8=P|jdkM% z+7_2>fMh`ws%4lM1jd;JP(UOm3%sTTq^5)831US`ZU<-1C0K36xmlycVBAb|UlH|i zjjDY6+so6lH?J!4)Ug;=(8#NJp*%{J{vkI_ujh^E7$J}%CuYK{;Oz`IPB`lbCxtOZ zRdxtUbw>v_CP0HNFsPWD*xNfFE_a3`o2QLI?1ND$A zkgS!J1saPP4=|P{gD0+ou{AlQ{}%s5LmIS8?TB*J!0cL!`ke<+lCRPrk6>*Z{~-8V zd#=j0{c4%S1zX@5PKI#Q&Lgl_>5O}J#8o?!<6ugkZem7iT$!+k&5|*pRD_!*JquyK z75Bcd(gZwkeO~#ZGIBc-{l&!=ZOvGKSx3&P0_HphvV7~_5>$Mo90(M;`rtX=U-4aR zB6WVmg+2E(FT?AVrf9F;{$3Gsjv^8X;UEA<}QQU7^!}x4EgWmuoFqK0KVueJkV3I{&5i<$)Kl;f}J&&@E~53}3pKCrVcu z3qtjSsV+@zx3*S}5hz+AUp&AKRZ1HvZ7`QxCm30gJ>OuISE*R~y5dUEq5lTt?Nlnq z@(DozeG2(c_zZBBPx^H2*s#AZRyQlC-;!?E8Itg{T>-XAWNpyFZ#oOq_hegeozPEv zeO3c3h!-7Z44X4Ro}e@tV`3^_r8Xseb-YoaB_l1pE=1S#QRx~Ut%+7bW$@XOG6-|h#NI9F3sE4FZ@y{QWSLxnWb%vYZ1^5k(TvDhUzel)E25f$b zCR}Gpf-Wap5L{=X$pKy8hp|KEl2NRSr%AGzdBQ)8hBN0XO{sdX3ay?gao>cYuzH^F z6aN)GX{`lbeE);iP)}4h$UlwBp}Dt!RhPDiwm%<%o{=HF^Sz#Y?*Uen>ge$A zUM#b9@8!TVgzOAwB4Z8hGi2Qf7P6wU5j#e}GSwD~RiWO60M@rRQHaD&sZ5D;S#EO=$1H4#V&ESbNEANda0z|76F?ndFv$QZHJ z69+6*DtB%YYb4pvTfF%fqn>~pDzYlmb8F_pcKNfFn&NY08_=$l_qq%wO4~r9Bxk`! zW%vnDqAM*S&J_o@cYjq4@bu;NJcWwSQExiP0L97Ti36?@7PZg^wo&{91TFXJxDFdml0%+r^3!RR)e1~oUR<3QGf#d||% zNC?&Amr(!jm+J)j&L5i)PZusExew_oo(KN?i&<%3%4a2Uhk?E4!@e=Dt+J2cL2{Ad zO+WXR5I0hJ6TEQr#Mcn-IIPXq>Z5f-T420D5YwXHr80A$rIu>qd05nNWonNaAq3+6 zL1P>0nV|5guseuA7q$Z(6%)(@_sMeFt_=}-$KF}KX*ygbwF7}SzW69T!C1 zE1Ot$5=mnI7}e2WD^3@agS|46h{=xf&+r^8Z~;w^9kDT>3IxyFcx?%20g z1-U7O5y8;^zd;s&*dj+ZEbb|vnB#s0`-ClRL4&Q{dX$;tN2UaVwFL8gXuTgH;GimT z6*!1w&|19N+8^CrQa$v_2W&xW+aOEM^FO8E79v+6%#z9Q%m7R?ppJWH95i#6c(2#- z5L0cDyBod~Qg`~^<$9l*t9qr76c-$#rwGmnjY}s1Y=|BN*mHJ!8^IeBOF)UtBQi)O zNxnZn5O9Ht+j-8Ps1RjL`^r(mWaDzMa!qyT!C(F#51!uJkvbPm^wOFtdKc%& zRb*%MB+Si*xJsf%0n(Y1o;$6R=R~) z#O}l-kDZiy69@UOsbKvr+i?X=hO$Ko#kgHkeNu4|x@xgB3fUlOhmPGcbPU#|C9FH- zcIB(@z2y~yqBVD?5(?)4vZy<`T~UOxeD(T!?PSVpxsamk>)@H zQatOMQEi5l-ONsng{8gN&)I5s_Bq~wRQ7?8U7;!mJI5~T$-hT-wXZ;SkkP_fX4QOV zZzzQZxF1Vf*(S~g3C6aE-Jo$FKD|Vwkl#S-I%;)6CX0psGc(n?ATwJwJq8fbl719( z4y29T$vW2yyMwHT``B)odl7tKv*3=HkaKaHX&9Xrw?^Lk%*?wRUhryc@Tr#p!|=Hz%mg1sByRd6 z=3)jRt>RN{7RvZ5SwpMoH{gke&Ry)V9I7}P&!Kti`)AIX^W^qni5$&nPpeci^iOF% zx#DFie^NRN3|{%uM4%M~Am=5%a-iyVCgfG=%irtjq)y5vIrT3FBr&)p5!2Yz{SmRg zPzr!5u%gx=nN$)oZsy4k*Mqe_8Eor$^Ll0n^cZa-6h%|(Z(6vVZP{@u4Q{d#)NS0} z=3XanW;nW7{7id?cXBxnDLU?n2kl^2hzOFJ<-1GSLbY=Uc&L%WCevG>>MF@+r@>nJ zAQ5L90C_pN*f%_DLwC6Q0BT|$Je4yFQ2_%S0oc7}QUrGgyn#3DK>{{Dt@K@oT_mN% zIL{}l|MYow#ILEF0oUj}=H8%GTf<_LAw4;3$Qpx*C0JmfRW2lchsbK!*i{wqc({|* z>vVK46iGJ|H)*=oZ!?O%x_v~VoT3?h{ranvs#nqq8C8*!X}<@3{k3z0H-6>K{o55s z$eTl~DkF41pa#j{m;#)@r_`sxVmjN#t+n*xfeLNZh=fMca*W2`gbe;nQiw6L4wZlt z7|W}FroXDhM6gQFNRddrA?thKDl^R+F(KDJ`q>>Nv|)#e{#-ixy#=X1CB&%M-*@Knk5^ONxWK8EMZXXffiM7KCtj~NZM&6DGm4Thw)SlB z;VEg|f{UrXPtzo`lduPp9D=s_AI1uT?Tv3^VhO( z`*lP2(*?ZJcsO~oIFL~d=7u1VzpOd_8Euw^RnS;3v{J>3S zO-%&5O)}Dvg@RhK#Dih$oc6kl#3Rtb)F;bV?&_yxC3hfGSLdh&J%^;iO`_UXSVokE%y2L_F`2O^A{Xv0F>mLt#KO_0~Z=LZsT+%jiF zzdTCWg*hD?J&U6dtVH@kpBq4@n0S-5sfdkU@2*2%M*>cKQBzrIZ_nTTKp3K``2M;X z2tJc_EuqH{Y#sfjpl}bmzW8k_E%*nPBb&+W^ zhw3CAECzyiZC7odg5bssNI7MgSk+w08eAdicJQq_9^QtB*|rN~Pda|tG^D@H!>~}z zg|a9Z2#d@nf=!2<#WJr2>YX?YdAutPWDTV4$!I@GCG)SvcpMm-zeTuJEmD8JRRStW zI`?9~vQtH2*%WwOWgt6G!bybaG*xVetHK?X6t^Q*%P{G^a#T*d5hcW-A|^O@;M6#g z8;*>#r$_1X>DseMdoi=;wT5swgU-Gf=_0)4ryxcwkDFp^mbz?@7jx;LKVX_B@^jg! zN)f{S`W3-v;$=<7xIoA$kP!V}lbk#vCrogBXoBTFTEInN|Al0M*|o1Wd~JRKiL$JP zG#2OB9K>WfnS{NnQyds@?Cj!!oU6o2O8N2{mib7G!K6sg#Wr3@1PbQo3OGo+q0KEO zA7sWnuu+^rWgBisD{nX47gtI8a6a6Q z3(K8x|JLU4dmbz|o)x{0#8CgVXGe1J&K#^gi)`Q&^gCjy{?t{cjs?a5JFRFv@~!i| zX+NW`K2_kWU-GPdu{sMY#vgLq<)*o+58s4HsE)f7qDu15DfHkDaS_*2heF+9l>X(> zzA&#eyBNIF17FqnGY2nD2Zo6~p~p3oSn6^S?QR=NPV>&TsHhqeT6hPt$N(prb^qM< z4e_tPEI1gajoLyQIT=mvMnxB$OX3$KFcvL&Rcyg!HYBcc#F zn#XLZp2gX4V(An|0MY$epZj#ZsemWW6mn*AO?*Q!r{j|ZczTsGQDvO&C!JP>syfDNQ9=F ziRKm%+R5OQ>|~fM;>f;5l6MUn&&14dII{gpRBconA>RJrCthDF<#<5$G8r`~UGc?bin znSz)Mkhqb)&G?_ny9flbSN#2#WD=Tf!!rG5ZiDv?s$P@?CDY`3dc;-hk_?d&(0@l8 zf?IBF@X|HAo$wP0ra!-C&BIE+HOfaL2?>%E5T67xyZ9#pGKZhFiq;ICLQ6)@1C}A8fqBcdG`Y1|UBTgU!~e|eb4=A@@MPU74T#3?Uz)Ictwg8w z=%)yQH4xztFBDljRA>s{Rr!vtpLGX#{QY>nPoAxKN|hRuiRa}I$-te9#AiZy?FOPF zI&i*0n(33Av)GL%Z_>3eNf32ZDVkdH_(OOC3lg#JMhQ`WvBMbWe5hU)|4eCVV5r@d}8#2ZJyI9E_&P)F;-**;<&pw5_mAmPD zcv$N~BJSll)BCT<{UaT(pIM4;i;n=mF4<+XiO9>5>5d)?_apu5-;rTBUylf!4kFeN zi(t~(ctY;qUaXOsek|C;6{gu=}?4d9r^gPK8H$>_~h^z7Y_fe6UgsX%B?Bm(Br zy3j_luM$KEo*-u<G}c z-jV$_~-h=_e zS(iN2U!tY;Vd_FNAzGR(dLEhW0N_ZLo~%@4>ch&Q3bfS2lwE&OR3(db--wa82BQWf zuJ8hV(09?4W1EeiB*tKc<=o8;&{MZV>Ve7Sef>s%Xa32S?U`#lOZe&zU{4ir^IE3# zxaOabIU`5VeD83JGJ_IBhVZe46GH!*#|}9Y2(;uwMjD^_Ts!WT7xcbtQaEs>5*D{~ z5z^{HyOpt@5g8M>d9Dx;8Ju1#5~NO+jYPpTz%#W4M($t;svLEt3t`c|F1&v)ceNDc zIthw63d%T&+L_Y&b}-O5wY@{gimm-LaUaa7?jX>d_%J}rb~AIWBjHrJ)0d<}Pd5;) zQ02N@4R=3-m%n)?K%$AEL2v1#lK73P)N>ajWgx0MGa0GU6JHmH5Jq<{g<&;YAe?D! z{K-#*tAk5@eA%OHZFO=TT>2)_A7Q6+3juut9Y77cLEjpNGo zi=2d)Pc!tti09v8jXxZzNVfJr%2eKJkdQC5ynMC95{VpVnW%GP;z0kC&QX9Qhm$*D zG6ZVzacc`SS?_vh_8hK-6P8iFKYe_#ZL7(m!7>&gx3Swy;+?_OchrfRhS#)j!EW7? z*PBDB6B_D4>q1VQ+j&3;jjcynBI#U1qFF{?U=7J-eMFA4R~uQj)V;-@>XntgCTM{J z#5O_#G&^@v`Vcv+GwYnfpetlf3?&i~q*?$+1SGKmuJ@aMZzcBdquIF&s{eHtkYKx= zs`w`#o9U3m{3k;$=a42L3QE2?Hm@?_6fS=8>as*9WN+NH z#S68(e&gv3r-UgSx(#x^2=;aHcoh4HiqST>=9L(+*-MS;0m5!5bUeJ*kbn!Yh-QrB8T^Z{2ZVe?;sUQ(bY*=o>ocPNY~5WCasyK))jbm=_3zX=hl7or!vVJds0?(B<-g4IYAS$VU{=N$ilW|>mQ8&LNO{1*^9S;0yIXM~8-;8{N0QW}Hk zIAC%7(ED^1-i*f>JnL zDy>1Ut3q%Z*p`a-ECx-{2gxJ*NL;@{2T;D^$MWgYbJ*H*aaF$S?@%U63P+fanJzW;Q7(?k+J^gbnQsnXzJ8T#?CsHW?UvMt%tsb zdJ}?|Uo6M|@GlNPee!8yx`UIYiYgXGzribvB3nz;IMZ#y1W;1gBM+#&@OwuA0h)Wy z;)g5_3Fk-5s?JlP`mF;X^&0jPmuzyp9=KmD|Hm_irSP9rE-|I>?MAPDDLSs{nOqL^ zyiv4Vg9iFInh9-{-m$NX7Iz(@Vyp2dJ%!PHOgU2Dpi1&{V-Tsa=Hhpf3*W~e*31@x zbafL)5>f2;R%CM0gpU!q%)7soDw&DK#(y!F%?aW-?3{Gqzq`!f(6 zUGtrV%{-Q8x$T3!w9|l)#ytfwJKp+0PU5i8@jaTiLUmDRR4;Zh-D65U%tTDB(@UKz zAVZ>f-+NFLh7gU5^Hu#8Hz;FC6L6P)9BpE5b?b|N67my6A>~hHn>l{wOY>*^9>0pz zM`#0M-f*m_DQ#cKRup^Cy%*0JaS6T=`+HZce;_LHxJs&a%u~rG1;$yUidhf3edv00 z=S`I7>Bk7i1fiJyFu8SCeOkFt zb)dKEYKM&&)%&yXR8FNa)XhwIJuyl)c4iW4i(pJW&5M0a!JJ3g%V-ZP(lvD1AfPCHem)GEmt;~e91mL;8KJ&E*CFRP|~L?TDVo%TIeRS93QLLFZM zrCX%BCyZtK5UFC=<;7-OlCJ&QEyex3Ho_U1dFeM&(5HEcCHB{PAZ*iiCJX{Mj+Wcp zWBa)Ht%bMWQeLX{63Lr$iGm4q6fqT17enYmL{uKqdM|P1p8n9PtnzJHyk9(3!2fCI zowySy-#0Y5m_+%>xNZh*L+mZ|+TcvgCJMb7(=doL!I()!_dA)8Prg1C^&{`JMFpl< zUJ)!}5eA%NtyEJ~WvUsXO}f{C&U}1%fC?l#=16wfN5dmlKI{f3DXB1B5sr4KE06Nas}P3NF0HifSI9 zgonpEE_!GunqY^#fiG42#pmp&RGcx%F=m5S+6Mv~vQIPL#=N+s#*Vw$W=)<3z>DPA(oW2H`c>t-x@7_FPd~CZNqvu zWa>p5y-}O=^WdB4kGfc>k|g<|@cdGG230Oq3svY3jc{UBDb)$51KJ@6@kg2OVQ38~ zCYC!X;>?oirUST|t;<1>nJ~~S)klxRRU`_z=x~jH3X{u~e@JjyY?rXL*pp5e^2a=w zc5kUs^&EE!Y=5C3oXX(WkOSxO4URH_??$FNL@bz&F6K8{oSoKU8jxGBIUu_dC}OjH z=H5q9a{te3yd?Z?@Gz%?u3|CQu?1{LmK<7yMR^gCAjc!m4Zl2*&pa%fqfcK~953d5 zFM<13)cvd{<=Dr5HqDK8da=N-FWnNXE@kJo=JMsck0A>?ens)SLzBVjw)$z8&*o}# zpRF%6Pqx+B-(fT^D7`(Te`qIE*AN-*yK%!gbCTy2{jLf(P-5~M9Tjris6%UyAyE<* zgM?`Q+~05*Oqku@<%z*5dR;WnJX$D-opwVeE*r&ZfLt zdwN3(e^f%qnl?9z>-YuEY;NKu(#hT#(%?uGo=^575d)Qd@oos;!`vV_kr7VSiVbrU z-0e@AK}YFok&oZy&YWA-$0BG+?zw+LjYiCt02*GvD#%^kLS}kdH?JCAd$XS z%d#m&oCbuG3lxXjge3?AB0EEgbb=t|_^{w{SX}XMmvqC4$&ggpov0x^qE$;O8fB~_ z+i=U+Yov*x-$SY=N$a1qiAK^X^brHx6T4Ryi5a&cIBfXNwaSHKs2(@ zpheGR8{q!WFw4)Os~6d?E8RCchT=e};+or5jd;a5^;?(tiUFHWW|q%4=>1;oOyy5i zgZ-{>W8!BBCr3tZ!-yP19bG&owVEudNWOu>&8Q*e?JH3i8?rhLW~#W8|(#0j!=+N?h5LN`P0nmre3 zAeq6}U~!rwh}arYrE24Oqq4~DwvoE)cae@!dDLOr?rnpw0^j*d(=H%88-q7=Jc zA5T5q3ZDc$g~SCJmFq&8?<3krv-h33@a+(do_o&(#^fY~v&6AaAtVlz+YXv097w?kt+3*M}2L{l%PkNv!5W zK-XA)5Q$y#ImAcckO^hz-P2nv=dMuR0)JXxJ>45cSOIYkKMfnh^6?bzEnX-zXMD2! zDb#0GRZ^nZSFiU-OQD})l10D`JtT%bMZ2ZN<%PWIN%-UL6BAW^(LV7Q;?HHv-1?W4Ga{{?Jo_NOC42-Z$nMEv4TDl2 z?N%a%pEj13sb&ZTJT8|<)(?;jZn$#rqM|aMI;ULD6%Cv}-!_m65A|uG3)S*BZrte} zQjj=0DUNyP94>E0F72sR5*~OZCXeu%=f~Jbb08M0qV-(J zIenFL>cawk59?i5ptAH;lx2sN7Ug+8ONwtlS^wExx+AXE9a4VF1y9s=uQ0xxM#z8ETpE2xu@nkF6>E( zO5eY5=J7ESY+sxf)~<|8u4k}@3?Vn&d6K=p;R(-6+mrv`gc> zF@IZ&I;NOLn(7f*C2@c!M0z)nrHBG=9WEQ%sddk4ts7iig!wBZFE(4A&#JmVbuo3) z>d*`KY9-qDd^N4P;s{!{qou1be@4vXMSg8ydP8&N%?HmGT&D#oTOLO7;*){v06(#4 zbvHxE`2*dGP3EOX)Uq{muRa)34~0|U13>_bIv#wx)2~m(rn6KzIDI}mlrO5Py~lp) zE#sppmE1pu2{Z0YEA#VM0GAZ??EWOMvieCU8Ute(ILaUS{VJcfE*=A+ zwIrzJeOqia)mPxG0^&kWIfwKXs01Y2B{xq*d?_QZh!9 z#?*yJI3%>mIA-~T>YhBrm8;>;mj>qny?w|FIUNvjlI6Jjdt@$U2XR>!ol7{D5}_~- zZJ6ixr@OijWGWaGq#Hfc3C};E6;lEt)Jn=?*ZGfAb5_ZiO&f+&lu|$Fp?CYbSwjBe zV+-j8VzDYLj_CRFPU_SHZevfpHHEpETenJ zb%DAuk3ZG{68iX?9nYG|GQv-=?wKxPxr6f!q7;YHQtpJmx>fU7q|m0PIRq!fMt-Eg zvs`*5UV!Y%$+nU&Z`!PDV*M|*B|Y4^S&PUKvRs=|?83*w2APu?z+TzEeL!(+MO)!k z7{{kL$(mHcnae=`Ozhr9IH@IP`uskqYt*#08UR?9{1CGmy8k?DD2d3S{ij@1tuaAA zBWzqCK1HXy+Ve+!pAo#0#u6;mbpX?9;Q^qX9tvtlPhOn^MSYQcn)KWTB1@$X{u#2s zleAB-;`+=N=#2=hej#KIV<+o3oqT66)*GU4;vP`ws30+!>v=pbvr4b}1aO2B#^ouW zKfTZ%@+DYdN~PW5LK=Sc;4l><>2%_jh11qh$gP_=^$#R}JQ=T-Vuo$+B*)|We$VmL zmBm~cu5h%@1T&hv)vk+WGv{%vNUmv10yIIy?vE;APqPbt?oLBSd*Rd1kC9Z82hxmx zImhyzj*)83y5m3$i(sVy)YG0>x^B~Kl%2<$6`eJW7ZaXEyo|yzyQR^}{Q#I1T{B^d z_!1~(d%y8q5$k_mR=-(r5%b+Xl#e`aKQs?$pvH>Rog8?nBVu8X)5^UbKV~wRF^ALo z9!9d=MB(F%$4})p*-kSWHG6FFC7K5%H0CbP@+HdW9mwUnhz4_Je>HSQ4&(2HetAIx zo#<5vNGT!-DnPNc&ZFuKR>Ct|d;u1b2#gb7t&XkP4OONFmlc?yy)UvAD03Hq64GiX zIgX>44~;VwtO)WxPg=ksL+4D$tY4X;V0r$fVGH~Q>IdV_abJ(kWM(Uo6S_)2=LcH_ zKD$7mV zm&DLwRz}D8hRaHSd0nh{V+YimS42$!u)w{a;+yfvMn;*vc&fsv)OlUJM51*yDqNSE zX`%5h>+1QW4hQ<SF&00}irA?LzD3hkMBkJTl_At3*^l zx4jqWw!^;Ky5a1wQk>-1s>k2Wz{&RPg#8uzBYzY#qMH;fD#s9y$n{97I@IxB_EqG+ zLNE1eypDL33O+pv(OxUCvui!x$FT?WJQ5Ozyg0G${@_$49#8!D)TtXxSU*HIr_UxC=0jj9XgykOR5$}ZMuy%1~? z(gc!XF(vD)Kwavqhvtg`*ZvzKfECGp4_?AD=h*Ed-cu0HGm+m+zsJc51}k3?Szwv53M%TW9`_L)o@Dx4M54t3E6`_DSY|xIQgb zee*;`@_z){6L(bYN@MRl8;1Uz|8@5~qN#;D@d3ySp&S4p(c2l}*<{c7Iz%5a1tyLc z`F(it_5u&0J`94tWJa=^jjL5ZWq9@FN+|UkO0+3N4y3Uy88Ip1brdkn#%jN@tr-T(tj9E=EbXdl`C5Z zX8YSwm(>5qeGU>U0r1AVkX?BCA=bS-eBt8$wehfNe4#=N*$R1!5D z<`012+v;E0hc&lp-)WKU&}A~Qd#s#} zK0!ui+6F)`a*nwRKvC{CwfPKKYXeeX;A-O&>)2@<%q>*>412&v)Jd zO#W(iJ;_k!>%qoPm3)F-F+2f(1a~oMVl|zZ8-2HQQKtnu8xRaCj zBWQ{VLFMjZm-!T1+A4r~Mdl?Lz3Bq&#?of}r<~8k02;`#b_Gg8bOG)?PxB7|AnyW8 zb}iCNP@=66_`>U+^#$kr9K0W>l)MWVX{tM)C%U1%ub!#9?gnT|>UW#x;!Ahe@W16X z#D8cw&eeBuMZwtA9b?#+rpTeDkzp&|-aTT=2fN?EC)8V3mA*AN`TCXEM2kIehbaI= zQOCGXNkLn2Ixa=PmWro&T*3{MJl$o+9xsF~CC;m3BJ-UsDy*wF8Wou%@43(nYz(yf=!7BZxr8S>e$ zgZ5+93p1}ifx9W!lK8>@JnJ>l=K(NSRoF`LFn#yz?p-coXiG8&%&96fIaHB+E#LQs zpOsyI;|G{JJ!!B@U;?PB+lR2; zbWDXbxr4hAC?JAcVPXbU5B5_=ASa;PA$hUCz_?u|^b|j2VK!Y4qw(N_tY* z%7p%xj=Ggw+6A6`7ZKzH~p?2ct5hh6c~S=)z} z6o*iH2qFTyAoj#u6k1atQDYkJiUKEXM!(p*UO8oDnY8L&L3ZreoK13u!)5iR&vlN* zvAhoVrVIm%f}cWQg-1qkKfrBN%F4fSxUg%+ScKik{5r~cedhJ{U4SvygT8_K=dfXq zlV6MQE07}ZQ>%7QTMR`zDMu!ip*?te%-{&Ib}#wj)#6|C!Zo7Ew; zZ&@d!QI`w1W$?2`;=dGTA96vX?@T@M9Tq~iz&Hsy z5hoMZ!eb!upMYTAcfVSkcy&u$#E_szFX3&|r<^5w> z-mKKM`q(Tu9h_WM&YZjW&dGL|AYZUZyhX=@*-EKPCvJEVzz=N>n*h|w=;pXfOZDa} z$I?vYx{*3IBSA)Xy1Y5fy#V9L;mr`6Xkr&?$ zexpr_G{KTtO@^M6c`i;yt)1GIP!kHSkE_Cy*H}+3q^6`+zYq$Rag5Y!U1OPS6yI zi=H!d4!Bf)5W83X!vIk;*aa-sEURVBdg8aSwY^+8$qQ-=mS1V&J`%-HlFU!e@6C}P zuT0?dYD+RJrkhIaYZohLMZU?O&f}CQYPE>SRYKtAmrzQ;*@U-Z3&AjF$ z$g?~SAq8BVoei~{^rh?jB49F3&fU`pw7a*VJcMOCw7o8zw4`f3ygw$C+2av9pzd4y zvH$@h6SoSQ1gQ>c2{0cKS2Pv*bRTlGrMUorXnmYRdO5g22BkN@ej^t`AZpdWU_I&F zt>>6}1B2U*fb#dj1W*Cz0Lib$s_3eAoFvxZ9L8N1YU&SVJU2JE#Z_kQO0`3TGDtr& z@Zy3(#HP;bR>}N}2eez~BI!ZQP1SNRf=D7tW#^~jp524A5K;%bY!;?i(= z=4pXoh1g^3<}Q?^Q&Cuql~WL70aW|$Ge#&aTy%! zh*KK44{983hlg&blkNjq03+V}P80QKc?N*>cgGy!htYcnOY_tr5XjB-ySmd$PkUuM zkk)2@4=E8WH{+}}Rpr<%ug%~n@i$X&dlz6vOb(Q=_v0Q>@FCRuvo!z|B_fOqcGl9 zQzeUKfba`!DuzK`KuM~xki64uS`D)9tLal_!m{5N4bQc#n;{8YpggZJxlQM%%5IB|~MflE~BSrri9br1A~twrfNq zaPcy0KTt7tF2~Le#5inSZZ?->^DhWG9!3kL?~YhP8G)x zhV(DI)%vsYl2N2?;922`3wn#Epzt~Z?46vCxo@60h4?V*_l^0Qv zpL~DYihXd5C^7I>RkP7kVAA zF0RVA=?({|=PkmPpjm-Z>a#7GLM^*f+Fspu`pQE^8P;+Qp$ANM9 zm=Boj4~E`squ=&_TLP&hK2^jjV2 zf%<3SvI$CcS&N4|Bi3iQbU0rx>ln`^3MP>ztC;0So;7rcyItI<8t-}iwWV$%f&aU0 zNv|@_*VM8ZoQg^YH!KUy`xR@5aJIBGjS)X?Cl(v9Ynt<(7-LK=IF`Zr)%n^xatDeQ z!Ru=&)z+M$%l%@m)`d5j1_In=YXghggXfNWm9r=sce?Y%X@Pg>7e1IOBiWk7T6(E^ zD2AOQme26Pg1k2kMqy7)&>T=8dF!j7N1{?g-kao^rx?$A77?$Ha$ATW(i-E>$$h6? zX_`6){yR-x(wEEbAm3Ev5M){^0I$Ak3z5fUXqDdzT7B-LJFOTymh}J@}5REiW93f zqq2O_6F#gr?>wAKNvo@EGcw2S2kB2n5uWCw1W&%C?UY8b__a>!2z$23+H{A`#yCe7 zXQG;r?|{CD5Y7{7F|v42G?&@4uW8;Pdi9>3(wJuki z^-UEiYSED8=qw9F=;tX)CuNwv0lD;=P}pTraBg-3B(lAvKHwfM7lT2mnEZekX04G-3Es43DBp5#DmUHOogw{g&o?SrR3DFcQ%8EuG!~ta z9uKq|5`XXPGaDwsaXz<^C@v_g8gT~*8+IG7b}GLI_Cl*Ken6#bD_bA@p1w8V55BHG z8rq9d(TzNtl`*Y5_MJ(!L(8+$&J8m{F2EJpXi9th>sETBGAR}PrL+1OG~TbZ?A7^n z4_L++k@?j6c60u2NtZp`jViuC{bk;&F_(ObJ~;)uvpNn{;6yyRmSVqpe{tVntqw_f z%`{mIm&&kCyrRIFjaLJ)p%-_H4YOKs@zqeP@+MT>Xi!Xun_#MM-aM)2g|zq6P&kK+ zQdAP-RlbXp7*k|h9sI6Vdb`jMiWUk90JLQep`?-#SH~xvjqEsr!hrzoCH(^=m!@`@ zBxiNZ5YDV*b?qyit-dK0qbP-v!Lh4f$KBT(9a$?Bwm#UX#*Oqd=;M*l2k1>^D)x!0 zWJlS^JMf985i^Jqh19eY;U5t4I2%pj5MwG=j4I!jU*J3MHH=cPp6gSi4xv|?e#Lgs zo~_Q~BRXJ`R<|oF-Q*F_4T=^+B0?m(;-!RBne^GH;v(J8ywvbOp@NRANaCAk^hr?+5Zgh22{cj_v0DO-nf z>Lp)Q^-5bH9ky9EGWKZxvFEUSP>_s)`w&&IR7i;7{Z;eMOiOC3QsR!>`c3S9BX70| zp}&TQs^SY&&?bN5eoHPVSMZd*9UPpxMb?w&?Gf2QEMzZr8pPb#dqJLh)4_Z)fq0LZkhRFRCqsDX zX=~5xc((Be?jPe@CB4eo6a%w8I&PefVQ#}49LwFL@_O~UQ%f%hM5-k^}J}F9gOhGk zjLgsDy)u~k0f>bz8)mmPPiHRa-{hIxtju0}LlOua&=;Sn3!KcY`<#)ZSMdebf3_sF zC{HxK7tul`UMYofP-4QfWviwS-)o-yNZR1MVWW7y)%!x{UahV2Nfkxk0}xY}+%|!= zM?mkRMSZJJW(v@ueZ2S83-E?&oM)(u?p9%)voGu3IDabQi_vW^kFrM9@%jgZDpgd8 zi!12FvTyKyaTTk-MXHeI3RxhWjjCcbgn>oV8u#fWn+Xq;nHUIjN))KS)CJU{^p(d#OVW9wW275-XQH*) zTdFlPVj~^6r*!TD{Vb>637q_`vj^}VBr;1+{W0n8^K76;o}jTOJrN*HazmY4=afm? zQlbIM(=|HP4I`rQE?FdF#HaDt+Iny!I~j{OymVgR+`p4xel-mzzl~TW>SDVLyt)Ip zdh8rU8+MWfs_OU*0@`VhILY&s_AJ~+@AQt>6b@Q#FZ+(k@ogzmj9eCY`v3li%x(OXl)dJZq>ykF38>wSENy%MLdIKOZ`Lpq(U$mANe`zRD_rigo=R z%if{^pc$S&3IzHermis}g{M2SNE+{5$n%=Ju46OR%@_KW53!j>4>Nko&{PL%cfa1L z3Q)X7!aBZcozq0uL)W*X+z;mv+%q-JN2BvldWlV9e5zkKut>drgg}XY<}@9cBvn~b ze5XYR*iT`FuxfB3)zU==BlAEJrfjR4+rA!DR7>jYp7|Zz`^F7CuZzI_=f9Ypod$E4 zmyA8DSB^}u&+S_HmQCv3EkrH$!iOO-neLkCW_)X)wY7aqwe&IwrZZNbw;45Ho4{aS zqJb&bZ@P*`0>Omb%Z*yi`k5gMejOlG2_g`}3zEvlWe!|~W!yHc1AY9RM+JbG@isD_ zSiS8A&;TnxiyLUyUD#-f1b(fh#K#5hS=g&+YsZTpPa8mD18Efpw?e$dyV%mW`Lf{~ z;(&r%1s>u;BNoZ6K}?g4T}QJ&&k?m1*}vZdJwGTvOA3PEqx=%6wIa^(^c~_r-x;|u z>DQe)fzzXYp z)ulgYIA1-k{C!zaMmagsKLstcmQ9sVDi%PVaOjiHNx@UJVoyv}&Ij5NHQGwwFBR;0 zAG2jYQ%R^o{q(jSH8v*+4h!BPJ1$_DS9@41e^n_qVkf?(r z5F_Y5t274I=_?j5f^qdOuJ+|ck*NVS2n4l^Jsp0q2)ttiq15?IOLB5AT^C^>(A zlW4*ZGFe}7f{9fkHYPl+*^8pyc$+#~F-&6zjQ?ij(1RBTKf zLBRl^^3jEt2URCvr^>#CbS=d(Og`1tsg{Lem@3fj=bK?~NwBqXeuZ31YJgwtTg&$Q zYRXtA2hZ?nHPC{}tx%H^n;s)T1xE#}>ULoou=g*H*OforTPk*_joMM^F0`-b>lUbE z4KmS5#0a$0y=sCH5XwZT#COfl?nyR@YLE%+!AVfl{uV(WRZUWlgTVP+wwxuQKL+*% zmRq2|heXtlvL>oF+Gsp&=kYGmde*N@n*o}t*Y<$sIvH%cBu1D+xZp(nk z)b!YG^txoG`SUfBMX>v`WTIctV_@P8q+@^y<8cN3TC7iF!RuDCJ{P#)@MuIC=L!0a z#GU#55EAi)L1QQNf9`cj%|E%tv4I{vtt(Do;z(g&8Io8~$PHbs2(vKi;`awX{BCyW ziKJSjvSxtSFGFBQbsYR&mMRub{wTqH^ptI<0&f=+$41rv;s-;LHrhZt{#W2F^6xh> z7*E^?v;!$MI8a;^`q|+H5X8@YSjSsHX&?>p+8_u_U5tPd+%NaKQrWk`d3)&u_%XV6 zdUQ#SM5P}dnZh$M`I_(%$C&-WDhy)!zuxWxn#)6f^_g4IMBOKNF_e37VFgSf2m+ zF$xB0mUS@|jwqA|-K*;%1@{71(^6Gk z#cA-&lO2nH>jKbAV0re~jI)8TX*B7c5WG{uj#P{WzDn>;l!Z67fBDy!A;s7IuP1sI6a0lwbz?o$Vk|}`RSv8m!W;2$9T41y z9#LRniBOBrCTQRL@%G}UQ~Sxx5}C4C1h+mmTlj^0(Z2JQaC-m5k&e_L$e+I*eH4*+ z|M3LG$-feICIo(c2N@=Izll3VVhEh1aMok~TwIL!e_ULuVnSj^hc}#Ux4{x|U&G>r zp9J6LFV*t%)6=K_`04J5CU|WSo`K&kyo_mu9BRTZ{CQ~%tm8}{(YauR$nRSnEUk;d z3)A7j@WlJj882-L~2JX?;P>J{3^?_;|)q#+Z(5Pf4M{BVBDeX-0 za0I;RT~_}vyXO4|V>0jo;CD-+PYa36;9sIBCj<06XWL%N?VAz&fbHGIrteb8$yR(p z!giwQmVeN)?+8d})G(YzbWfZ{uX(s^3_ONg=5vjHQljU!9(XK$Q> zuK`~3ulvWqW~BePHwhYsfvgnucsThXpZ%!Tl;~@5d29EcxUkUu^H-17CAh%a1UPqW zG;&25kmN;m)QzPK*0)-Pr`q%~Pte&5p85R}cyiCTn#P4P)dASzw@Pe69s1Ttsy}g@ z`u$_{A5;97ed<62(EUpU06T6XzK$ejj$626UrCR)*nd9N7nP&y^~`~m$?uIP^pjz) zdZLH*Kd%8kn9yi`t=oxk=(ko2Fi4Qio+ftUPP5j zT)CWqK4j2y_V3pZ=1%kN22hE3_{#38^2x&xxqDL7RX%K#oVTBUw)j8`{#0Z$r<}>%j24nhtub>q#Y^Tq?hN z;80L$-7y1qq#oNeaK`ya*rHX&h)ot)P7W^yaQZ% zx}nJwKx7C*K-SsBXJhuoPf4j&X@_^5{!8ro-}W;p3oOu0-}??_^j|#cgUBL4`S9Cc zJ4SHvIb>#g7}vKBfqu>Sdtj9{7b8PZ@!{s;&iuc&f z@|UzYUS~*Ci2++{kF@48ecyg4@G+#SNL#U2Crx`J?GPvmcR^X2A>eMD)%5vjI6wU_ zc2yh|dLk7c(8o}d*tIdVl8OKHnOI6X_gdr+fqOs<~95RJ&Z&?QRovodrFRlHKqXI@PR<<&AE!dk)UhEU;4hd4>UpLm;lY8~EE#Y>2^`_MMJU7nY!c9+OK#g&rkhJww%Xn)*T7Pm z{sxd6slcc9#Aj#gpIf@c|7tZdgFY%FNKrkF>j`}O$Txv>?~@QTa?$XNvX{M*TLMPm z%SUlKoXDlBs}EILJ7?+vcCLGYhB(D1DbinmuS zd?|vRXT0p$z(v@J6&GZYdZVpt2Xe9M!$C~7b-_gTqI%{JYd~3YXrl%+6@kG{6>ZTp z)6Eg3DO`^UcYUs|&tMMKz6Yp^chLV(ZfzS+^9z8Z8DFVO2nyErdauMykpA=8&Hq== zUR}dtEty2+s@TzVW(&3Ry003Hw9x}KL_qQ?b6$ic3bBD|c%w^+ z5zz{Oo*Gdu&?lW*U-yNb-5%gb+v%C#ao^Wu>;t9$Wxc}0Cwsuc_4wyi!?veK2Ybga z2W1ekh6(9kF(LYJ`eyS09TWcJ2;5?Q2O6;J?^a+{;9DGG2iOQyj`t+KIb(*><+}rj zx!qvo9GZA*Oihg^SYnh0-UBqzPeRv(gh{#exO|Z);kHRtO?UD_^dVQ_wH+3 z<;T@-vjy5ywdjON@BkXjb$~RiG~Up?8A2ZOsyJ?>BLZ8_BH*si>6=^b!*Dg zTq6g%{l>#!y40PYSUXzAOuy{P|I^XT*A6gScrgULaePmqYgFl;=(|O*<5s>EkL2N; za(R1czM9*COvSw(fR^sw+~9moqT{U1g3JK&cX|p<0DIFl6-GD7t+XV?a;NNNwvshc zsr5bBjUC0^RpXohc$iD&%`r{dlE^g9Gn#qTfkgzRah@eI4{enB^~kiOtSaMzH6os) z(Um(zFDT9dnK5A;vLGVPj^}q>)zo2PQ1geLx2( z4~}V7{xfy}VMNDiOfTi70q=-M5&lJQmNnRDQkk7y#;Wb~di~QPF*`745#O&YX|@mb-v80oNg_kmc{*265tw=(u1`S zvuhI#x2p`jWKfMRwAm-4U?kK0ZZ$^?Te}?tTNG#z!-T+%lJCT?p(xH(C8>-@#An&m zGaMb*dtHE-meBhB1opy#Vtw9*Ei-XST+6%#mw48ZCnKS9AfgJfWXz-RyD)Vz%-!CoK!8ka5 zW@w`vtliC>tp5QI#A_ULC{LEzh0BAg4990wIf+CRiNm=NPm>9`Fb%A3HpQXef_F{4 zi!IUfmkF`&_^_qhKZc_t`qKi1H*kJUjzGoGjp|N;T6)QsF|$7M9q5gBNf`Cxna&fP z$s+9nBWLZC@`8aP+zN`>Q}niSo{{2< z&Y>%meT&n2accGy!{A>PlK*5bf?}APLB1aRfp!x6(MH257$j!tm;I;zovB@-_xFnl zQbTFTV)y%QQ`eLUV6rxf-o|MAtLE^Z+|ES`uSN z%67ofz4MfqK5faM>I%5fcX7oDeA7xH7K};$xX={pc7CxMKze>9n+7zl zdsaXral07mK62NNSjkPVcf>NG88Sd4!4B>Np_W?8GFAg;KxkgW7x|S6yPwi0MD!BT z(I8#A!bI}x9*2o@k?0%1va5h?&e80^cr8NF8-#yd1eaGVzy^JH(8d@mH@F=#Ci0g} zdE@j~pg1Qu#Xs9jLrWA2Q#Vc77D4_e&^;ZnU53Gy#C9DJ399LoEhM%Ev=Nig4GpRV z2zfmWou0))Mx6cOJxtRhLZrpqSs7CXvc}k2&{T@dV-cYpwLc${b`Kk^q z$RB_OA@^vJ*Y9go>f>|}Ut9+)+*Q2T*-+-bq?=JU%lRUZAV9CZ^!drBE}(&^t=;s7 z7Yb99ju^gvDfrX_B&vb{zvzqKqVu7sdEBuodP`ayB8PyQT;B`dyzS~&EQtMds)twd zk5=>voMkJlmg@5(9D-}uRu8|dzfIWtZS{3f2PmO&ld7Nz+O^P|gK&jqR#(u89KTBk zI(F9q%i+Sy(9;4?2`lM21pH&kj~X5dH8(}denpb&_V7t$ZFG|z+QRt-kUA}j*&CV23A2AAl$Hv`evhL z-Rp9o(pzgXXg-BqJ>dre2k>wGspAQx$vV5X0L1~wSOOcf$>v7<1G0RH;qiwDaFz9YR*f!n(P>ED1k2Y#8-0CSKiF4)B zKEMQ2*+#Zu5n8<9l49$>`rFQ+L#3fgft=2R`zjOc05Z$zWc3 zL#^Z9Tf29h+~9fACr+f2=in6$rARMP=jp3eum}R;oz~B!iMP1@a2s6C6C-A8fJfr1 zF`gg9iMsPJN$0L;CDy#JzZt~dkY}Gqs8Y;0jfGRq`aJkm;jgtu&|bO92ZdA8WThhR zLINF_k&_w4C6$p|0|^W4JqljltVJf21M_1N<%rF__=_kvK=?sIQAQ|P;1Sgz#?Laa zwCNp!Vc@-(*9}4g2S_aOX?g>Nwl%wyXquT@bli2%^+L77$j8Jkvqh*}nUnahN`uWKDCls+%;! zKCE>WFAoZ{AQsiJ2k+zMfET5(9Q@J76w-&pJL8$$_sS5Uw(1Ua4q0$>KeVN^g$ zA)+t<)I83#2+oR=X-O&?*4#KR&ljD~Rj42jVJ<`}m&c4}!hSuVV(!9vmVJttl02Yw zswWbw$XaQA?Gr(uguHU{(6Z6HMK z9nGpZzT>m=86oPvG{6{Iv{Vbcwo)LJtn`aw+(%AcjV9~PAl;MdTPvgeeiKA3Zh(DQ zON+;!wa0bNpTN1V_7EI^t=vyO25w6glc-(HR&5a^GSR&q(W5T*HF!2M%&y*t14Mvj z*T0&8+OWF0Mmzy5uQMS%9#?e`{2Kew&tsD&qiYzY@ANi8$c;LF7#nx7%m7g7vnsQA zVwTyj9O`ebQV!mQB^Mv*rZ@tAQNZYc)z1}7xq4wD3T1JzWOF|+pS~uw#AMq=Q0Ta6 zoo#Pi-yEN{2P%IlfMfV-EQX?>ws~2DGa@dMq#kZq&>LGNLu_=&0cMfsbj5Vq#0C|& zL0;G(9%06>I!naa3;ab|%@XfIe~-tZ4YPCCG%OCNqgcS)N3O1zRT;t?uB_ zWHIv^B1-ko>9#pe03(}{EK%U@N3ADi_BhW3=pW)67l7n<_(D7&8kE_qgOjI({+w~- zQEW0qS2J|7rCLwRghCuPS?-aTZPHvl{#$@4h=b|nru0~wTY&|)AnJU5;Y1*B3$G$E z*wxn+1bn~U@{x+2j)NJWcL1lI@^|1n+P^}B>S3mZ+*1#q`aUzC)obFsED}o?%n_xW z>L=-5lehs;&rpVyzf$f%i^}>b)%7=%Ab4>&G-oVWdJmJyQqxDpVnXqv%NQ&XIik*H zCoe31WJ4V4FZJexA#!RvxwaP}N%18Cvm)C#o%?{Mo{B1R;TdWN7Wkq3ZrV*S^$~9c zz^2T_UhIqeRP?JK&j-=m~zS*e0vhjCv#f(`FEZ$#*`IQH8ZIA<_u^Jf8Qh%`gh_3 zKh!~liJKRmcWLSIVL9NN{@A-m^;?Ea;DPZ-&-pG>*-Y3h*t-nIe-v%x8jCUdi#xV}X zt5{21Ev|LAs)5?hczZ~u8X>EFd+>?v*$0ZdXlk^aAj z`)2{^I0Jxl#N5wM`NIrCe@Mz5^!f+SIv@XiZT) zB_)rOm8nwzfH&Y?W`Z#a8fSBJ3R(Z~mF`Ubep)o*fp+e4Cb1+~@4sAHWJlrt;TtF5 zH_AWw>l;OU)kGuh|1iNcMBoJLWkTxNwvt_9cz!xF{{ExXKRoR~GFfv_`{yAZ#c-QI|Uqw?7UynX{hSsrMQR{+Ybz;Esp-t$ivsgWc zY|lL{#1bY?-8{Gxz$8wk(Zh{7n%NJ$9N9U=@J(k&>UjI@Mw4Gj{~J#=@+ zx4FFT=Y6m1etzFy-ya|B`R$ou53~1qu5+zpt#uqbG&HpPPn6}Kp`qOw zKtsbog6{$E$i@*a0y}iaXG*eYg?$g!fER&g&?n~V>S!Fmb1>RnbV9T{w}$|~Xy}w^ zcmH~hhNgl}^*_&_p|kyc3(^3 zglh?(1G}ETR{n60nX)5^9*bG-HTS`80^h-9O2}v2n0q8&l|!3V-fk+&!MCbFvhBoxRhmerNU! zgUY5@2Jc}3)3oeEd1=spZ~KsFcwexb)PMg)o=yZkWOdP|T=Dz=v)$r5RpT2K?7o`GG$xOEZWnJe^ScUxte*+Zq4gCkLFabSQ`^TO0JH_kS6E z;A>9)`-=YGzeZ5AeEVO<0KD`^ur}%scwws&4t#(Ndi=M&sHhvKJCBqsbtg{F`ra6< zx&xR0RDR-rGZ!7AX!uC_e~le5FzkfUa1BVD5Yd010D6e!^qv16aE53Gz`%%QtOWk+ zl+XdI9Fh3{>}&kru-oPR*C5kHHGX?hU^7$eUeU>t3Z4Gx{Qb@EZ|)n13LyT7Mqngt z0fQ;yc!6ChKvu7in_k!T@Sg_pbQz?BfSM#4xFky)4Qn5d8u`Yb?Kz=Al*uqk<@37Z zpNjlrVzV&7##)B;Ue&bjOI`8DfMt$(Y<#!aK$Jx;EiNu%y-tWJ9;44H8N1qT!9Q-e zx#D>{D|K@@G-@&cyD&~SzcA}gIQ!{5YA`w)QyB|>eLH+=wQo4e{$Y)Agy^8d)qxz_ zwZSjkp}v=UN|UfC34~lQ-uAbvW*nZ=7>}d%r5lUBG%H%~!x-nyu>l1k97rdv?`4U^ z+2Y6Jt;*R6p-CHV8dMdAcER zN4nThVbZ?_=Zi8LM8ahgN+s@Ate(u@#??<|RZdHZ!0zm zYx+9$o`Cpc{5S`a-F`ne|Az&!DPUCT?EDxtl&p7exi4HEAv`nIP-!-Y2$W1DrzT*3XT??d1qdpWP2xAt%JPXKrqusj8-uB6sMN|?A5XHXIAXrb{cfJgorarwohFCrWg?P6I0_iJ zX&SdVbSgp1XuTYV6?3)2<_|d27+0-Cnr??M#0Y$Y7tizi9ZP@k=UcBU*dWc3@y}Vs z^w0M^ie*-{xjGsZ4)CK7yg5bT^I(u#1U|aS3GsVfU0p5ox`Uunx#9YJ!)5Eo=&O$` z>iZzamA>@*4~3gL>d)67MubFS44;HEWbqA9I9ET1{&Up4F`x;+(HkQc7{MkVW^5~` z5$3%qIt4mh_)JLX>Uf#OB-Pv67&7P7ju|ibFYk-3N>3X2((%^hMUn->J5=daCu`=Z z3w*sTjBGM5(`Oy>Q}sqIE(aVZf&MbPJEJ7>p9|Vb9c|PmkoQ26(Thsh&gSa;sO)>? z%!J3u_Ez&*eokcR_lk+G??aqQ;sOyQg>zLFv>vOUTvpOuCvu`B-#~L~TzBlmHjCe< zzw2T@ZX+{tn=6eFn&|kZTV%(Y=~b$wkjm(VbZU{5)XR#7^7A(g0fzHiRoKe*K>^UAthy2)e_HXR^dcu zQ3`r4vj5vX`g79zu_-7q&IA3dKXO(QK`HU?@+Ki44 zVn9)t-6!*p;1c@eFUPI({Z%KwzM9Vj*TwB(yeO>hgZ|^VTQ5Wx={Hr4^7}lb$=!E( zcHrFumK)4*-29>~@`4n?hV4&a_{nN0cO*;Z&h)5)f1=qWKa1T6;^j-15mAG`?8JYc zuJ~*@)l+bH|8YWOOdV*k&j5Wi!~GZ;L1lqM%2~WsG1Y3cj@^FF&x66~-eKE#bUB{?At^5c0Gp7Ho2P~}F7R@A;n;}_qP#^q3EN|YXXVU10 zeta_Pn`h1Ug8R=Y<1$LWwqGY@hOk*<5Du8BSNUCHU1_@cGDGq?K}pB5Pe9m=(yTZ` zp9t>iJo$UbRKm~_ES(n8MUmmO%a}><=gIir*dRe|=5T7U5y|sa#waHJGIMnv z*Hj_fsiho58|Ex_}uTb|%6QRLVS+`kWT7+yT z$^(QRmN}~?a{mCPZZyMf0gG(^hxKR$zSQL}?uN^EutGiNe~zdBCIg+SlZ(L)wus(T zMdieshg6U4vj7ifXdRC(Y!!ISl4@g`>3y8cCn1AEMqC|SU+mOC+m>n!YFz5^Mt1>M zJ9A)CxMlqPdb?%^0j!3RpU&2>bKd1_g;j>(n}f`oQzuct@M^+N0Vj4rEY_Ry=-?}X z&-ofF3aXwe^oX;U{^}GJm7z3RXt1>q#&axvr$^?o+pckC^iJ(T-|<>rCZ#D=Qv+cB zdI!tBTN_0U6=w%47soZ5rD1@dbkX_o=6Ce>>wiu2Yc^nBaO>=ob!?`x&*X_3D0DAx zgDV`us4vYZ1Q}3G;4Ha~WdumC_AitsqE>@Um2Ym&Rx&GwG{h(l2vHo8?)$GNfmpN& zpn;;T>Xi&3uTy*4mcK&O{!;gMz-e&T0#U`dCFp(`77@!>PqM%k;D(*}B)rd^JQrh> zHe*$}J6W?Kb3JBaW?jT`_B&<$lH72>pgdZr(@Q01deLPSNxoBD8~~dN%W@ zCK2;&yg)X5?|--}bcnJq#=8}b8|&xnDsXbi?J5*PA&m68>6Is7QWT^nfRBvU`|-w1 z*U0;afkPV!x5ljd3N=|P^a~^p4wK&ioa;6N34PcLa&!sHUvxEB$CFzDINZg2FyxqZ zQQh(sv|-Y&W*it%^F%|BuW!Q$lyFfAy>8a6;@b<*m>eBT{#(Rk3oP^s(>83egC2qJ zwv!bjIZF7jG~vSOxO7KY4G^OS`lN2WJm>r|rzRq(M3+ozLWo#BXyO-4*O~sYTIs^D zItbI-$Qr~osZZ8t>O5EOV#-ySDirEf7S8jlGdvxQ6x&`+(4YE7A=fJ;-(t@B$Zo!8 zdL&u_PEo=(_c1Ei5+I$CPsGtNf~$>n{b8&%497Z1F4n~v8D zihlk=W{1}ZnF=!@`r(JdvtC2wL=no%jx+8nFK6q$w}26`pO1K&yZHcT)G4wKn8J%a zb{;bZ-wK=+vdB+2e{jA6W20D_EBnr&F7wSITmH(UNHK}9m;_&5KpmrxeQs1@iP||%ud>Aa=3@57<;429x3@QfrkRQgd=yOUGse|3UE_K}XU3VM58?~c z1bfL}=)J95vRe$FHuvrO$WP)@zjwA)`B%)6_QBw(Kh4IBY^`DE_>8sPVNVkvvJK!! zX3~04$!l}0_0U+g61W?)B@1<_n}k+gj8SUR3CWR0`GL?)GU?4|l>$jmnx6B@U6 zz!3mUzrxf0QrNQrQ(NNFHq!iay-K^CzXWTO_Vq&1&^Z5Nj*L{Tpo$u=o z!Aeo>e(3bOZmzTSwuOX8K2oA_$s~7ncto9paVbolK-ziyf+6Rnyb#rNHENYQm1)&H z!F05=JLzr1o&ballXCKJps07g1;7B5n)+DK81duT?Qjhy0mDUUl-Bi3FmOGDHDgs< zTA@D#n=&RunPrt+VxI{qP2_|Ca-$ho&MDXr2$_t}1h?qUPLw42e1p=Z?)RmMo?VGW- zGc@YMi&%=HACpmXKpP#L#V$6>>hw+O{*D;LnP?cBK$DLzrEt! zI~<}W(BZpII&^c1l!cPJk9Sm&OF88$8p@6KKyfT+x3lO#Ts{)LyhOxi7Yg=|R}} zgQ&vBPJv)odV`lPmwWA=bdS28C7@>ZsiA)ry6AAgbejYYP8kb>>Jom=@sX`YM$qB| zbparkKG`Q=Wqlfzd2nHLbDmi9F!(3jBZS|S+UI22wN5UvPghhA@)1bq%k*mZUdcXX zv=q6H*EL+rPSAMGxwGi6_h==Gl^DYbz}%7opd(smqI7Va)#g}x*BLCvrQ zfS~vA%*k=7MTgtC%ao&6ckzzQF24uzvV6F4OET~|Tk^RE`?xh_T4S&xHbEp`X1;h` zU7Wa9y2y*0HGM?mD-z|;DE9$y%4-b&%TOjF6$HOIGdjn(8#?t}85DzLBi6;Q4r7sv zpex7#l+yC>X%i6>XS_<9Z8nCe$EEyiiSg&yx7pr5{s2%qKJ=N%DhO=gbrK#HdaoE+ z5d(79^bs?7vDl=g_G3Id=D1I1EVE>5aNo;ZCg56fB4{Kg+NcG1jGfJt@fhN*NFd=} zNkTE7WZVQyv=pU@wBPqZ5ycN^-?C0s?73hc-8X}I2{k8(uMSJ>Hr_GtGDWvo2Dfqw zs6;2JKz3NW_B$A(sy^N@|7Sxo}r;`a9EY87Nsx0Y2P8A)2JhtDcK8M#DkBrX z%9YvtuY=FgaM(BOSA2j>-vF1P5%L)tJsxxvC3RheS+Q6Zhi)>)viyi7bGNV=JCZF^ z_-%%#w3eOx5s*Bu7xvl6VWRPg?Yd>-!cFuuL@--fbq;}A*7RN8k=LyvOR{JJHrR<) z6|fG-5fxULPTXR9 zD13g#N1vI;O_jNXI1$Q3#2Qg~XfWf=0@?no0as zR;&qP9xx*0^a;rYz)~YIwA?*R2?t`5CT2*LUIK<(mDiR-14^`8I;Mf*BetABhM&+Y zQ(`3k409OX3^rbR47TXIJ0GU3P~04_!xZ@Ll*TAhzF`g8sG?4jX7Si3+ZL5LpFyoZ zJ+Ib&kJMAYX{`#Gg0IRsDZd*;O}Zn8C&DE8@QU=ddK%6|2T6}f{QizO1&M~LIi)3x z7m1(***^jH?nAuF%sdqH6%sFg0q=g*aG8)X@nw^ zHjh@uQz^cB@{7R5lV(Cx zo=7HL5QV2YMbdW+bp59R0vAdmuqBpQ;S~i#u&w?tipdB+qcLfiTm9w?q*rKmNNe@n zlsJ}H=@`!3S9L`Qji*RZKf(Njl!DLm#Sx&0rs;>AJa0;pBJb$(Q*y~~YQ$MSHYu6= zAoJcI36K2)qRa`TIT;seJXYyzP8Y%q#19Nviy0dzFeAhSWmA6!(nTYpT&99%Idcl# zj>j#wfO?%1jxAU^=y!@&l4mk|QIc%T4rnObAxt@Hnc|Dm9Wr5ac~-Oa;jbTs)gY;Y zuN@{8ZDLv4rV1dFoGXs2pMrlH=ShCn7c~9KLs8lLlo?4X(3h+MTIZLSfOKL7bUKJs z?qV(Btf<~e+nWwIt6xsB7Ux->RrF&m&DX9k=DGP=fF+B(-VMM%5?M$u8JAx|PE#*K zKe5w}h6ai1c!`7I{CHH4`aTsfVOWEA!y!X{r{Hp!`$}t==bS}~6r9X-UzPZ+0(B*d!G!5x23GRrYC34c7=O?Pl0G4vW}(FBPxsQ1Sp z8-e_B8c?{4+0Kpmf4;z|&A^@>L$J27?dHF*CuLy97(?&|ra+PJ@^?*I58Xc&cc zxU`u#e*}#r{P8;4vMDn5{f87QEQllct$xybF=6+oO23R>iy?C5n~@CDweF5ZVZ{hS zB~*48_kNZc0){In)19A_-)+y%8YPdV+IMv_yE9N%VvZ8+yB>DfY`<=rQ2)hCX)hQl z!rJxZed5p9l1Zo2XLzmLBd%TDwPSHut~TaBrPKIfcVjxorP2h>N~K^`6ataTdGwLp zj~1wOtplC;wQw*&5-V61An^ppt~Th~J|gr?s zbxugsh|%5RZ;4v&841LpjOQ3o(G*!C_FY|rpiImqRj*yw2HQN>9D-ZCBWY|nrg_aH zkl+K@;70FbPD<@s(5eBWGVbIMZ*y-%Bdd+(jsyznHvTKMUlq>&WOWVYkfmQ6SS492 zm_J7mg>=plPT#4jw|_us39YlL{q@x3r4TEnXKi^%+C$+wn|dN_Nmr!5X$a$baV$74 zP};J&RqsBu?}8>qNrHegrxyzP7CkLpQqx4=|q!H+XouI;N_?- zMzn<}F9;@B5fxMGqhIUTBDzl(qjV_NeM-H*EVk0ZI$U7$kyGKs zEVWq5OfCtt&%}4Cf1D+ar(49gOVyhTomzR#*9wxQ4j0C@tx;14-D6s%qjoQsp!M37 zA?uv?a+|Si$Yx%RGX>x4C#3c(H!2Z=$unqxY?q_mNUiXPa&vpTZSFe#8mJD=U%qNO zbS$r13xvV%QNy4$Wnb!-4WLQz$T}%UV-8iPs{k^7kX z>q+Z(T&+Qz+2lBA5lK(@PK2j6^5dRtD(#3$EQWVxSJJB9k+7|OOi=xN{cwaaH@WNp zDD3Yw^4Nr3P-y$mHNO|5j?r8*Te_4 z{ORtY@Cnuvo;Cy?g;Yct1y!LwvT5NnQ=SuLDrI|*-}^+7nSWvLnClbD^L8vj(SSdu zTXem}GBknpJl!_C;jEAEBeigf#by1xtIP$f*sRTtedNlwSq1_yoxW8Vzw-mz8K8(0 z_`?0hT?%4^j)KUhu7tR7qte!-=e+$+x`C`@U3+{$gL$u(vG8mTtNFPPGci80QV zN&r8w^|`-WSNRj(4e2qK)EiZKi-X@qbB-$}Nbl5Y4hn6>9sK5a%#@A{6Vd1xMx~#g zlo0io?c|&uBlZt-^6-z0VFN=bxd((p;;v~Ft!EXRkR%2ZUUkiYTVgI zes#Udx3l$-OoVu|#;D%M17 zQ}do%-sSQjl?XJRyM#RVkUqP=7@j%sU==b|sU0*PL^GDI9r-N9>)L4b)KTH^H7AfY z0p+qZUUCW^3Paw*O}_f~WJFpT?*6G?(Dyv%$A4zv9|5c#LdPbCmBC#esiUH!B^f4h_6|Dn=_s}?m zWdz+8HdPTqMy&qns)rvWu%*k{(^L?cKS`cvIfP`bHFBGsyQhIk!@cX~5<3=5ABo$~ zSb?4`nM@YG6W!*^lwEeZpnLfB07JTi-<3s6bAFHX}Z57f7hwA^t@(CcJOtiuH z_UiyC`i!8kDuTXNPSfC2a}E?xF8F4+Bj*R9KLL)=Sx~F1q4eQ4pXB{Savvf~bm6yM zvQOjfIWdHcW%4-XDHIw%vK9*ejcS%O{Z0gT_AODdJGcj*Oghe2e?C_sudpzZG>d=M zn|XlpT96tzM3zr94mRho;{07@M)WwGT~anD zmHk3rbi%UiYav@koq)K}K zJelJoW2Z)gTX|qZCjT=8N`5Dj8H$&Al8rxA1NGK1O?97=&0Q-$eX<_6%dM#+yHB5m zKgnlEQN+4n!rQ|(khJ>x>~m=v@}c9iAt;ySj8$$_3Lh+J)Oqi0!9gL9`^Yq#xG&hb zv*Jm)(USx%T2qCboCm6-!(X+hVGp5QDzTNvB>r8>XhkaGRPKgWsf29dpMs!)C`Mbx zLMu7c{my7bTtzisCA}1vN493>G;oiqT}eh?Q>P(V*O659>dv4QP1%Ux8tZg}JSyXAcf}r6GdDNF{Hf8xTYUgpFw`~9p-Vavf zP6u^@_(#~+)kVp`EYE2|OtjpRwKTOY2z3M5n+Sh@UDBeVmIDo@qfx7U`iKSTJ&>&- z*Lsj~VvkpDLLt_xCWOnk_>ysz8_70fM4qwRjC)pMWNCp*jVxVfxB!?`Mj4%RRK@Z2^xo1e4m*MfP z!H@j?JD|UL9<~%jWw+~x3q@ml7*qe`a1(+RlZ7KV#YSegu(v`e!Si=rp3NJ>jW7b} z;LL8-odsxw462H)`c z`+N8IBj<|M$H+E#BFxvBDwyY(`D9Lww{kh%%(b}JA5~b0Gfz4eZnl&Q$Hj{#c_ajh zugK*Itf;?q5c^WNB(_4hZ{oZE$J zaSTg20lVaJQ@54k`_yJ`J-btTlB(sjN-d`?o-wY^Ed>tnWb_3{#UwwhJoa&~xJiKb z9gAgQ^k;TG@PClG`sB6)en6@{PUQ3fTWTUF=tW8pk%R-Z$YC-!AXh08`#jCDue19- z(8XR&537G}uVq5%7hP=Ux;-^c_23Zsp_T76FpxPQks$N*-3Qx4BcOSDh1Du3gJ?40 zBb$wlcRsEuFx|OdHgd5T$C(52^yPgUZ(F0T(bH9Bz9c-G!gb~T!lv*1cYBysMiN-B zte$PwRFr+$rKXE=TiUPvMa69W7hgODc1^8Hg+ukwyKPOOs&xStgw#derjFdG7sQ0y zebA33oJ4BD8ammd3o{X?OX-@s%B?ioHFVN@&$2V<6z@$6S`D@u#O>5y*pVf})y*9n zJ+VtV9UxzNeuwUUrdQ45wVQ*wlB)#5b3cViD-fXQU&P5@$=#upp;cde(#}ou%xQh_ zNn@2_UlC9?udtt&C2MkG8Dj4lQ|8=^dtaN+n2b-VDy;K5kdKhi{R;jlvk!#f z)Esjjmz7=>*BqcILOtrF!k8@=z*Y9f_)(5P4$x^diNCFCGSU0Fsk0cn*SnF)OTgS{ z)*3lDKX~PA=^x8f9ds^(y%y({P)ko{t~ag7aRHNNvKLYsGd8k!FNh7uxlLT z$3YqE9;Yf)Hy+pgl6#9PRQAW~sI9tL?9>~R>mvn`#3YSU?_(nfQFg&*{9Z|aL0f+T zTRYcxTL`kXTY7N-?elw*4NC@x&@Yq)57P_5k_(1(xD=xDnB3dpZY(BTOTri_UQ*Ym zuuOVWpbkr;dnb%jwixI{N*-bgTX*>h6EnnBQ7%3~;Elu-+c3V~`z%|`a7>X(ZVSq5 z1~qw{EhW|{P#1VOaYK<_4TpoO+%`o&*Euo`0{Y%hP3c$ObL5VTu-z?heviW!PJ*rb zw2JN+(kp-RMe@T^5+6+e`E9cCeWj|i1+7U#NsuAkF+wB@)U#Q>N zlZagwKM-@A!=7Xl8bl?{Sf77c@hR?_Nvi51Z8v8YInRGUb+Eu8_T7j?Y$t%kWk2Kv z!hjQhFggr=`IhgYdF0mAFv7j;(MSy%-H5K`3)3*o^_s!sS)TUu2br*%&F})mc>ap+ z_@sc&c)DwQm)qpTMPcaLCi=>pd2Lr=b!q6M(3hztuZ#%9?0Tx+2wxS1u9O+}?3pXr zob9#il%%KLzB@`jhYd1NA6+aaO;qBb`KLlqe>WH{^qp; z2`}XZ?c$*CAOm3S3Nxuz`l0?Qps#J$d*7}fiYU=9yRS2$ts&AT<}prcqAu$M<6`9r zqT9>#UT8D-N=Eq#C_+ra?k3AiLN%130~f8FyWp3RG9qh_rFR#erKhHDq+WIZLJFEoz&c=9O!)q;$0bL5wauHwX1k9aB&}qf-fDZSCz9I(dzfo?>Kom zM&uVQH7zBo`U33?{@ZrOJ)>G19?|=loY-D*x1G(bd{FU>%X;xEH<}S|1;k#%EAY! z$?xn<%}FI&n`Jr^k49gVY*8(aQM(ouQ|us0QKk$(yeEB4F)XP!hRu%nE;7 z8E&O-)Z~jg8NI^l)@^>dKeTC$c(Ijl_>i;G(8lX6;?CR|U%_U={9!`s@BaIGD>t+C zDD26f72{Ukumt2o-3eIK^B*mdPzV*K1>XP-(Kgq0iKe9f5OIFlBmdW&EI&C}682Z( z3mfcVisg6l83Jjs{3$c-I~6ZK*plN{wcv@Jnpe3FQG`7dl8q018WH+Jznp?Juozi} z1yMJw@|%d&5xTtP!7Bt4m5M0YxF-u%43qLiRVD0oRcE& zPIaJ&R*)jGF&xqNGlbKFQIvDYeHilG<^-{F`K?M@L(MJ}#>$Nu+z{ zhZkEN86wB05Rv1>A!xs@ULHG7yJ-1A3QXz1a+0N;yV~YU1a;+LA$f&IY6%abRI?9Z&=0DZ>;&A$&!N)_b^( zwXo|_@pR!`1PNs~S5tX$IfDwM0WKfNk2L^SNPwBdu>2z3fV79p;Wm{%)*)*VED+8t^fP$!e@ zTjj;>3XmKlpG(TSq4`~)m#$}LXV>%HH})VjHRfs)Xc64DRQKs*m-TJHaCqh-^9O+)84 zG8d8aTomByss`u0gZ#zd>fpuT+tJZG?_QUM`FlG~aF7kul*1$NeI%A2Qmf9kPGuE* zFMFkCI-u|iDX3-``om$L88^vf++(BgWt$J5=4|crM+xnQC3LTg>MOclZIckaEI~o_ z4NYMCsn;VM8-N3x3A=keadP;Zdwk&N24Uy;LE$4JP;Pw|rK1o-fg zChgS&jKS@M2(y$~K~6|DSRv?c46W==-N}q&H}@HkGq)qTQu3?hOYb+;+Rx)9ysC)M z6bynSEXw4NLn7~zh4B=Q545Tg-V$?a%wV07n($+Q;>nj;BRXP&Vb70D{YpVmrp6E| zOlofa$(U-Yo8n{7p)lwL3K~AmGB?^9LL_1O_HqyVJR%DpU97}hn>$c&R&GUZjMl&L z@gXp0)svpk!dpH;0T!K02v=hnp=g0yq?;yz)O@rYUZ!5J6F*KBWLekHqtG;G0XM&qJHt=y;bSk{6~43T2~4g>Sg@ii%&9 z%;zQ=8Zw*9lqG@T3iH*qIB*2h`?FE+ahU@_4^;+JF? zUX9z%x$fD@nd`e$GzgjX=wg{v*O$)L-Ev820g&3>n2n)0Hm6VsJ&4RrW zOupXIc{Txd$F8*DqASoo|M@$FZ44UOLT(qx?a1MHnGZLweYEo7OQIuAgbjDgh~C>R zokJ1FMoU!{vC|4qqU*-?{ne4$gOmFOblvLXnQr@Eqt*iniHWnM5DHGLfh|ak_(a! z5N~&igS7kgv1KsN7`RRR;v>t@4T2YEw3c`eCMlKEXeJzPi79GK7hMhrH5n;WDQ- zG@-2x2Akt9m)^2K2i^h{nw}06m)eoQ)U0!Z%q-yJqPoET)D$yEl z1=Ww-v-;`B*9d)OX~S@u9TLPgGD!FArBVyTnz!(`rubf9>cAjbQu zl&PQgD5rVGT~qYI!>ewnQ(LTOicD`29Zd@2KB)`W%U+Mk`q-H$rv#f-V=Ye|?PM(5 zS3XQ$dY??M!17A+wKM?|=1T(O$P-kQ)C`MehCbArSP1fPywgwf$9QQbN|9@D<#s6n5?0(;BQIO3^`pZJvYj{d~yIha;EM zBLiHA27eQpIQaabtlmA@&^F0e#a%AeC9iBqoqpcMvOHF;ko+lre)a9)w+a6(-LXfb zMWsZV`3pJ=2CsOpT_OnVYGIKQL^zKVRMx#WLz9?Ydh4swH9hKHsnId>=y}!SOO*1n zWNC{TUe=SGrFM*}UA3O-BR<0?qY0`*0=c^hqQEP!VfTr)$o9FE!NIl*H;l$OllWR; zVa~(Y)R~$NzO15|vDlVfgiGo9Hef2sW2a&jX;y~MW(>tCXglBxGVy1-2$Qb*${COD zc7+23gAEo~SqYu*-fr9{ZTnU@WQP9nyG3Q%1pgD9p^X^Keu*8CS}4In?fYFt`ZqVX z8~{VkTDh0L0Kw+m2W(%VASl2^o54CxmlgJoRz;rdLqY|~Uoj{DIjB!cxM8V8T+=RW z-F&CNj|VE{#&ffi9cM8z*)}WuVCC9VB}1vBK>P;P=QUxK=g!DOf+^+OQ7Rc5e9itne^h+uNnNwb3gLRYxeP+TUC?9oEB{Y$*vZ$xLemsy(lBoebZOkra zf*6p~fBzS`k-(py#jmO@Wvbe7!t|cm;aCYC~F^*RT6;nAST7ZDn~fe z(2XITP?>p}@ILj_aWOAJdc0Ngt8&YEZ6;=HN|LUAcS6B?qf(U5zJ{M*Uj*Gim6LQn zcn`&>vstiQ2Xl=o`+M^5t$*!dcq$5V3nn7q9KT3r|EeLrC+`WgRKs6#zk{_f!9V$Z zNTGvSq&p`xp!!8t9%N2nhY6w5^EA@e8K?^Q9o03Hc$@M%VKzr|P4A~gdy}jqy*EqR zJ<hnwAcQSk5#16=tTih1KB{h1S1#+OSc-}u1-!*t3-Q$ z-45;W0MH5o=qT8^OYfq7D(#Zxx|lvN;2#x}p8#rv-ue&FVX=<}u{n6t?*kmA+#1l} zX?Dz)=kGA|b(aIoJSauwZIjO^>R@my@@<+wda3IehB7$t-j?Y|ypKe)`(BF~;3N}cg; zVQc9nV}Edjd47o{n)9hT8qxE{WgHqJUetQJpTmv`6!4vx{aCR_W&;SF@o5pr5W<}| z02AwqIFPUVtE=pEi&*A)kfv95-!l~rK=~#@_5BYr&R+z9>j7#aF~CMj+@|p!)>1kv z{iU2NXcZeaN+<0QY)*w33x6oK0B6*1jBN*)Li*uD2Y{L-$}^+N2*nW`c1olvKHptT zDQGt}=5e?>A3@d12OCp{Tk5X^(t%`6Wkw%%o@^I@Su_K0PR9=uLCtg!(cf&A^-rks z)GZb^2?pSaZSqc9WP0x#JVA7+O1fmz&YCeaFonqA$Jpxx70P@ol1T^zsKTR#_2+MHG~C%I0hQA#s!q-@&hhee4_VF%EpzD2cx0XM zwmps&?9tUV%u?kebu#5B1gNqq-@$sbF5z~!Q!nX$Iv-p|v4Z;%t7+%h<0Bzr~L~Zy5=lBC)(woU$ zC6|fK)TRL`$ofTw*M2ARkaZIvHm}rp0z4=2A%AI+5VY>vFDlHe($ZpuFO}UmoPgrl zM7b3a=~}}d0*VMEEAn&U=SXhOC-P*9${Ty%qGhMU=u6pQNqAv=6J-|t$B1IfAwItjR(_H88-Qed zU)O%BypzaZU6vCy(@V?|KEUEYvzLxpFYEh^18 z+5!DV)8C5rD7^3=6a(sLWw-F|&U@-xmVDR4qA^TbuelM&R)Op!V;DdQcB-@o@n7(> zq-xw{czd!j+J7gPzIL3{+6qvV7-TtSK&#jE$9yifa@vMY7zpO-O$v3z>2?Dfwf*tp zUA89N{X~L@=Uzo&`u|OgItK)>8V%Ojgnw3J9FhO_bWKf`*^C;JjFCUN;9yXd=ZFtU zj4JKsK5*!T`jtE<8V);)9Vg`rCAk1Jk}dMvwJaOgQ%b+hb}p}Ey(>ZK&dhWVMm9l8{Z1rg)$$+AAtZm!=q=c5g^ zM1K2>agbrSv1FRCEVZhj86c01k09r3Zg(d#j&PU-9K|)nBYbFSDmjc25|u?O>crOe zjN=~qDc`X;Qxdm+)vq0{ZJs~kAOAy^Xd-KnrLne%5#!Vum{RV}SItbxB`+sx4NzAk zn(SvFzp(4XwnLb?3NEF61=&j=v%{?4i83Jqs;pqY&8B!!nGg}U31N4!tiTCB_b)hX zKA!{EqQ zoyQLZ;zIT&7L^QbS{xqVGlM5klR5R#lG(R9cQD3%JYc}@3wifUa`_S7|nO~Dpw&OTDGJJ}`%@HiNC|z^~EKF&y;0kA7DeH(_i{*HkL4M$q;r z-z9en{XZubJpux6ci7-x>@@feqQ!kkM{8ooa;oi@yik@`G9KWQNsBurXNXbXuUC;@ z`Sgnn(!q%_V91X_`hhs%AalvY0NUrWw?WEdxH(ji=76M-j8|tsr=HFfm#z2uoALHX zp~vQl(L?SZEeR;N2=CQ$B0!r`j>~G9EG5OZKP3O>)&_$Kjes)E_*To|4TyPY*ed}+ zm?S1BZ*!lttvqr!##*`o6g^ZUtHLvG zEdVyL0%~gRD;h;pweAO-09l9s*o;PhIohmHPv8Hq0{wq9bzMhM+h zGIiodnKrYA{%xgKc)!jp9-uEf)d5{h???k=TkXyDh0A~fSv4=9rYtwGZ@PP(p19Z% z!?=Yg>nmkeO&E(~*WR3R?05F>eJ*)(ebNAw?fZ{KOda%^ygz(($R;(HKLhkFmbU-` z&~H;X_8Yn{#k+JeC!Rj|L4m1x_m=1SX9MmXL9p{Osf|s=X}wfF5u6EF_&#ef&>i#y zNHd#&zQyt30RTHJT&JBAy@6PJyqXjB3TO;(0*K&;y3ly5q1*i376s4q!!=2VOF+|L zcUyVA)yUOnhyyPr#n$t4yl#jz9yhhi1()68Ig=(N#?>!5{BaxQpMZda}ta3}#dHwWTu6pWE94!W* zNAQtV^Vln!bjvd1JYyPUF9U#_6R%UWbg8`)eW8K*ms7wSw(ZoeJ-I_8)qj#XVrjG} zxu9Nvs%>-&CW(u`=)7P8&M@?I5iCra4-DE1RR!7+;IN@wbpfOhDzbNn&e$FOqa~t@ zcB4S&`+9e??@i1i{@L7eL=uuCf{sV+kYE#IfTk;&$(pP083x>vA>bNNJo;o3yGXsz zQ4?pyjhU;CgBK!nU#>9UGSL-SrBgQm^7lSeko?Im?{gI?KvuEls{Wh-!WJzJ=oRmy zd!r(RU-f55Og{@(6)GIN)jw9>Vbw^pblw;_a_EPT1NpI=eKCeWBqB}1v*HSf({{`) z&yH_JLDr9D+D|o%%j6j-{G9-u-^&J{D~9T|0-$i-$ot-P#=TvFZNI29!^lbSK9vyX zykndMu~Y8tf`94)3K;k#7!{qrrJZv2k<8)Es(#%<=tBgMGr4ro2SbYt>o|o84}X+L zeZ33?l8B$HJ>|jR=WOWNZ-_Xw&pZ$us@n>( z0<`%8yU;(0-`*PlAe3zR-N7pcwBZv$__W*ZbEVW3s&TXl3X)%;KZ&-A%;GcAP6#q> zG^#et#NOM~UyGhB5M=?nE4Cokflm+A-Ku!aP&#_HW`I5pUij|q_nXTVDMOxTQTw>h zG)bOkE_F*w@du%uGy>`C)x?52*_C~74nXNEo%>2=kZ3bmtnSoM>|5&HeExh}c;6U2 z4e0My%5sgz+|JkXwr)jIfT)uTRw!r2lP~XN*3c~4prYhQW*;XpV9JR6nJePS6<2Z_G6a zBFDdZ&x>!Gx)t(7Zed12nFyM|{-nlvBUb~;s(tQTg*Tr zh@`4)qfGhf1q?1U-&~&@Mc~aTEP(nQ9b<8dqL#ny>HEwG1oTHWK#V%ho4=7ywk0rB z3Cb&Q%VytLo^M7=5*;8LdUa99qdEBCQ3mlgI|Ni=xB9t1EPBF|j7Hv$z6oeilOOZd zK0k(k`tY&W`H~yqb9>bikF!BJv+k2uG=*MlW$tMFS{Cr zZ6}L#7iJ9TRi3Of+&U76Cehm0c^Fw3bVgd$lgZyuJB4ihFp*l&*x$uj3GEW z4mT17Yt8~6i!x!y_-!yh_3?fCtvuGa}9%pvPr$^i0u%9 z^ik#2Kio&hK~-xfAWG}XfDLtILvX@t_$y| z2&#(m<-OO9dgEwMA$2X#1?fRUs!8GMDk>RA)l{JSb_QzJ8rd~KSY66=FKRfo=X{wa zPCtfdmev5YeN?>?ePS7{h^QnsP#SxCx1}A|7gf1*@ME#gZC@nt99ivpDfx$8&+k*c$PpK9q?%$Z~zNHIn9_-=eW5%auAx_bc*5A zt8k|!bR3sin4}SW@q+jPL^jmsooA`CoMKrKH6S#U#XHNVfK3#+!xUQUv~40|S5}!4 z{93;hJ5WLE4^S_YaA&NCxnLZaVpxLMpF5DkJ(io-HWwE(r$1dY%KzCOe37vCMaCYG ziwfdtRdj{nt)xDaQ^cYl9ATy8IRj$nN1VHEM{5^HkV{GJ3Abfe@q@!8UYEil&VlFA zzvwGUnBWe@KV)0|?#IP45aC6#)Yr;7k|qkZd5LG-K)!pK%H!l2@sbSD&`rtqBboPj zj)tiB9lc)_Sg3!*5(<52Iy$m`*aj61M)ZDSOtjrlAd&m0I-3=$K1Wq}%jLxStMt+tE^bs{@mo`e%yeOA!77iiYnaHHm%N z!dD@(8dt_hJ`sex?xxQ(&BK65k~&>7$rKqTyxZg)ex~#XXvI!VAIcsRy38)^F7mnj zzP;zr?NR3J{JlMR_+meO>Fvp)bfgX7oHET=zb}G=Q2p9aI`&0Aymy5~HdXUc8}+kR zbV9PFBf-nZ2e02g;^>|US135QI#k^X1wld;9WhNm&(VyoSY36VjK}G?Mqn7k_Gdr8IaWJUYc`p7=jMff)%HDhJi( zOn-|@P-6P9k?sR4gQ2ydbQ=(~)so_*IzZ|9sULh6-O>1X&7YnIRC_`Iwt1QXP&Hh% zJs_JrlJTnK;fGL3=p`Ym2^;?@S$`F-G}u0n$PJWoYa>-()QWWS`O0IV>%jB}7xB!@ zT=U--QBGQHzbcc=WtS-lG0YpRD#lgKRXDK30E6Zb_*fOzPpXPzl&Onoo}E;%#W1*wAS3VNA@L>z2VrrkjqKuGPB# zoNn^trrzI26pY9RA#lKlVSA>kXs3E5LKUnm8AoRxEHo(_SO8w-HUPOcBNqrl?tm3jnX}<`zhfIC#R@9vLKNGAXZ>is9T(;P~A!0ChfiGYEn(h7M!n~cegl$1sJlf%*lMqJM7A2tIEU!PdcpdJ1XJK zJKun*!jB=Rk<*C@#|PtiDqWEENrIMrFc1$LTECNcURKYBv7EA=n~Aa4%24@@%rJi! zeFW=u*T(tpw?Rqv)cx4(3gFHv_DxSle9(W$t+l znuvw*4RD`2Mlkz)Wqj!DSndg$1P+^dK8xAv5`ddzeoe;{yDL%);2+h3q@+Ia2Hg$R zOi|lDhN|OFo8ohVGgXq`AkDWME@aSB2e^VeiKb~KjM}wvFJE_+wIAC82Qkk)K~Xn< z#U-TGMV-x&kAdUhJ^S-N@xo}pdUAvR3I5N$k_fH%A}~!X99Ayc*MlB#wT#F>9s+Q7 znr?46fXRp`2~ND3kY34@-rGk%GTtKhKIy~BJOI#YDS)UiYTC$V&?hK)FsfaYYjiU` ztIu@ZRI0j)~G&1h7(7qW#w6p78bq*>^p0lQ1fS_s=n52zv+JjADbb7{o&pZQ;*a8#Q&<4Y@W{8NntgSX%@Eakk8tf3wx#Kz&6tX zcP|FGo6IQi?tE`!|3rX$`D{wr_11LMO4zP=;!e)3`&1=OG zyii_?`b16@^&GVBmcRneI_{1))bNkQJIvHi`F%6`TMei-2q{_~KdQRyHd7TB%# z@)9|g39Nhp?)>j+waIENi~Q~XJ=vv!fmySFMz)@pMU_@Fm$L(u-3oP3_bwy?8@7@Q zCrZXcO2qJcG5vlc-I%a!W_TRJ@Q0-#ovF0+&lN!4IVJ%Jw7b^5cOrjEAqZS2f$;(H zQe%6fz-p@0cvS$$(|6e{8oGIPEarbFD3G_PyrZZLN`3*nS#aPvIaWV-^7c=z{Eclu ze+o&U0=Z{UUzW)KPK&`%=Ksa74P&a|*(E-g`d5Pdg9Vk66$5jaZ@_2B3iuWJ6N$uc zh@hFn{eONCh==8YU8T$ajVtEzeNPBA0^`pPrU{$BALSz>CuEpOchE7O_XqH1_~r67 z$af_7MW>q#At0-&^3BzjK~J0xrT&%%&W0P_8R%uO?5&`6foK&NO7AekrcSjl5dccv?> zAoD=L^=`ASUP6HEgnobzssffvQ@}mx6*o6`wmrS^-&&C@iU`y&V=FwLFn_P!RiQ;t zW>dVk&4urI!-Rsegg`N|n< z-Wf13316U;&c@o$r!XFF{C)A@&$}2>7HIN@z9bBu??YJ$$x@WDJHP60F_|0YEy@~B zG6mrB0Yc~B=h5tgyH|0ro#MoNhE)G{u!PA`v zQxJU&YK3yh_z1vA)&M&xP$HGD0hOf*xnx9np?2LgxGYl$l&~spLFiPk9#sI9iH5mp z-p}9THks%i2zL1OJiJuPTaDC0#_xqw4v~L@HPR6uEIJLI)PNF~q#O z$RJIYLGDeBKM!S-!Pr(Fuq90T-|K^Ma;#PTL8tZgK$Ym->t-3KY9Zit&WCCqf5(|h zs{)YE1-$T{X=D4=`YNae{w5327{el(=Cl~_on%SJ{eifD(RF!LZ^23Y-&ni74(W}I&*a3_0X z?P=TcMYpL@(pX%H6Ub2Ro&wjf51mRp+Fb8`&F7xHZ)R%xX#lWChe)cm&pBR@lcyCh zi^I|?J?5&%ciyxkeEt9ms#N=3#BZuio=UVRXYXp zOjjm8)pam1uf;Xi78ZUnT4OIRw*au%Q-B(40ASTBlK*rcWdYQ;->nxqc9>=MG^PH| z=!1kl)d6gp-ve+>AoQUOFgT_F_G3Pf%*kXnDO0x#HghS8zaY(g?nv<9a2^Ex z5gpWz!qO}SGia2y^PicqE@4UflFr+g=LM0AX1Tg`W+UUb4K8r5Bds8l6qPZpb9{) zefa=BowuC=#6Y?MZtQh2)ZTVJJXVu17q&40^>VXl`82+%jy^!}WI)rtqdrXzT9(KK z&2r}ACnLoY?N1-?0@&!pSrSIW?8Rf4v~fkj>#zKxW*@C;H*-#bC&xuu=p@C?H^9E& zux7e`2*23%H6Pg1IYi2(+3^qsoi#V~4EKWpzy~2ijc(c7SPY?i%s-%%pxF2<#G+f4 z5TCYHrdT5}#B6o~wI6=P9pvtM)5H?pY7$IJW*vN&Do50`yr3If>y-5rZik<3xUaMt zUB2nxBfgRtE>B{&2#`Mknk`5UfsUS`AAC0l%mX02xjImpl5c=4eH5tc%nDvsltNZ< zISQ$@6E`Y3avBzi*!iSN!1r@6t_isEO)PhvUxH_(rntYeB4LnMVP{#mnu#Y5kU#T9DE+j*X5@j{eIX!|t>|q^SoC0Pw^bS3 z5D~>}xvh2^Kb3mg=7m(Y?T3BKP?7>EIyPfknMBd43&k3!DYlV%b}&MayU6@Z_eAU7IA9s@c1Z*3__%}RCQA%@9o^7A4q5dm_g~9 z>3GOE$^cA++;o?6Z*Y8?K~S~ecR#jWK{;?2X?=u;R`C2O%ycDydMlvu_ET?vN8o@v z!`$^4!)vPvF^d6ai>jU`(@D9sH9jxMgdTafADSV>D)98o@qk6NI#Pi4HIE8m00poM zyFNV6ipq&;WnXQ%M=V#d(MV`G?+&QPOly#jtM>RV=rqVyKZO6THCZC>7^c5N$I!Ff zM3a21Uyc++hdv5TqzMPWjZjkwc`QTDfC8^C&&x9`E5LZLxXHX>IY7Wo2DT2jFpKgopg-&i#s3?zC�by4 z%M4BQn4ig=2rKeCh*HZ`(?52ZwTmY}dae{5oOZtxpAusvg>FRkV!yQ6DRQ2Z2fbLp zFme5E17MDX@I4~b{8Rgl`Zfcqv>5~_fjnw8ufw$(r!xDtfQ+|)3|8)ef3wmEP_^O= zmdo#7w($($nrAO#G`}qcPg+1AUC71p^dUZ5_XW1#}GHiF%_--;Bj zKn(mz<=}g@#3c1WJ{;&udOGh%#Q#LWZ}Ur0;}*XcQ^RqLra9W!vq_34D)2PR|o8j}TZv_AfN@=vWq5^)DM+psHwNbg~bFCB|hp7JYrJ%3p~ zvLrnx$5}eSRBJ_%J%%Vq*0bu$bfwoChpbPU9zVrK^C74@Ur+NG1f}jOA)5N}JFyHF zG#WLuRK&-sCbI$?Cx*QN&;+z#46un$Xx@lj%69n~r*3@k45}UYIb40K^x**Zk0lZW z;0Qh6&qO$;FR~|>+jve*f<`#%i8|I1F072dnj_i_1jhRTJ@ip>ul?-E2r(qmL4aLAgq6L*L}?AFr`GpFTy2z#3*vnq*IX5R zNHbuJ+W`8)l^u5;HyRI~xrBrD5Id&mXnHT+Yiu7Hp9eT2m;nj&#A`f!05rHhnlepy z(+h-B|N1+HKq7jbjB42>fsW@df=oJzNBVMp)4)hzH>JF3D}$WF&%cO`Q%Rd zm;w<76&l8_^h2smikr+;qX4{2Cqwyy4dyjR#t~`Xd(>xUp6+1u%I9kHHI&sW`S2#Z zSn~by#6X3@x{Kl&=#Ivl);Ql(FF{@K=&dxUS;p*Zi3VZxUyR5xlYx?PFjw-C=f_*O zv_ygUMNjapRU@kMf$gjV4zNv*p6ks)u5$hID4bC9W5M#};pRaWR%;m1grih_x9vOS z-;g@0j~tn|EQ2BDi$E;T@r`mO8q$2+PXEcrj9+AW?#rbMGzhKSv-%9#4Qrr#zM$py z0dO1dMejF!_2r4kC28xPyP3UJF{B?I1)i5jGwOagCO1Sh@(UWoO?vX_Z*l#5oGdhr zA*F`oHvP8G7dFS<29Qr%!ZTF<-3tC`(G|RLF&+k!>^td8>t`X6XPx|0i~i?7_HV(s zVxr(5^2H*&tv-1#EB`^iL^OTL95DIytcyYTM#j`hfv#~5s*YY9zo3$|IP$ShdV9wG zV5&hF)nbN%BT_SrXOqFU@@xk&I-zE6NS8!~8p1Pi>$T0K?sD|fl*ZvNLM${=Jf669ny&DHjNjZ9aO^6i2D%F2ydiaS}wXAbR1W4 zF?BnY$&%PigLY30h}YV|Z%b;k4WoH`3x!#Nf2v&Yy1IB1pFq(PL0bi(R)#mPOi-qP z|GZ~N;irAt9_HmUW9xOmSvZf&+QfVq=CPLMv10Rr~#0Ja_Lwq4N&yHelj_{DcXgK_QOpT6mNRzu=Fnqzk2}h z)Qgn0j@PDH?(|mFV3w8PYV(dPJ}e|gW(8QqX;~=7&)IbmS}+I#R;Cr`z8Zf5Ozq&) zTae6;q$2z>o4&`$PHe4)o7jUkbw-*x6;nIz>>6N=<&ehV&DhFdA8?KSUFeslHiHU6 zAEGP(pL}D9@6$%XdHOfkdv6HP-iIa^%kYEF(;1LWJ+L8vY{==C2JVCfEtp#Zq;o3( zo|*He+h!i!0^8LepT86yMg%~EnP1f^sC*$7Mu1)95NVl)S z0BZ^qo+(2~x-l#5dwmtq*fwl4ZIzI0*u>IKTzi*t;{BdUQWnfn$1Mw(V$r2qjeIGBRlG!V3&r zl&5tFf`w`^XmDhYf#0$<=u|!oo%KHs1*F>kl|ukRQF*o;h-7g_i=oiP&JQKA_j%-O z+8KRDUK{vmAQSgV@0>#B_$!O}-QWb6cP+7s0*PiS41dmw@X03;O64`_$A!2d^@Co^ z!PHvE{;|1ZiL@D?H0^rYr}5}L5e+6tiAr7qd~<=po2@%}F_;e1$okpfBlKi~f5~V!=eoK%OA<@J?r4N@+Vmmn03-9Q_4CNr)jSIC> zm$>qAhTr~{o1b6qgK?{0JY|wxW7Zrbj8U%2|HUPs2p%gS;=(Iv;Y2JH>x=e_n?U0oAh0kqwPKY(dU#y?!V(a=cLwlr3Vrfhs&;(X0`1H2gdGI!QPMgO>KS_ z9vxU})&6lF!5)bUcY8x#xB`g!?|BssTq)FNL`_S57o`u0igQxC-6x`}6M8~DFcqF= zxA|_1HqM4>IqY_TAqto1bZNO;4|Od1Is)sUF~HJP8{D0k1lETFO=(lc035$@H0*#< zhVuB;WZ}*k(isZAw=-{_9^UDShRLAQJl1SrJ>23@!_ZgLr#WR2+nv|_M=cdgzy+3db!(j4qP;MAC-DIL_y$ z2a=4eOIhafqEFVL`BZ7PX^SYt550n$ZG3(8ylmY0Ph^cMfk$;f_jn0^kH}L$+ac*|ND1GZ}gye0XON%#nnzMT=4J!Jt7g-;Lko$rxe!Nc(>@}E36ZFM6>;AU!v zYrQMWk%{t!qp&jYv3*d{I@?p!=9Kmlw0d>15{g!D||JBKI?GmN;7e}5DT-TQHqs-UcHDfSBU2v^PLkQ?+_gWto ziSOo;iU!~Y5W$mAK8Tb!^rbvw4It3!1Ra^<&^kca%RndCSG|rF<;Y?CW<)^zyZ(1! z%)@%W?VWpr-hwGisoH$8^%$s~vq+BaOOHZcB>H);5v^QE2szl;rY{e`#-fo+aKW9g zu*1lrXX0CMSh=RDsUHyB@R8SRB0R)hJY#Ey0#?SugNW>q6*7_@IMSf~wqEhBJ0i)R zX6J&y@7Vg?sa)?lid8bGoF~L_;@8voJ$Txz=W1)-VJ!JXi9S?mgr;FrB+t#@NR4QZ z0DY*yWp93d#M`_1GT5mBIH=G89{s_|G^D z93cLPDldCnN#;!((pwB{x7i}JzS&Sv6XbaKk_BAs^Nl`h9+u;J3=kDBls4ElJfbYX znXCY<2@jJq>>g6uGd_GsfAMC0nA>MOZT)=&(fLT?TcQj&Uj_1Aw?Zr{*Lmv13Fc?d zCY8GwcwxtT8Mnu|F}%HT82ED^;j`@rM(9!0e-p{<3IXzxDkch@hyzCTkp%s3jPGub zbDoWiw#kcn-%Ggnz8$V#6#q#ekS|`eMerv6=1zM9pF5R z#D!mg$d5m%9*EY%mSxwG1tXs-_c4hX#Ckva?Gk!@W^tO8>EOE@bL3k#~ zLs`f?`?Pr2N4N|5jOGkqgmH?S9C zm4L+`@d38^P&=+&R6gnWmVXzNIG#EhpQ<-f6M`5YJhP(vHI$E%hW~V1EWvVvhQhEV zj^7YukT0XYwxN~dMRh>zBh(Q3w6h|HBtv~3mHz9{_m+D|_Cfw4goEduzP`F6)=z$YhO?e5`E6tDN!yk1{B@?7iZcIle7xOOgBh^=Ixz%zf0D8lSh z{45?-Y&r#F>1~5uq9C@<+a_A_6eLw+^%kjaAMP)aJ5l^+Fxdk@@{xumcIq(iM}hi% zu3`tDZawR<1&LQ%Xlnp3JM3#fXY_$bTW?EXJnbja z?hAEgscMA^S+^A~Or70yAl+faaMWR_C*?B~f%OUY5voDp9X%CuT9=5cF&=^U5FSHx zr}0>-MGJAo^AHY_KR9stI6fu6{$Y1zl_XxWJ|OU8U=t4CnPqxm$o;no`TcX&mc(|V zz(m4PEjjEO)<=j06%iiR$1D6!sgGv~7n$2Er$1Y8ump>G4%)*Bns}X99is^LK{33N zr#%u`#xwK4H~xrYet@bSF+{p@g@kYo7V%!`@?3=D*SmNu2PQo%oS%m7JuX7UbhtZH z!iMdl693d!om?!$Ba$)tpZ2XJNVC2g<1)VNs*V&k06HD2 z-l${VW}UPagVhjTjxsnRF-m@sx*FTDP~ZE_Z5*$NV{zm06tMs%Sv;0+kR>nmnxa-s zYUpV@N06XpYUmBcB^;~ap--rVY`QkcGSP+&m(yBG&3st$Qg0yahuJsNOCJ_r?(a+! zXlR6oSIu5k7TD}nZF@dnb>71x>w@)BfPM0NkF9oI_`V%X6%jMq=qi7o%mA4`J=waHhZ{BL@{d$&Z4Db; zQVkh?(tY|0s-xw~lb+L{CN1g+c|0MQNU75Rwurj{THo}8EZ?h1)jNW*j*0KUjKVyw zbz8BdLcbL*PLx?1EEv9-cfPDIGqPNEc|pglV<$v_ zGdnS=%&e`c-(d^4t!JTAB#Q96X8qGpHyiy#l=;CoQmi;`4`5#B%-Y@5JZc+0*{=1c zRYaWRGe+jLt!8;&9iZ3Kkj%@x>SsX^Ejphf$nDsE=3udwXXYXEKtH&fRBlnsXNiwj zLL2St;M2>FE&E6B$sNi#PSM`^HmlQ+^q%P5SXuAR2l}}2*Dp$xtKht;BZ@X4%H22I zF|kq=opu{t<9<;HcLpOKd-aXIfEW{J(C3Pr0(HXzo@(ob6#0(u7>zaZbpBwJS)mgB zv^5peOC}5y+oZXPcBHc1!=)UYgy%T;8u*eLcN+W}!m1NpaousRFs+PVH=Pqg8w`4b z4-w$&RgBB9HN%uJKL~Ny8N-M?^kbLq_opDwrkV)q;XCS&#h@!eFA2CLc*ZtmQXxiz z>Y!>JtGD+u)-2ZM{^yY7{IiPl?TH|~(eRBDg+!!{FD@K?WXgeG$%BKkR}%XpKT+LW zl6t+fsIV=~q+nV}!CgQU5QjO;E^6mQkF+Eqyrh|keI`uCKGQl-qbEK7rYZc<0qUh{ zP@w~yq*3HJvQHd*$UVZx`d=6d51``DT2}Sd_K7?#{rx1PRSpz;>l2hIQRIpjLFr-! z23f&3N(dxB<46lqztr0?-K^sqB92fK-II#1d2H|_=_&S+__n@$bg{X3it)CDZ^x|4 zOU!)Z9}?ctQhhr%$giwvs3x5rv9_b2efR3O%_5w{F$~*BnB@5RAie=nIss+1*5MIp zxve9AwRK1$P9Tn*wwXGA z&}=zk8NFBb7i%`oTzlk#`;#nJ;~h6TuXJ*BxO5Qwoa2pW`o4*JOH7D0i;f`m8GWGc zC~5e{=gmg}0&|P6w=}$bqqOCmgHdr9Q|AaPVsS*`M$-2)zn~u05|+Gz*k%)}vWO?z zZPzjH3~3P2E$w^5WA2vL`R2Q$aLU*%9TPDu&kf9B(H`7b?bIw@YjvAURk1FFcX5=c zA%`5MaHgE{vRuTcchuo6iNNEFd*MttYJ5o^u)?p}+gQMaIk_RlStBl$NDwoyS~9FJ z(7x)(uAcYW#PRKBXe=9zKJqUopG8z=1@0@)Fu%By$_`X+ZyF=oT4YUBFOEO_GI2SA ze@fW$se*$bq3>qLeNlVZm5>YB24Tg3-)4u$%(9I7b`53(qnGxK?x!ZNApex9)xl@) zR6i3;SJKyk-Y%HdQfMzYy-&3xUkchg0Y{(>u?n%eDNT%*RK7x-7?d0#K|TAMVZ(k` zY_Xlev94LQwsZ&g@Bi5v;I9KTGl>kVkuVZ+a{8B49Rm{y@dUxb8uKH2os|_`2CCgo zE0+49j09zSW6j=#NGVoM1br@+)Y<4^(fhXOdf01zZbt zej;Bfv>C&If9X+pRf}He6$Z8=@W`Qh61;2kHvHz#*Oy0nOM70FH#x0WKK;OS;FvnY zT1gayDD|m>tiN_9*_Xy{feJ3Xe}jqByuz{OMc|)bI~IiZ6+3HkK<9q|{htg)w5a#% zU4l1u3N-A@h(SNT_%9xB3au<+5W-m37P$G#_rFz{2=XBO)HZdmKo(XxtNRCeQZ#zD2i72mRn$(x;~193z@a_!9;)n&$w=%}4q z{1CPISx~f`99re?Md0CKJ#~sPwngA$ZBsv8#b3YFdpK`N{JKP*btHGNmXXsUw~2{v z9j-DQ_U-AQ{EEPVOYfv>+4g6xJ?)yplys9x+ePqr)S$bXWW~7teOHmFQ5P;^@5a>) zq^4q%URY)LbaOG<^MWVN*fv*#^M(WRz};u^M?G%~hVUY%;5nobo{ zqEZ%~ftsCh0I(FeHS(GWI=GB~s3vR1s06n!j^lq2N;3|+ncMS9u(0iW%HUCwWrwJ* z9j6g~6l|`oQf&Q&pILs59MDe76*DzxinAKQ(wts!9~Y(s}Zc0=aY& z54~U_zkeE?lbtSMs*W5;J-<@MFKI4NK7Sj!(0fT!m9;GOu^X4^Eq6zZ2V4M)8u>!F zuca113AsN$)q3a51BSgvCFMnq>e_LHDwV+oqIpa^2_fVxQ4Vf<5Ahm-O~9D8%iOz4 zFd~3KD|++>@6Cw@l|62=k_OW`L`uD={qYbFdpDCl(efxROjO>LmR4w+t@0|Bvu>AnTEP+r@t6u$IMV}ThfDQ$)h9&q6d-0&ag{0) z-4s{g95z|dnrcuzrJCp_K#E6?&3Ap(Rhlv#>4Z^pF_wN4$KbIgRCd^Kx%FiefXY25 zZW_+fqc@CF$p>_2xyX#I5;etOjQHI?X+Wyn4mx1T9FlTj$wt}6Z<-kS8o-*XE+0YE z$NMvJ@N;i&uN|(fojkTD%$&kcHUTy<_?;-=kdP!?%Nm?&mg=eSli@pD4dJBKPsI9P7YWTG)A<^+6|UVS-Xq`loL>Dep$&K7j8VcW^G$)7iqW)1ejD zL&m{vj_>LaMd6RbLS3HlDE+FTtM_%vPs6@D-QFZgxx8hll1Dd zWwyndf+fFifZWezoTly&Ux1J@>=|l*jN>wkH>MEZ@Q=v=Fqc#(uJng;8s+@{#*@5b zq?+jDMF3w9UXccBwWeZxUjxJ3?(e$ksa?hi+8mlVsrS~ug8^#yde6aCUEKEQ`)kI@ z?56Si0sxjTLvK4&CfB0^0RQc|Dr{uOS1<@Bq>{RTjR)ez1?b~c>)dJ`e(aurB{ zBc~l%NJuy=>4XUOO$SUXoEaWqcylwsFfNh$ z2)&WiYh6sanP1t^{rjju{eugyE+QX+#`?I|D0#vV7HqV1|Zl_*t z^O1045~kYuSWhH0s;hSHeH-inyp_aY7M$-dR5d6Y4twb~a|N%>sm7^O9hECH>@S?> zLY#85ykWAtTpEv3?NqlLab@4|tpNl)SrlU)h=V-SkHRweR&EB$TG)99*v7KJfvGfa z$~II|T5$4o97n{<3_b})FkE6HvXP-u)S^Y7^ZshUepUGH@G#iayEA}Wpj#8+c=AUn6%Z9PM zTTl^ZcLR|{@y^UXw$MZ>(t1~wpV1E5>FMQ2!nSq-CgO5-4T)@=$xlQg7bm}=b0Q$q z4{H%YVu%BCnS>v-%`&fev7f76MU^1#zg+w*e;Q=y9v%~L&+o0$qpRN;Bl<#b74%GLD-&{0hM5gxY3CX`gIr7h@o z@s(WN#VHxywOTmD17`geUR!y&3Lp%7o$}eqKbzRU^9=}eJDm#jc&&whBEnxXgFte1 z&=XvE_iqahM+l=TFd^_=UBV3GReMZ_3_7)H(`vjXBQ=!@SszDtxtMW#mS@I&ai40N z33=k(CK*^#OQ#Q7s7MjbrIo;>cz5da!+VT{^e#@qUN!pUL@^$Adyr=b=pZJHAB09A zihPWe;^efIE?3thRLo>BdJQnz-idGEs0QxXE-+sb;0)(Oc7zSimoBAJ)tWd5G=Fjr zWJpdiMb|2%ok0I{U%Zr-%ZSH?aE2J65$!N4&Q34UMf+LQL7c62OIWGg1?PF`Mq8@A z2uX{CTSp?Ah6pc&zxV1R)FcKJc20wS>dW-;D(y;^S#q=aMfAB+ zB%$xPo)>0NjY#G8aEYvwZ$>#y<0EDc#blErE(@c8!-yS$hCI?iu_)4~BUXIs62e4H z*(Br8&?eq0y&M1Q1)w)ow%ytr7PSZT)Of7$tKh)A?)IcF6=735!AIbrsOmDr5ZOuH zF44z?n&!-QbF*!!7=2L+y{How*5~xWa4)oE>38Y!i$$oq(X*xBLo80?KE5GDjbX~} zm{bCUc&r$+AN1$+g`(OIHl5~>T4)YV>J=!^9BjzPM9?C*mV+F@8Q0&NkaA|%LR*7p zs%!(Ig!D*AP~$gzY~wQ{f7Kn(Lcb$?N7_(74Sr1n?_5^( z_mF?)a(wW}sBACbkRR8GPZsMrTRSszczqVckF80iBH@;YqGZYGTZZXze} z=6YMVOZJ!zFf@(PpD#FP{509g?^@X6G)AF>HE$QLFGS%qYOh1gM%aT*|G>DbHnCbu z(h)Oj=aO6fDO3waKm<1>l5BeEV%RdhRNnI*?DZp`gQDG=iMkENzZVa(;S=~R_*#Kt z30Q4iPE4=K`{f55=o==uwk5lTZ0H;A9-_1=_7+@U?>iDEpU-i?hQrr3I;9FtCR9}@ zzOIAXn0rv29$1F@`Y*unp8yKd2`w>q5KA9rspH^E2+Ws5QiHdI8`@wMIt}-^o68N2)EoH^b@p zwr!&ZVmGILohNOo0%=VKnoaDHctQg*?QZZ zPNoz{Zk;ynjKPun7rfjiq@|vO3k;<~zyn`;Z|Z*d5N0X$IQ)XhLHgIQ6O!Srg&j zv0mNOg?Vl&2cXnj=2axMkV}Mhw=?Cd?ZELv#RCWj72}U)T5HBr12!Iq#HpxN!twsflHA9!Y+3FA+BdInGPS_p=Pd^qki;3mn z#pFOm(Ey;RZ36j#0#w3J10<}h?z0#vck^tQm!-$2{`p<|w@^*~M_SZ14swXhjIcznq?I zjE8DyN5Ise4py)BNe7}~bD&+7(yF@!M44Qm&tRZ_y!lS}wC!!P@?$rte_sRGrigyL zXXmc%y3p$wLe;yQ_UdyUi!kKJGIr2KoQ|z!+w%CT{}DlAe6z%uJG3buePbflNWB33=GM+libjMNYO~7)N2Tq5o3QuyXW*amHdPa&|J)h@JvG0_=K(r%=i&1KJI;s-DS`JcD{!C$D_0j1!G@Z%$R zgvYQSB_jvz`VA7^T}1gGPg@iXh$YRi!{*-<{Fp{O1~lGefwP(+c0y`j`j0Nrif=B* zR@dPd^n+9H#Hjywyi_8E7S+Swi^`j34^ZVc-y25sFwVWw2g0?PJk&+qo5O@DSV(u8 z3$YlfaWE$7LtQ?04>N36;%GnGZMjhSpn?;_hbmpMf8jRn* zd~dX?s&Wa$1w)jjU;T7I+0M!>nO4!Y%#d~oSRq3tBj9}Ob61MGc^$Bw{~geA-Jwk4 zzRoA{81W$uJOxTDO<3qDpq$0$yf+9b2M5dK`s3(uMH-IDa}G#%$Y`;W(R<-v6!wAs zG_7DNzaOH-J0t1p`(qte0&bJn;2}StbgeeI00Y(Ix`k`&l#04}h5!B5Y3N(0*99er z5g#JLgCpuo{q`@}dI61nq59M20`yQ>FDlWO3hQj4707MjG8OGKZAyb=EcQQZ+z-+7 zF(TJetvWiC37a#-G88iIt=_5Q{>0>U5L#oYj=E_Li&uB=pPL3hLLzmX!B@ci>DO|t zjqpa-4d30@wqZDZ_^)T9XA4y&wy8t7^5AW;k?aFTPL3>N4Tb59wK~?Df3nk`9GEHr zc6=^rDB&d?td^p&u4>XJ4Y!Xs(|e;u&{nOH~+_gQZ z4(XwDfFOqQdX2NG2d}zrO!Wr=bT9wfOi)7By7v|VW-2a{XX}$ApyOwOy>HbV4rp<^ zGMsacDyr~~CEXK8Qy1Xj*>(`hO0&Oxo*cX7lm*t!F~yf?x1T{ zehD_A{nY1(A2>7r{dMrLR^Z-i85|AfyhRqlaihJeT|=I0tWdK1>b+GrI1gCco1pyO z#_V}#{BkN6B!#DwGS(Kuh?HRB*_?`O7@AP_6h6e^QhMSEPK#Fr!N-8AKj^3xi7BOEG$PN7#o)GP=rtpxV>X9ebzJ1s zn|Fa8NgfIz0s&35FgzkzJ4NYnXlgoc#`BWerVqk{X|-lkdAU>``u+1<{_GKcDEyyc z#*8SWlQ#3z{wVFsNi<2K)g%O&{>bvA0a$=x9KM{*y4?>H%mP$<@)C+FiHt`g^bBWa z@D5);J=+AprOd*`pvx#wZ^SZy28Y+?vV+MhnxX3rc(wMk456F`Q%NK-^sDr3pq5gk zssI8VXM-IHrK)cRq4hu-+yhqkikuu^(=L{S(Z0!{1+gE1du;}?W)A_+UU0<@kWx+i z_8?g09U@&}wLv9Sn<>yp_Fqqd$Isd$;k@n3r3?JYYWCs~Fp;1#Rlw_YUFU+o9(ma` zgldgJXYFwsmZZNIfw2eDcIt`<91WxB<2ScwZ!nH*N1XpVtDuUK(2t1z@I&cbvL6?J zUybOHyXGFgAv1ac)p@afcqZ>j?N+dUaK=IJ1wl3cxeurbQ=sE3YSFV_#Rcg_6YI!PRRu9XiYO4Nps&wCM{OJ zz4}sch-(7;<)E5t?R7;HKs}9F`nN23AHcOg&Pz22p&bQU)OhKqeJ4P06JKy|Bvr`!$`R4STe9k7@?Xbt6Vn zE8U#U0;>n7x4&3vQFo4G7&gBhnnM$8d_VbzGyACD!SnefEQf85(=+DP_ERrsecjm2)ltf%%p= zg3qcdLmZ9B7zJh;r%4f|`5WKgg>;PrC8JQC?L3Z6zN4PyS>|0<8k(A8EA*L`4F4`n z;N1>5199~m!m7mPn-ID}Dd2A6?o27E9AehT!?>PJY^<&5X@MaShkq%xE%SlR<2MdKK6NLk#$Q|BxJ*o?7%U^zsO;>GOeGKR5 z9rBr|3|{@`=1HEOgW8S%d~h?0I9j^a=;f??ha|}JTjpX|ICNAOfMP8VN@2}p469gM z<{_#z#H=3fDx+90quAn3dw+>LPz!W`=%Pt2wBXrJFDnO~@c#W!)}Sz2Fn+mG>}P4a z)+LpIX1cmgg?YWy7C^V(Vq<|7f)Cel5w`m7{ zLV%2zM{uu60c(ia>Z3`F6-PoI#a04m;Kt@D@0_bW`HAn|?u`7GVxLn?Y7tCV^?Wdh z38t2;;iwx81eP^4beXlKHa{@_lat|aKqCfsHY+|vfGT9x=l9-UP1WRnnfgnOERE=B zCF^Ob!-D&v#XaYdUdJCFJA^4MyfCiRvG1WQdoknHOJyz_@Pqj0;=KZPcIz6i@hj82 zbd^0#wG$Jhoja7+hL0qbnd*L&Is$co>Df5wgm~-Tkyo>LH7Qf#W0ZyK(Ixt`mGQNS z9kR1$ces`n(!HRRNObzLHD3QeOr3>S)NA+l4~Pnabf|QVv;xwNG$s>jg%+?Lo+l8 zC?N{Nz|ajUok};--QDj!p7Z?H`vE8EvX&CoL9h%knn*b*RZ-m<9_VPPS}Jj3I_*t& zTxOhl+H_hJP%|=q;!{gk{_J8474L6fP=C~k?($fy<@8(WZ7>lr{r?}3%NyVUxttf5 zdsGAeNAEf7moNrRrElsaImyNO=&CSVN65IWeYL79zJeQdVQT0W5Y8Vvd9rAyv3~zu zML~Zl?O}b2!vB#sIe_V2shBh5KE6WZF5fJ_j!>n^^CN!Y$9+B)@dISWi&a2l@e|jX zFzw{t$!3Tg^T5s@QX{IdAL}KcrLyg+hKiBan#|};fcM3{;?*^#5MuB)@S8wg1XthF zgAcOUbMhRU*l`|V=JlULnx@Yql|yJn#c3}GcvpY7X^CFO&kpUEUeE7*m(n^@8|sTb z(1d!NEH9Vkknn#g8$?(p=s}%mIB)!W_s21vZ!K}lt@n4aa#TxlFs1ynsTU+GHwc9p z$W#-*C}2+!5N&-k{!}eTg>N)QXr3@d&FBnqCygjB*yobbvJ^YAhT3W_*Gwg{R%JLM z{ks*q3~X^>^_ho)VrOZ$o4^Eb29;Z!y*Wns&2~(omo;X-W`>}$x9t7|D9W3D$-EpA zXv@)Q5aX&pvsWE=E#h6B4S}S*mDY<+S*z~%R?BhS_~Aq=`O8ZC1s=!s2-C<(s_C+6W>*f;KA<>+>N8Rkk4axQNhSj zLe#|XnQ8)otA5SGJ7o@5OBDy5wl_PU6V<$m>f8_q-P=a}PC8QgdU|J@+lKugmY*X= z^1^ZhU^Ty2dyPW!A_e2fSQafGmFyIk^>|qJPi&Xv&~J)}Z#EP>4neVFLpESs|3Mya zL48_9cuO1`(+)n5XlXIzLm!2blX7zwsjI`0s2m6^XbmWy*htq=dQf#Qx zw`zS5WnEjA{j-XZ-(=+s`|&Aa{i~QzNoHdR1=`2vGx>@d<6iUjjpvXK7LVU83VGW_ zB6g`BsphNAajiMZ1*CHW>Ox5Nz=nLLJ}T2m#MR|hP703HnZBxQ`=Y1swtC!`(*}Og z!phm?vJtwU{oF$3FE-Uv3B|qC9=NwBPxf6N-H)60b447?g|kbXO(b?w_#vM33FRB# zx;{1+-QG~{ztcsesvWTVvuu)&jPx2$kyQ1++Cua_xKh2JC?<}?aGHqXTyZ^UBwj(03*sC-lTrmRrSOreRvS_0lgS=e2@>HOb1 z^+u6xz2K#Lyh8F-%fMHWF84iJuLy)C zJ0PHOyx{COvRcwmZ&SNIv7d0_uKI17Cto^cXAnHXdDZ=UpTkai%?v(uoZ3b|E3TZ# zubHVgR>Y_TAR247-YW zx{J-xmfe8=PJ=$i$4B3$TsP%a1Eq@?n@Tut8C>juA|joo$0E|^^T8}>;m2p}=Gq8& zLZi_-n?*i({GNV^zqaWF(D`N%Hs?^D`&%g6z-V%(1^FHnFl?RM?%l(p^1WPZ50H{LrcKrA9v2t z<>4bdJdv#5NMgscW6}Kd@7-A;z#dx9`o^W%LU_GjA3v3MJni6BGdrG`-!HSj+V3`? zYgKMo-gjl$-*7vEdqnWkjXiF3#MEAS`~zH)Y9FOOrhf+D?sVl7am&bqa%S^873>q9RJ@x9 z3&J%MnWK%axN4d$YyoGkKL_?7<*XTqauqhD_WO3Ca@~G0#_9I;#W#qUsi^XZ*@+(f zS%SLW3;+k(K!sS2%I6dg`IQ}&Z$cgund!oIro)CEXJfYNs~`6v&z3VX`;R6F)j123 z`#W_IrJ$Sj5?$YjkCL?LxA#_g)M#-!x;h@guiNomAIYNurUHax@5)1?IXJkT2sp;i=`vm~H|!-xF$e|BDy2EkQF)th{@I>!5ZCKf-GMyu)`9d_9&A zf=Kqnmw<%AKN4xjP= z@mk2~5!nffeZ`ai&VAFS?Q;$qm1F2YVk}oESE=K*Pg*~hB zKa9hMXXSB!4gyGVQa?zBi_ZWvf-lol&`mQlu%{u~T0KVVX?+8azb75m$P~!%eyFif zK1`bXSp~mG{FUKEUW4ywaU!TXZJ#UB2yhivO@`aO=dc@fABmirTX&7PMDctpyA;&v zKH$b(9b7#Wf)r$wTaHAc+E;w{8+2O417e2FY&S~Q(2~!;WO{7$IiT*xZPj0MuGSxO z1YgAxP){Qg>3~+Wk=M3x#Emiq5oqTMDw55+9cu-?7e2FLPmCl-K3YntOKKXV70f3= zp^b*+65d;5=u8m~>>k$3)8%}3&b+nySiQD@zxC1qK2BYmw-q4^9;rl3ukL}nI1aHi zym|35kDlO67=m%+RrG^D*7v)8Mg*~EeTy08xB@R9~+Rtpr{2MXD za5WEussK=5=Yjo4^*XBYCsqbcB>P}DHb=^9<}>TL-ueeAJohUN3PAk$h+v$5$`g!I zY?q*;+tECI<94k4fx%p4T2|A&s$h)4SHwra(^f9coklkv%OZQ$d~VGZhz_>v?zC1a zq3ihuxmLCy!QoX@wTBwzri}&PIk0W!U;?Lgj8iwMy3VMuQMX5kWK?QZv{EDQz&$RN zLYL`Qc;Ge$!+M(-$KfWz|M;K9xbqkxCC^p`Wd(K{8c=J$C0zg-pE>{-3=Lm>{vbMd z?*a$_$7LWRadH3G@bmbGD55@v&JmaCyo|Na2Yms4BT#KoSb?(J*qhOo~H z{v2>9(q)t{x+*Mus<+;nGrQ`%y085LG7HmU5QykeafDChhhB@f8Xk2RuQvlqBaD|C68e6}3>uUy|4vvFQ{!`jQbx&83%M zOxK+u2r_p9eA3#^{@2!hdWJKe0WGU`Koa1b(PJZ#lQ~0#y3Q##6I$+}uYiUr8TD9j zy}F}Pv=!Oi&Wvc^@ zwXMVQ&`rOXHyz__j4E0JIW_lZdCp6^-A8Kl$HTT8o;HbC@p_~ml^q-iWEG7&uGer` zvcXR#=cg#zA|2{$+5GDQE=3M^`zr$6-7+)8x1q;heH=KamgM53F1@n^Xz%`=8$4dX zbh8W3Pyf&rCtFbJI{A=#p(WF}&WrWSY*!P0g^N`M8F7t*ohu5EbX?(BU)6WKEFM6W zwi~)*qJbH@xr}k67IBX;iZZ-@)OsOxu_{Hra%1MCP{L_U=@bZrnOgw!nWSei6vO2~ zM16F9Nc9Lx`!0|m9Ig=YvN?-;E6w6K;arfyJ%|5v4s%Y5=1&=b4cye9qW5y{JXdAaZc-Xy23Jg^y4pue4B z%!Bq@WcSnq5((frcS$owSNk#5S;1Ko+gaSvEtFjXVH4D1{k?~0Vl$`Dua=(o-Zb7g z0`8(D&k>xaHcor`pxzHztgqL zn^<%UNoFEnI!(EiM~l_?uJ<#|hkQP<)}QCa3y!_gueoYXbQ?1wPs}D+pd4r-;OZ1?`{x4L(HbKARGdDL0cs zI^E6DUH*Rul%M9o0BuWH7i}#iC*6&%lB3j~qn25i3wc@6pz`8r%nO{~H8wagi;=lh z$`t$^I^#3AZ(!w1d&USV#`baZMQEV}&s;mFrweR2>U+;ecfA>0)g2%&i*Ma)Nf0k` zJn7?Yc|%?LU}&6608yR?9d_${KX(>+VYocXwQ&~S-PPLDk4rZ3;Qf{8t(L$=R(JNbZwq6qNy_BcxayR+Xu{a=38G3F$L$Dcsxi?S#k|JX~>GGT^q`3VXd>I8T3yRj!&$>M*{~-M?DD3>hrT6o^8aJKX zxQOr3W91P2E>LC6OEfUMQXmC6sk_F}_hTK&VSUEA21c>+I5nEYn(N^VpOwnD6=dpE zY1|oWD$q2%-0#W~CD)R?oWQ~^xhZ?pV(TQx-=iuJRE5RXe5lRP@2Th+FE;bb+!NY+ zzHx(I|KDNAV+|6oKSJEAWJBwgDY+p!_iMDzem7(n$VL9_m&GSk9WfSBHndCly?U$z z|BC71Y2CY%-Fgk;a@L(*eai@BC)+Zcnm}-m&|m00$KOsP;@>TFOS8+P4bGkNq|Nwp zG8eLXW}nGS1eA!emu?jO{K~1&D07`}--k7*&y6pwhHiE3VVx7I$C-*jE;sA*2@kIg z^VR;aPkDQ)iXN@Zj%`xIY`L#htVtR#qj-mEzjod<^>#M%{mxF%ZH1GK&eU=q4~^jKM&UG|W@N$s{@#PexWmm7ONP>^g&OV%6P6 zkvRw*niOlht*;Dcb8$1E55XHBxCPRSYpsa3U)4%fPl4pMbY%|*oVth66wtqP=3_MR zNhbmOWf6x;aevrPPOS;Vm&^*LzLCgp8AX=S!gh;)UPvIc&y>vcMfexjbaDAV<6k<< zH;BmU4E<9&8thN9%AF;#HFy0r5n6k>Qr0tUIfd#v8*Y$V^rDCRBg=ji=L;UN44p%C zW~Fs}_sQaP>GX68SBi*K!+AuxdIZ;5s@0Va+~|kC4j-!|U7&rRBt}y;7yWnQqQDfQ zhVyHS3W%hY8TLH2+1nriTVi;+`IVAdrTV{v)EoB$QxphPOJD4E z&Y0P{y;(YDzdW0s)nTfSFYIX5Syd_^wc*xjS36W(tSf6L%^rw8dLZd^DL!*Aao8xp z%ev>P_)gq6To-FUg-;~YnVI}w!pfb#k!P|OR$Uao$VDm@pm@1VjJPNAOCDG9SWXp6 zeKl^rHmVMd`<-y;rKa=ztl`|Kf6FJbgL3Ixp1NIPini!%)vR3?wDrY8jS7PSw6u3T zZeg=+E2V#9=p;%qi#1ZzN=@{2W2fu7<-@Nf$^fbR``vKE0urjpKinSwpN%Lm@}J5L zs*IE;WXl|~tX;7oevbL|hhL(}UYAFldexN8p3z@7tX#}?NL#Lfu)Ge+ z53rc!I%aE=%i4HavgbqhXW@q+&TYYR2bWB%$Gs0FcX=7j ztPg*$CZ-wnhd+v7{qQhz96>1M;#OgtG#~ae<9dRATRTz6p9&C&rpCVveo)^G^x*93 zzU+4`R6h?Ar3K+bpvyAtJe30yb7|Rc1?t6_PEk5AwHBFN!3=YGhN>{_oLti3JmVNB zwv19iz`E=6n6sJ+f1lyHlezF}zF_}$vJzk!dFaRX`DukWh@R=kj6sdzvJ_$e8e7lq z1P*6@@f*q({vX?!$;=S@kzf;4`qJspl%1jUZKO5IM{%pRzER7%2NMB!hPvVBWz{;e zoIw|0|Dow>FL;+bpEP>2?B~hEvu-EmkpS;J@S_@m2gnAq#k3zR_{k(Q&Hxo;_4ti~ z9T0?v_zNEZ^7i3KloXhS_k(DPbPUZ7qhLC?29-JhgaiD*oIXWVJ_|E_U)qZRKb(F5 z$RNobd!Vk!pak8A+US%Rh?;tXvVs9D{0qY`vVD7a1xA`=#x1*&+iagkW8e_*^#@eR zTUJ~w0zk;EAq!?vrWY@%beqBS=v6UMsrI}B*r)X3Mtw0amE#bLu7PzzSu?4sm4z>8 z#HObtU!h`0&}O3}wmwOxETB)~UJBIRu< z3rI)(p+j0G-=>rE_(_);sIT3?fGdaoaz)Ym(%7{pq5eLx*ZQ^5X#w+czMc|FBwk$X z&Fn!NBY3?&;bW=?>{sajW7d9Y;tG=-$HwQ1nJO`;4A_gyw93`G|A-5W=6h(4KJ|ZCNVWKknWFm=jJonFb|3Bn z_>rr&_1`MaFIalHMDoBuXuj$1XW_4cLX3Mrh#fiw;w6(}8ZfizxK$Uc^(t~q@Tj`Q z2Qg;cC5($?DkFCFilu!GIAIWAuX>QUM{|-;n17-M&cX=@b5fry0xZD%u8M5fdwNh@ zYTbfiaH?ElZ`O_CpMoMhN@@HVS+JXKB2!(B7 z7n7PE{6LBnYN0(&ys-xk$k@U$fWDU3={{j3WkaKgrFReg5AUR`wsPg)DK4=1@2A#fW154Q{<W^YS;+rp&)XfG{FFoj}$D{1p0W@Rk5+DJ~0DrZlHfoHb zGIB(VXMk)^_WQ@+)_hbJoV&*0GoX_on2)s!NvTQ2&mi2?^osZnZGiSqO2Vlmw0@G2TsL$95)&W z`08k7IHo>E^IrVv{jsiI8O@$@|RG8lmI@wYmA>y|9UOvc8jnzJYCQH+X+|jb3q6O>}8- z%pYQ4(XJ;TiPU~IsqwnUH>H0`% z0B}grt1ExvZd05NI4aG|#SFm7b}@pZ?VY3pByRUh&ZnMe!zB0r-EEB5O6Yf#~kQEySQu z9?y={$ObrEOH%)2FauQF1>(X5Z?9|CEI`%RN8QDkg!%y%6we*}bZ)`-i4vtnZb$P1 z221O^b8A6k<8fEMEr+Ki&*aV-IDRr5sKfJYbUuiAE@4b%wqF$}4T>eA>A|Y92r=sq zf`6jEiuGa|=&2MRdU;9b}*J7(6=$&n3)zM6ZHCJ2v69N{K?z{~cKK}m0Ve4&H zFHP*RkTkK42QxC$tmLUT?NJnoGZwCm?Q}&hmTE4?T)p4@h!8A9HyfM(BpuCi1 zVM^XptCq*+lfbE1a`Q71Jhv~|n45ld?LA!5ZMEbn_t~nptx$8(W6f3jm7r&uf`_*^ zM1h_GNME9|*!t(?2M)7O<|&d^j<4 zKK(d)_BT5zZ^)tj`nG>r|B`=2)dD`Fmi z3*+Z^Ywn~z>YsvtA{&#cI|#yw9KLxy=~A=5fYNSO;UN|{Aoq^SCk0J+APJ^xb4@C> z{z=y3Fs_O55RaKFR;O;9YPHs|mLD}oT+?T3UQy22sAy{!Xu73ng@+0vRW_@dMl>5B9tg%0_7 zIr`hinJAe}Perxya-A)71!Og9-m5|gGGbosJihkSvtG#1!RQ^!0=$beOE61Ih1R8= zaUSV-1{a^O!4UXf0u_s<3rqwdpdb{L^5MZ~{(u%TdL-q#Vfk@fu|I)9_D^**08r}Q z!KkKT?R_JZBgJ_0d_?Ip!Sc?m)-X0r8Q)_K)C^?c=LR;({dPlZ53N;7%0i z)o$8PEssx_IhCU{@7n7ucsn$-e+n#_9aWfe$Gd*rEz!WH1IHa;a(!X=eagVCb%d&J zKpbs-jymYN)Tas%;wdO9y554%k4%LBYpz!#05%zQf*096{^4)&^6(&3Dmk$}EL4J9 zzb1#89bg4y{I9UBv^w^7(q=9oWcCj{c%9aQQx{%~{at!zHE4B=k&xn;(9V!-h!&!? z*wpp+(^qS|TNqAvi5>7#g zl6!F-neZ}n>c{(Oei&U+oY(fd;OWzvmQ2``V}#yt!#!zb*RrCb#BzvnJy>XxhN;yS zf}VWH(U2|fRw{W<6LesPW2}zyVIhm<2+PP=LF1LID}Q@1f}J%@3!@K0NNouszVt^RUU)*g!V>&pi2SN54l;_}VSYf1V>eMBiS5~`_(Lw<{N zOJUp@R6uR$34;5BKe!(qW%LYzIeYHQ^FowPD~`}q%Oy-_xVY$ zn*U8T%A{WYuuS;q?Qq8Rdy4gYdVeeA8+QYjN)G}B!&aObeqrInsH1~%(O&#yqX5wr zHc|#Li6BJaBJF1I%`=SnJcGOm2}?W`G}lv%v&Q{RS@d=5A$BAKGkr+`#%b$~XeqH` z>Kt#PFckmTk*AypPr$1=OPWlNeU%td0nvaIPx2}1Ze>jVLvlN3GN(7lC=Fr@nd5rc zUbFeEBJ#8TpeN{}C#CMcI5d%p^`-p61c_;7W-icw!UK;A`6OnVt>1d5r}H*_V|a3M zTG_-GHMOpqlPxn`VMUZfCm{=#%nG{<-Q-i;n@qzdAHuFQZS1JLcRh{f#(lxE(2~5M znzEiyJHLQV2Hs?{_i|lx8vu4DBU&?3u)W+<+arL{Yj##wrs278Jx{G9;9K&a0(_^d z!hGwd`TH`5V~l4(xl>;y{rz4ytgpmTyA=v3*?DA7yhDZ$aG0z42Sb6*dV1k^TszY< zDn#e->3Wlnc&6({NT~Z4E4eK8hbBVp{Kop;qUQy z@n0*?{dn;3R>TMF-Gqfa0p8Wb`k|n6K>YS3n!vnTgOgo2pWxZ{3=@T6%eBa$o_IXL}0+AaRh-XH+aT zQ7+f#kVesinhWrRkz5~V$muv zH9fmp^wuyN)^3b7?7Q75eoA?8^ZT4&5P?-qJx=_dA%0r#pZ01 z=e@Vr)fsFc&`U7$^$&12MrcS-bCs?#_dE8~ly6veM!H1B*r^yElYNNy>+~o8w5O`! zw1d0Dex6`?+#+NhrY_QWrrI%XcytnV@LOzH;MT-dyipFbUo`ZuXz~g;8{C+gc8@>F zza1LJQG7>3_8`uazL`u!z(ATbR%HTC#RY4!*0N-hQFBv^2t5%Z!;j-ye@4h+tEu{7rBeBbdrM7AA9~hGSx+>jYqbyB^4;O`;aTsqc*1tqf z!!uUNZ^;IUa>}xM8SUC)Fo3x^;0A8OQ`t{?>d` z8z+z*bu&D<(^=NMI-8%7SL8<5v2o zsWDDNCR-;GSJX-3c)ZrN8P`K)l^7lml6>-VRcGYPz}w;;UZV9FWo(o2p{t^rYBc`S zvbg8*ZN_X^Gj&&ER^ZcJO08o7p?P3om7mvFDzT+i6_U*+I$92^1IN;$ZO$*Uz6U%# zQ4jmDe{6~v%Ic`xQx;J$nu#i+?UYx+(w#;Tqbs6F*543fb?Vc1l8=NPQ+^;U!rPW) z)Xb^lyg->H^$dGc-O1Bh%8yxbF&WJ$8#Z<5YTKf?{wwVLI>$&4e%vG4Ps#ROaq_sP)n#f=2iC_R2L)%DQ%ox?abWF^%UVEBDoia zOnsXyohX`0W&B#kgW0)^PT&YWG%05&o{h)l31vwUtMO<2h0B32#MEb!$Z!OaG?tBg z`tFe~7Uj)hhpp__Xj)!_in}9Ps3k~`F(DNYdN#rwOy+~ww?{hzCjY5!Nt1K8Y1B;( zZvncF^gk)mhU{ft_El1o4>Qy=baJ^6K4~Sr`?ONik*&D@Fa` zb~`l*i|EhEN7t^VCIRH4)6OWW?Fx_;BOn3%v}57l#T2Td!rHte?R&j{v0&&O`x1r zI*1YO;Z?#dJ_xnbK6e8{$__H&M;_?!xV%4%GKo|5&%EG19n7e|sTldgg)N9KrG9JL z%^JLr4kVmQ$RW;9m)4-99Q=v?$7+qlWMOnOEnFfYM`6ii(;+QaKS2K*H-Cpfij46y zH8s(ylJiL6aQekoZn|-t4E(R~=y~P~h$@6nNkCPEvt(3hGj&5O!_r+o?686Jyj3!= z>E+X=Quz&jM4(1hk-`gfM?2KSD+mk-MNTt3`bKW1#2nBctNkwvV5iHkJIm4NxYDQo zxt4^F*DMXJnZ#hMHLV#PWCx;qt0S-teYvpqm*& zA^4^n?Sj0FJ4}^Dc*xBJZ}vEjE|#05W=e5UxM}*ygQSV(aNjCDrdY@)NF@Y+GN)Qg zbzGaTq$DN@ZshdoDAO_(q&9@c4w{NY!EfuSlOg(v`~-N1>E(bye^>3}vpQ%V{c8r~ zR;xODlV9{<#|)B$lmBBU{>l5^GewHZV1iNv8@Uw4@3i7#DF-`z$CP#YQidMGhTS*Y_U& zQD0}93Kil_y3g#(E`IR^EcdIg^yd`YJ`= zQ+@*HGO)qF2k~&%deyH{c`HSDXo@X7+-hv5`A7In9?v+h$P+99yaN0YW!}&^(&{|+ zTx$!5IfzG9%1ZWT%(SfU`h>vx?S3OGIr*mWvf<#vL-hH?3H8IZ#J|3-K89a(yqhW6 z5zrRbZ=7xGEB;E+wi96U<;SN=Yztu;O)wLxJ*|hK{#|p?(r+LhFT(cF*%O{tVyJfH zr@Cmi7ZN`YeSm7wby!B7d3`7tX^v4XEf=CDDM+TD{+9M0(_xxUm>)idq1bWslTA3M zu{gwc=H~1-KfcL>!IfJ=0SynKK*YlJr zf4t(U5O43uWDUNiBYw5r|6eD30~2oY(;!rmz!0Yy4%-!kzaoz#<{3baPv+x=S83^$ zokFN1e{?#!^w02B3m)-bVf?0_!~afs=&EnBkoB@8Q{Sa z(EsfhMB+uyd>{$+e&9ywiCQm4bVs*bVif69&5vgDxj~<-h*f!N8^#YY!0O}27;NTo zul4~SxDc4RLnh-(rU*HhHT0SOeUh&h?)K2yibQ5NWCqgET?Q3o${;q(?4uMu&-l(= zM*0rk;I_pjUu-2x|8nj8V9o9#ez*|R$?;m*agYEjF6w+dv*k>8Cch*v=r zXp$>6+5Vjp5YT{ri|C^(g#?Bl06769E6QE!MILoYguyf^8{xaqXDmnju&t=%P*n(s zZ`TU^b@}JERD0a(M6RH_vvGIFaO$7y#_}dg&9W*9aX!>DQKpQA@sx8=d|i&Bc}~Dy zUNA(@gK8j~7oLK~$;wzLA6909_I<;&&^TFzK#9HuPc{PNJa}X(AwS$>?84FX1qo+- z-xUz-!YmL=a~;<-9mJmeq(Ijb3mzW*7Thj2#fvRY(oI7Rkt_kuDyvkk-xv>U!&SUZ z_TxD}R@d4)q>s^R_eiPy61~FWEqaoW{q9Iw^rj-6w^eIU|0|$+ba#yDf7o<3;ScxH z>n$%a%teVZ6-mWECrv^>C@Sk$5pnDkiOrV#!GBz{k44l;ax6gQmO-&a>$iY%7T;oD z?te4y>37nuZXpJn2Vv;7lq{?t=so@4snseP+a_v4G%BiW^p{Bj?%Y1+H8wGlH%>ZR zsEHr!xf*vZG-wrji>>&r+pB?Ua88VPPg&w1+Z|?8zvmsKGXNt=0Y=sL%_2p}AY+GE z7E~GJynPD9!^c$WMLAo*{gWq;ZDkx|e5*N(uer`k;Ne&bNIZwE^QZ5W?rFbbh-v3X z6X5MtPEsMDV5P9Ex#-Pz$QIX74&2cyOHi*dWV>Q4VN zmh^i$q&gky#ldhzn$h{1ho;G&-15vp3O*%%1Gnf7dhE4;)B4)I<;XdVzh#9STvD-{ zdX6;UlBUZv;e;P4bSB zZpD6@d$a&rlXwpkjooINy5TD2ky+xAvVv)rt3T3WYm7TMS{7e(qm%RvK zCVN!_l5B8lrk7F1Pff=1{JSgs#R7_#eoTF(aYOmN+&131kWP*L8=qy%VXJIoV)HB! zCt9n^ooVh-~e>~!`b+%@)67J`%@e#l*Vz9n%m+S5PiVFt`}1seI5})3=v5NQN)vz{<&u4KWg;G82d+ zVee>DVSkEhQxcT_u(1%zf9!-F7+1(5cBE7=#S+Kzjeln`wcycZxGKC!G87o*YIZQ; zH(PV$>+noj1}($e!m&kDBSfsu^QZUod5X2K6~*}`U6#^r)O>L7dXSbCFk<$$835Y2 zbg@=aSJ!Hp!du~Mm!C}l&ot>e;|L;x@f<~UI6ylfN%{*;Z3|Bvy!%=4M|EcDn=Y}J zw7HQ!2KU~f$NLTqqx815|3*mkfet9527{aP)1eE@33OvqblWag!ZWRzeOW zu(z0lqI9T9b}HdVfT$FcStiEenP_K#&7ePlz1a32Z@$p|qmU&5pohgO?JX2!X0k7c?2DK%x^vfvL8;xl%YSy{{l zkXR=|6Xn+RxyxJX(^`nx$+8RR{n874-{Cv{^XN6|BYoIiQzj)YnFw~Ck7RcUmnZ9W z#qoWai?>*hBlnGZ@jt+=$6rD{Wlh$Kb-ztka#*8hQEw$uMG(UhO+^ONL`zpN|CWFp*4bHXwBpY1r2fHJq;wYpf0a zhqSXx*277;nN4w$%(%=OuNcQQ$)iEl<&i0l|Ac~zIPb4sbCKvpqX}|NadKi8-L=~M zH}hOSBIhRWB$&(dz%M=qgi*EqCOUWBUZUekG(OLvDVkmiqK}mx)wtVueUpc~9@%xs3re;)g2X3hd4KFz<^gKO6`L?4y16nWVGUwU8Z3JdbYaI+@P1x*` zB-i(Q>&8I*(I=5s5GpiYF^EhEntS&s%An9b_Y3Y#a#lEUqkV&~iz|+MP+YW`Vj|-< zcC1*IRhi;D>n@u}N~6LTRH{!LgdW{Irb}{x;<}dHMR2YQI`IAA%C2a(LH^p(%pLUl z^jb;}p-LZm?uN-7lI4$a!ATXX@p1}F!RVeded6pvciQP@Vr>{p5l>1 z%ls?*Q(>jNdN$ZR3h!|2$rpUBD1746d#7ipru-web-nYC)Ja2KcS_AgufgiY2K$nz z*Q!b^?887d<~_lRBq8+1iFr=>lKxl)miwq_XP9k$%G13s;!O(AM6I)mtVpw=pkt2ESq_65t7qj)~k;eDA1amJBkekNGLx`!Hs&Pqvs} zS?ZZkp@T;a&ATwMQH*q(x3H$#wE2;md;yaN}s5sO&p zxMNSH%)7Zax)7)c^bt|Mv0P3xB|87)w)0#w3m+X~Ht(v;js{c!|HE!h?|UleyO+G! z85FPg2ojBp>s@cVn%}Ot`{ATb_-LpIOd>z{(g^*1_Tiy#sR|$Dt|h*aNn0pZ@i`SN zpcf~p<&zoMd`1od`TmdoG_a4oaQN};h0O{RK6jbM>Da+Uc@t@#uR1#UkV5m-_;;*2 z4OzCFIU~Rw{6i=2=J%E9t+Z;`7eN6}m%clFl(|#lA%od;pqJ0$VzOv97!1em)97C#g~!Kb~Gc`9a22_>m?hZ?0y%D*K##2S-zGZF%xo$!7D#(Olx= zhb+YR9C4GYYOXuwJc=WWVB%bMQ+OnSR2CD|R`?_`Eb(t;cW8Xr!Y*!OSRovPpXReQ zI&|A$ifIEGbz$>z7Vg`5b2MhlAIx_mW;;DZKI6~)yQu!i5Hif1&tjMBaj4N;RnO~l z`wIBIJMrkOEuEh^-gXUh?#xWdf3VE@;`Xm5sL%14xPB<5;qc1E$#}W`Be}PF4my~P5mub~D(0DKBc`fG^ zi`ls|b{W;0mS~_>=!mw5|FygIF>5Hf^?^~Fkz7r4H%HN+jtCGmYik_xj-T>aE$Z?( zeGMxyT{9IT%P~lFxpSLx5wJocaZtHFF&rn12#J!3e!`hujtSTTmVPehwzLiKTC1n& zj`bzn#s_JD80)aaw$*#Zc-AOKNNBMYtgh0y5~O?23b#}xyR(iFzd}u6h#SZfCO@th z%D8_OvT@Ro3sH3m*MzA-w;!FEJ@qCjrc0^8%oK#7NXA0gP1vub-1(EoU z^t4|8PeAB!w4s;>#cx-w7K{`3!$7du6bxz6_4hovMUIWUEgxPFg#HgVYl!+x=W)If z)slu}gyOmdeNt=wsW=cQ9nH{m=?NH|KGgO!Er3g&du&V2M*y#9@tzm*OzDBk!`4*R zIL<0WSluTtX*|w3L1d1eQm3vhY!PGGdZ4rTa8|dE|7|!3T)S*FxsFd(&t z_j1woJZxii_*G`E-xRahX~g-r0W0b__J}3*Ts)k24qxxx3R5!CQoWiH(~(?s@;=j{|N6n+HJ)DXm;hX?x4jB^DO?*JM!a%iU6BDl(;W ziP5aR{BZ6I-tkME=gLAI?RUPHM#_Cw*@saO-UlMvC4d$)xH~f_+IiO{*B>u7FN3H% zn8x?C52GTVMu{7JF#$T@(hJ3zs6=7H%wR-G%R%^+_g+n4vLi8>j48$E++Am2DxbUr zVxQ_B{eYUmTiHCT-xdKyX`VK~SaD9V0MuYk6sh`{z`DN&s2for`QM}m#v|WS4^{hIfsW(7|N9>Z0}-Kl_4R7@D%={wcQQrqmqz(ysw-W~yuAshh6s&f=$L@gR+z&v zw_q%10iKHz!><{Vo$gueh%z*b>#U7mVs}1Ln+|S-wnZ!|8Kp~8XCsu6dCWiVOf9i1 zrn27Lzi?*x<$>w@1~6VH?jGc@MW2Koi|5mb&DwGM0_zdSJ4Vaz1SWib_%m~IKGB2X z)W$d@LeEv?mM(-n8(O}HxDkRQC;ca9#sB5_y`jM>H>w6yMm+Iz_7qa;kgv)#mV6FO zNlxxniU$-?l3U6Pz390M~~7tPMd57_>OrW8uER=o2$4CimZ68Q+%L3n4Ianve)W*Q0-!50{uf}X)TGR7;3i$sk z-lg+Mq#d0BGc6uC2{P5%s-;R4FyEQ#)-??H7WbW@=x@|Ru!9uO3`js!uJADQ$E1%V zB9kALcJtYR)XzRx^&IICYdH~i`DAm*u|9k|>Y%I@qfFF|MEO=ezbURDqQJ zcMjM0#+-dw>42oG7_g*^5YrIn0$T1WSdH6h?GO=LTaa3fQDuJ#Vq>UgLLGKx)c<4a zt)sf?zG!bjR1lO#kZzC$LAtvI>68v>>F(~579^DJ?(P(j21!A>``yPUe$RXF{llRS z9K!jYbN1eAuDL#Qc99d{O>Uof_sWlZ4vU;Xf;ITub>WG<8c7NyJBae_-?BYcGjxVh zY|B{{wZ_15$VFH6T_H&xqP{ubL!`Gv@n~I9%{`#*XB3U}4^m~2B)9-Btu*aC6m8%F zlE02!IyGp|{xChO`#zL?q|rJC&{^i5^Zus=-2J_;B!)orXab6BpMOBU{5$db&S!)P zYq(1nqQf5tvP4tAKpxZg{=+7no)0l$PD6RV+@005tgoq@+VoB?JFjpkXLrsgv~V5m z)=}VIKw!Lhcl;S+Dyt45ae~Jyq-c$0YmQ2(9nb+gW2v~BDefE*jVErzBaG*-3;sNU z!sxwX8ScbtRCD^KhO2l=vqZ*7%?z@6q}nSJpN$qk6DjVgIRex2 z2JsSDD}RB3fbEk5|3rDoT~L8>#D2`f#TMjp(!u*UDj@N#3}S-=dXB|+C4pW*PaM>| zZYZ!oTEA^ia|IhC_CMQC1~E}?5~%)svh~Qj)dHopWfbD0Q18P^^ACd=(UX*-&29Vu zD#H#?mqW{?&VidVp(qQe+FBGp`m;(;K7FlvL*jOOR3qS^W~JMLF0aY7+4E=>3s`2 zjeq7EeqJ>B5`MI{1EwU0_m+hg#`Dke`}kB;rfz{g!0!666zi>~_<=G-3y;H?u#h)D z1F6?M0x%#8&QNCi_8=bKScgMk#-tCf1PB%fjayq)k;{i6sLk#D0HswBajv<&zH&CZ zLWRs-vfjn-yh% z?|8wP{LQ3$XPuGzdamJASH5%ijP+~xreXKtTaDr+dv}ky)63!*UPt(9(1oMA}k*hjq~_wSoc!r=~MbLmb0Lu&uB~+Lgg2c8`P(_n`Tf zmn=ros<~Y2J|-153JGa|S#0_(C!xP{7i#f`a2i|zJ3`+G<5Thk1F{qaWTw4HqIq%r zoH#b>yV;r8hsw56PTHkZioDY4+#Jql#o*keRt60>4%j*&cKPG&ZgtCXuDkmJyH^QHao{!B--D@-wK8HPz5E#R@-M z?J<^m=x7D^Jad8#jaECEi;YIqv;qm^tx90B>m?YzihW_8(Qtw6ap3FrL9J27fl~UD zyhG^zQ&{l_j&4BF+?y}FfGC8sP~9PxdmP38c@l;HAVJ6DvQy~MxAimX@>%HDEAhRqd`&v>l0G!1%=La_g?GZV6_I325&lFHSTN4X`Z=a@IzJW^0nmdmFYK+?9vtySzY(U`9Y+ZiDO z95)vk&Iz92)S6P%2b4M*%hqCN!jR@Rr>{;PAmE&y=8o!#n(EwJD)wmAJ_0$wRlkvT z2U~f?(F5uYdf=7s*>pqnP&CUgZ|&c|luA-F|K@0^n%pFp`ofu|5nVOE20Vhl_lDGm z6az%&j=2r2!C{*K&!g;LX!Ix}Tz;QTlc>zQhPeO@8y=>a{50#yx#Ai_acA*+6n_3% zWvueCmL`1w0pLKtZAExE zM%$~G+r?=&r~J6^&AE9d_ZekxwQ;1aDsu*x4n`iXMdjP#_EDY0YX@#*U;K&toJ+h9 zG-dxVp1?bd^wOId=B2oh?~Ef+PpCU|e!E5e?4?LAryBfwRPUp|54^@#3cc#s#;AfL zUB8$E2%S$q*K=Bn|HwN*$U7#yCj>4gh}F@8{b}1u`fZVwJIyZOu5)<*0Q{K=e@E86 zrWMkj0C&h9TM2e%LpjP2l#Hl02-4lQ^1}6hjAwpaVqIn$m=yKVn4)L?T}9!`A(@pN zuyJ<%wZY#oE!#1%>k6LZ!*~ylGs_g9d6hodXO6KdwZOq%0Vn za^oNpI+v=5>7J@g$=gb>*4A0mSW$6g+O2f-YkG8a?e7_6`eXH%O@sGWZ@8&VOS2Y1 z51*MGYVAI6`SDi*5Dv-#^UVsf=O?W)7ni!B(p3~Xl*mg!$EI(Hcs52XTBsI_FeBP9 zz|NzGANsI{7PgSP@D19n_zh`#X??T9z;jwo0{9bj7=wKIKxQ`##K1FLns>fEOkj|_V4iK4B8F& zxmCHI?nH8S78hj2*${LgCp#rYsf|2}@m~RBMI%i9RjQv#zj3J378Dl6%v~&1jcGJ$ zS-9?A@o`J*$}k%a#IIm+`~Wp8OZPfn<<5n^<^t(*v(N`Bin98EFC*~cn<`#y zE=WNbq)+Ir99*0~{r)jw_q2R~e!#Cj42x+V@P8)&13jj~m8OJbwdSl)=zF~KTMEQ6 zOO?!^Eh&7BgqO9zH4}^Wp5lbjhg4AC2X~wUKIk<5_cq{P+wh(b^O4Z)T!DOo1UjEM zdLS9O70+n&Qk<)i|1^-)h=X1C+k^%Vg;QC7O;u||ukV$w0rmQk36~E_g`jj2bIynHQwv;Suh86J9u>oZsLr1+ zzgpUJJv}-CGgmEgYcKko!G6a)c#USQgpOsP(N33LN~NuunJ1f;EK%z!*J$HNHp@$yYAvW7Wiuese7@v) zf73!cOiEYIr+DJNf~eDLIbAi9*LGs{`$ri^#R-&Xpw&yxf`|Z@{0LHVZ7H${|Jh6U zk>TSIoOUTD(a*|@8!TiFqFWK-nVC~8s?`=#2R1GaS6SX>a68*p=i4BbOk0|^Og58q z#K1U$rG8&=TJ2i(4w|D$6?g%&xi@^O&`7fXe5%a>YZ_&z;6vZ;M>?dF)H13L-zV@Q z@zVW!#QpJRu+lI>zZ4iNG7Kl%@$snhY88C7*fvVscg<&#wVKkF;A`yX^QkTI1~^uf zn8rzD)sJYH2GeoE$wm!7KvQR&fJ}YdRNIB6vDRs5s0AkJNrS-@YP2w4#9xDvV39t7 zfr*`fbWgdg+p`r1x~U@ND8k|;Xc{`b@tW|Lep*5_CMxzDOHBur>XJU*#64&ZNaNc+ zP%7?JT;$76a)U?h+ks^6BDCw@$tV=0by#S@?C3jD&4O9ZF7GIN ze0IPfNGv)}F#ry8WVAt}*zw%6-!OygqwLG3;xrSrT}&5iI0>p9GFC2@cx*v2YeaLc z(sDIvxijs$D8DH2e1l^`$EHYuM4k;^#dNxqOcHgoR+&mhb6`r*>1v7f(X@J*cA$jq7DWVh%l;1?~mqC?B4aJcj}@MhS;zz zX6b%isBXC5?O}ntgNB?PQ>spEr;)g+Df9h*fUaY8bzX8PFIJm;5GkI%+DScmF;c(gTBsw zziET{&XCjDdy4HUxOw5F>jln|3|}E*FxmU%aOMZi~#`d>!qBh>%DX8Xsj|do5cG zTT7xCa~EIjBuq4fp@Rksak%OXP+wSgR;HiU?<@=awppl2J7*AGFacYzve z3WTzu0j)2Y23<~za+wBoTRq=YL z8L>I;R2*q*F=^gYksbA+GX*o<|NG@9cAK9K@cK=+1I#1jNr$NXJ(e}bamTxyKLBu` zzBrNDL2|^btmu;)&MePJfj_y%xOhL-h;#qbk=N5t$^AGdf@+1G5(1H+9^ONM)ciO6 zBj6bfIwks#+2F|aDg~<~3su51Kr4k#oa>Q4OkzE8nt`$6JM&7B1(AL6M#r0O99Tb8=u>W>vSjCxxO$;ARR&u>U26eJh+0~zRWaP5Inf0d zP(p!dB*8+JEG_MLf0}baQFT9D%v?SDnzuT7c%Z^EWPem1DE2*FdD0bi91%xs)`;PP z#{M<%nWxW3uIl3>NdG-jihJsgn*O+0W0yE}mjd4UP@0i#^Ba|}Ss$5_oNCD-q`pQRu@3laG5?(O^<$wvlQPTh!tAva6)() z{bP`oTvYkDxtunf;l0b!RXyk$Z#3_y=yX-JntP!=ddxVcEC-7$$wj{%^hV&0`aqMf z-~aS9^~dw>Uj2q(n?C0@tpzLn^8XB-{o4$3gAc=Dq0rc_-Jh($`xI628$*gfr8%9V z8vZrSqnfu^knM zK7%4w&IJ~4*m9Y@2?uK{iEltWSOmwaKu&VLl6mnDfx)$4;F)BXB_C4#=MP}o`7%zUPs>^M)iN%GH?M%FzEZ~G3-h6DjKh% zb{9Lz5N)W@8PRW|&V*anlFwlfF%osxYz1>Q@fj0}Q5)@;l5AY3A9rY!tIjsPCuTa;7yy zJF6QXtvx_7lBWTI2bxDKBD!->bqZ31F1i-~Jy#BpFe;!Q89fQZ+=L1so6=p~DuL`e z_zE+dh5gX1M~@3fnPu!GtQ=4q=ui`BrzeA(0`iwgZT7=DnN~K{Q4)uqsopp?W&1Cz zgjM20CD(96^waRYi0S@;G#%{IN0f6U?NtV!+C>I=MaH4Q0!#vKmfBWCu>_%1c>HOyWyOyz6tEj{*MYlRL*ZOGZ=SX}mwm>^S%zCU9?^{AL7v0(}?SF3x5u-4CX?HFzT5TAGJy%@-;F6YhmmvXj8sxVg%yM&xwn6IZM zpQ3f$CQS=j+%C;p1nzhy4Np4Wf!6X;9_MMtWQhaUbF_stqOrmOktmal^iE#QeRnc@ z#Gmu%>JK$u{GUI_C_fwuN^l81(A`lfzrjb6DTzOOyQ=*71h_|EYuR*RCP{yvlCvbj z7<-3ELIlTObP8cz>ey=iY#Uf;P$p6ZW-tWcu zXKd}gG^;o^)&OT-$p1&GitBb;i7(V&zGmH6bcjmKWeZiq(qCV zdS8uD_98*lo+!mhA-nh}NJ9BUA(I>zdt`0%Y)6(Q_1nhQLxvC_v(mVOX=Ir{9blRo zq^XvRE(Wy7M^BTMK)ExZ@cFR)1Uzw?@sSRx;YM%E*W2b*PeEyQDi5SD+#lI>td>dwT$lQ@PD}n-EC7$hp zvWvE1r5H-K;3-%IJ?hCS3lIk!g|Rj*hHnUW2{lE7w>sG>Hu}xzB+_PoPZgwbgAUEw z(OZZB1M!{8*VV;^DuQf&x%M8A9Ds)}4+6^Yc|LYA7@>w!?`?&GiAIg|S_n`sA_=Ct z^8b;}p|^!pYT3Vl!9;8V5>YA@sRj2Hxb+(XcTFx2R*uq&A2fb-WV>YQapkz z7qTEB^90{W&JThae{|jIv24nWVy@0(P&MZ3AeZ^JyLg9wkg(_km^_AW8e(?2mC%hhTRS+C~*g=jvKXGV_(EJt-VMixtZ}n zuCyuOx%OEu>g5(G_Nc%@@sKpeZK58UZ?>=0v21$NY!=={#*p}{M9d>Td}gykN194x zum$9L_}}|F`W_y@W@tD#;yw&Qaz}(1ZSgYxW04-D#2k4+Mx6OBVY?M&v=FJi zO4EsPARoqdzR;ko`|+xvFa`n*;8E_nBmqj&IEX%qUCobIMAxBP!B&|k8pF54X)^yD zRJmjJnMA{_cNEZNTuctbr~b20K1AWqvIIK&M=ZZhAogua^D3l^6KeM+ zLs>RMu9jKG|M_&Y;e)i+%R~7g>VPRYU`j%9H4~ugG4oojI|ZX2AakU9fxA^v9gN4v zmCs5u2zg|fbOgOjcZ1b4&qL)>9-qP~rzZOx9)w*ikh*ka z^@kCN4)xoE{$FfjR&puq4f2(p~e7@U(avx3F5D8dUUX33VPWx`PE#ee^?_Z~DNiq9<6 zsOc~Q)&C&bPfCD00DH&8!wKbLBo4djEOd1}a9T%0sJ{e`_7dcdK0 zx!0f|ME)AyOh8i&IfMVXw9gEXg&R@w*1XDOLQwwNI1oq^p+rpS@8Hy0RS9_kA=}3gsD*e4b^tL=YZl~)jYFM(DASan zCOqCM}!Qu1Pgc ztuWUUWaY)~pEvEh|7yA0X*sF~aj%q@wE)@yj`PkfvZrL;ZIDUxIS8497J*!F2eT|y z`yt*F6z-P;MRgK?KLCf1`=odh40=X~=k*8Ufh>NX4rV4-x7~4s&>YjTS72 z;EA~d^bNESjxXuEJK+0C0~C$v)%QH!_`YMv6r#$DYEAqCY9OhD*|-YKYfyp6fPrpf z7l4?W5aT_He(iB{QMnc)n_~CDQ?O{WW2iU&@pN^xld9SgcURB(zi_=pbX1LHtzvi|Mr~VP<&j^ zq~E=!ItQf~0nZ%9E=UaQpQD2eHW0%oVXEb3odM(w5y}GIzF9<%=tUHNPpJ;&)1wBE zK9~nIq7s@GA-d;<13*!9tbR?}9)}i(ah3u?neBcz-XjAyR;Qj89XE_>X_ug)Z5$rj zn!8U2F;gaE4V{5eWVr7u z3L_jIju8$tF8B#xm6H76`y)I7o--E^`>D|NE&HJWLI@LBK5%H$gP+*<+BO1(0Mrsm zH)Z0U`>sn;^Wnxo>h_5IGU@1*iQHIUfnpb(x3p515fd4Z6w}+-)QD}pcHjZaKiWhFo?pz>=FO3Ir2lpc~ zb%U`Qg0~LoPDs{uiP6`Ad^hiZ1~4$;g`NRl6}J#QF=8PapDc2(9|{0IanCPN`DOzp)P4})hY?3jH1b0V>$w1>cSP@;%78u(#m1@m8(a(9rSA z@r0nDoAL@wo8u1F`@=_CXW@U*+Wvkl0$zH1j;IG*iH~{w`IsN_KQN7f`NL33kibb( zdqsYw&>Zrp0v0xOWgY!df4~DmJ4<- zc#z>ZVPVwLo7N1vL~Wt=Be&)0*GVen>lrJb-}!2TW|t7zFR}V(akx_jQNhS?vMGLz zRi~OQEty48)l`4aGH+O`1W|4`lewJ@UjqEj)|bd(rpao7K`t#_DT%j?`Q5$>`p_KP z(`Q(&n^JY<(9E&M(R(B@wee8)K=O@x+KC7|Vbiz!?1l{&|9Ucsh?v$pgV8Hs=eY%` zyM>-}khSOxl7msQf{#!ZqI1Vnn!+fa1QpPv=W#B*6ZN>nbr@0uW@F3=%-^Sbnxkt)BVVYV&Vvg@_KK2zR>)UGd)~=p?)98 z^lM3i_OU{>1cYRYPf8dt$9l0QVF@qQDjHF004bB)0_;g@`oI0v%*5vi#~Q#f+ODvY zZJ-|LG&cdK5I9xhqeXDOPaxcfUY15TX?CdAV!}e!I$)TazQ)R1#D7~-<4*hcj=rS{ zhq4T!g1Fs5vdjpA06At3Mxvtr$<|n1NZ<`_5F6qt1c9n~Gjgz3vj>?G7tO$VdAD45 zn#7F2oALM^X$LE+0< z4AZFFqIhgzrGWJoAm)FIy@KNF!{}g%3Kz8jichXs)payzBz-OQRX;t+~qM?TJvRNe5k$=Bn{^V5_+(^wh~Xi@;lIswGtUd)>b+4 z^b@Srpwh4viP}owwitu@^4Ron(wtqg1%&wMqbq_u5fj1iL5ko%rLx93W$$tY9^$ST}@?#4EXpA{s#Mh(XOBgZnS3q~14(Y-5rC?f;Czz<)eP+x5!q zL3!x?Ucd`6XYic7*jn}ZtiY2)B$`V?PLm%@-=B+mVLCyB^9ja>!(g^n&hG1USr0)9 z&){>un*-^rb0EV1*(xr!paEeCaTh3>_IkgC4@x2$86m$0uh#s&pdDD4M+{l$-jOJN zjTuim`ubgRkk|~>^!A=BUASS?oWbFR%W0RT`{}(e&+hovG{F}>3x^s{oX|vrs<^{b zwt&5}5=(QU7O93a{K48Mw!fSACeTHBiY7cxueO(}diG4UcB>CP_u(7CuFmmZ%wh(9 zR;TK77dx2L5i$kMtD!v&aA1?3!ceLCt^GFkQ%byfMW^I9!$SN+DM0uY6QiuMU64!` zv}54KlPzUZTh14aU7D-Nd3k&^XBVc#FJ0wOi8DR}AH=YB*2}6;s3*RP3a0Q9zsQ?dnA63#8NEUooL<4;y3Nc&VxD?Vr}My7eW;@IW6IdTVY zG#`TQyTKb|$_3%!XQiWpi0i^|diW+;^SbkFW(a1U3>bUw%1@{V^p6&GY|th9O86$P zU5SH+hFUCu@2mB&E*jR3r~Qv>=eOSVwHhXl?`Vgh=zv+=budMeY^M~l+vMw{5C zKD(d|l-j3)!}W%6C)KgDuzE?{{|TKVvB6Lk9M|r5Bi-*2`W)vxX@R!CFZOU?$V+P9 z)>RkmOC_%{@wj<*s+<#*P+=ZWzVA{v3u#3=$do7K5AIB)c`%%K?WpQ zz`=%#dS$(<_S8n+kRheJk{6${KItk$X)?mTmXDZjSh!K7eeGXTmvp)7hk$;h`OYcf z_kv(m22AlhunaZI}UQoPq~wd)4h<_DkqGR zN~Nl-U}trhf>}+Kvc%j(P~GpK=;{a%6t78{Xf9b7%tK}Hpyt>VY8Y`Z_4|2DR`xew^)13^_d4iXVD?wS6R!6$aJtm#eXUhB7}?2Ici6lw*iRyPRgR!t>sEd>zLQ z^1;*Ux4#9?j$$$o{+Al?|!Jk zg5$xf6AAGS(o-@RGHkm$LU<#bJ);@S!Gkn3p0v|qmWjQ|PhdmKQ#9wU3~}{zrnq`Q z#dB{+BHWJOb67Wp^Ci&h?!_aYKq0lwoHc=!26enu;F(aW2X}4)YAG(5QlUHtE7;nK z$aUb?x#0`^C%gp8SDF!-o)J5qWI>_fckIpj?LO=6wyJIOwodVP?On|gO5GZ_QQ!9s z6VxbHH|8sR*aLRs$#oAawYeff`Qw_~E0+uKnOGvEj!p3Ar83;+rc?zVM|xd%_Skpg z*Pf+v`kYQK6{9oBRK-4-)i3)r-`r@R#W$Ss;)~q{C~Vj@3W53Va9*48mYThRveV6( z7bSI=5(xo~usz@!M+U>Gh6eDyv@{(Q<3B(Ay`uD;_Ggl~ z_dZ9T*9s0-s=|hNhx6bHXTo`iBT`4exu0Y6We&$@`xy5$n{FIu`a-#>B*f`qQXncc zL3!s>L;1T+cAy$xCzcR5TFguH*#UjPs3pbW9Vl*Z)2Fy?_Oi$NJz9?`H*AM%4_ov7 zGxIV3@MW}EUa}JiN1NRz^%K0~_6o<(P+zyD$lD;Gk^<@AjS&r!40;TC4o?;^l$fte zaG-5;AMu617>8Jh#4vQ|1tctF9y)^>T0%usgcP*ED)5`FG z2FpP$ST#`NF6{s@-;Hv-J<98oQ%Rn5cUveXd$v%@rKeJsQWQ`O&Z}2hp$)Jq4+cL8 z;N8iv!4eonbwMVF6T>aMD%=8h#)e{uVwfAIQMl2oZpi~k??Y39vDV1=J^rE1wzmd0 z+0<*r=BeT(wF!_2>`>d^F^SE6fkNa|(M`Go&wQ@6M360_O2va>F|aLd%0IR|Z@pU0 zBSlWrWTR1nKP`xg4nG}?z^57Q5`w+?39-Shf1NVh2EKLPeXB9?MXdQ^)jPSyt<*2& zMdnk^juy2Wb)H%|G#MkDX3@bv{O_0r^=QjLXslLgclFA4g$wp7|kFoNwGz zZ(v=Ru#Ut|K)_(P0thZf1Gq+Q<7ilRsP9ZbUJ)Zdhwyyv8+3 zqml9XoIG~&YlW>OzXRpWHQPwrq`WS%QR+1A9_~CUVqj|@X-qRt-Gmo;DWX=i{#jpE z9O*TR2`pSA;lh9~YC^rs$YNXN%u(D);XqnuPA?U*KNIeare{BQ=OU082fC>ncx zFeNA@irAR;6@^F8XsyjEa}x6y3pK+U>dfo5<5qnRf$V4+vSCiku-)W5w zto3CY($3Lx5M<4Yx~OrfDM=H^JdKuA#@z$l+ulzSZp57nTBX{e@CA3{NL-evJtB9i zzf=RF#IZCP1pKg`S07Sau2l=nN2|8*oLGdWN}+YbMz$(&vRm5dGd+gG=Gc4{8PwrN z)Q|q^DFV}vUUETm4a*dY!O9AF=E8iD7TRN*V%6r(Vv=jxUW%F7>P52jcxlh@0fQ9( z^4iJL11_Vsi8tj1*)RngAk`yr#J>+F<}+RYqucVqOR+00nn{JLCwvlxoj>InFEV1f zu4K`i8oC1|D`k8~TtDLl3U=D;1n)@A+s#bf{u27;ORL!XJ;d0@yq9&d50(YHwK zWZILbBPf!r{lXHOnyY)}ggzfSMp=fM(~B^0_DDxqLtgFHiJ@BiX~O%vQ`i0jOSHX>(7ZpUjE2JHkU&)~L4UTb{W zf3`#3-eYSL)7`J<6Y#yz7`;CW#2YAW4iE*_~mMu{=evVKXJCsGQxb z*MuhsXEaZDS8KHh4O7H++De&M!2UG99n1DXae)ur080m58(~&Dr0%_KjHr)Ml1Uwr zB``=nM|a&dduW>L=T*|z*sK&;SI>i8W>WD9HG9cz6^K5xueeSmxI$s>yKWQ=@`tSlUdJA&nJbcHsv2bXd#Vs|l;q*VXul zXMQ17DkKc(Q(16~sAmt}^s!RFVR#Fz)tR8(mT9*Wpd0Na#L$~Q^cGf)mWow3z{wQF zDk$>o&3fT;s{ORLjMpXu6E~Qjls@7cG6r@j|Hdub<64q0!i1-NWR39tPnez$6j7d~ zY1*7mIilP2K$c6EOfiox9d%_n>1A?{RgYJ`l;gUz-S@!4Z;Z(I2ywjC{6Sp_5m7I{ES+c`jj-bCugx=U7K9?|!;npD3kP2yXZD7Ko@bjMzpYgUe0K_Hul*KN=?u34a|u1Jd|!t$iHT#mn}-!3J)jKeyu& zA{_SG`JE6Ul;4zXk@N+Th9^=&7DGMslHSO3#8$#dF0irSx6wXL>!!dR*r(N2OepXF#Jeu|)~m5tB7 z6NV=J=AkbCtH|{wgaAgdS9;y(~2ik@Pe8|I(tRQZ~f)t zGyOh#hLrNf8iY4ewd}Uqu%!z5l1t(K-70Rm2+a;*v4)k}8r+CcR(rYPMfw$~#0Z^m zOD-4KiFWF8RJeE}Wzi29q$RN~CebZy8O{-Y;llQo9&7A{&NdY?5a12R?+i7m$)T{R zu`eKUGuECqJVE8&`&m4q{!^?aDS& zf;Au`9J*6&9|U6_8CZQ+?&G2=WfXAXB0slyPmxC}T-aJ@TewAQs}~WC8f$=MJ12GL z`#Jf0yAK-7Od-~-{w=G6x(XJLYTN85>DnTeGSMxoVuMAI#&|U5I?<3i1F2r+^1#GS zqptp)6zh(7FL7zq_6}Qyfj3#QU!-tanRnSE_-uZ5(mO6}^Iq@PUhUTE@giu_eOdFO zG=!lsDv;=cH)I4L_+q}zO zd(U^);&wkd$H|rsk(z&e{#rc4yh5?duH*PYn?1vK^y!vhVr2AMOe?fcS56)X-#&-g zwm3b$5=|(YE{<$O*h4-?EnroU{k4&_ws<(74R5fpH=L5KeXpnXM_3>7dLY7W#Xs^o zJE^UUWhgaBp?Q!lC9`BK5C`?ZqrliJ$!0)x92Nc@eNYq(i9B)Q1dVdsulP>(2^xv& zT$RGKeY3u+egij`t}NDt{k`lXqBL#dUX~Av#Pbt0mV+#``^9N@w2p%zxb~3^?+CUg zXkr(CVM_f*no}Y;sT;xESwz|~dts7?hOXrpRy`@&HN>f})?n3jHM#3iHFvf2>7+=x zQZXq^ZaNW=+TbHyb{(g4-BZP;`Nc=z-%+4X(1Zm3!t7EQ!L4qcmBhO^c{-M&jgVs! zvIu(i)!?i7xmyF`j#+5T%uQLJQ?wZ>Y#6$*LJy(K5L&ujq_kbgA2gi9;ND%m6LuwQo&d--RwjURYcN-=M3^(Oa zH&uc&I`RH686C#o68&l~SQD|1eA@1^P;5)|bAdhbOk(q?VM=}YH0_6XT&zRG2mA7b z#$V?$-t(9J+vfW7J#>XpQL?COo+V!I#dJoPJXu}th~Ni#p)hq}6*1=i|NSxk=*0Zj z#6)9X%kvsh$v+p#W^+Z2DbKgreS62DBB%~|G>TmJvNpZ>TTs3VJFE?^&kyX><;PaIGN5aE!G|dZ8hVUp?~FuqdQW^K*@ zxtBoK?=r0hinKDw^>sftRh-0DVjydcS7pyWg-i!7o3t)?@JR45>%D)JBv;LZGj&I@ zsxru0f|o3xc8UF7*?eA%ngvc$^es+`p`j&(bA8NvZJCv}#^v7y+$n_@s3Y3f|3<2qKuPG`nwsbB zshwf+cHOjmB+V=#H^fAYd|!zI|C$H3--g;exA|0(rs!Sb1=UVA)|;WPNBX_liT+ls z1LQ%+qj}M%f`_AtnwE@yRb#DhF*HH=Ume~!|12Ap;ec-Tnm8q*ttR(#vk@C|AybM` zGmhzjM`O5_#pL8?ah>@V4K?oi?tkA;V^aCJnswK&ql|?0K=D0ph{CN=2n%P=JtrV< za-If4PX;zqcQ|e$aAl`S<(I#EBUu}9{W@zYd|Odx&O7!}utG9w%ZP_%tc3rw06bAA zkn}97$qGj1@J-9+t3il>VKtlGj&g}+fHU&4q*@?k$;y@dmi>-8ElT1N*a&!u&?Y}; z4vmKWPSOkejy-jM_cW(X&f_RnbEK)|n$5)(ZYuPr06B=o ziN4owM4jQaE|K8sVsCIe{}swVAAKbjZ13muSYe$F3L)~vruZZIZwlRPP^`wKD$=uX zG&pr7!ei>pk5EHffg_M)srIq?VL&Wngzm??wsw&C0>;20->lesO;H0DJE5Jk8e_Fi$u3JGP+EO7JeE_Eo{0xN)sgRK@H^nk}At1^y!{w*%*7z* zCE^l0%?r7fvnPo=oHrI*R9typbKHTUfK4w zb^Aniz9ZVxE?61ej)#74h*lsgo6d0A@%D&HlHOFIIkfg=GJyxLh6h($> z?x(r|U&6rV(duN0vt@4;y* z9Zs_cgI_4=0=+Gsf8yF61gsLE71TJ#oxc)Et_vGnilvb0PyZOfr|KQ6Z_=`OY*@OB ze0oRwD?RD||Jf}EEoII?j#~7Az)p>z%HI=}X;l)L`Gl$zu9|^r%-g6Xi$QZl^^3d3 zKPzP9p@HZ^90DMErLWPIb)w1Km+m^qHx*I|$16DjkIl&DsB;|Mf>e4+Qt%N3gd7l= zG>>Rs0ok;JOa`Y@(;6E$w!31j1zq+Ab-_*Smr!|j@dh?dHfF_u?$?ypXUtUOo(_ucmFGIkD~%9v41-oQn@ku*=o<1UHQ`%qas z;&koY@^mi7^Kmr2g4M9nRF~s1l6`vEXgxmdn%##$08=ED~n}N%`L{_T+;ur&d!fx{!sm8VObX9ifzw(0ilq2Ci?`!LJHFbaX^ zSOWG_LrLUyOV? zCggo^P>Dn4;$$811rD|Y#McD`+Bx&5U<8{RrX75%a&h`fnz?=dD$t)@?h_+%!?lF$ z`zGXRzC9nX${SbLhUM4Zuu75%Z~?eqMS*e?n+*18!7OKpc!*ZaMcAA|UR*9(%WzIg zOoy=Qjq8_3Q{vus#knko{=tuEa8<@W8N7LUXi_qX`Z@LFL-v*{=;yFFpU$t*2?|h+ z_$}pde|GJys8xqC!BMd;o(6u0{c`haWBQzgYSsSAE>h!3$+H_X5;~1$VL?42RI>z= zrwo%%sg&^(DMQat&36x_#{-~Fr*jfJ(H>aM@`zinAZ+7iou5IvP`s;1!^-;Dm5NH# z))ZeLlMnxAIrvh&J%9tLBYVrU!({$QuzTC&2xQ#MM8>ysqBD|(aFIxvl%<}0d)V~B z@2fk|$J4#%RrZatdXly0JhNY}Tn`%aP85(g)HgX?giFV62Y)2(&T+{3B!gA)jO_;z z6pN>|UMSrNRnEGia41SxI{kUTm&k71W4|wsK^CCs#w_4R{lMR_R8_^*zBZA%m+XXP zOj&Xd_>9I>wFjoU_l~Yp=al#d5rc z69Mta3P$VhxeoxCJ-r{N+(Rr3^A3*hQgv?OUJy|g{wjr^AA&v@fj1={I=(e4%}v@b zEf73GFZ{{<8v=4RSl$=KN)hx1yC|ecfPUcOgnz_y>ueC=?H;h4NTtfw1kr<~!tCL; zUA!I!8HTTlR35k;E9&hR!1FipY0we$!ZEO@(9i*GF*UK%zTVAiLoJ;sQd!Q)iwezu zuM^huSTpD9?bZ657e07n%CH;YF5GAJTe61Vqka!+OA7hUr^~$~1X*Xt&I;H4aIz|K(f|fx7#%KFz*+L)vSRS4YL_hr{QS zF?fdWI^S&Zk6ip{$<>}3nL1i^#xHiN?f%Y6eYcTfeAso}W5>sG-p#?&4U08E&#nzO zgl8JmNBg3&R2`LALwGQQ14sD&MvVC@HqKq*gKIi%nyOciIpDoY!T3&!bhR#u|?XS~~AG$9f z^*SkjT&qz#U7qs*$v^Do-1F)DaY<467bM_ri5C7gJ?`;ss>q3$N6{l>k%JTD!xE>L zo#{OuqEe^}Vo%j#Qxfl~hhZi##|tDcf4~1mHQBT@9I1{n$lmojr!bHr)Y$Q+ZbN~=S{^1VItCmZ zHLawowxd1@W#$H74G`M8s1u>y9o!9Lh!}c+;*x8hWaPinx8+73Vlbzf11{sa&6X=@ zj@cQ1Z(F|0f@A~3$SQ~GOGYYe)>g94QH&C83M3lkK%ptyu@m9M)^DmlvfH^*5x+FP zAZk2);X$y@!(z*3LK;9IbQ1BVA>`Z!S~JBEbC_OHq=HD8ZWLAbcxXQh%Y2G793Ses6run3cB9PWysWJN*7IhC5SRG8wq{q@;u?o*|lr6-BdWEd`71>`nH6YIgi zBW(AFn(nt{ixPEfuCKnepdD(AXk7peo3sxtiNEk!50=0ed3QsCSU}QAM=xGpyB{(} zVS9bOqglEC_UCgIcC7RH7$~E!dGxqrO%N6rA)M}?TC(J3Ox`^EqR6>uq?7q>tqp3w zx`DEt;M@qgpEy39R7;JAyK@S9BE1bV;Z!4ebbMY{d8N)623hxKx{*MT>Iy!eQ()A;qA7F2NUt; zDy3%bUG7Okemwka3MS2r393QVT32;?N5do?d5^nI>l&9DJJsTXQGFFABr>RYSU=jx zl60to0>J)~DyxtvDOs&4+HrJIxS&#b)HYmc<}uSLEpIgM)!unH(Y{AebWKffLkNu-cM^R zCY0TpI)JrGvbaZ^uiE@sN`({zW{9$^PN#Cb;|d%A4WIrxMIt$OhS#r_3k zLTo44FCX01!x;6>9%*m9p~KAoaSD$MueEt}@LzWRsQ}=xa(@5tQto9#Nfg@?lcjFJ zI*m8k9%gR7w5vjNm!ek^(qQ-)^CYHo2I{Q8eWzK{2lClV#8tY8Ot)xUJ3s6x?RY~h zHP~agL9H0JT3j(0W|4jNp-)$A*1Gx1O%$zGS-UfP9&i%6uUKBtF1Qq=oOiBoCI%J2 zXrBF!L&i+QyMfwdswHr*5F~ZGWH+q1t`AbxT|QTGyci2W=E*sF(~dV5%}?vgBP_JV ztg|;rFG7cJwmuoIU7G4oT8N%7M8Ry4++<8|0ql2&N+BRy#S4MP!sM}^(3ke z!=19vB?Qi2$>0AZz>-6%2I%a`A&EFWKRIy$S#=&=Zl+^s%;=Ko#NlkaC{h@Ya?g|l ze*Ceh&^V%JlX^;oY}@!`&qn?iADTf{?&f_|SO6lz4&ROjehJ@6x4k!&s`ysZeLD>~ zn?~~r4VhH)se`6?<+od>>UYbzOh|S{(&G#o8+Xhj@&7T8GX=-r>OFhkBwaLef_|&K z1#5UEZV4*2(938Z%5a{2MH$8qTIEr%iu69wje@E#c}H1Ajfw`jbPkX)*`?xtiB3gO zwI{n*USSwM*#b>zW4%tT2Wp=`iwCvI^8`@RYBqnBDm~7>D`jMw_RLg~`Z$RCp$mM{ z-pT+ob-=S+u=}3dQnZ9o=ni0UC$uVTq!eVe=N%&J$zereC=sd#x|BP0zmv}Id*5K@ zZ-UGEDj?w)l%*LBv#syhd;-#F8HKy8c{+rj{Gv6gd{4&V30+ASXk8mU` z*}|eiJ_$#(89mnfCKl&UFySb>Soxq!Y&s;-#fHc@eAF1r63Yc=9#WvJ|MrUM55c8s zd~Kb1br1(FLIm&>md%b99#zi9f6B>E`9uw)xp(;?tGz6%fo5Vo)?z82+)ZA{eoG?8 zBXuvP&4K~U#L`*YM*BVt%by5EYkg>!;iWpmGo=CUFT`Z_1fvLZCl)?Fl^_OP?1a7U;S|@lH;fZ?3X81302y;}{f;GrTKk zm84HFw1d}FXtx6SNPs-+xiZd zRXjW0q{EXcols@^SXC}sQ5Y^1CAcck#{@CIVyx4Gk@+sHdopJ9DmNgK90w)2T1m zyh3Ico?L^>-0)=93{!0;(5*S#pZ>)gIjL6FoM@R2AqI>GOCM|M$uk!|hrjZQ@``t| znnOWV>`j|?sv6|D>)p!Gi4^*dY~Wm96VlSA-fZ_N_?D!6>y|Bza$)Zyp^c%JNY)C% zmEkE~@r{QP*Qu5$Nysv~tGz`wzRw^{u$F57Q&fwNG=f1RvB${y0!Mu`!b_LWLr^|k zA0HPo@8at3)Y+uHrsr^*@~RoFN5|l*kiTaJSR!1R*oxYm9E^UDIglntt{qp@*p4X~rd zF&gsnX+~$huNoG;WmupR3g!7#E8&z7CcGL!1sZaNNpUBpF!w4t{TfGU;=sBzHnZ{8lvwA~%J`;Z*R4^E4 zJ^Ga1sq<4waGGo3i$G`XlCI?J$3Ws_sU!oYY4>P~qI2Ld^sT0v#~2_aJf0NsN#nL- z678y)Pn7}=POtEh%7S)rby;)noPiOjbW|rt^7Jw}ucoIwni_U@PiIIz{;^ef+>dmj zSkh@%L?~xzQQ=D}P_%@}PoZSxX^i68m*+60Pkl|2_oNzUQj z(SklyZ@n*`|5In3Al)r>Hg|1uTXl&%NZy~t52}&y*K}Du&JH7yoGMlLXVZIt%w(*? za6G=uo7_QCj}QKa-Uml9NXKoDJY!_4yeOocG1gNQU+r%Y%ZeYm}FUVblDbpH6k+IXrL# zwi2~3^;oE;^-Ny}Ak{KoCHN55j^uC|#|tm&%W-gAD2M8QN0Qg9v5f4oxe~9^Sr|7n zR>UlM#DhDzqOG91Q(IJaIHf|!xr{_5<_Kg?6F(2KZtmPb6IS%kFkG={Y}M!M1wC0K6S zv{o^@Pn(Vtr`|%oY5l$@|2?MIlvr3BpZDQ8W6$?SCM0Hb45^ND;jGHl+RUx}ryY1b zoc@pOcA9`j_y>FKduKSbh_q-B;VaV%#fAE1kK(!OTA zI@|`m5|SmoDS()Pxu6ITaD4Q~qWGd2GPVtX;BB8_-cPr9I;P3i?lBp)&8!&ZAOl-d z@zE%Osn@^=xC2ECzC8+!U8lDu7&gHrGZx5I8Xu_@&;WJijt8M|l-4qJ)$Vs@7Xf(} z7}-;{QTyr}T2C)tS!*5HVi;Dw@W4=OEit*VH0HBg^zD3&MYsX?B+0J*ZT4bb{4ES2 zD|7eD7M2YE(6$nK_mKFR_>f0{lIow@RweC{Z{+s944MmRSg~>;q+`A=&SOvd-^cJ; zv*_ETopM!Q!9Oq4I%+nfurRnPynf3(vQ8-21`_F1{#xQTaNM_v7~O{m^mZ@1sSU4^X%i(ojFJJWV&}{f=R{dC5`^LOEL2F{1b~61KptdZS1*aFOKpUYw@kLf5v_VT!}DXH8-}4M*g&W zvq+}KTqnAZl@aNnaE9ok0t6u*x3d<;Xog`%>Amd3A``Gv1o|BuFHot;8Ag+`u+Bc5 zzIy0J#*jY7Fuw2=ZW(XF^!qC|3Nj`^BZL5P?*KNQf=X1G9S_ce=bXpMGDoz96ekh; z-`9tZEPx3}v`Za<+Bu3OAr-bD1LvEjzoaAJMk?m*UPY-F#FAh^Y=DUozaKtb3yqG* z7Wli9b}!^a>nvlzw_$vtiPzbq;vAe0PEL<5Z93WF|*ob?;K!UKr z(o(3{1}5lIeuzXF_^CELqu+-rWvhn0b_lmKva`^zv^j|9MAXGmA)l7{gVItc?Kh2y z($Lge-keGDPvH+uv|ruY0jLuL*(xSaY1XG`ZIkf7tR7MpUKE#m7r*Z3vpa7>gLN9Q z@P&=yX8sNqjcPM05?Bl)Y7%9X^jfJIMT_Q-W!BTlv8MQKpr7K5tu zvKIkYF`55HjFDsSujp%UfZ)w~^)ro~PXeH$K41+q2*-Kbp;!ty(Bi^%o)maIf7{Jo zFxANDw`a2JRAR4l6r^5Is@8r7qmnFJpaOWY_sRGevO?(A>H69T%p@rtr=pEut^lv; zA2nQNqLEKcG=GIQQJN+@ZT2!B<{f07LSNnVz*(y8`V+L=&^xE1_)*op=$AR9!@y&M z)$Eb=FD#3f;p{~{WO;Hsk(Y@I$2jQ`)?6PL+sOKfv@WLPRK`y+WhPD02z#nBG_((m zT)WnenYFLl-OZSdW6JF&SZ%pIL$cK?&6*Fh5EC*!Tjf|-_Z7F)~& z+<&d~I}~iasTnw5O0+(SVF~49f-n<_1xdpP=AWyZep!+HEnzWGE(yRByJ;u){BMDP z0c@1KGlsKq!LFh!V%MeU3NUs*N;N3Q+WANH_JA>lfE|XzXFCY_75@zt7$$FFs?mU9 zI-$AhO8P0z#sa`@=m}ds-nf5TyaQ-ZEJ2`E`CGm9pd^~mYb|0ypLfgu4ml(~^1$Wg z5oY4Un$mIBzpt8!20oqE%htcD+{q*emHKml%H{y-mQ_VA(C#p2(x=#F{pX_r4|sAham9|X!N}Tbd^?JI%t*H*;Z*Hp8T^K;FmN= z?y`?}=T^JH#159DkTENkP6GUW4xvHU!qWC95CN-idm4P5Iq&jg4!u7?7lP&Ycswy~ z#8drEDE_syU?v_BEChv*8zDRRvw#OaPGrs`=L3OdpIw2z1kebOG6j270RsM@Vfgd$ z4*uI?a|lLnY&&f>3+Dkc&qsE$1DcTTQw{+~ohQ(Ea0ly;pQ`_P6YyfzS!gI?cfG^QF-vSNNP1FfYF z1RI8sfhU&k&N`T4o<9Vq$w*jWz!wDj?k+sF>3_wj+m$t;0x4qk&_qZR)FY2X>EW2N zFa-nRQa~%!D{|_nLUF(71+XP*?V5q+@{6q2Z`)~*7H5&6ak{I~q(Q9Q5SZVeskEr^ z%+uJG-VaW5hp~81x0hA-RuFQDIKteRNvkFIS}GM!v_?qrdx&gk+~g zOn2uR4DID&$#ap>;L~?N(;kU^fUp2bO02l7mA&I?f}3RgD`Ma7d2Tp(B55w8`aPh% zLH@1Mm2x^I5K~^o1i!Y{Izd#MYWpHiNnQ$w53H5M{`p8~UGZ<#Qz%Z9Lkm68|MC!8 zXl^hy4dzwXfS!Ofga{X5U427Brbg##!@OI@+Ukg`tIjMEep#B+dFdR@2hOn;94!G8`ev||xK zE7R>xW*gHj8|eWqqGoj)&=$O0Br(lNGWy9n2YFt^_5=Bxz5hZVP)F~SdF)Xx;pn@SylTFqFUdBS2D4OU7vW}a6;M+ zYa?&o7V;=ou0qGWZiAN{G?b3AzX}zqo>VtqG4cTkkIMZ0o39i=f`a`8jo8dXJza2a z9VkI;;n}a~$OQBKnaXe!bBFx&+CPv+`2!fj5`Hiw2QiGJVG%6=F$dg$N^6WGbcrSd zSgj9U3dX&%0U)0ZBFctVpc|w<0xq2tNaVwr@g!0ct9r&sgByVJ_UjDv{Hw6@ z6-$7rMGlnE#2SkNV*07jtultwlw|^rs*Vqk`=>En&}ct~WKGgQ8*~kf8YrL(T-re6 zZ?pm+_gDjxA6=B{YC_#8pO+!YiHh^}d>Sd@o83TxY{0;&FA}TI|9%SDBN0Juw+OCY z)=p^QkzpZ^xuhonL*;GO_7EDt>fc0s7GACy>B&0G}8I(;p;#c=0>() z;&w)7YE08!&h8RAOJG==oX2@J{Q}SRKd~2vC>Q<>3LO<7nk_4Of;OEF(*b8ULwPpD zF{-uu{=~c>8e_=@p{y4c+DPC?#Rw zHc#s_38Mnf&rR6-FBZK@m9OcLmtTupa(D%5zl*Jj^y2x0Bj zz}w7n$bI%8otSZuV7HX%a4>;7S8?-6*V<$D)>%WEc@R zAB736p(0LgP?9zcXY*HvC|$h8?{8qQdCI7IRef3@qi;ZXw{JacFAOuAG&)p&+# zWmH&;Ls}?h43n54jk_&qNfwYZm?=<`la;J`Fju8Sub#670gLQ}2_MeE@L89E)_Yc~ zB>8&0n~St z;XGlOu$jylJRU$`l^7dp3F3Bb!3by~CTN4snQW9=K9ma;Ay9P2MA-Ig+jUXZ$0v|r z$DtfUQ5h2YVzsc` z#Tf6kW&XB%qB1|>qOcK+?9qdy|nEbiSdutdJEmbeGx1uCHc}8!=5zSE_~O zkA3j%1FA_AUp23bud5>M)c8diDh$O`Q$0fBmh_izZsjTZM8UmmoPL7m_}>wL(O;17 zz2?1dbTcSls0YGLm?y#a_c<2*J@>WhnDA{F-R-Wd0*2m0G$4Gf#I*}@6Z!z+MeYwc z-sF6_d|(&@VxLe$x%e?*>tNtLa6^jtnpgZ+ZssFcjbcL(pDDdSho2|%B=pY0@zmTk zhw(lX!U(2ZDn^ZAt)=-}e1NXQzk@{pSPHkx-=Y@6e|xX(-oXEKrLhV^){~<`cY^uB zeKZV0^v2c460U4<;5KS=Fqcn6d8vdyf&P|;5!SafLljb&zaw*pA1AX71~+J0Uj5GU4t0wOYdwJVS;_zW~y*r5E_6b!D) zF*hD6GRcgVAXQ0;q!ai`#h;{~z}g7bWymmy&HO%Hfrtog59mU9jId-22He-kpLZE%ISE4B*37OpP5a&t5UDpFa6BVitsq^M zt|dtEuTMG&XbaUoInFs_Od@%$8Da>qAOfXIc~oQ@hb0Al&<){Kp^tt)5395^9kosQx`Y z!(=b7-doi5i3I{$B{?a=tNNdim>YgTyT=vKi?Pw>H~W?6@avVtXNbf`<`L8(%ijC! z2mh7UE~KN@fmFWl{QeTqY~>`MZOlOakinwm5mT<|U}&3r;ga|K&nK;rY-n6vF8SHh z$eHKF!G}f-!jQ{u4rHC%{`k2JEoDtdxvDjQXeN9=b7UYgdjlc}@V*%M{iN{raI#RM zkPb1Z@(XdPcVDyQDtVMGQ!cD}J8E8t)Z+4ouRS4@c_8`(kY~= z?UtWJ@ByEOr?g#4@d(s;rQLE(MC~~GD6n-h(t~So#Ahp|Iow7bsx$8Cjone-l~B#n z_$Ov(vcq-!b4(xoqtFM)m2AoO#vcSNzu(2ZJPA2{j8Z{{$aXRZF3B~ZK=p3y&#ml= zKPwohMLBW9WfM72P|XUwH)aB+ngnF6pW6Qsj z1p`bdvIGmEtP)WY;_P!c5wfl$&K6qeqIfPBuG8(3~ATH2L5TVmfl zdjM3%I3N5pp}l>ZZTKmeME?*wn+lY;p%C+v=?$Ar83VoHtFpsUb07Cnh&yx$PEjs! z46T`^=3}DYd%tey3F+qiob|hn5(DcfE=A;zBKeM0&wsvY!tAe75%}n_=|w{`A{qs# z-4ldWC7=vgE?AA^-IvS~JfGR|O!%<9ja)hyjhh*X`|A!TuBGm8J_2B4v^{@lzFla5~2j%wo0B6 zAvU;D8f%z*^tTJ&%GuCy$oq)G3xd2U=w0`$)_;6h{Zbzr#Y`~2xX>>x+Y-=@FNPqH zDmDU&UQ%gml7ifaG|$2Q*C&+t8wqI|K2kwx$Z)cGQPNw_3?P43c6S+yW+Jm zQa!_f{+$BSq1sEf!v%&(XJ#o>(QPnHaR{;r0gZAAFg-A}GuSxiP|VNhO}|h`de;h<$cCCHSD>_W?kA|; zQ$M()Z6Wqs_|>)spWE{xkpN_$pkQL+)J0k-znDjHS%b*J6O0$2!6^p;0G?|^K%d66 zo3Xlw`8#B1H&ICtypmM|G0rotHjUX^`O;ihl1xD{*9W@<$5rm_`A~vgJ*moqVF!`3 z?4)8wZ2cG#I&YLV__*(Q=b{_Se=xV>d6Ev?L*dD2zk!014ETfe1@ne<81hsOE#T9ZEI!TIFJpJ(&X1ygUS~pM$(1UTPak z=xBVY(f$=<_Bj_cL>YcTLQcS&Wf-XPC4uTCV~Jyn;%pq!+$bD_Zg1|RUrNJZZX_-p z$RR=X)~0Y1D6n#0eG>n$vhX+MjTil9ZvhbTTm3G5_D+8f~7NQs2lm{aIwKy`0JNeH>cdm2+%RZdm!RfC{u- z@e$I&*o&j`6CNjvNJG*T*9U{u$6}z4+H$wjH-NqX5cz%r8s4)n+SL?U96tgP&*oKC z{t0ol$Pam3z)5Qef&d`lQqYmUdMcO7#hOs8<0I|~-R2cwHadGTQh>j{nrs|OkRnRc zfuyKiPvV0d%{fY4p@t8Y!hNA=T!>8O&i`g141}S$2*?8)z~(v1<-ThjyNv-#4(|k|3U0_E-Ob zoDyNq!LHyU2k1S~LT(`upKrUQ-mT=nW~83GZd(y_yCJ4FKGE!K&JL1f{F{WRv^<5(@@#_^uB?MX8}Y3DSC$hIId#kS6FnB0ZgmA%tuv zwIc{Bu~Fes&o3Yx3Bqh=W~D0ZKyx^Uz`CJy`y9f-peUd4{d}3(D~bKx*bEEKzp*^I z0Pj?yS$(K_W*VuvxxR^PWcL|1v$#2ZUKpPx8AmBfV2H}7p6$P2DbyIOSSCtYX4EZz zoF*+iO1V!HlO-noI=kYP)YE-+axXPi{+;W0>3yz!wf!D*tM2K2 zsdKgQ7j798Za{w3mP7HO1Z)UJQgkDM6p@@1(O*_)gp={F{A$Z&{Pa#U$7sro*`k z)u>q1;|;C{3#NHbLQ%4@UOH_%V5`Fs;21tFWHun!PsUKmgt>mK=Dfnjul71$qw&&+ zH0K=FBm(UC^tF1s<)6pk(z-zExI8>A-(S2?{I&Ji|pyh?g5gqiI!A~jbZuJI8 zdaG7?Q>FllVh9qRYTYDi{wF&Hb&ebAXZy?gBn5@!FC}4=S!k#Yb&sJB5G7dev}N(l z8{rtBZKu8>HGGRPE~e8n{8xucxIH!A=p_8pB)l&A3bKxi?ctU`0v=X5tSSCz@c@X7 zw5T!c%g*0-w-xgK@`Oe%c}c2Oz1nKaYf+_0b4`D$!L_^*+&QDA`V!^?nL?UjZ+yXf zdQaDiV5&olCP)V70@qad7hdTErp6h##Y9=#`dG0w0I%{I0rI3$swYOk=T<=j7kRn3 zKU1PBlCM=?RcSppo6KQZH&dHkJ(cA2uhr+r`gQ>pps5UWQaT#H5(6~O(yjFgpY4L^ z1(0>Go+x4_e>o0)`-}T|Uf|37(UbtN&6xfZ2WvkV_{sLN8Mc}z`^>0O#lVNfiB3_W zTxFr=?4eJT!1Wl80hS8^9wdPXYMgqmDxgA>l#1&Nc@Y=IHzK|{~_J^3ym?4QliUwcMV_)+Qu zandFT^*sh?4Q;c8kQT~OEF*aRiI!|>=z~Swz)I+>`^&=cv}!s=%}L z%1m##oBz4;qC7W$^Y4kd$OcGXqbJ9-_dmMPbCEli@_Fxy+s{( zwH}6x!9+=QQ86yW0I85mMb|5X-^1eS{OFo2ViTyc?)Oap+WGY*7R^Sl%kA)w?gu*M zm?;g0s7dO7UKxC>T1rxQnv6HMCQMWiw*USPc~aP9(&LyAvbd)oE4kmv^mF8N-IoDJ zus|x7njffp)QZsE?zMl;=qyH5P#-~r8TcfEu=`O-Mg7;0fxMuS zreUABJNQ@s_eX~StkTr)0o;E!#ow&~PBV3;O?FEY;<}eR*k#oc5*PpXe}dN{6&57t zMij~a=h5Gu-G6>x!Uw(Xr%xXLpTEN(BZU+Bd{qA5x4eC_K>!k1?WbnE6Y#u)g=mBBUSX_Kc?B9!$8HMtzP-6@v7&j9@FuU#t zg~@bHsm7sG4FVA@qQBrBdIxFg5U=|m-5sAIPLP9V$0+-i@;{IBu0-aWV(nTSxa$5- zkkQ)sswkk!=zuN3;kf?#0%%^Q34KxgT;O)Ju9C092u6Ws?3R)nJBZ!Ky%CVYI9`jA zYNP%c!!Ld#zKHpEpCiB}RS}neevlcr9}C9iLqx-2C;`IP<4&`##`Ics74Cu<@&o0@ z3iV)i7iuK;A4|bQUVaqzw-|dP2B&=hzN^2Gmd8I)<)2-{N(MM;IIBP!dz>tgP!Et+ zb031x2vTdQjiJw>))yeDEs*{|7a-Ygm|}BxbT5$<`A!C~Wt?%EaeRY27-V~&?BP40 zug44-j#_~G)eaqPy&PPm@eHPq=~XIrmxloevjw_ncGizzyd>*+L4p8~4(g+iF_ZY; z9r*2MJmbcDe4#L%bROp-NQ=`6PqvmI>92%+A=Mh?{{Z8 zEtxfI2JUhLkSdZ`rP2m1a+9el;Ar7eI40Iw#jHW_Rw90$2^B#6H~ z8!vZ&pF{ca)>M7vIhfvb5W4E)*>NFs%2z4eOw^Ak1$Qd&X5q9>M{{CWAQa&VI%LtV zmlPTOy%+#O&9vtIhob+pY9Z7Jnsuctu?Atw;DWRSH@uZt`}uUOz41l!&4oZUhkjDg z>n|}xo~QFn+2B(s)Pn+e3&f-{(1M%xKLqX?1z=^b8-;xDZMTHeb8cM&kL>NqM$=(x zjXH-f%7yA9MIdX+SIUhT`{9_r!Vl(@*$9kltj@@BMJJQ*dUi!I(t|i5I}|CSOSqEsxrq6Y;u~(C|>K z;m4aAUOG9P)1ZTsyowwon4A$pS|KQwW3m?rA3k@4LfpKgvP%>#Wy{kntib>Kf zTp0)0@eiy>K42)w)EZoxzF5wpX>8GF9hkUsmj_r1yz?rCnk5qw4D4#PQfvWt zXk<9MI!#gx3_+){63uD43qDyB6Z_2qa9!38lwvhyCu*@yJCe|InPeRJ1(jp}=aEFw z!aDQ9m(Rd{J5&-ciFx_JdHoR)cMXb>#KB50pF0QQ3x_$Q5c-8r0;j)``dhW?oh+R@ zsFU!LYT%0i(=P>e*OXREhU*GGn6cU^0DpfB4%QS9r(LxjQmsRNMEGaRZW`c_J4yAX zJK&!jFBt{f@j0ZQDS)ft20tJ9KXDmcEwoiI&`Q=bXnVBy0p1>DrWaKz$ezn?HPb4! z0|q?#J(N*=>rkv#;&QC~?>`?L@Z?bWBkjlbiKyJ-3R0%TuBrlJKYMQw3hs;9Up5<>_tW`hC z2zSd1i5aZBeU%3Yer#TxbxvDmpy}aNy%x8mQ{$x12;MCVT@noq-(pl@(L`p$4P zFX(sj?@$M8fCShGgcS!|?wH1~C(nQC4`YICZa> zT?oeRMJr|e_4SvsaKW25%MS>&y{;Su;tkkpU<>OK3`B;26~p0q>7pR{!zDuC+QoS< zRGp3OS#I&)jpQnO$A_?8#@GT9r+>yz2jzE5myTYqxOu{rZW1)<4+z};WH)CVCSEJ zV{8s2#=XMAie=p~sY||p4fi&ByEEH3V<*q?=5Jc<5b{Bp__oWd3^ z6LnzQ9U2%6UL_SCaGG|-P^GsrlygrqA>I`N51N!VA07Hmv{|r{`-@q<&!|w={LyeH z!T3|SMXqXEu)Tg!{}-j)^UHJ;BjSihFP1O_7QuO$CH6ektp|0kmS{)IHxams{t9Ya zjO^w!`!MqHBzuR}AowJDuv<<()905jXh8W5ys)}RqKX>!rQHGiwFO;w0S^f# z!PK3e1rO+A6k1MI@jFT}&>42eA8{E@{>Tbr@d8zL0m!77a^QdDQaBuCxP*Ds^SUpy zL28ix@X52zj=|3|xYaJ@d|}<Bj}4lWgO> z)yxeJT=_`blS!oR`(xZVK1i#TyUQ4(!2m(x9ae`dSup-p86Wou>P6>@Tnh_qzcZSm z@h17Xd7TZ7C&9)U&~IKS9R)yD%od2eggJk!kf?sxuC(xyC!k|&6Nx%EL}``i_$Y%) zW#&W5Kq@e@nglkKm)gDE<9%s7^$COE^>~*8^%IHoKx(j7%Z@Q}X_*MBv3}Ivxp3eK z(Od4Zdhq4T8H|Qh#^L?V9fx!1tb>eQ^^Qx;JVFriM-b5G7SlII3pZ>{EjsAD?6xa)6?u(?D|ZH_!>5INO94+MldR~|miW}rZ4e9+oW;~pr=PB>y}^nQQGNK4v!Q3n z`W`cPmyb^_#zcLsm;Hki^A=kdgl_(8tCF-Rk`|{^0wGtRWdRI&tqz>%Ugzn6CPiZN z(sFt+IpUd=VEmuU?EESyt6L;dd>P`TJ#iB7vl#(I~$dBV>4C(@~-YMS}Jb)`I zn$_^n_{<-H9ojI^-g!d8=%rD@vWOrSBeida@%6_Xrm%+%)@lt)DODE*e8R%Gt`%?= z2M(N;=D*}Wg^z<2@{oh;@U}!0C6&IDe`n#tgKZne{B~|?c|MZg2F?p$*sNX?El@1_pxQ+0PV#F%Am6tm zH-h`PEZXd#`9EVurB^BV!@P+RT{^j#4pJ{PFV{|sd#ajJ3qg{t7lDiHvpWm(n9-yU zY(sujM01_%7mZHnHeilPRR|I9fvzo4RMHy2 z@waO}@jD6qbKNsq+uW1RH)SvK`_&I;ZGJuY@@KLxJXfj2y;=VtaSZY&QWb4iJAll2 zv~~t)kB@nHI?a0b_FiM0`tAPKPK#}+Wq-Z^aQVgH<|ofge(w!bAeb+to@uo3rSgv- z1MJ9MSf(LC(xOY|{`Smf$EP5UiAMt0ID2;3(Qg}w3NrI~$Zm!{ZKHm_&Y!k_{3*@ zw+Cf-zU>~doC`LT-~V`r(b>~JkoSs0;88w1>*(c`j>oUOSN!7IlV5`bJuy4a(#QoQ zKHY{0LD)jPpbB~-J_)AtlBh5O5pfDoHE;MMu=z#?x|2Im5w?|Oube@ju7;(ZClJ@& zbOY1GXLHzguS=gnDxl2z)9(!feyHD(-&n8P^c%0-J!VZK z_Yx?XJ=vM_3e*lTaO9t4MtlWs=vPnvxflPPHj!eeo5I6uu&~aB6$@;nsi)hdsKwLo zt;nad8HNCGjB;iqWzk026WqhoZgNAc(jcFjR_~QXKJ;y_E~2Ml~3pBn$t|CV(be{aN&$ zys>1n#Om&Ou`rKKEI}L&u*5#CnWP04?3FN3m5O-WL(%*B)P{yvQVh1A0*YWyER~D@ zu53`n?)Zc#V}B21p*C&>S-h@D;G@bMxs*vz+d>fVc6)fb5{HkbJ(aErqOfj z*=emt_-xRsTDj3#*j-;_bSE@+){%UhDXT>d!@d0px{jSXNE*e#CQ+OFk-{l%JyyQDsTBcGHa7QevnoF&fr3yL=V~3Pk)|f(|iw*k`PgSfHY$Z|tzNo|YMdR^# z9BH)S*KiNV4H@rLy?KxC^!kchLkLEX>6Z>oMwrcDhhMYvv{=L6+}0~mchJ498;|0{ z@!8u+?tRk2n(9EpAO3-D@cru#2Mq(e+Z^7<%^8Z>OaK0 zRG#O#_j{Oj^-9AWeKn1z;&KCW$32m)_Ue3mW@Q2+x}3)0T}_NA_>pQ{+l4nWmF_zV zr4*4;kF+&+5hpf-KE?WOt{EYZNmb<|(@dpbSd{W?%Wrs1hP~#=-p0vS@(Pu^W*M2< zay5!cYje%Rc1OhbbZfhQ=lK5b?tel04GBLUYvryXpL)srsLXraHbOW#-}Ua}#jNB( zu$3S$#_#KzcV~7A*KL;_t-F}HvinS}Or>2lRB!TLeqZ#n9itw8M$G?eFZ=}EpUM$F zIc;uQZa!9|jY}3$nUt*yvRf9t04eVF=^>O&laH3uZKb>d0Px^X!$uw0ue&6wv5&QR zHy-rb-8pMwaz}b1xe}+QBv*Z>$%j_UtZF_`(TAsYLM$O0=B3cXpOkKPGNaXERoCQq z^oU{Nlf+$>-*K-~ICHaiGEU{cHXaJ2ZVd8u$!|tk+-$`g90<@GzR`}=BaxF^#O6c4 z#!mC{3~4+tlG<=oK{dxEWMA`reX$h#I^|GXisxpU^1?&^FsN`ti+dg?-5>#-VV>M; zI^|21gWA_#^!DbHStA)F`XhdKnu!?y&zqC^iWWyFUq-W(%VuoNrQCUKbgf6IUzCI( z>s|b&ykKxa*zGr*p7a?FA@bQglfkYZ9z_kl#Y_zn?x5?wV^f#On!;gF{T+{^iQPrq zEkca$RKvQTwpGK1iQ9?I(sL2^*$-Ka_?j!-qOgXN7#IX!XLg(h9sPKSnP3+&ui6gZ zO1T0#-9cC}Z?@jYc0|ABTo7*faSB-3jr$IfRi;Tw9}oeCv@NH6 zRo_rC-N2fYvJZch8d#t;_0571JDwfsmBu#c)?)6f(Oc$68`fIq7rwuVgy+x{-zT81Sf&rqb@gp&v>X>Tv`KFp zaGXz2PBpu!r)Wf0{C=Xk%SmC`&F53nY%3Y!QQoo{pHekR(7&cdemZ5K!jJc(M}a{y z-pFaV@M)EjTKNxx?6e=+-_Kyd!;OsEba~is!6nyrGP&LZwJ0(LQFcqjg$bscT%#!N zp_lgjqL7tpIgX}~sLLw^fM&-r1O{K*1j}%tr+=(p1h8o#z=NFtE8Go74Rf_8Ltw6u zmh#uUuVcV_79h{pFaszgqqzzsUM8vh9(Ak&CW$2h9$-o;O2gMA)|T}MO{rA}U-34< zna0%NcVjb@Xk$+LTqRSIaU+|>*REm1qNbACs7a5*D0ZF0MtrSwWrQ(Tm& zF1Y$)bP%Ps@I__o);J#wVT3vWUDXBw(L?=6zLnsvhJAsX-}m|wh0UED-dc^J7bPui zPgMBw*ymS0b(;M0#p%0P*RdyQwnmj?8BO5l@xh0&h%$~LS{#|8bvofAC#_Onsx(Md zdPqn{qerQ^s;1z3z&WEX585m!}CZxG9h8tI+oUc^) zE{YPAZAdbQ1+O(4vf~^#FZ>m8mTC8bUMOqSfv$~Z1%`M%mJ3e=^Zbaj= z;_7hhRs|E)W;N+ygG_GrZ?O`!j&E)=nVvD>L(*)d(HOA%KRR?>QCcgRo84G z%WG@dfBQ>zGNctIe%-2Dtej7ka!GUGtq_c^;oF5RV@EVin3V1x*b7y>Aj@89aT?4L zv-esvzL!Jm(>~u8iV5=!_H`RUQgFt29Vr zkkF{FKlOnhV4hm9$0@l4K`RH$MqkI*?XxK-xLeQYK?JN3X*#2$M!QaZPRitA`s}?x z47HIE&U2&XkC^qv&J?y@AWu*7^6rY~Rn%G=<8QU8VQ->xP4(lojw}n?@D#0jxpjke zNJb>af=86&d;hn1AD0&2(2L|B+t?4s#7p!%rJwa-jo+-^yDL8tz+1U#-um&N#{}=} zO7qpzXX!ERMzXd)e!G|RfWK8R@ug8pXW?X1Q~&Vc{;PF+GB`V{6P-)%v(opM%XzbO z$>;C6sNk>YD2I%-^hcMdQ9yY_8km!5kK~U&?(}u1b=gjJl&yb!?=V^3{FK~2n)`@} z#B}ss;U29T!AGVfhe)yA4g6pnTAOhcY0&{pA>pYGp!1K_yf`a1T5UHqcas3;+-4Tx zTFYq~)uwA5I761oVuuc50Z$~}VFcUT{xZ=dYYy|49gR8&wJWt6>Iph)lyY1x5nn&G z8W%KPeRb5W{DI5IC!k-zKrhM|Bd)&J-uB_mA?|`+J(cJ6KAUO|{Ez%+Y4_$9bc;tG zu@JbI+2jzntMLlqH@pmxWf*G2HeXW48*zQ~yOzH!&+xt{4}N|(_vG|@1IGigeN8^) zB7qbuExbEoyNe}s@+8}XL@XaCyx+4Ij+ZNA??1MYFMqCQuk%cV|7u?{RUBhKn1bc1zBSH6k>9qDd7iW$P~NA*4Rl`+C~ds0FK&iH?qr^>7s1E~~kR zyprfQGzF+m2MN*Q8Gj97{Dj@ai?1;u^r@Xt)03be*D&k}bRIwIzJHN#RF zcRF{=ubERE(^yVrhZMV?36?@j&wTt~HN@=()cmoq7b_(lWKRm-;!PJdShE$1%eG{M zup#(JQyiLI4;)n(JaM-5&I`TSfTdf5FTJ?$%|E#Eq?==nqTu>jFRC7eb*8H|)R|h8 zDa0uAm=c*5n=!80hFpIxyTP3aBJ&$H_umuCB4KwUdodBYA?gS$>Klb;BA_7+z7^+zph(^gDufls(;eFlXX*^T;a8sL;^mVxwwlW;j@?DH(M>W=-rV8|Uj%#$v8H z0uEj}m2`rSWMnB;ZeQF*495hr`NA5*`ZD~v zj_|hXm4f8xrl(L{Y@osgagM7!QgmT&8kOKGx>nL9dOX9tJCT!qy=Bx&h86BS%-b{u z06;qBXqD%++#(W_E7Q!d`S@9p?K+?(P3n<_5_EYWgj52F%ZPAi{I03NUJ&bB&PHK_ zO9M938NUtySKdMaqu~zTi}4ya=X`QUu`W_|i+eD7G+4G?!v*?JYtMi4zan4%qfJqe z#(R-oIu(oXc@T#$siGtaviN*yz0u}Q5pabtkXdru^xn?zftu&%`6AH7_*QAsRkAcv z8p<=aUNG2!)^-UrxPDz%yItx_)@*P)Kx6t%p%M|l2Q+J*uw9o(`mP`3!`K-YT3q9{ zvn{HzHdOp>3cYji%z3g&?B<2D1d5SY*d`h!qbL(UFdH2f*!1io zpGi9z0=Vi(*+^RPg&WoAe;mPvMsc%L~IFM{(2*qy$cvGSus9z92z9e*BkXoPVaqe2ylF?`0$eKq-y_rt$8*3#|qCCd;$Dx zc@t}VxOob4oZVku8;DBfuE#l#u4p9hrqdcDCX)sH3Kbh60^#G&%3mg4Y(RP)aF#aKFnGjF3oA7 zG1Ljyyb$-=d)NFHvz+8cC=Ne1>Cgwe8JUzlyqg`)2CoSk8t)Ma)xbHP={qf+q9sp_ z6BCKCjLwY&rN}(wS)rbJ%b{SRPHk>@!$yp{S*+w{n!Jg$3W1LlBOs}t9_Y;7zzxTPix~eR^~(p56czP)u3aUK93BKS+zMH^|5!r;yL^Fi)@c zgJSHpk37DH_Zj(IZ#_K4G$+W@-R2P!hsUUtxPaYK;Az-I#b*_ZW0D&KN3Lg1b%qTx zHj~>WCN;h&=?JvT2@+3(aIEx|HGlIs9HY~EyHVr$(N9dBvXwoDXVt!cyIEuU@E$Tf zQd6<1BU_UXK^ZWNz&gHhC}Zt6oRtf-wY4N@A{EEWa!* zW3{c{G51@o+(xIISr1%|)M{KE5h{~b3c)HME=4tf!#hFNCn-+XC=e~k#==Ih zJ?eak)sW`YCEw&3GMo`Ro|g9sgXU7#>py@xim(~;@XcK>>1c?`FKQevqmFw`l<4XT z1@-F><0utmn|T^EH-GQ1RbevEhnvXBFc>4zMd**DuHi|zQ1hDg7)--mdZT_6- zz*~8uDgY73(8F#tjgdbv$9M$f(?hBt-h{Ovz1%M1j3V0p%P+$2$Fsb%EoS7RQ?r>% zYrfpk2E0t+Zp|{Q?=z`X{cB%nJ3?eIL<+y;>H)ciqwbOd&@$dX*_`88uxpX2GyK)e zp4e#6cs~b;`4MpK+c&bJPhuhm_PTg%Qf!s_*mEC}a+%|-o%uF;<8?%~%wbT$`HEf3 zDS`B9;}s%=g+E$ck1}j-r|5jIRlaRF6mHf$ef#wofMxHL?Jhnun7DNCRDpkW0Bh}9 zbDYQR7fnoTe$~{Y4HCOJEhfUaK8jAZx+*=%+QpIvn$N_y5#Crtl*LJF0145^2a&h~ z?K2+Mpvh2)8@VCg8IzG6Ax)Xy7k2y|-zZeOq@fE|K5|=%qm-?!#!!I>_(=eQd?HUT z!9Ob#36QMlr~ssB5#_y~ce&>y{18(K5aPNQIg&`=|Eq&5#pKPP36M@IWD`Lg@dJu) zkoox62lF&Wkh}?%8Mj3gDij(GhR6I~i17`>>t zcRBOqE>1?*4v^eOb8d_k>Ng-6X$4zGGt#d}$_SnuvdI+*p`-fM*L&5u951#++9j}! z-0{)T-dzxuqE5zs88rXV-0DB~q(Xs>^n(M=Uor?G<#fsc;!2eDWS!48*aQ6f{^JnD zHH9FZ>GM2_yZgrRFE4<@9S00(l@w1k1nzGG7;Z7=>%gr^;Nwe2O`v0&+ZXk(3--nu z>=HX#-sI<*?E{$15SYEiuvU6vBd;cIKg%6HOJVDc5V7#vzy>aaguQ6CEoT3#W|8<( z3q88Or1czl1sSrNC&1?iq!_GKF{4dj+~L(L zAW9*9fe7nW$h$gXxf-BkjSdGU>wC@8A_OyR0)kYIHBbepm~?RW5?lt!cJqw5l|T-Z z>0gC2P?|u+sE?3{`?6GJ)fS|V2)-ZSyz*x2_J5|A^lu6({pC|d)`mWwVBr#$m3Q~< z-Q3%8+#Js}A{fupc~*MtZ*N0IKLo_`QSJ`+o~tl{{AB^itvAe1{)e9_jzRcb9QeWS zp@V-+6O7UGGza>431nypS>io_0Lib&S+5(!V;G@4XNC^}vb%h#hTmqCS-}DQw~fQ+ z4N0ISdFJ`c{KfA@rs{Jv44@%eg7-^Jm2p?1Krc|UjDdAo{QGcU3!sn0Is#b={fk%c zt$#E83JmfB)7j?q1PntsMZ#gnCZitd{V=OTHH6uwRrBAlP-T+>4DM!A7|wtZr;2&8i{hEz==QRPO_7zaY%N^xG{QAE>S#b)&I*)il4xGn9SPS(F{7my?Ycp-F6`6Th z-;iK#gT3hT@99OW_z)6{RgR8>j;n>()M>#Z(fck|qQmj(faVb`xh`4#doXD+3eaaR zE2>#(Xwf}1$gO_|Bo(f0vnVWj5{ONWmE(e1|9){SAHZ7OWR^cMzPAZPi(XhD)*i{q z)}d9g!iN69h(Zn#(O&@wBGD&YpHTUlBKy!qm}c}Gr)C?KM=kStP6!%*M=InOY2eK$ z&{ZA(^ScjEu$qeD^rX308ndY?oitdCaQ*CsRX; z)Ib;qd#u}i{~m^u-yn>E@toFmOR7Lt7CnW}*RS{X!E=icOkkJ%#Nu9AI8P)*F0I1p zJo}2HH|U$x-hcKyJjjeAO#BgWp-_v8Pq;6dO);!SRqN!%pJBj#(b~mbmpi^OpHV_N zC8aW;#}0yriE&#DXP33TpeQ-UUUG`T(Mo)kY}i^Ye@@XvwAIgOwb_25yH>N=^%t{R zuFIE2hy@@1NL8XNE@NWQS{Ic)!SYjs2tSSKmC?HPTrN9_+tUQQK;2!2g9{3PE!7De z8KQ|0v|WZj!}-c8XOkhV_cGcu|cQOP^*+tW_W0t+K4%yDNPIcb~ep zI;pG}C9LblUuD^%^{ z*aKyAT$Qk^d1#t&b7B}x_wlfD8+>fFtFm8ulwND{kNrJtOf05X3311Vo4gGw@13H z#;fm9%WaJD-Du+F;UntevCm9Hp%JRq z1LsTvDJ}YiZ!ogp=jt`>{$kfm?1#OGo}6p=Xg_7B?i2&i;OT(^&)z>jdOgIBjZh1n z^YQR_zGh^{5p7?%5Qnu@P3QR!Ugm1q4#Rg)ChyKPJ2cDEFoMkKp4QJTF^`l3m%5@|bbu4AvITn?S;>(?T*^uw^Xc0C7n;2c!EW`@urolUj&Sm1) z#ZNX(+<-I>Kl3c+(5W1xa$6=C%IQ0x{ZbT+ozi<-#*`?e#s zm9nTnYo`EblZ;4$0nzxaQcpOe;LF?d%8co^Fw?H%_ZYUMSIRZ%B=oT1myn;n>Aq>r z;v_wZt5j2Yv)WEwG$p|zMmL$z3TODjaP@XJVRLNfcb$4&cb2{-uMJ~))(4$d2S-gL zC01kR?@CCjlB01;-(EG#TpMs5gH`=Oxy|Ut#6Y`QJa&-*mVP6tiL=XpRW2 zRU0DoE-*0D0F_dcFgeG3a_{C52#~C%8-x3S_#uS2>^rzC?SC#NVn1`C)G~@#{YkH9 z&ippJzCujGm;mw4GNBr)?F`laE%|bEu>%sO2# zczwESKT0UNVE@YPLK^<)0-2VKmHFC_qfgS8f3QZ4CG90NnMYh#uXY|8Tb@tp7-{f5 z^m_EFgxoiGtkjZ0BT)Q+*2%Q}cG0S71}bxPjP-p-=pgx5<)YKLawD!&m<*%!?k!_1 z{Znu0-FAJh-*o?#O33kzvzoetJ<;w0ioP}Z(kly4gOsibmPQ>koqFF97iF=(N?z3! zYWT(ZWDV`oJtr2XZk*^Z4e!mSY7#LdX2va zl2ijY%i<}p&ORdvkku81CIUK{LE{)56M^qMvXsP|pLKkV44fx4>Mf{<#cKQ1KgnO- zo^CU*9kKPAt%=N4n6JLF6R4`XGW_x8=#K1B?AHd|QiQd5ioh0KcqtKVu@K=z3+0Q+ zp|+!Ip9m?PV`NSv>Jp!JY@l=ZH%DAqlPH0mU!W-4WZYtP=K#82M}%8O4Rd%?pQ+#6 z1D=z8ANHCXKW0|A7aq5`$CdUcFOzm^8GIjV8I=CQ$LZLpcK;-A4z%{Z?2EBd_nm_3 z=4AZKy|t|k8yDzxj%}tvqj*Fl9Oa%T zz_pHAiSn<}eYkB6*xI$eeldG%=reD(LEKZpujn#MT>(6&bgoiU#NL4tFhHk^O|` zQ3p|dLFeccIKpJtK8%BIzgBcPzk#PL>`|x(2#*vPU2Iya(C6msKxo8o@H|h0MMTyG zD&fIo@HJrDH%g>$ZZmIpccE(hjYlc?H3P%`1=2Hs4`MI$A}P`%%UqsgCa)ijx3n!} z28iynJg6aGZ#Rry)VJwxIQss@X&dGVFu(iP~XUniW` ze}XH0ZOgWmF^2K+m8kIQ>2g1$y`S zMf!NU@Dz1NgNQ=h2w>pk6QPqnSO$SYXZ`?GncA6;Ddm%8eQ&V!!u8vQI3x)=F8iFN zT9>lx=8;sVvx>_}ykQ~r3!LMup=Igp{Me7He;OL8U$WKSFRql?j1BK!XyQ@85Hh?u z$z$Vph%^xq48d+3Y`sJ~D5m51#3% zIL&4|MR*HoD%ki_U3=(_^Ulxz&L^gD**e&fW+YyblA{D>Y>*CGW#10i78Efm#;XH> zaOkQ7P8C#bqX5dM;I2U3=6EXf!Kwb4H{pVxrP-_z{pmLB^cAH7#I=bwwVsFCQg|Tw zT&OrpNm^-gt9amf}6Lxu3u(Qn@&G%B z44h(A@}g%mOafM|C>)AOL9((zdxmrk*3n>bmEntK-b6#Qr&;}(%D&fgyOHf)>w`ec zmuX#>Z+*ol^}|h&lX75vUObU9QBPpw7Y}DBk~?F{e90uHIwi$y(-lU}@3gaWZI0~4 zvsZf{<#JNk0uAAG^!&4ntR{l<0;&}+#uDlUt-EDP4geeqaNGyk$WPJlJ7C6ON8{di z|3Sq?iWi-QK?WJ3Z>T#7W*UuEZBNT;Z)(vFkU$qvVizp=8OexbJX(diib zoDmg;|lPl5s zejItf)QcPHOUHEek3c$TjcD21II7ym3e0hKtT1l0fi9(@bPv2f94)!!8$Mxp!qI6i zxjZX$@s=30aZkX(dx`H-ec>&`OR~0{>IHQ(DTRVF;XxEcvi2z>aLOo|u(;0JBgCWZ!PkWD1$i0qZ|{K+7r7=WJlQIem`?D(f-{!sid}2W<65573cK*O3WsLv$B6L zdFnlPqeGWeV15oec7k&QNRjuw6<&> z-bSzfVPzwM~Q;Q(xFKQibiucMu zi*PJ5?0!O4u2~d@87i5sF|PTXUAT189_pT`&37u}%M+0O0L@6rX62a<>xVL0Z-V!Lp_PE# za^*QD|H0Ervu@gy4Cekz1|rRb!6B=VoUQdMYCp3hm-%|l>soL|Y)edKkgK*ED5+j> zxR9}GB(A|(!YRJk8yDGw=n0K<>^pcl1_{0zy|n4i)hN-d&)+m7xQHtBez-B;pb%PM zgOd6}8!KsPgGzELvPd{|!I`WNQwgVP+b9Y7GT$WYpjV>P|BLtMjwrPnx(P5g*qx9UY>Y}uA-NckNL;XjR*=Zff9WVvnXRCYs@pncIg zBaiQ27YDqkB(QBlj3#!^l4@%Q3ovSCh6FXxb|lzx%h64Agfr zmK6j3#dlieHdO)QxJ3SU#9Ys#$C6TCD({sj882hc@Gulx-KIZycAr!kYp(;vxtHYF z{QQo1;9<*f3sVhUI)9G5y7sM*Ol%$@ zy6iX(>{EVKT&-`Mz&NX%LgqD1QNfJ~NX(Me&y`O?oAXH;%dqkOm)DXW@#&Cnkd2=* za$pQVI_!{bAqm$m5p;8R^$e3{~z`Z|zxyKEVveLAs2bDKv zw>!u+ewz-Ue71~F7;UEgSf18@iO+77^TTeM2YI8Up`C7#Q812)fw>)#81N%RlKF*o zPs%kUFP~OKwn#m_q@?4wqBun;gosU?RG;&2Lh6e+aG>^ir^%K!!L#_AT@P59WZ=wZ zl0U)XCV z9XOpz`ST>5N93$FBpdfZT8qf^-7nM6rGtZ2;nwm{Z!Hns7>J{DK&|a%8g9NvE5uKt z61;$3yUZo@wKOPLn*8MX{0M^XB$dxSotk>W)Ns$f`}dju>1_iFT1P~aultt%s$^36N$xNQi7Aii z8<9U@f*M`=xwk=D$fR`dbL19Mu@FLr1gV1L9|w<CbC&0$@e|v!( zmnYKx-h?3+U$Lg!9jku2;T3eQ=O@Up|Amtu{}ysLDp+u9IHxKrPs(bT-=Dm`WR9^C*%MgV>SuVbNTubBo zB*OFT6yTx!uL}P7`cjeW`&qwKa{NlE*!XWWi;P++7oiU75prL~b^dx@ke{=? zlgRH-uVTM^wohQ;eR8=?zI8~;5Ybpr%J05ROteB;iqJH;h(jU>$26QgOrJF9yjL#h z3WXha-k+U6HMb1v&J|p2Go_+?S$7doJLhittrr5O7fRN#J`w7;ET5#F^yqLWQmD^@ zvd1A^3LR?_N%g^z=CR1RM$|JbBWY>|28NugplCU{BB9&jw61~O-^EYj%`zdgR+*Jk zPIfZZH*6nDZ1PW0;eA0TGqaE>^}$}#Z^9)E{mmpNR?I5A+lvMKPrHMJw!LBs`)H$sn{d$N)%HhBz z;`Q5I3*@4X-+P+QT)2F%T1nmXm@Y@FVusA?Ki7Hu1PAY+x3;#*jPgXA-U7gC1$?5) z;kZRDFglfa ztI)td#VlX9CKo2$cP5?mTNk0fH?Q`$Yp=tDrp2NqqvMIi0TZjG$@mNPpR_?7+JM`D>-w4`(d?348xTatD`Tr}z-adRxn*LGyrg z4uM=AFNM_=fJq>QY@w*C&YbXiRDL4!bwP(GO#SakbjAnik^%3_6c()`!5DbNxIwR{ zhEdJ(q@IE(h67>u$;~_e*q$_E`uvz}(}Iql_4?$;GJKsZ}x)}SoDv~i5#B7gB*3*M%JA#>*M1u`8je)n#q z@>9olu9iV)5!j$y9}N{TtTtzzI@w@|5$_=BwRrQ!KhJ_1U4VKWY8{w~w{XK%?*Dn` zPl<3Tb5%6Pkf(cWZvR3^dZ;ibAv_&9J;is zT?!Q;6@g;!ih`nISkw!1GFlZ|jMzNHFa@}S<-SYzME>l<{Sq!{+h5a7{qnav@0)msu*#;K64Dx zO2@+^dGW#ha`m5>^kx|6>S)vaP6p5ad@Me+3e*AuO=VU?i{_2ak29m$ z;)owqJcMS~vhwZI{}VO#nUzo5 zc{pZP&c9M;8_x@BK8c+$WPg9)mm_=o|8m1Q{`rOsVA21-{!BVn+~V5VQz`1kZu|9n zag=SpDaT05-lrqO+elki!yq6q1au06mHy*$2 zHlWzwpGQoHFmXt%3^ioLfz3X~XY>hQ3;Hs(&BZ`nuYx#ohzb>gB>h1Zh+|ejuFSs( zr?Ob{xot0p_WcAIxj04-_PRkhspkW2JAYoA(ZMx+#2{-T|F5_P7GfPlH6nt;5FLR^ z%hm#BFU3f>M%2eAb%N9V?Q3-e!*E@50O2z56HWS+f_rP zFnC$G0abQo;Z1~7`RC9ioAK%)WOo+c{SA8W=20JLRu;cv)9Cpe!}$oLsD+4gILBLp zpWsRESKi=xd|g=BR>LK&Ymz(TZuzyShOh*@ols!W3;|z_rhFAY`gqp?J42VU&>ej8 z;01|2yG$(tW)2MeY=jU5#y2g9GhdE+VH%D$1`mH-U(N%qIBw%y3IB5(o>@eqnMFs? zH7)>s@F3E|lw>ni15+nuL=3P3o_G$dPH?X83K*`G*Z$sXV^mJILKu!A8=xl}Lh8tg zoCo>I8unmGg0OT^wcsextTzaFit>nXDukU*@JTj?Zc#IzE;n|7+&fle1=!t0JFQUd zc2*vCJ!NUILrhaZ3$X<{|M~Jo=*o@-fG37;CF}=k&?rYXJTVk5?CMuEfu$#F+4DAd z1^RITB9P*75aP(m;mJb>800z9wj?3vvUG)*%C_Ag0=iu2)gd-Dq-*MtsPAf7 zcbfoRNzhRJbHVGnH*eUd2<%QiwFX3YXJ0PJr{E>je_GJLXGoJ|{^QU{Nd1*DIRxzc z^eDp=F`i+aqEfM|(ST}viX%8^*5}n(RqcW&51S~-%jLK4T*>(YLuy99b@Tkpwj#?N zTBqzYY=fv^Jva|zH9XkX3*IS=%yRE_1Qv7Yi>4g5an5ns0qqVWQCe<`8CD+THdgk0jm;^ zN(Dy4I+4V#Xm+i%K#Sw0b6`x)`2#WX@(KFY!YYy(3qdF=mXvpd zRmROwSE7oj+{9v&!9IBvH@GRVyxdWGQ>d6R{^yS&E_nYSqch~Q<=MHtdA_#z-Haa}g?E=D8I`vebR#`wx;K4L{ zcihRI@(rnT!)>#QAhDD|$5NX!^8~iEu;dN^P&4*|JBxj7n2!Ok5GHqq;z0N{nS33t z@VYqoL-DamVoiWBY|k^J0dNYjn5{p=VLaiXlj=b==tD zrmGWq1Blk@FJJzUzaK_mUH`kT<*#a#$B|Fmn3Pug^Yfv7=o$fvAUfj>L*m_V_bk#hoZ#=Y70?Ap$H$;g$ ztqiZwUM}por%o?mD7J+>R6GZGPpVOfSJ-}eKmsU-qjdjfUc5wcVp%DpY#vS2ULNiYST2c55*+z1QFU_5e?C*Iq8Bn5 zb(B;({hd1e^M&{{!oU9iKqO0zT>$e^jbwpKFIXC5nxAnQi^aWYIP4ajD(qIOcb(!} zG#YLGuwvbnTU($Q{dI~c=XvTah7VYNwRCxkKlzFE-h4It?`4L0OO<$Hb75aI{({)q zAzW3Yx|tsjQl&Y;le)$5GoX?e#^(`(P1jrc1jh*=IcI{y4E8CKcq zC6XKx{4Qj3tXi#XoBw6Jsxvoh-JctWAgchM>5&Q}OjUM`qQ-Y55~vf239PINh6>08 zn(s-rL!lbHTa2Q~4Y(aJS(vyNU6#R%;jy1R=cM99N5J5-ZdoAY#!!)>I#4`vvJEi}PY=m`& z(zAZnb4zfRQ%F~gHZUhplpM8BTcen?APw-a`HrH@Jo9fhD>eENq}lz-G)ZV`H*?#9 zX2I)t3^80GjY6_w#MhBeza9gj40wRbZX47n#~WhW6WBVCe7a&?=KLBQTJW8ACzPji zeH$?~p6~+C=s^U%+dM`00|ajf?puOH1SDz5M=W})CQXEYHgUlw=Cl#EAoEoZ4hC?7 z9YYH$Yisb#yd`#4>L;Muqr<|06MI!S(!DizhNmIAc1;^HoW{SC9wzI97U83*yMV2i`E}barb{1wQ5tW`l--rPF}bu zJA%Cv*tYOt!4)N@J?Eg8yVq?!<*KW#+WhH}pYblX7tf{g!2O2b4Qp&HQ+89^1-iK& zmm;S8XF-qEbHrgA`q%D6ObofbFRc#7-pxV%(&e$Lo!aRz*pQb@>m-$-C#N%t3-)L; z=}Ub%^Ae;x7Hm1{66Tg5S}Oy&MwMgq8k|ALwfN&EH66> z%K5Z}l^`c63*ek1{QNjkaT;c%m;N)*fU3^c(xx{ftb7B+yp=91UtT}0rJkJq2(*EP zjeZb3CbW1P-kGOJ27_@@*GAP^P^sAPw)9nlZ}B!{$s=&e&5{Srhr-{Fsnpd97bhHg z6}Q2U@7Q5wg}My#3>DHPEIYQ{3U>913Y*Zd!aUuY;RLBh`*HVqA0D>uvk%IeT)jI` zVQbx$-D^|Zws9MSV7`!SO-4pTwIK+qixZ%JzZla+`jzb4Zt6~`Mx11+`lY1YQV zdtqHvZ{8NP14MzkyH(Z~qxbag~yu{(fP2Yq(0A zQc}Un%GRqwrCj^=!3QsuUECd71sSYZXSgdltCqT5_1%VsqWda(&oEf=Xq$|Zlo5?x z=?^Xq^LV)++HW36s3SAb;L&ZJD=T*3711BE8j`0PSPB0R9Qj2_INUHn@>jLOYzAdG zrlN58e#7U`RB3l(HJ3~Q04v4}!kC-mgW7mWjI?Wl{Y{e2lIIoyeSe)^4^9<%RV9a< z{Ae>ZHx(!m%9}SFDfBzHpO!|SOHP$A z#4XIBEi)42c)Mgwedd$P?Lm%_H!UFJ+Hg~IM9k(oEpAWQbsIN-cr)>4=2P~HEd@=( z3MKjWmFx zC@N55B%_Q*YEm|{9ZKEYaj8(wfEk0J^-}=om~Dno@-s!DOWd@imOm(+N2jLUq3`C* z`go9UnuIGDpW*uh7_%{JiaWlsEf<6x7-UnYw-uJT)GQ2QEHQkqM+bo{rbZ_-;IQDE zi}puzJOw_(*VKCrq-TG&1=?-sQxqZr|zW{|FP1>0y z*7{v-*s~tP5^rfI3(#iz6-Td==tKq? zvmrQV6+IoB-B8A)k|LwE!TUmdBB4+m-YcUYfkfHhP(B*E(k(Xq*o}d>{?2QO!%$x$ zE(#Jq7l%Ez+*wq36mQ}T{=%IdiAWP(voCdBM=*BI&W~;wbeOo=;K+BNOd4MjhbM@VRa;$NeU6E5`l${J+T*58Rvk8SR(xO!hxPbTc{| z%h0c1Mqn9Y$v0F`SWRmc7kzHY8jdCqcH07HzDW|T>1PB{-M*zI0FETHxB^9#W3PZ( z7N=j=AUF7qOo?icVsriHdzwb)TUHVT(hEK5ND9}dxhZodI~A)RJFvl66r%)GVO8*D zO4dR{;Mz~n@i65tdq_#Gucx#Kcj)CFhrR4+0PtX%8jPd<<8YrDWRaHH>EX(OC)fM8G< z3md}>z63Zl8y|vdz%+G;NQ@?W9~8#4_ybzgzJ=4_p!U+qCP)r4HSoj(uTs)1l$a_% zTZsqouP*l*>wj#%92OMX|Iwo3pi{kOC>)clTOsi}ZPGWx#=A2nh~CQ0$L$1-D;U~6GcgwmWaz^F##aP3F9+Oi zGg;(0ek}!J+IS=H*!PUDU{~P}CGziQk&_HFRb7uuCur6eQh!IVhbO%5-6GxK$7dF^ z*(?}VV7lDyLHTUAVC+DhTWv3*iA8L$EmyHK#l?2+GE=dD-Rzx=ILdb`NYug)LEBON zVf#GL_sjF#b30e6qcU??wu;iy|9JYv5nv@}ja_9>a4_*BbP=k(fPqV5W?*B8eXWrZ zJ?gFI%TP!BuZ6c9+#E!5$uD+ImDvi120w}8*}mA16H_>hwd3DrHle>iCAxPr)3hIN zrNuxp0d=1)oM51Prtr*+u40)L+kw8Y)1av*`z1?#QeBBjSFtBQjJzfpsI<{53-X`s z{kyLV;FJH6U(Fl30Xs|y>Z=%rj-P(#E;b6<$d+Hcr#JMS$&hliF|OwvjzhBtD!{OB z4Ftia)Lkeq*(b#QGwWs#KUl*-O;59GCr{Y!nDW$Ay38cser0nW!v$c>i$9_rTkDbS zNWdJ8@rkOFNsUJSQi>F-`fK^~ftbnPEP1)ru=sIX;oLK{9csdx;Pu^>?7(&Y z=GDyKeG$hYLwtiC{&=H058FadaXQnYLEd8SxwP;|)dv=l2JCttMoBnzm+f^8 z6+6tyHNxJbR$G1$nVe@z);W1?Uo>pmIId|VcSiif=^}&;+RLcizd2hyV3C`O62Y-zC05PK#^e~AwcOMCTI9N@=CSMb-xh)`goRCpU^#!PVzxt zJHZ~5aut1*Zab^nU|BE-B-~NTL|3?s?TV1XhluDDfl>57Nt5ZJKv?6GnRFk-t-C;9gS<`0fxUC_!w)tUgXKh>wK-0dj zU)7&HsmSN_aCcu;5cp2<obYU#)qGS>=k(;@Qxe)yO z*@G>-!zALz3SgKSs3FpPIZ#MUY^|o%*x1;~&CSx<+PbW`cm{9GEQ?=#%Guq0^mI}G z6Bxasj~{Q6U%p)A=ZAqea+!3jyL*aZs-~uetw@*nw>iL%?gX!8x8#msmkmNQN8<@-tsPN$=+tXc7URD zLwr+}A}GwXR}U-_q|Du5T~K^Cs_2PSzcX`FwhSg2FHcXC>H^kHOn8R7vEI@7cekG3D&HDvJAUro;_B#r3k7e@=X)`U?-CIa)g+}J z2$tQc$q01K+EQ8jsmIxNpG8VfS69=?sjN27gV=U!d{8mUC<{Z|cxJJigM*`NX~{An zDQU|)@5}p{MUPmdyLQDBxH&j{jgE`e_kMXc@h z^@m$qTm4_YJk-q5oXP0w>Uv*UIc#BRX>DLopJKVaHaBO2x1yt?^ILc2jiFiX=g*%9 zO6&i&p54!4(rW3eOc&Hu*;~1=fy@(ev_GGw)g~D%cU_bv z97c6%m6nS!4URm2?$ibzjlGpXxxSwmaab-7&pQ0MrzPgLre6J@TdCEXoTW;}Kiua$ zZTi>k{kc(mOdo2g+KE>r{u93TQh&YKpSPu!Iwj!{GgiDZ+@z3xaf0f3*P82e<^RZMurxE@TOGLbg{TyU484EbNAVMU&56Xq|i`4pg=)Ep?#4SSAl|podS+KkPv{M4>X3oz!S8K zij*i+n>&sVpc_;?p7zqj<8XF4s-w@y%3i<;S{C^xnLCHW9{oltb&~*Pb z1_lZ$)CvmjzsBeQ&;P!Xfd}yF|9Xbaf&QN}=D_^-XxOP7*#AC;>iG9Ep{zy|;0f7L zTH6H*iU9lH1KJ{c8u$nzP+!DBYM#(1`iL2-5;$bv&fCb^f^X1+kWeVYrX!I`kWlC% z^f4tcl||s;;BXT$pi8H{&)cNW+wKh7U(O#ySw2EOwhX1WEI+)Q#kbZ7Jgs{@xw{wl ze)|~q?mgT)7zF6x08l5n5hfcF;s5-)ffc9~4yPnHN<2VvOeOn2 zpTr3BA}T;R@3X1t`2XY7|LdK|VPGV~W1vDGjQ{uh|IeTaBtq&X&QE($|L=?Z|1aWy z-@X6OZim$D^X0)5)l#DanZTaIdb|8UESbf?{KNJB;nCc$ll!F>4_1M!&dFqEU9GEn zw_^==pVel!$QWY2?wH@47UPq0kpDYxscP}UrQ6+^ezHrL%l>#Zu_E6%ESZpx zbg^RgS6W>jhqVGC9{Z~8KTpX%Al>@aItV4 z+CSleKQ(al|k_|@@2p1Ds~Ix(o}4`no+g~2{h9bQ`snF(*~OG1U_}t zuc(!(9Ac|h?0!_t(zEg6M-h9YmPwSBQq~#i7Ykn3$Hfmn_ki&38DTHFD}Kt zG}5`y7fpUmypM^D{n3ao%bA$$;+=CM^C$%`wpk?AVufihHY4hDGCuEZ;^q*LZd1Rsl3RBRTJ|3}7QieYxfd`OgMvNXCQX|YxM!^e`*7stXr z#swrQ7dbh;@s12#2Qw5}G^fPB^zy+9;4^J@Jv^wL5K53kC+3Z-y5_N({@5-@H2&Xd zZUKjY_CcJ_c_+tix#0_Zv-&R$wE}6ZX7|&d6XvO?X#e5~$^vdwfop&5@(0g-!Prmk z$g7>9{JwdVA|5)~xt(|I1IK?V@_~uRc~(@TmrZ6$q>=wHXR;$pA~eM>M-`}CsR!9P zYGyF4wU{WfU97R{Lirl?aJ4&QGJe0G?LV!m__g3`T)cXj{B2aTBj*T zxhW4aDy^rVYwD^=<1djwm@<93bF$ChxRU5qB_nOS%rGbN7>wV&tiQcVNkrnSGs~=D zXm>7@%Uo#pxkOdVB6d~4bL7epnpQoP_RJwKn7*3@x$KSR-W<-@{O}SC_QZFaT=##I z_qyJjQ%>}0#AniqdwsbxL_tMOaN;i0{LQj0aeuwmH~ts6Vmg~YCKc#>A?KH8 zt1X4pN$C9?7UObOGeznwDBFTe&8|_!smnX#nYxj(Yby{JdetJi;Zrf_Dvcg*2X}v32A@k=jYKNX`Fe*T>e+$VMx|IoIU!fsH)tr)_o;}FocNwF?)&4J zYE6#Dip9b1dUc>PaUyDdOU%$pBRHilvyv|fG;o~Sc-`W-uBZ+!lG}vSYz&dm7BM+ZC>xZEAx0cKvrtM+FWLu(>ti{QxzAP@Bzj2WWg43uDU4s6%k^@n>oCj`ZS^rey8c%~Tqcgj+? z<3GcJYHU+N?kA}yvi_cq9;d+UmV$y2X7eOa&C{eX=?9N~{cb<1Wax+q%+8mJWnh%| z#J@Z-f+_7GK$htQ;`!Gub*uy)6WtMcoocA9aW$e$LW~GI5-D;>sdB#LaDvdF0DeW4 zW3kN3(;e*zzea_QdOh7zlM_|jMK>y#LmfHNHC3>Th1fXgW!}(#Di9WtPL2*-1{MNi z=N!d`OH>2*fo>#dj&#sxrbs@CU;wkz@%8z^`Q-7e<=pI2$w%Y20W-npibtOZFwAPM z7$RiyXyor&JF|#YCASvh7>(;Q-^0o08w9 zfg1Iwoq^wj`M1Zz;-vfT7B`@VUHP&bbwa5(l&BUfaHNt}b!%bKff}j~Lp>1osLSBe zlt^S@H$g_^pbY{ys<=O?-UU~J*0XfX(ng)CUeZi7N(kC$<@k2Iy|%31HMc;c67nPY7*|V$j+%B!Yq}aUg&B9jByg(-jUH2O zgoJ;>Us6NHhiXQu3At4J`#$JOui8X{+16OFFK>a&|2bEW6gM1*ABL1*m;mLM$G?X_ z&Cp=^t(Yw&gCk{uP?dV$g-A9zW5Q7;lS+K7g#=Bufr|P&weuHdd1;Q)0p&@I=?~Q; zBj|uH&MoezX3F=``pqKlSL{MY)vlwd>}9r7aTH=ZR{xC zi^_g)*lI~NWYlG)K{w1tEPT5%umxrfxKBl$`a`Qxu6(F1XidQ5tb9q(OZc(M{d9@T zq!(%TN~gh=zQQ086&v2jFTz4+z*DF8t2{HlyJZRqN*!lbb*6vnIRZ3!j#%KI=#TCT zZkHj>u7z_`>Ma<^uRXOT`GRt!ZYJ=r zK&A1tca7%W_>y94+?DHjxrIKD(%ahEOJwR#4)^Sa*{`ba37kAew|PgF*08xsbUl!j z1bnHcsl6dZaMrv&*W9m~X-eQY&z_~zbezaaQiEstJ}}xk@XyyXR1_FY$rzY$M6LOw zHv0|Us}PXPd`=}1E6Hxx&m7~P?=g96{%32J2%omoMXrf1OBHi~C+%&HHC3K8+Y*6Ny zI?)gkJ7+lLW)f2IVh>yfQYmZ?!gWrVOj9H+233uE~Zc7=>LCl(p5?crKW;OT;=gRJ*xXF!Cp( zxaIC|YDrmDzcR9tRV-xQ4-V5D588ss-vMr{8};3*{rCS`waJgD0`Bn%SPfizu|-BB zv8iRmU%sc||6B8!u&TVp`sk)8up>h;$fj1kF?QF*s-Ix6p9Z$fsa|#4$lC>Gf)Qg6 z+V3NW&2X zQ8b@~&`mObmjD0>ISQpw<6%o-y9DSU4}GKsT`yn9p|V(RjzBp^N4CW4uEKTm>N9rM zC@E33$u%{@swEIswCWpT{(A>Sl?6 z{MKOQ%4_B*EaESJwRaYZj4p94^+@!ed*{^S=m$Q-2;3SLM{1r;O=RQeO-rZ@b{zBc zp;stXZi|?@)8wj65co}zbgW678ji~d`zTF5ls{BrLB~YI^zT(OTK7l2zu8SO|jqgi^ZQxnKZxk1~{;Y9i#cM5%*ZFyq@ zEVhYUP0!IGx_{kV@QPPx%zAL@bZ zGilCuO(cQqgMLOEJJw}GQyDBAg85fK4>2L&E=s_xBc8;lsbP&ipTeODficu$-yTkh z59rTHhuo5BriF&{X^yJ(-U980TH7}_DXgd4Q&irN+0E5kF1+x_EHBn#>i0OAs1yJxVe3%LO3XlcU9K3tXfm zv3&Ifv9sPJjD{Yqma^jG$!4m}8)%L1s{e0h$>W)JvnxHf)pRcfcqE0@Bh_61{U<9( zB4C>^7QrRcL1Ny5y+pLX`~pB=3&THvX?*A3PW*+=IB0>(`+-kN9{z|qKNjJv@ZO24 z`%Q^%N?&)kfYf34$DQlTt>M7uwRR)QVW%zh4pv#GtNsp>{idHdE+g?@Mc=}wg{AA(-vHZ>D-Vdl%x1J)c=gkC`H$T)qzKt$`?eh{e$1p9vB0cvPs3T zi0G5DtZh4Sa{$0q4ne^q?ifqipy~t)p*0YUmDj3s7VP)&{l(v_s@QbX!b|!Vhh=Wc zpG%*I==F#1XH5tFzWuGWQ`<_yGm$#LasSDHP@hdohO-g?>iQ|g{nZEMtkP8CchVcyY=?ZOMB?t&gR+WX+P0m zDv?t6r|^DkypRfdI)VeN_+0kh8JHLC(1k$F@5eD3DwnyK^40?RT>}+1rnSV~hEo5H zf^!uUx=#jA0Yv4ES6Ei4qDomUwps+z7*6A^-pLc2A-*E?!yQV9dUPFAv{LD(62HHd zBt+sxM_?=5{wW&3Xb8kX`iX=)dMPuDVY?iFU=vctvxT#3%!alG2}l-Svc_HdkiXgx zYyPNC?V55$({kd1{Qc;$cb|ad$W5_()E(gJLOj5Vmla3G?|plc$YCx+PY&SHV(YoG z&-6@t(n?qxy#4uIdi%P1W25R>eK{zEJ>5SfClojW`$WO7^O@J-1hnDEx7#QQ)Zwze z&4LPVY&X~4F$qm)nR|T19c{i{;)&b6B!W)de}SZF^oxnu2O4UScI{U;am&ol-k)}d z5@;Dd_0eW+c6VOFBenC!U_m7@8Vb2JLBj0bK$X11`12mIC>pQ`?{a{Kkau+7c-T&x zEKTa8E0UvJ=J!7?NnVwnAqpmWe)2#MQD2Ysm6jiTA;uo_1q`Gs8A+v2shk<_@E@La zA2Bk&``qCAXd<3zFftBt2kc8X9&M#Fd^@j#S=B~oJu7U|SgJeOd^p`sCY8g*T#P*j zMj^zcFGA%8iCTj$Nj zo5eae&e8EGwTMnvTjsISyn&kuUd=uD8QAq1W$L=*$HU~^uP(ux)L*lfqY(8;Q)gD1 z0sfb1g=``D+OK0OYmlWHb5si9Di%?-eIWSgo|H(1e6*H_=@43h9+c5E#2K^9Fvb6% zLbuWKYz0!#EQ9#=`5q0vrW*~O-?Tq!S`(e)qL09E(3xDf3`|_WZ}=%r^(3q0QM$sQ zO(&g?XQ|Qi(quTD=Qp;YmR;y*u|lQ}p1YT@J039phdd6o%*Sd`KW!2)NqYz?0mIWe z2wH^5ZIED?*ZqW$;$;Gu^u(WDS36($#t*dKK^tCB>sA>-cNq#P1=*f1REufjmp`(P zi%|587e)Bf=0Rr*cqnM&Gqq!`zMglyC8w5dWb-#PKos3uNL!fpa~ z_Da<4Ugq00D*RD9?4cG;m+rBG(J zQePl@GFL--%7fLYW@ zL}9}1WGFBnNgnnAl^#qNMxw~YcmvI->>w3}gjC36s8WF&6LIc(cdu4(we4UW1%vI- z5c}GD&jxkmCIWz#_`q#luoBwID22XmQpI~69dZh!E*4B=U^0_7V z6Pkd%PBTd+g{49V+)8$id;we;(e71%2f#o4oB66oU7!h0<|DZ8zBT|BZ1Xj?l-{O5 zVRe9zim#AyWtzG?iZ6)>f~*6~SJ)>cBa1SiT&Y#+@jd>XH{3lV*EoIpdo1;jMf`Ni zg~t9LTr@jg;AT(gNS`-d0umEK2}kmiFyK#(}~zd@SL~5+>sGS=n!sReUQ-~M9%#h^O528 z=GU$poq3ibmMrpXw*9SJrXbp=hZVCdQ!-Kf=Oi!vD;#Y;;>#_;ak0I(^kZ=ZyTNf6 zlKq!m)R)(X{cHg_$fiA1WIm-tgk>MLDM2G2+)|9N{WksHrxnj2HpdgON*_?A$VxwR zrSHLc6Lr_zeQXMTZnZIcDc!q_Um6uA^Je#9Mw;&MQdmcEnbD!f*F|8rOSc zh2gn{8vp>3ZGl1i{&hU#kiamviLgEV!eLwT>F&IErdYwrRQ6}tulpT@U!b?qRIKv6 zq+xv~x$7n@=gzaG`bZ*O4)g#8Lpgo4-us*n-XH?j@m=zUP+{=u-tFnKfc<-bt8j<^ z5YhuqBPdrCpGfsMd%7`!*3eeYr~Fva6W z^gmL;|4{Y8B8eg*S}4*k_O&=9)XgJoR{v*GpBR%(hAY%yvJ+OW7=krwj_H?0)b)(% zhr1%pE&ruz$puJfBfJVV7O8gf>$1|QM3GC!+N8449;;<^u{~xow&heuRdy*C{;E<& zlGJn>L?0v?KQ@P5NxcEDC=6nAp#_&Pk>ye427r#0zoNJf+#(*`PuUj4mkK>sFkZS7dW#=eZsI-0F_h{cok1yrzlOR|>X#d3hmslch2WS1KoSp!+%IGJv?x(ay@5vm{lJkb7o$I^1e;K4E z*?ATINyb&}Orpm-N))OngSG8OrGVy2+UXKlB2<2IStY6lckUqnfdkeVWrfVZOr*pR zJfl=}8ifq4z3Bd4l-(z>g%^IGdp0~eB@r(-TsuLhEpWy`>Cf)m*sA!9&))9lwfra6 z`I!K%qrrmu!z_VTQ6Y`PoHA9(bpEiTiSV_P^vb4Mbrs@bk-gLbhz7%P6v2w~-)xW^ zLD~?PeGNZ9qo1L8K8f%UO$x#Jff$kmRK*44o~LmMN}%uw{lZ0PufoY>HN+!ii%o?G~gRYGH3_}7S?BoRvdAvJkHM!Z`2%H34IrdM z(yh)=v0+3j&5hXe#SxJ=l<*@%Zxf{r^S34P6C6_UK&1g31L2)G2JgbLskeHQi6<|E z?!*C{4)kLN-lyEZaj*oJ*?xS#`n&FORyrshyX{vXM6X$yG0#uMEUgDc4fT+p?f(k& za-|73Bmk263<_t_t|>6Y0SyYO5FKowwu)7Q<4Yf5dbc_O_(bop);er%3={rEtQ(A+ zG%QMHqJsLYS|}p{@b}4A&?~1jawe_vk3ugus(kD|#}$n`K|wrz?3_|x$Qq|OTeGmhL zl_((7Bos}o;Tw=EdJY`LG4B|EPvgUS>v0_0k_#CYFgmDRSb_&!?lgOq=P*tg-%&imPBde^?~)Ai)KyB z&TX9gNRc`f_i$?@`&*lSBmq5*FFY##jl1^XM4@bo!mxnQR5rk%Cq)uaSo9Wl4;lpg zcF9l8OF5@gg7i3nWfO84;$zM81LvGfr|Ejf|uo^e-laNm16{tToEiY(9#6>WFW zNBkmnk65=xdWnb~(eUwKEn0@5?;<}ZF{qnRJ^hgkKD#YT z4!y*Eu_Q>#v}}pv`TGIxS)}trXHL%IXRF)bQ=}A1C~-hNA^~0G41$yo=YDB|k4IxS zr~*=MfaSPECW)czw_GlQoTCxSfx@N|-6x%uM}UP=&E$70@xD8o%KOaThNbmxpxX$j z#&+7g(;;sFJP37QVWh?77}stF-w&55zvS}$>}uqm3-%PD6hvk zUCRpNyZ-(;SVT??N}vgt;FuZ|uE%2lf~0))(^x0rb?m%%)iDC!;}msvW^;D2;-WH3 z2D-1y=1WG$wy8t&-X;2-sH+bdUvLF!8}`|1bt;wJl(_V5B@<(hUEeCKKbq*D z5|&hMVw@uyf+AzvGm%0B+T$xy>{;nguw4wN81Nz=h44jXSH8gT;67`ECAi@hT!p@7 zqVCdeIg~u+A_g0_%p826<|k~k7E8Oh+?7Pq=pG|OBcxb}vfhX`GCHL{K=DD>EY|{^ zw?Emll||Rd!6hhB(>Wrk!1yyyI&{3y`1-2(3~zAuMpxMkkIj=3QaDD}X0HHB4i#hK?czE6-bYhQ+ekE!7#R?YgAJc~x!;u7QA$87z<{ zCGN@8y@;11KR|4<++e3-)!+!|X2SpU9~u3C>PVv8H{NjywnisfUH9z)Cm$#pVsq4q zn7_{fQc;MWiY9@*3PAuSAlXiqX^FySo+P^2H~v;SLi6F%_2<>W_~k<9O}3p2Vl|am{=(YxOLi%xy92sbovC83 zPvc^b&IdxxE<9Itbc9?Gcqx(K%gM#Vi*u;eT~tcCZz@{)ENF)l0L#+&H`kwecjgox zBve9W!l>c1IbyeoJ`&Ee<|!8LLgGWuzOnbUH4!2)gqZG$CBVvWB=O#7$*v0~%_ECV zE3R7xnkLs>-Wh1|t3YK;7D0+ngFmP;F+!dN5|IT4Xcf`=^DEjEOab-Y&K!@3^pA@jBNa=MH){W}vz3xxza{nKO^AS6QdyRY9yOXL-i;Pxc}@k?WC>wJNKzns|U~Z@aXem^^3oNc#@&M*xi|MUfRfna;p~F|=+INuaux(-<;Mnz80K<2QcGJKw(hCaZ-I#!wo5V=f|Y_|6)TvwTB@_! z2!XpC2h>fKxia-&EwLNAW@(wo;b)tSA7NSjl*pJ+Mv?Lio<4mVDUOa1=7 zy9r*R5(sbckJ-mUztMH~I`xTV;#E39TVM-l-`2@&E( zRiUugBzA#r4-U^<70^Fp3-m`<>2ajmDRPtYeY&NVOFpG!G!K_~89W3ucylSN3(ej# z2+CMGkK*oa&I)Rp$E_}!3^g=vgpu%tl`{?9?tAlH?+iTk z-}j!~6+9G|ie4ra5&wx-VtJ)+GxA>G)Qxn=BwLcGj)RfbF_@hI}@Aeqn zZ|>~9_T1jEw!_mVhrEs%Ym+v zPtzRihEQKukf1-E77$j5XA62u(e+gq@HJKm#wW4`BE?g_iU<^`=PDSU7lhcbOmgLF zc^r47OWrcbuztqzGC6N@GF6TTJDKs_hmtsG&)?@$cI)47iX%(ti_(X#bB+!q(E6^B zl*SKG<%T|qE9Gsz^+jK7v=CvQ?9Gf1K^hxcj>UGa`V{Ii+kMOY#Hu7aD{Jxe%mA`Y zhi0|R$Lly!WWv~al$|b#_TfFd37mYE;NmChrOeSDxSJ$RgKBl?t%FeHG*^N!j5E>; zKA*RjJ6Js3!Y8)sE#7h6?P!(lAjjI4OK5xqclfE=pCx6uCIq-Rc9B(8GrtXmk1aa0 zHHf1FP?5T$5Z#X{AQ34YLQtvf70W&38WEww$+9HMNSH2h}UjSL&0Z^^Dih0mbWg4|lP1IrWj^|vm#}{}x#dP+rhn1$YPmrQInJbVp_Iv_Bz<8@ zJffn6;SEY{188}O?5V8zg=%LEHIHbFLd9lKTTh)3noC7mYP8=eOJ39`WT@nJZ7YPn#@7JDcq3& zuxUA@uIOA8RfKQe}}8c z&es}r;))%a?{+lnMBMdcIKaZOMde6UKRRx*{viQ#F_lO8Y3C^T&B@1g5m4& zNXBw&aaq^rvc7T}CO_i$ePwA|<_C0+A==}m_VW*)0c~>?yJc?Pex=ysXXsR1N6ciF zf0@c{RCud8?S%2h&Q}AtscwY&;&yWM{^(nrlAKR+`A#M)a9 zcTgYxn>!Oajq)ZnUn)chS`?U2q|_j@{( zW}o+kHj6ZkLQZm$g{QiV8u}s|rIE)B_1mCBQ2;})cH!-1VR*#>n z@u#9poy+?@?;8=Qgg8O3tA)Me5?BejBdjU}9CS?g5y|Keh33wM!NRHtQ?bIY(!q!r zG$$I%@&L_W1dysjBH=4ELGGs86|aDGXsC}~yh}a$+j#}4i-Ir=(9``;u3(px1ekh7 z9{g?0LK2))fLI<9kp@6`rC+C9I6@#{1QgTi)!rDRzK01Nob6BMerte)SR#^NTbI)W zJD;9~gsvV{ujA$?QnIC@=yyB>sA!}rBKoT3Re#&H-<1hLobzVx8zNCC0}9oITg}!= z=mnZYP6{ASEM>83n!{tuaJthsdz`bhyhDW@^s1op{lRI#aFz395OamMSQ}=6`(h^X zXD&Lt_)6~yQ@}WEl*C#{??Ls4*L;oZt9#!F#FnWknoKzBB#h}Sn$?0-?BO#<8U{7% zxEsVIWE2%?*qjG)wTmGvZ5TFqxu72Uo(CohjZ7#*r_ORyk=_3HE^k(la*U7D4zgD1 zApRK!zEkfAkz$p&D3`U?ZO9|ZVf1gKzp<>lOMNM+oL{KAUcXI)s(6K zYK|}(^k>zoBH!RQraZ~;1BgRo9b8K`Fg$`i82O{-o05YQ)IY{US{cVWXjJz#_rhtQ zQm=#-@oZ_7yJ~S5k8!1%F&1R?a9Erzn1%5NIkjE4^gc*kihNfzt6FR#noUTHW4*)Q zfBEH&*#~BvpsnA>%8C;eyrmh=mgB#@jT6sTcgNy12W!~bbneVaNhu5cbI|rW}MqgTmjJVPSwls+i;(I&F<{iN8SXW1{)Ly4{R)|^Y<7J$N z@Q#ptEMU3LYWuV%C(HX`H^sbiJBCC6p`O$bWq&Dj4NwMdpRXsD@jd$q^v1ZcutbJA z;B@L32O2qf*33p8_~*}4^?;`F2f06afsR;}=)Q#Uy!YsNYKTZq4PLF%RYg70g?hdu z+CQ#Qmdt!z2kYe?=orn!pD?PFRaWR&$x4URKMs zIr*w<@`QVMnt^mv`GHQ&T2wiR#q0On#5K_Cf-!>c0M4X6!T;49D^gi45Tgi(-fiCp zn= z{VCU`^8M6m9OJTaJX@;Dp+vP7t0aQ8%g0bm1Govu&^~ZUvuqe4es~FW2jQdrv+aMw z5;406FgMnU2xYpa7*8knV0W&}0*REu*C=BK@Duxb=aZ;Z8A}wOyEqfUX`BclwT{FG z!c}hoYKw|K4U(1(YJJx`_&M0Ehkyg$s;2$`vz}Uh=y||~WPC+v`BBB<-4qKQ34b2G zXn21REYFq4B}{5(6n1by2u2>W|I=w>o&74m-Pm;ss~w&i$MP!V4Bf`xiNE^2mb-AxF;4F;HKc@DHd{&i-!ZU6=xPOyu_ z*eEom=w(nGpj~^=Dw*caI@&-s7uoyqWxL~{txB#1kXBIF0@rEj(3o3z8KL|YMO#&zc z2|IvM`G`(o*(fv=y~T0`LGhl`NiEO#)6PTz##E9qsqI73pE7k>gvjY1XT92SRm+ZReVMvSC(;BV zIy`c2&ovzWv{GOukm0Vr5QxCR~ca4|RZ|CNb`_)(kzmsl5L?}K zyg8dinm=+4w&E2g<5;p4i;1w=!=;5fQ1d*dl#aT{4Z+N4#B2+W1{hC|0X5Ju(d(Yu zD|DO=gS0C>Nnzt>D}N`BB}c`rzEeK7A=4n;^=aU z5_toxeiYX$&oNV>7hOAa*DF3^fp|#gHuhkrtZmN-qf%n?$nATm3?Qxt*ik3Qc;5VN zK--z^_wrZM%LLfo zpyFjvZ>`>oI>!26dvwC$k;px4fXpcMIA4o@BmMkM=?A5R8A>_bB6&~Ue~3bkpw1KV z7AR~y|L#Uu*7D-4ZxMJ;7g7RVS04e}NGP7+#h0F8UKNmIo_zWzWq_pTtN!Sv-QY^E zUZEk6YIyNCP!O6)_p@aCd2lOGPTmJ`OjVLgzdM|>Kau>phk7$ zMqhxc<=B-n`|5FF*OQH|iFS|HHH*O!%W*va`#iZri3~hSz^Dd>`xM%_LgV{*<6J)fM+*(U3kI5FBAo5hwmu0y{@xGfL1wmS+lM4YA>V+7f%$2cDuIGOkWU=Y*%u(FCxGyZg2#YMiA$bi{x#nm`lXIY*=&*vX_S?J)mM0FiG171Ph^J%?G&XYbp|M0(Yo zoj=RIxxAr5z4x7`+EH?Q>z>q5Dtp7-$)akI3b4801D|ujC^a+2{iPzUp`RMjVIko$BwAOm zI-<-+y-e-PxbNir+5td@O?ShXI-AXZV?^99hyT#jz>F0M`DYJWaSc0ZH_DDJ^Ce`` z>#b!Sg8+**6IdPsWI`tnQm2jy5V9(1Exu0;T*u!zg7l{k6g(j&FsnHr*hhfjx3yux zXL^0UR(uF*hz_UIV}E1^8b8rzhWU{Ic3fhc#FqJ*u$6~o&BrHT#i_~efy(cHq*1St4uWdPRaX7DZg$%Aq zp@{biKC9_!S1~*ENT*!nh+MABXgF+DX%dM0-7~2ilscAn*SaytJH?uikP!@_`+$GK z-2RBz)vER$urEnYHY8j~MPoretEwiQ)u9F+9>1u}T|A@yKFD19bgBLj@HE#FF=z`9 z`0WhhbVi){bcr_rP#yh_m}#lYjaCVszj?dx8v2vgLue6C>jtIvZ-dXe%(g}$MLpsx z!fGpqr)Z3K9^k!_RiF3N{9G@@vpQ_mIxX&YO2( zZJsmz+&LXX2ptx0vwPKN_6abq z)w%2|#QJ z`YjRTN9a{|-gheRZN8NTv$6DK5W(Fg0gA&vQUR9b8(`dlUMDIisumk$_vdzJ3gqM2 z;>7@~6P9lXD*rZUI{?I(J6C?o0W3u*e|&&!lx-H(>NtG_?{A+RJC%6SEygg;TI-kO>yI8*ywzzWP3JgU__&t?eH z8@;-)g*qu#q8&h&Z~?m_=JHHdUgC6_L>90{d+}_woE+5#^&Yr7OwnNm+fb=-XsR3+ z>6P=qbs`abNU6z^h;UU4r}9JS>O{rz>5@QWn*@3gRWB~3b%u-L^VlH_d5&m%`aSc4 zxCToI&Y)M#1&P4Iq^cR$yq(le3{M*^MGtAnDd$XCo-~`7FWbX^Xcd9pY3hMp<4xF2 z-=ly0R^k=9$TXn8p|ovuv>gbQg|QRZfYfpZ%wN6VPsM)@3nF8MS?wWN8Ao7o>&ieWT_$ZIQv`>Jm4_> zn$L4M{Fj#M!T@jJmJ~wqMmc*tO|;LlmgFXdO{i1@OC3Vgbpu=Ew}LcGEa%mP1@z65 z(vTdPWMnEBw*X)hln6k8C^Kjv8p^zDg1qO5T_y0~@_+Raty2^LycpwCo&OYMt--2v z@@w}eE=W)33>dzB2TF275%_UNhfg)~ru>QJr6DobfInimwb*GydyVobCY3;1 z3f=|{lhT>TZi%aAxit$|w`&=4qc7A}0o;bXFAco$zHiORUo|DewP&vCN;vgyW@g`7$t=}nF&uq0Rv?ZJ9$n!gQp`;&?P zO(uE=01G-rb8E4q;RWz&%7xX2Cw*BHMS)oywfhHHyvP&Pdk37P0Lt~d5u!2lyo`g@ zT&&aD**!t3n4?|}XjnmjUh+|Vz2!}b;deMrfA0D>f_z>R`dr^w64%#h{iV*q!cj;iWTF}ht>UV$Gk&-Ii{;1RLz<$j!_JL<&|5H77`aI zR?n>(4L55;-Wn(pe#A`>m^;5&lz`My7eRW4mC8kH5BiIixJ-w%t*;l|A8-Dy+$^R; zs48akj4(H1pm6s9x^)Oo{Xz#=(f?_%At5177W%0EfI~bV=r^(5^C6SH;W$=+)1}U& z4~2!PdLQ<|o)6BfHlTZ)E2tL)k?eI8Lx2{*l8*m~OaY|~Sk%lmDuCiH%c(}pV}==q zf&}ba3;{Oxjobf#g9c$K_F-ZGIi|=pTCSMcA|~vo7lyL0AOr7AQ>ZN_BYS;R;axfX zR~O@;QmM{^tX1K1yRV2M8?Q)T6!|tpXLd~PxL>{C+4u{c`juy*qEBAbKOg_zs;1TQ znhlo*-K3Yx$K3s21^K`Eck_?Trw8*)Bai*k-80rO1?ICo*ihvWM5+5*(CjfB$+Khss(G&38fin#iz$8Y!?13#{3In8Gz`;dV=d|6Q z$M0a5Gn<4z*ip44D?ZU1PqS+1J}Rp&Q(a!YdE*4-Q1cF-V3OJtE*(Fp_bNGPxBX zZIwKO_AnJ7Pqlt;n2HkZTeY(+^cDWY26i-eo5CeREh>s!L zXGCUM+r%&&6Uq&|+|Q8TVif67947M;!qk4rY}4^vw81@Q}PnJ zEg-7|%ZHuSVIch#V}0FwvER#1A$zfOvUi_Qs+Cgy`+orlOR0+Ub-ySfkts(MIEjNz z;0ip61BpOmpI(U08r`1GU_abS7(bTSvFJ42!Z7PyFN99%>;GY|l;QGmX;P@Ys6Rl&%3w^j1Lm#7-V5PqIirr0uQ zeb`HnSr7DcDn5YINv?bXBt4$2BL?9~XSQ({wLD;3H!zK=oo z-Lke!RQiYLn7W~!p{VfhHsiEBRvQskV;dHw6PbWZI{&93ki^x;q!fjp9y)N}JU@E0 z+h|BL;)ZM8tUAO7SIv4`P_;4oRDxoA-G0vwOY7@~C@-m99-cb54{iT=5sM>lq4hZP z6ep(^KiZK53~YG-)^;|PjWl^5C8=Jb1S(wsm{x_#JiuY0nH-#CK*CxIa7&Drcv0!b z2I;JZ?MM=D0JymUe0$=&_(x>gHD(mz53Ys#S>7@24|_!OJrqyTnT)b5q8gCR@PXospv&k#OO zS1&()DeD0C@1GzMmgpppKLK&fKXO}GexY5#swtUogSqib^iqw>z5h!t;W~B^4u(}v zCF70L(+XU|(ViQJvXt?#zU&DD!B|4nE6>wM^NGZ;EIgSi9+@9pEaqczaqWbyDSH({ ziUN0r2`%Gn6R$@pFI=xJ#U(Ezi-g3DpoYpH?N2l0c@JU(a>VGOHfN8&?yP7#^y zk2~%Cv0@N814RJI8_*`ddKSW&p z6DH<3hr{$%%G4Pr2o`+y04shXD)&VjP~@v%p~d;f0q1?bwFe7UOu}9yD1ZWHko*c5 zQ>BJq%^MWrs1@U+r)9E`NnuPcc_t3K*zqVVVcJ1Y6BI$63s@GW&nac@EFAWJ^cO6nprv*@tAwiPRAEj8V zQCrW6JhggPh9i2P-vqG?v=g}(m%@oTAG>FX6RCYge?FRi`S!Pu$yM_t#+xd&a3Pa% zmc+kNB7#wo9&SaStjv^+V%L(gh^kMTjZLpZXoZ0)uEv-J-oFH(goc*^`1BFhjC{I) z!D-OtWCmB<=i6D@ORy) ztZ+Jd;HMRchImuwcQSyRvCR9wxH{{gDBt&O3xa@r6qZ&A>F#c%JEXe>1eWfS?vn13 z?rxB7md>TSo27Xlzw`U+o$((>W=9zI+50}P^Ej@E|5aLzQ(2PRd!pA!MwNd+GOaC- zG0uT@(OpAp9;9cQ$t+HE*TKpWQ%38DlEghVC!{QMh`g!SkX*;#2p3-1HZ z)q7q5=2SZ7vP1r%07d4rV{_a5+&7bohd33z5J{!mQn#h{XLHijJFh#*pLk5)fMoZH z&KnpMv*^ByT9#ThA+)GiuYB%76GWHw7m;GpuC*Tys#zU8wAbDCCHJjI$69Rf;yW83 zMZ4+JA%bAzkPDwI`M;pnL+|{UM@P3pT+lhY|Ghsm2Izg5f!1mvG_YY)@9E*Fa;Dx= z-6!16gI{7%_*K)|X{1gLiTDbLl`M&PAPR=$!RD7V5Z@^9K=O{1W?*v%uOOJkJ~NAgW47O~Y=g(BRdoOOM~taI5sVHZt%8pUQ&|n93-c z81VK_iSMesf$I89m7&Orm?b^hu3;61WZGQoNZ6coo}?kr>d&j}cnC9y?XvUx*%h+C zq4D2_l{5I_r$8PW{KBOFC)mHnaR~V@-8z$@-$N1qjqk2Z7WNg8Pl9{_vR;?r?g%*u zSJpFz=K83zbMD6hNLDY;VbO@;EBm)RQHec04r^BAg$N;_Eo%X=>;c(WUysl2*z(cd z$uilAZkr^>yDxqFPrThXp4;`@pj(=i0waioI4nz};Kz*omC%uGhKQwHa;h%yC~v**V}0RuF-kN%TibGHJZsGMJJON+9vI9%#=> z)-rADzXRiAncsaN$NtvK^4@{T#2%Ex=fVn(TLO5QG8v|C)Ex66m%U#vf+B?Hr+%|pG^guu?r?N6Dr=8T zL|35E9jD}be@5Q&%2D~c(g5+UwS`3ygHN*Hi}fN5EI5MhNF-dJ610q2!nPQv|Lk3< z4W@o6V7Ha|qDUu44HZ?~!ZDr)G!)lCx(VV;Z2aq;GHy`levJV`zCT$fKtF=k6f@3K zc1khx<7E<~f|H;6px>e2+hL?tMMXNdeE`L*w^>_No+ajuk|J`woQYl#>&DxZ85c7M z#I60f=r`(5d8GLr4gu4Iz&mUmaVuiSLk-B+98NK5)p-#J z(E^v^=6O+p=<)s+1Pe!i<>Z$54*nz0s$k1%=sxDTNhI3p!(fsFvPp=_Zysdnz)G(W z?}2*c{(xV}8h^WU>vrOzx$rs?u|J)?obQy=tw%A-1oLG-Cmws0PA56IMsH|}y3uTV zc1BfyI{Q!er64(a|1ZJa{x0gBh+6e8DA0gOV3@FH>=(MuYTPRXa3X<1wiylq11N@Z z$;ZVh?)gAIgDwdq{TIjVB1p2s<6AtKW%)NK|;iOJRp=2B2 z1e6XKgT%vo$3J1AZ}Z>oCq8h`2S`k38mzTH)puw& zf@ca9h(2-S;Rpla^E8D%&xQSo`p3@Z28F#xRkJTnmw_)xHeVxxTUIS={(gN4w~C!< z{;9jwHZCxuiL>-mq7y|YAH#aIIU}Dw%%@Q#hQwbM)`Owx)sen8hRr5UuGCHberiUfV${_}SYUU?4petQkifYQO z^QdG=%8p%tNtu#XYuyA>5b>2)a|Zrb?we%5m@#vkwJI3 zLX0UG+TujZ+p+E+;28!*Zf(G~2usGh7YPxKT*qZ1^>ecZ;)A~A zXWrmKC>YWRE~t*NyS%eo>7z+PN(r{W^o{442{CJvN`!R`j!@qgQ#Pg zxrJxg?`DJXvd!IAdLh3}@z2mCq>!X=9mfy40}b-MG>sAe!tQqk*W}aAeOu?>eUXo< zF{LiSHyugKYVZi~_nE1%li@R-s)A5WcAXh3`~M$X^ZzN$MC}mh$d{5GBrc-r76!&q zIJ?6>JIgnL)QFx@M$u_rs%wX1!O*6zSo%aaN#Jnr4F44d1;(ZX1mIrPUG6B*zTojY zThc*30G2otjbb4><`&Ji-lftuN0k7c97tgfOj-Ist*8#y)sA$OxNOB-zRj-p{oMla zjtbo+%ZI!4Ljv~%eSljfXj@+AtOEu>>#FDmLjW#geBe;y{rvDo8wxp!??=J&vcxnqVubSGy?2y+9H(1_>>45)ube zS)+BvfyjTbvD&z*e4C-?dQ)~juQy8kC~%0J0!jg7PsxQ}SF$=$znudpm4>a5v=TVr ze+NLIH+&h|gaSpjQ#~JujOmZcvybMxbpFk}*MR92=_JzBe6N`8rh&b)o7EFbY0y`X z=5%#4&U-ciAovylnsPm(tS|(fG=Iehu?=OV2esV8qw$24dLEdkow79D603JOZdI1@ zMX^9)Dc1><@7yo?aH)am#7v`&eu{brpoW?Okj0dDBUxP3%!rHxkle0eL9FJ@9erA1 z2X^(c0W(Zwgc$8QAZl{l9t24P7|ru}586N9%O~>biY}&j0)Gw? zt^5ASG*Vri7PqUQh5F`$`EI|~1_KbPlH_zDL=xZ|u?req%r|>c;(?2nOH!J#z}H_Z z^=F+#Umutn?yfxFItKy}^Hyq5}PW4*siA*6S%5MyaftT(R&e z08lFiTEyN-m2r%nv@qMZ@f3sVfI?zL&leXsCkFNzbps-U761nVtZDa*skd(%P4At~ zm8{&)(O>Mza2Q^4b(()F0+Hzx4GA8u$S&YEA~+x;$8++aoldhdY@^qxLiU2`vgY9L zh0gTS^W_#V^}cTt*6eg)%(-*+zdhy_|M2A&gT=(B4W90!&@RB3oqm{#$$G1>aIuX; z{R)7-ml=a&_WwB`^M#inBqajO@5H~36>wy97?rDvK_c(b2Q+A($z>8p*OvX<`ArC~ zzJUK_&SlL_LpbrW;47hstr%6C8f>5qr`1%|>8dZz@#;p_pNh5lTp~ay*xjl%sNUvU z-6wn^8ryLjVmq&ctKxQ>Z#$?4jA}`BpJ4fP8S@5wfqgPXL*H|^f?AA}v%C?Q@C^Er z(l8=_eFgGi15Q^Xp#g)xCy1^(+ZQ`UqCJ#yNf?m{x_2V}>k=rhO+vt9)n|W#*xECP zj{t%EGxs1r^<)}{b?#^O_akQPa;byxUVZn`#t`}6V&j0`~-6|4gQt)P&VF93y20OPo~YRBE(-EFF7BivLF9_JG$*68L)!I#~Z zBbbUFFcsy`s5#-<9Rs>VFpbwb3)UiuXpT)!^MQK++~?(|Z45B&@@m#NjGaW!82n9+ z+Nx{L#*co4lMz#`o@?mM^`jq!$4TC&S9 z@JymIOtZ6z6W)#!zU+!{b&{Y({n`_j$jr~<^BJuj379!>0Mi7dBUzr8D5`ef<$=+f z7=#~&FCy>XgQ2zsfZLR64KfHh@Ki%6Vr-j7Qzl>5_@_mFE?#Obwal&-C1&1ldHuNF zSGw-QEk}$44OnOBthG2vXjn9P>}iG*qXmfdD0k1;R*(Ob(|iYKiU0sj5`ah`Y3DBs zQmfZ$Joe6eIk&Z3!Y+^$U z7*}t{i6xWLp&L|+uKC=Bte&=qI}iLGeTdQ0Ja? z)SgJL0_`{AfJaOv=)EiH!#{(csb&o7pac*p!ZW1x$d}MwNqYIQk)9$yQAqt3x0Vc{7E>(rM3vGas7t(FY$ zKzeuA9Uc9pE6_J@lyo8Qqu*47E-kuF%ldSg5g5fm4jj-2R~P=p5Zv>>Fx-$FZvMSO zJV~GKhI1eu97r!SlL5Bn$_GNf#5819)aIj<>pca3NEPr(X%f`2d#8U_TV%B;EF4N` z`doK{nf7Lay(zZvUajcPq*C-PGNp`Yd6UrBZWhX1+S06qXsLlpmw%|s!6VxHiOTk{ z@3Edke@HxGdQC#=R?VrKeUW1ShB4mzdNCD1SC@UMB7x6v@8LrZTcc%iLjN^`JW<_{ zlwyz|LESt(1QunF2jIfd!L@pM$RAd_6(eY4jNnf?au z;5PUGbd==64WyBjS;4(yE|6|YLt&fN)H&5v!3&H&lP{p=Z$RT1Lt5W1<)Qa6BNKAC zKH_lTo8cRpT(c3R&-5|#;?(fq5>t!VT4EvAN^YBG)TY6mhY)B@|8PE`&W;0$v@G` z_e?&`BFWh9*zBMV5u)7AGVdC2SH;^0^gW_8A!vtJ5)R!084lOOpzeD!%%^i+BJPy? zjX_dkOIR;IYPZ7jUDgp7WQ-F_7ojZkSo;g_S!an8UpO*hP|@q$BQ~%3d8it3R88PZ z?NZSc#|q5e5mV?(^KA}gM|K?`TGF>AT!gP=AK%J2k>)asyqfc5jZ(}OEVP(bn<`Qw znuz$MKYayB%6YiyM4xO|2s^r{_@!{YRU@4s+Ul^_tM%C);na+dUuL#f-sT>sv^YzVgeXk+hyKBB2GUIa83WDB<@*QQ zzk0=gH&>BzBh&>~#5uwInaLm1Tq7QM69fUBQVCQV!N8&;^<4x%-5E+HCl3FUjpWk7+Rz28pPq;BU zrk*=BDXF#lMXygt^JrCdIBO@~j`b)oIUGeE#7Z`F%$W!w-8EJPLvKl=*0S zBVrI$c?nc+dKtjmm3PD26IZ6+NlmC%h&1#?9&cg;cno}n;=eWRQW&2mTa^DV);oms z;M`2O>XVtzeqT7Q#|^*tdBFUxJ&1{AF zh>Goj+V6}^LG4Z!MJ*%N{K-0Ys6SD}LHiL~T+tW4dG=Y%c`Z(TW?5e1K!@C!4&&=A zwqIB=y2P3>a1r_{le}#EKld-Q&8-PB^Us;e>uyx+fCcv{C8No)v_`R@Ai;x!Lf$Y( zut*@{lCfpF2aGU;4sWYSrC7aKKEoxjrtP}`yvr#R<7Mpi`_(RF!m^T|@{meFxzL*& z68V{3!m}S_{5IZv59VZ5jebKjmd>rMXEra0@FsFFNjF`zY<}94c2l+MF<&k;NcaiR z3kK%4ru|fvq;VdQ1mzZL;@hZgsGopngn$I zSS_YbKHPW!cGycc&pXHAv_|p_!RWq01eRRDSMnNGw8R*Sq9e@AZOwRTomDWF1{-3b zRih&l=uscf@_wMc_}zJx1(}UgHEMg@4ClBW=iS)7QP4AtY7#N%kI=l{O*@bCcBB2?s1etoo0B% zn()vC*Vt)~x&_02h9F-1Or6-|zX{ouoiC~R-1WStW7YeSZ*+XhxNs%+8r`mb7&c$H z%44@{+i2kup#N&^1F}BAO*>4O=5Punr%@>?*PzQ_=)ZUmr_Rl9658 zXP{^S^mR55jZnI()T}xrF*_Kg*oTAmKFi_>MyX^jcf=hodGp0z2_3|5Be8i!#j?Qe!8;NMe5RW8|wVo-#L zWVNSg6Z+eu>6_30(p8+HO+bdQ&)q$bv3Xr+4pENQZiRbVXCso1d|zqgP@D{+l~nmg z{kuO;N-zKkg(ikIv~9Nm86mL^%4gVtk;a0Oe>XkvFtRoDyEi}Ysx+L%c$z=wiBwT* z6`$$GRW@as{7=sS^W<&xx*!~p02tJAfe~1V-$>8{T*HP{O%yCbo<#K5X0;ovKyOdC z0`a`aOA`Y2OG-d3pagdSzWpeU!=OW@W+4L%+%HXmBoFX!=L>;9Eb#;3&VG~lKG6Mx z?4ZupUSjKSL%j9dJj25>`t%Wf8Uy?uF-%7nB&qa#043B35M4|gCDjBg{{0#9REmb& zI_I!kmh_?w9QrYM(WB=@f!hl8vg^qb{e38bVual|LcTQ&3_GP5pGUmnH6i3x&m2AU z(`;<4Nn+F|Bu373I;pn|?)ymD|8R~Hjy57y#}*1b@(RVTtveVy2bU{*8Lgf{!!@ryK*-HZ9wExV__->lYH{qt|i&<9^xfbll~R z|MUbuuvTk-Ab$$af=!`ja7#SH)A+~hq|q{Q|^Rz13LwZ%#9ScouxD*sC9@s@wvNzv1A zqt^SGkePuj+YiwJ*{4LWo5-ci^_zM=3T6kozz+3(X{C7B72YtB<&Z1Le{&E~+)n&s z7zn!)&|9&D}+{B(QsRFB6}I^?TP_@cTNopbb5Q$MCY6sDcIl92m|hadZJUtiaEkg zy?ouQ?kj*%ANKRTnHGqNT`-c6UxH@5{$~Qtd^-sa$et(RlZ3|Rv#39k0_K5Q+6pFkzw~~&jBX`MGF>oeH&i8yMC8nsgVf2d0j&x6J)7cR*PoR4mDO(Rx1;yG z-<8@ZJl$;GPwyNx5`KSQ{ixL`?%v{Y26D1V3;*$vK@73`_tXVzW3lgoRK>IYS9&xt zaW1;u-vHvn!ZY10LOg+^+u^Nn3GZ;q8BinOFjGWo49 zJ~eNBxH?(z10~nKUP}=-+rA;%v*aXAeepfRlG>Wuhy$rN%cK04vyUW<|Ll-mWdGj_ zLZ@mJV=9?ccljsygI9yqJRZ%z(!};LS|@3278ro!UoK_GWL(>RIRAk94a?bKFbX*~ zFT#T9t>}EkO8nO1{gwJo)K!j1VPNsC=7xlx3KbZ!&l=Vj?)+yV)>{tYREmnkQUR33 z7?N>tzqymHSYHh}KC z=z?_sssd00(b zq{7H?#41ByqD7EC21H*y-e#cJWQj#w<@fEl^ttlMBEX)6Mc3p>{X=$!rnTByCBhx- z`iu^ps@E6gsSaxc7@b&oT)>GsUmI7~{OBix73Q=m^~miYgOmyZ`^dnczBo#|#Cobg z6c;U);B^T1#%%2h58!rw z1tTKA3@?zoP#iz-J@&h6RUhPD8EOi!R6#}8S#sieAN5GTbB?wsQ-n*<3qYmyKd!v? zwkvMyax(l?2aL4lo6rGY8wJKaXJSthg$MC-fYbZnq{~5UuBLX;NQYy!@bep60 z)Nq2o`C13_chJ$IL&SA^-(9`w2wa!+U5tR&!_OQON{rUcgZ3&H_2fW7h1wsBU#fah z{jKBy(ER6_kOhZtQJWU6*ctIza5$>ZVyEK ziwt;!BUK6j+5S4_}u_fr{??emi6Z_Hpmfr=ee^K$G_w-EW7oZAapidF~FK(Jd$b{2y zB|)ZR0FB^5`CZ=e^qx;{(;Z2+38&o{cJ<$fV7ym-%z<@#M6s?ElBg>#e*){fpIJOk z!}r2hD?bqGs3%QD-_R#h`mkFGmSew6I;dwR6^t*kbc_1#?;mJi`y9H zZ#rkE7h2>W(`T?XdY3SlP@UVfm1N}R~e!Vy>xS^5C517DrXIrTBRAo5}M<4Vlc zO}`!tx^>S^BH8vKJw}MMIJ2PL6DHqRHz+8zbGHqeQhBFBGn_HIJRZyI7y#f@CjiRS z{uswW7zjasM-C3iRvRoFj69BtKSqfL4ACAuJJWW@iZi9^5W5qg6q1BIa(`&l0u|BN@DLlKS=W z9k1SgirESwdtQy_g)Nfzm!{YPwki1}&!enF$V3PA*RZvbe2Cg~!R+gZ1GV0yJv$@3 z_9YV5HMc_npBss&M3r`6dMR{Jbr+J=`ISOk6)|ltt&d;;Euh#L(`|E%^KnQZo!J<% z{pA7HP5Dtx;-as~LG`YG3o2mI(dlw#XYrCjqsrof*n%HUKHPk4Psisa+wP7XXtBOd zIUczA<_WOZ)Xz7r>hf-!g{1fW6E{sg+mZHCD&_#IDtMl9l*V^P6o!9(-8ow$vU4Db zVR2k@c)YdFLC%=hEd$J^|94G}Rru1eG=2^A;zRomKTrJ}ym#$AL+fRq-Dzu8udFs= zcI>pBPo?k+yusuhZ4OkG_XI_HeDJy)l1%yb)>p9FST{%b8;Eu*HzdVg?e6>sE(4dV zf&!+`G_ZxCaJWxp^zGlIR-H8hV2TInK*-KveZ|5XT#WTi-L2sAPkf>^FPTg3?w?npZ%_kJE*0dN?ezzLDTYf zx?y2g#maH`Y_g&~@?SlJHnQ4wA@AemMeE*0CX-##qXyy(TO?ki@3_Ph)~ zv6se$df&a-P3g|sZ1p*SSx|0+IsMAZU-JASCL$dcauif81Tu6~{HOB6pb_vZgOd@# zr26=7!dVg$9ZX&0iS%i*`SGk~^4!(JTA@Jy&Rv!fj?Ocu$WJ{-_&&ghUxRNiwo=pk zyvsI6Rv~6=V4PpJThAS3cvuHI;hLdB`E~C}y=Rn^O38Ijhira8JaFvjwclZXED^<*Baut0uP6?9*s0&Lca8l3upN><2wL}w z$?qC;`#zaw!*5i-Xw{SgD*=W!v`l5@bf^+`DJ0W|?R1{gN=F;z?g&|Leh@Z&c^HO~0?ZVV zR5*2BnMrMoq_d0MfjOc`OPmTEQPLUhJUeagD&@>ZV>|10T}t~!he?mTCEtQ5>aGjwfeyFv*bH7yR!)Z@Xn=2SmO&ViC2 z%JhsLiwyE^B7i?(w}Lr$s*^)QESywC-n8B!f4|wEr08-NZIp*ucX7Q6MeG!c8uYPs zcYZc*Hr>GU2EV>wvKVM2(tYaV*2vN@ZoPXzFf1D&I`#S<+TTxLubf2x*ddv3x;m@y z7kBe*BF2g4h_V4y5^kJ{dY@zGLOUVrRsh?Gv%2X|!^@L0gO|>BR=A{+rc2kHUv%$7 ztl(>qnL!h8HiO;^>kx}GE8%^hAdQmIAxS~NH1_-S95N{?u~j4*`As5&jEtd(nPJ^; z6P_wnXj7cS6cjHerf7KRLIhi6TX}AIRD#GS`A=%wuz`nmdOWOAszWs`Lvw2%ObU$ zCO}`o_WJk3Nl!G_*h>4fO7OE-rSnY6j16eOkX{O9J|^K%;fYKV-xOQHPYB1%E$?WB zH|c8h5&2p92jb~|pWiE+#Z-4?e6)Q)N5O`u*^=isvC_MOS?^U6S_YYdu_X5thR!GZ zPTbR^!?W0MhL*t<;1@WbkYaDI{IaGfNi3tOs6Kn%50UYHiG0ArdeNc?uQ*(?@z(r_~omdiHu)83FSX!f4gsq*Ojt+QQc z>(44iaSp0INS8k?XVDj)s*Fe2R?EH4=?t@#U40to+W5&-LrNM~N7CA`r3{isI2!oH zKbVMCZw~O#s_T2rrfNk!pVI9P19CG6J}p)^h)!L@4ltTdA9Z>U5V$lcYP<2j+-=Jw_k;i+@Q>Pol{(HeMw**$JMjzLJ zs`a6Q<5HdWGY&cBT|&_AO=bR776l+{oOMfmSx^sJnGYs;(a(g@!dML@F=&wz(!+IjOMInHR`HCb zC^inEgi6Uy_kihdUb$jPE|*lwndIeh)rf2r!m{zpU(vMGNe|D`-U36iZVh_rW;Yv&;#W|on*)r6))~`PR z^^gWWqB?jV_J=xN{`!^Z^~k0{zBg?Pis<#kxL;VA%8(v+|Nc{s8$k_ttw*=t*pIQ# z--W%R-T4KgahM0sntamdb!;G8;Cq%W)5lm5UgFyly6yRxqyF1r_=#a}fb3h*NuQD4 zIiQsb)$Z^NT9YDnX)!M#)PBwKHk5c()IosW@(WA z?wc)-L^2aW$Q^Oy)s?ll;*O|xO&N=mVS^Wdn|@PMuSQkF+2<3H983$8=NH}k5X5d6NZphoQDupVCFOv+Uj$y%w}FxEfu(8QWlA9dxzdW`XM7&I=|ZJooNd6Hmi zwF&Wg>ga^zM*Sh-p0p!<&?SKX*XFTe1a-q1+sY!}0=wHXHQc(JQ5X;BEA=-&SsW*v zx9Pe>I-rcGqh$ashMmUO;^nl)C(>DdbG$*tShi4GZ(u)7bozMEyT2dmdqjT4+yF=+ zMW*ArMu1#2K6lMN6Elybun%x?+ygi7B<_2Z$r_+x;h=)XBQtX6f#MwIG7j2z;j60P zKi%9lmjb}1HVJdiw@@QO$L1x|s$XDw?cK_*m?MwHN<-}c(bJ}_s8~6ZPW{8R|55>M zsrIb_DLC44umSA3GogWC<3^+jw!d+?;WXEwNSx6+p+td2;6G71{30}YN$7{0RFtkWUg3+&+E$v zy|X*sd+$6{ce^s9z%!`xvNagU?mHGHy(i~^q8=YCkkPX%!><3+D)GIQQQ97U=>EaZ zS=Jxn&c51upwKnxm9g#0l?)Y;vXt!gV&&Bcs0zMo&T<3=JO-b?w8K_;tF7&#L}?AK zq|Pi)iKY+*Uhg544fGE`@Xh*L(ive~Acvjz5R`|IKYJm{Ap+OB%?R%zCJ$^->k(b7 zGB2n(vQ%87fNzMty7qHzfiqG#t5l#J&R%MwIXMsgCERj8>w3Xvj;WOE1YoP+TiP7_ ztydOfe?{TsDUzl9l#SvA{WH+hZ1(uu$Q0P$7bVPkyw&#l@+cw52VERV?-HiU`S|8z zGIKyFFZ9fGAQO=Xf=a8^GJ+}8t7l(%T_-X#X;oOs<@n5V@ZTFSoQVzMP7444!* zRw{xha>gUQJX3xRbK-rNl`kIXqBf}-H<ObFshPssPJYy|xiBKrXNq z7bJoi7PnR4JuXv}8@d5}Ut*;viCD%~J@r*{Su8o&my1Mx+#ji))j|SO&>wmS4D8<- z<_!F1N<`a;2o|8E5EQ0+NGM5ifs#UWIWMeS6Qc&wqCyD3 zpN0?c7wgz&0T(9FvjHEQFJ{HH=y1Rnm!k}ZuBL|Sfq=jKe}8<_5sWC8)cBO-Rd0+$ z5R&i7MMqQ7$K{$L*oj{g_}*J~k9LS@HZ>oXu&)dv)ln?)(Voo(sOGX;4i}^AEwXm) zei>dXFMHP|QHJv4DTlhyyO7IHO(iGWrkGY+`|ZQ-6r6PS8;v;ATTVijAvJ z!QpdQz5cWyLyfjJ25=) z@(GmL3H!sW6|Sh;&Q&gY)XV6qI@D`#?#;J@YX(ZliG%%YLE2hdEJ z&W)yk1J;1eKL({-qk{KC3Qz#H`*cDylo<_JFS5Td8;MHERVAI}1QTjaxzIdsxMuBt zztt|UlgH2U@?1O&ZttN-SX`0K?FKO1&D)Am4@3v0i?q>F$yDUrilS&3PoPIBAK{xK~@qL^n923NqQw&3$pGV ze8z64%8l^LS^ORiyvJ2NxgNgHhcdC8PjlL>NDKaL@f=?s=wel_Z;orhQtLn&*39Ag z0N5jA1jd*285NiWI<(^+D2tCN)_7kI_o|T^E{q<#{$N&#?q7HkOGg`5dtrK571Cc{ zSSdHS!EsnS9WE$XeeSlR zUmVa@?*LnCaeH;ZozKp&NE^={P)8)b{UGrq1gP3e1BRP+X)qrI*W}9W@3z~gw8FKT zTLbW5!@o}!Lic@Q33(S0VSRty0CarDC^4UChwe8d)`r;wU#GM>IG*|H8Oyj!kKdxw zT5?1yh7QBLGZpm72pIOc2SJf`pBq}2C+TjrC72wfoHK^;$)X7e@e5_QoA z=_8TG`YbCI!h}pw)+N_sPz|&(RTN3kLFBaAo^# z7!GJk2WkN4FQpqw4_}R0o^Gx^pKoO?T<#cOE)>PcvQSrqEB~cMGY-8rIf1J%#=ZUV zv^Dl^WG3s6QAsQTyziBYP?M|t*gDq_-2TGu)v9=L-z+4{)PZ_TZe7UQ(=Mk)QMGCs z4rO=Q?G;W|sLq6pg3!zA!SKn;Bq_V@P}VU)fl zCqib+I2NF?h64IPjr?Nmr3P|Jp0QG*BI}69;=;`Lj&-)d#M={_-lf~YT2P{xHs)~q zEEi{yLEs+``=bc7eTu%=v`y+dPKw?5Bt2w3o^^K4RH{5(1yKI$p>CeUFa0eQXtWH~ zN2#Gu5V-%7=U&O4Vt3Z=rrNR*^aaX1fFB2YmhNDlo~I1iNz?}0rT7d~sy}jWG~hhC zT@oxV&!kQWRza%R-A#33BpxfeE?DPEh-L0nzE(Dtt&&Q15tQTvj3*|KmbSg2pr|AogchwFj&8s?J-VY_l zac>7{k)3Z?i++8UA%}cc!pEgvf8mgt0O$KMV0;uHfq(6Mxv4b8#Yf3kk4-8piq@=m zvqD|1xzA-V{+4EDx()!7F8Wl8u4PSX(yuBFV|g+;z6J;M@vsl!Ug~Y%snQrvQ-fN9 z0kiS@I) zz_M1Afp4CX<>!xVT0f4&#H?tX3+Ztl8IE;Her|1!B}b(mSsZ*YTaifEU$H8S<2P zCyxZ4ddDt5o{>7JH6@fb{8#yK7bkbRQK;Uu#@{wGrr$)t*Zt*A3C6UmYW!1s&Kh77oJE6Y)# zQ)92`;bqc^fO$IO@;M3mFXEXrgE$E`arm5ydcvK0uG705%*?NhTcTjhBfW-m*p={Vi%EMMT~U;9=V0K3PS4R+4P zIM>(;ldyj3AV^Y^{^xYo4vUh1s%Sk8n{wI~;$+}0x~U~k^-Iu~@e_e0+A+4B`;piG z38#!%o?h;sEYqm(;w_hhGVhH#WdsWz^4w<$NC@t7JmBy4*?6ye+lmvp%q_>X~!AM=u56(U=?YNW?Wv8mjWKK-d<;+T0D)kF%BDI~DD>OEWXwcEix$ zk)Gi*n!6|PcpUdrkF5cb)v^XyZmFXBGhW`Y zl|ybN_=vMbvlF`3{`v$uef!#TrV1h4c(GPdZ?wOW08bUSuXsQRHZq%^g&MV!a87H| zR&5zOQ`=%D59^8M_0OXP7We1e_%-f-^m$yLN1Y}-1~vN#uyhmf)`WW;-@8CllAelR zuI^v_4YeJq_K_1A^)nStX7mb08Z~;uzlDfNeeRHE_@K(PrOJ03Ebw&RgPB#*r^Eg3 zL?)3=$`hP}CS-2vtW+{#9q+)GK08W6vxA?9u{KnnF<9QXn%X4!{k!gvH6#&Gs)=Iv z)aqBxtzl`@EI_tAHPg9_NP2Vg;@DDs6ZS@VaIrq6F&PRQvC4YHq#q$9)75hY{RQq! zg}`I^v`Ytbb%rf;dfZ<3QM$8#Tebov6?mXA&${E|9Ld{Q~JBv|5b zX|1!|1*g9pyk90)*1swpj~2C}cse(AIc~nndqrESm5c9rnjHAD^0U(@U1iWeYNt6# zy|we@#7n=uwYJr%KMlOD{zJmX>FhY;_6lap-`e1K<}+dIq=X+&EE3ck%zl;jb95VB zD{jSkdF-TSgkf&R9&p-bac3xo*iMq!1M9k40maz74TKdior!8|_q9Yo+jP~Q8Uxn? z7z*y+j1Oc3$x9SX)*M2b&0JXudwoNVTe|wYaX{lJt2*!hk;lCBc4L6`Yq=CTiR?n< zQmW(G>dHym)j06Py`j6q!(+mFDrHbue~$>W@}yJ_$dy-QHi;RYE;eIs<~1eL4*oQLMe*UmY8QT z6hX{RL$$_It(xbmp~jlWl$eK_IhClfN^y7Z`Q_eo|AV{EUVFX!hrRaRYrXID!}ET= z`+2@oEas2O(tYoA36RMuq_eL@eOp=(?OI_&^>XsLL8f~umM6=MuSKjoEJKb_E@l1qOeOfqN?DwI(hgWyWZk;(z zMp2u(QX72756KMH%T9+$2S(>A4;#J*vuB+gsPN@FP6s+{#OxcTxG*K`!)%|ae9Oyn ziL>?(-h1IYW0gHgqA0%1WcA$V>}gEmJ4u>PPa|*K3TmyeX&OLL(h*ynM^SDr7-(?` zz2>y~Vc@SAIw{6F>*6d! zXg6~^$o{%TNLzXLE?`p&xULkO#-oa}%p_MM2dX|jaJEmN1W%|+a2?d!PZKAQ1naT` zSBa^$!nO}?3mRP#@-{@WRkUqH78>Dn0<&qEXsl_&G|NOu;GF>H9{CNMu;6SH`A)^LPH>zvp$R}sPhAKD7Hn;G71Nf! zv+{SCRp1CJtx)YJHj$TR+s@=dGDc$9_j+(|ic2*)inh6>D#G!24D)Kk^AnG&|M@?b z#>7l>?WTq91+;C~3BWW8hhP|L01 zTEAnn%J0%!yEblXONh&j@oSU{9Jr9)NmYiKJ`Ij_?8Jw6eH%cEW-ec?TV^|-ZYH(U zRw_014BI5<*;g&?xB{M=mDFP3rPM`!=enQ+U%sF&5F`A}>2dO(s?P2|o;bW1FVEQ3 zJ<8Pnz-B1{V;ZrKbbJ_+eez}ry|Veuj50?lze}CA-l8;l$CRK8v6lO_AiR*>8gJ87C?bz_rF5<*Zu$aa+Xe#9nV*d$+)pFI5z1ddkc zm2GdLWPcyces<< zjGa@y;vE*KN^iyndCPT9gTRy@g-jfN zt9Z?ztfOR){55l3j4{54TfrF17ntp-$9spy_Z^+`*A`m#FDAv*j=%(P7Q;oq76H$G z86)pS+otx1N`g!>Kcz_0fy(krLEjm7(iltQGn3(1-~+F;wQ}^4iQE#f7+lmOb_YY8 z!Zf0hplJIRt8{sqerH|Aci_vglPe-Cju{tX~`WbhRYPDs`*FWW_~H|yFEx2g<| z%Xe~=CA@b1F*)uI7jc^vVzo8UW4o=dk*Y+4#QyQesg2cOPCsP~KU>D6cEMSG$jj`m zRZn!i^u8ag&kao6XhuL@cGpa5+i5%DKoZCe0nPse9s>GvdJzf9z=}AuLZ}sG@seg*Q@(3#Z~~AbN`{ z=q9`Rp2Z%w3Z>e3blx*NU)&9`vocNOz6F?5iTO6zk!Fs;|@PbD}4SDpahiwBI%Ex(OBYmoY92v-|g&gJx77gqO zJmS%ytmYqAZo(2cbNyH(ksNiP1vz)Ai*Jyb?3)`k<5sa6gcxt(_hVkv5MbLPBpNXD z-u>#;>z!Cvf{@I5Smq5LeMgJ!b~(*;C`u0J?E`@O4GKGF>B1$bNP^iFTcOMN0nalx z{!P{B`xf`$n>jhH>N1q_HMtYa9o*;BSI;k|7((bnfNr`t83~ggvc=SubkIVhf!c{z z?fup;`U}H~vVKESN2aAa93z)|h4Jv#?=pV39a$$ZjW>904da~mcWAq9k6Qwz>K^jY z+3g~a9ZD6olFEm`0Wze{s`_LZI=e)mHc7$bDMO#1r%{kEds6uYy zXq>YcSI@`mM76!_$ISJYB1ANr4m+-CpIE@_ZFeW&glNr9dH($5Q)p^%V76+~nieR1 z?QW-#ZB0jZRK~g^+!QA?YzE2zw3dW(V*n`5@dXeUX2_=20{So`=;@l;Ssjq4wJG4{Zp!?`(Mjyme8A-`C=^Gn6HQ}$XmJU% zU;2KL37FVpElCc}$A%1?|EB+MB|-ty6j;m;9u*(#C^drHif3hct8Og|O@_xuKLHWc zYZyPGyej<~9i2)JJlHNJ$4Z+OGfMsexJ^{b*W`llXtbB~0BN6%{%f7=3$hN$dE*sb z7x_wH>CfM)z)w2>v%VCanIt;9`=#UOnWQWz6j~xt@;ma`Sf9>$*zA_$_nxJ3Knv z`;#`Ic+ z^B+Z|rZAgt&8wxIzCp*Ymjw6C+x14T(&+r9()`!>5(=(v~+{g4br8c0z-F6D@dmT0wN+E0uqWclysMX(jeW4lt?2; zf9rPdXUp?G?|y%LdmkQ*&K)bRIIr_M7vY+>l<=@AvC+`b@KluL@1UVw8bCvXSz}>> ze=&G^3Hk#4;T@%$XobDhtKctBtdJ_!8X9OE;4>B)209ViCFm0HKN>nE8piL>XlSbF zRR4UwgUR#6ZW60FAZc~`p;*WHFVoS zDUK}ofpAgQdx(ZcP6Yiyx5*d;Q;l&xqNT6Tk4K#GJiL9Zy{)~yoje+s zy}WCVXKLK@XTHBPc-#B#?j_Y^xB`smMIfAs9vz`910!N131Ut(ym4|g+K8X(@ImEu zr}k4>Mcoe{J?PoOR>N zaZ)v$Ns}h1j76WhM$Gul%Rl4(eNPY>%ZoVM>1u;tN~Xiif6oYf6QO20Rpnaqki621 zOAm)wGu&yuz4H4%?~#GEF}qCIy$$K03#hBYD6=H8)dFMYp4ig4Fx$*Ac(2$RYU?BM zZIap-3AbR}$}-F~7*x|7YJbK|l7WWahKWy&JPFpni72B$k6$6Pcgg4cYjOWGF&UU7 zYbMe3!$-#K7rqFRV&q#+dl+ig8v0Z3%$f@wvG**{!0_j@R9%%nZ}`1HGB|`Tk@;lz z9r8IYJmB}o@@tvm9*!a@k^gMz-)}8Lg9c-3na}p;mVQsT|6Vp-h#F6I&NjAFICK9u z_`R&ux}bveKex+R5K&0``?AHWM(KH~aR;%m@a4UAKi+C3Xw8n6n)uRHf9t}YwP8J@ z66WdV?~^e5wKdPJ^AMW60C5m4=fK+xfA6ZDPR>@a&Qt0Vs0%}sp(CV_@N_|%24S=) zkQ>17vR2(Dr(o^+}WF>tER z?Hpo>1y?D6tBiB%qc1)SnxcRN*u+rho1A})`|p|mWXL2k;vJk(`m+}@uxWa})tuN+ z*~i{>$+v_zEbkzcGsWR2ML+Plzo=fYsmxpOThga{e66_rL#s|RiZG(Qgg{ld@*H*6 zKgL2IBu13v+;I5aQ`ngq9dS=h#$)5YO7exx|LckR>EPC`b2$9M7&YZ#$D54-RcnQ{ z5lDe9rl15C-~fX?&Wjh_RCR7C)fvC2eqUcJ(x#fJ{{t{agNoIHsz1vh6NA8|;LH5f zR_Z`xs|R`MjL4I(v@A8S`xBjlvWSt6<-;x1 z54*MY%=M)ZWz^`ERrjk|DqAjWZIsO1++za#$CnA5o@D7OBFad>(kI+^;=JI@{cP}1 ze3}V1h4M5aTXtB@!^(RP4fHO&N%7j&jL&8WZ{x`atq6Nj=KdSdl-|56$h$BlM#5h| zivnnz8c+8XIX+m$%cxxeAu8Ou;^oERD9*imn~qKAyCs`VI=Q2oxe6vG>_==Md+~Kl zd@gfMbH)QvV-FIl$Du2Ha15mXT=Ca#=3NC-NqH^6c=3y&=S}$HKdz7&x-?H%+1?_0 zA)|qnCqD|$P8MJcDzWGY)yh^Bv=~Okf{_PFUEBH^$s4-8&~czLW6cqy!&JQRTs$;{ zOh1n_-{}>zrY+c-5GPuk(F}O6#BO)-*9gu~>C@HQr+T=X++Y-${czRXJ6yA$Vi+wl z4r^ymd7fS6f75SO;qup2If}vT_&kqR--bOVkP^Yiik3QBap}I^@v-L3 zu7<8FClmCr%kULqgtyyv8+n*x<+Kp0Zl`m5_w0Cc_VHAmJVO5qg6( zf(gTWw?~*jiN^ijm`#RXOF`uf<%ofEJ)h^+oF_`UYq_4ee+7;&`t8M5zR4*E7$S9) zF=-cX&>P^H)AxGpti09GaQ1iu4!Z(5g>^_#TvQIlvcHaJ&uJ^B9&{zuN4Pn&`_zMc z$^}odwCu6Ilex;Ti!=+djWYI_cDJC55RPVmazay;>%uesettJ&<{&9%@a(sqJ#{fy zra@9aid$Pu&UO-oFeo{39=B)+jWZSNF7VX;Ao9#yublNa%L+Hd8&F=i*8^)XK_nA2 z^RQ2{75y^x74H6T=||n#I(p28?!(&XI6sAFeTg-5!f>zNnS>>!!u10KgO2nwV+zdW zh=6T=vM%i}XMu92f*SS+30FVX|OfN7WSGmx+^~=tWSwuVD%@lv%d$d+Wcl)}_M^BXN3=w$L+pW~u6BdqsyO!%F9d5Xz8(`ML`vso6C)?2h-K@K%V3Zi> zb>B2|NWo4cfrmy8(CS&CjhRPsXZh>{Z#U8=O#q>sB9G9S*09Ei(TG%tScRS_$!DXQ`5HQcRnw6ia6av|={!C85w9rHn?tv0gFB!{hf(C+ODCj|_eT z3gj5UQP(&I!=G&ut)w-Ll~^o1sdq(+Eq~KP45fmn)`$Bv-n`NT>yhd|WSjbYTATti z51;W|h+3FvdgU=QZfY?s!yg4^TMXCCOm>sl>zA{*Qu1xW$T38@k8+i>sO|>leWtN7 zV7kPW&F_T>zNlo9BGO#jubPcpCE`VLeNg7qnH5vZECy?YGbuoZD#FT?A(r84#HywXx()I$SU7goT z7;C!c*R%I22k|oVh&gWI#kjlg<;fdzxlHp3fu)s`IS(AMRvUc{>UrBQ{vjkPVUivw~C2^n-Dm3}aI6pUG8^GCNN6>`Yh4^OF9_cPu{5R#?yez3%T$!1;%bkXG+=l%4B; zj$l&dzfArNLf%)R%s!^46zC+;5<8!aIr|p{+a!PfDD8V*I1Q(2Whel~KulzMGcpl4 zDM=*QjgpDh!97BBu6_qK_dyj_1&kvAW}It@AGfKnzA7_FxI$}d;(usWdzmU?4d;+2(NpMr(kLHo=Rc;2ihOHKydEj`Q@(Nr};9?A0 z8?~F5B!)AMzrIFp4eOeeGh5B=14j&7&Ipk5T^66U6Q3Cl13Sju4FZALhtDkbiE-tA z)C;G;+Xza#Z>ZJo`a|BW=?2U2-BB6yKVFBxASI~@3vO)j=q`whq_qCO=8IRdBHy$c zH8h?bt(pBC)+NYRigsE~5d$%kuZ`sHM}M!OJT0Roi{N(FCQF1dK7r((W+h7zRpZhK z*jQUkSn{?b+RuKXY1{%k8ZlI9Sk8##Q>u@yqw_z^L(P#L6c9mVl)bS*O^&2@LW1{_gFq z@k5@!5~Hm$NLzU04rDd8@HffUDfqmrulW@r^h-_hD5-??_r|cHldS63ytq-KEUu#B^21(7lB+-XixrzUA8Q+9~^hs`R?-%57a1U5+W#&C_;mt8yBh-0m&f7Xo(wK zRdc}_Cbv1+f)p9S3v9Wqy)K|K{otkv`=V*0pJgwJYy|+u5!R2?Grou%pps-o7_8iB z=nU0`$17)A!1^Q)41a-ALHyR-RS;ZF0Hip;dE|VtYzgW`plUa2=2PqoS0!R>z&vX} ziB>57!1+R@LLXF!z@-x6!o7MUXYI)w0Q0}Af)y*Qs!#rB#(x(&0yjXqP;`>)`g>EM zf9@}Xw?|Qtw@1^>mi;U>C$hZNjrk|rGbS%K4-xOxY$I385x$dJ* zY4-&jrg7U8fDz{-F@0Ht00a7THC4$l7swzNz%KZ>hXcmo-@Ku5;qgrUqCxfh6P#1P zSzfX3pn&&yfJJjx+Q9p-s|16@H>wz)(Ms0*|D5P^nl&d%8ueSNQG(EH%R)(Hp(UBVZkSC`oSs9~hU$r_}{1T5oe zfpzkQp{AraeT%(4v*%tGOk^t$S%!JOp7q67v2K9{4Rc*+7xa@X$b?fy0W-}mPg;z> zV5SHdm2;hI)ke*lepaE*9axk+*w+~St`8Rqlrr2P;TcaxUr?=a-!a-zippz>{q{?+mqN!MRXm_uq%g1FBK^O8snu*-&~@w~hb3 z!XKcQP*8=I4ZnBvW7hP9psX_k&IN1ikAMqJ*kFAV^-+aKr&Qv||S_ zb~;+Wy#Xj_hK*aNa{F#IU!G64Nk=#oG)G$S_XylIIJz%gT)7x~Tk0yPyc+mG^3|z( zc6A?MMRBOiT0a3;vjyOHVrzf`_`LU4wmLbB?oEBQ0G!M`KMz58vq;12m6( z@R@mX@s;$Z4K&>=nFKi*uNx1~4jO;Eln@_vHm{NG>k#vRPl%%gKn95QU&f=8lJEtv zeSSWS4*xMGUW6ZTrfwq7#pCh{T=B!L#YB@(01z0uB@d>|0Frw}&+NC5rV$3 zq+9e6ie+}aqRU)X(;BN8=O;F`lTO9Wn7J5a$js>y^>JTWQl~7fr9V;N+ z247s0Q?+^Ju2aX78p3OJsTL{qy*2U%9+eQP3?SyjJkFQwhueliTU;8Ta@kfe9^a?m zt_uKZXX45yl2#}UjudonG#qaXJ|$#SO@1(1u=YLv7s$^X++d+f2t*IR#j5RTsNhTe zni~XEjo}f9&|hBP5i-;5jO!T`nsgwJw!*fn6T17}Vpc9ASp${;iii&t_U{k3J~2jr z^gRQcs;hps`Zgm0!q-H825*Wx%J#y>nXFdR9`=ThI#ff@~y+%p} zj}7@24}<_AI_eWr=0lLlCrq97`=P^RYbwUdsd$|?-_*6Y`gUZw9>)d7wCY%5G!UTx27`4#yWBiA<4YgDMvf{Fkx8t6EDS~g=W={WA!f+f6wsBAP6{hio4!*3s|ouBkcpVxf}bMbdca%!W;C;!o{N@$cNJZ>4g6&-NGXqhk_ zm&3AIMb41C$kf2!)Wvz2cH2&*m!7{gNe%|<_&nI3-EJxRmio4&_kdAeKVX5(6{_=B)-%9z^!uzeX{PwM2 zPVWawZ#OL&Vm@~aMZa;Yd-%*hHt~)+Oo`f6K04tpX{k_xDy&CNM$K)vELSi_hN;PW z#lEOs$kNHj43y*1_6Ii-TOPS}UVa>pDz}sQ6oRssI+%Lc3c?iiD0^iWO3?%v`_!^m zjAm(UT$CrP8<-Y-&<4@3$=Nfq56t(?rrk%QV%_48x_zaSS$^ysyf)G3vcO5rDAoJ?8J76-p3_&9EG86t8o-WZ)Oa7>LQfs30*!WEC1pN zqP@bVtLXDWp@;X-dC9vc5W$=hX#BAIx{?T}+9x(zWwrn@5xo(NO!YErYkGAZafuT% z2u{2p5%dUa&;8T2FcKZDTyCDmlbs6h?N>EWpmN0`De3$8GeyRT>+-cEGcx|)Ooe0r z$|b}|NWAFJB@XX;}hj6C0Z{_w~QPO z&T8I$-SN62IAypD83|uINoM!qSxkBI;pX%^;!(4CjgbjA$M26<^N-{{D-KxM1cMYI zMHcRlpXhY-AqSV3@#_=fTeb#N8s{EO=$Fg%Jl|1SDY07_xsz@2ogDTB;CJ!VI(&k0 zw)=ka1%w-pUjIj-1N$Rm63?SvZyaMaDyJ$lH}P{&=U5meiL6Hp<#|j~t@=yk>l(VY zRaMl-bUNxW_y2%@pS2ej*ILsRf$0qmixh(Z?$dJ6a8OV2Gy?t7B@9d{2-Un@3*pqp zT6D;c61tBwp&-@$-J*y26Aqav=55^W@9aa7O+t~}6-W_~ceAp(bXc*lrPp>fU+68T z>F#Kuu1+z(mSP(~GLOwf)ZA$L_=;+DgH#83O<#A!*k?Vjm^D!bv-OlYE*XsrSBM0l z>t%Rxo;Bfn3x|;T@qG;xsr7NykWFD}ubAt!v&Tl$N2(5*Dt|d#!HQFf%&mTwz5x zx%lLu@tme&*8kY^+3sGWik)K2dXayu4SL^uh(k)~k#1vZDowSaLjMYlO9Y}+zK|v4 zL}OV_dVdT=j_WWD-0Y@*-o#r^h$-tuZntB;Rlb;D(B3>^a(*;g!`UA<|5A-7JYh-C z=9Qpg;Sz<8Ic-ywShhT)-5hzNWdNJcVabl$_z1^!FJm*s@TKASd&Ffd1;Xl^MvJRn z;FZo;rjClQ<(n-6Eb9!O?#)s)i(}@N8E#q2igt)i(qRj1GbY*6TsN10#w>fd!e1h@ zi;@X{ zz#tQruTU`SdV8O?9hb8Dta1G4KFc+i_3nLcJkW4ZL;{pl6SZDgyAg5emLa{?NJ+nq zk4bwUvO+1EmZnl?ehMNV3+|%SEHyRs-V+YS5LmUn41GaoRVWmFf5U3RKLjbUKRn>Ja(bNG-Et?^+!EV>{h<$!6_0OjH(oJ|*FICM!>KX*T{1NQ z7>3}9Hl6%ZVYv>b$fNN-f1OUuN9%)@*bmw7I;f4OzuES*4hmnbX1UvDnT z$$~RsG&-E#lot@=D2suoh9p;4YF~uwmU+_4jx>7 zpD|lVcRypUv4?MhVGAQHBh1)S>A9@deAV<^3Y?6mU3X|6jlC8C5H$XV?#6TCpWDgZ z@e=z!$ADacdt{lsrRz+nj(aYB_KWCLts=nBK&+~N*(Mfud#sf}dTQj{k~luMJE}I$ z>nv`@d#wOMS1&X;;%+cEa2E}+KHz04bxH(KtQ653yHWP#R_Yv?^!Z_*nqG0~oh6l_ z4eC?#3c(Thp{3J$uDY3hV#SDwry_UFN|v90hJIpgoTRprU+cZ z{OuaOhdqJ|kNk&+lC5~hC#EQ{aCkGzw4-U6hWq(7@`=ZMHtUVV#=lF$)=VH4D#U>d zN1GS+15fpy&WHlBQL3bxII;EP(k4S!9g2KhGRNOf&%e@?o9btu2#UZ!@;>0(vsS}; zmo&=kviuHoNj7+J>|h9zxTY@NM(V*wb{O1QZ7*fiAXekEb>*NJ?8_~2$0>8>NH`6t zVy}PYV5|ytV6x2=eeSSBIqN^CIHO`3HBWd4#Ej1ZL_0V0Krdw>8qs=i?F8#cLRj@ zW{5xSUjWnMF4+JqXVfEO>8C)PCw zjc>Dp@)2x+mF&krJ!o;|I;tO&kkjFdUNPpiCW?=rk1_4IBFFCldo_4pUEm-HACwwI z#Tz?SFSbAgFXP}1<$HhGv?Mx=pU5`GFGJ}W1tC<G)APCI58A|FUQ5^b^1R-g zYcOew!+wNEkcI@!532FfSf@HxOjtRAE?yu!&OJa{;;9L{c10x2cB)3}(Ec}lCWsEBcdXlPH#=NR z+!QN;y6Eq*iVK!N3m&PhPYj6GpNyHzHU21^hphWILFHK+wU109vpWfXMkg&*^8iv# zM44Q*@85xMfHIxpUE`uGR;D%71DrBQUA!3quaPqaG&2rpw{G+@hurd+^*aaw>zChe zRpt_<5O@~9C=c<@MmU8;M!HjNRpS6f(_LzYga$$NF{FsSP_z-&{ct15%O%8z;AED-EpNK>nUw(WdlpktB_Zz} zwe98SO8ca60Hgg*%jq-&uUS7DFmuJ9SyIOu_X8dZ_##$JJV5isf7L`gi|TCo%PF?0 zC^gV0Bt7V4U&uce^$dYe5YJV9bjV}~vZilx_G-UsgX=Jxqnt?w_gumUBo3KgvQi|W zCwOt{`}X}Jjp4MAJls>x8jbqgGY^yp-hV3={<1nnV43#tL5JUe`ww*mS@&J04}QVQgm1hWY8-H7A~ssTOVLL6&n&{6rrpKTDD79HJrH&h>~4jRdO zqQFU|lz!k{94Sx~sd1OzOR{^DTodpb(iHirHu+^8lN2Ri;5d~tZQ!>gw&ka*eL{Ql znfl*?QU6~q0cxJHQk;5Kz;WLN9OnVMF@NG8`tmPM&l+m+su$Q9T^L15Xj3#0*SG+7 zOIX7X^%R~G0>WZxS_TMpPldlbKz$KCS0csnv^Nd{kz$X!^HcUXXkqu;s% zJkA_6#CUXkkiQ#`f3b<^2zgjWz?l~yx}FJuOM(gc#5|fQKYsmZy}*0WU;!r!bP!L$ zi3_&S6wDK6gr4lblpf~r0T^fX=5c)YX-4I($ zod4op_uAFiAs zV&ej<-y#%+@jEyCZDCe0sHA{;gPe@T8$@hOK?&3q!wzStf?L z#v9ZES(;%ilK#&%=m_|z+%e+|8Ci(#?hh?NUKnhFM&PHZ4F4lLd%5C$A~t$3446=a z!bKwpJeEJEdLeTiEI4_o5m)>_tMNi6lGt;jdbvf3&Tr@|3911ep#&IlPe=3c3sYsf zGAd7n?4}F;mv1rgC@o#C2VDNYzq|?J(#Z5}ugLz9^=#pTw4Sr+d{6J+5OkR5kCN2p6?akj0*+Okq`Lz z|Gu2!)#PjtJOUDjC9RvkyKujLTGOxX1-W{^n84aVht8-@s6_ta(6CxV@o?lq zabuqReu0y#b}?p&$i*uHn}E;;au1Gwj{r&c-@p6U2oO}%cqRsveYdUv4{e4#6eY{` z{Ldx^!s%T=h6w{Sjy%P`Uosy;9ty-WI{$u&jO9kqrOVhL1hPTu$zZ{!Z5NuFzxM;Q z;(>WOO(Jjn**_rt+?w9>AH|yHo;uU1uY!77RgM;)nfm$Qn79=Ed{*U|e=P{`TNmKo z9a#ygxpSozTKYW?DqsNi6!dqFX#LsoOfy8Dj4(iKTP%>qCI`>H{KBqKrUH8wesrvN zv;=)y=V;$^@!O;(K)knrC8w&wBr}5bK>I2>Ijn!dqy$8QfO_ikh}^%1Jm`TWNg#X# zQFUZpxU-BW2uL8gd;$GgfYX$s2Fe~V*-Si(k_$u7Pi=yfKzx7;trUXW^9I47H8agd zGW}UIbOgk3ZV;^Z0jFRBVebF5`li#@r+CVlNg(1zj`IK__8*hVY(q=|kUbB0i2s*e zim#X5{nZz6#`y1nKgn%Sr8QGFly7I0J}3){JNl7G+KYpPeDq%;8#3!M$j+Tus9HF- zip=?on?jKx7lMwhJB-}e(+rYx=35k>5wTH2@lh}w~j49y$5sxuN z4G(^=Ge{=3+~!^vXZnH=YUX`|tnNP&r3+T4JO^+x9-xQ4pdAa*Qi7r6SUHa5i8V;9 zlgvVY8Fx(T)(t0JxA@&jVF98ZK2U573(gsSZKm{l66oQn+zr?a(yj^Y%i386uj31{ z|3nX&R>EI^sGtQBmD;V?jFX)CPUA9IHkK4cGP0fhFSIy)EfpyL-C5nC`QIfD+!lOsMg~*CX&{sDNU#Zui#M{rrL!Fb5h; z0$S?$6|bdaR*7G8kKH-t2Apz;jLOJLBrdM|CoCW$ODF2q(e zWknE0F@TDuG_-s~pVI-*$dE)P(t{&jHRc#J?_e2`^icol*U4^QYb0+2MX~DW-8U)s z-xr^s9fyIA4X=I+!t-*64q5z)j+5Wo1)+i_5_ah_KGzdYS2J|?Y*S=rhWGb(pmTXb zZ#u6e+OXYD)mlDkOa*#WZ&o<`0PL=)?p^5Y$!GfjMjHnDJc?_-fU-n))Vw6kG+tZB z&ZCc^#7?(Z%#Xd7H~SXpzR<-<+QMOM-U=m8gkVLzolh69CWD$jD}Nu-fkK_QEl^5^ z#Ovs@1EuVBv%Qr88tb6QgD^V(vH8RFbf6vLZ2>KkyB!$It4r4EF zs3LuCUp*;sSNJ};%P}zfVGELt{*!O9yMJh*< z{C&VvR^&6R1<*kXBGB1Y!r|MPg#=Ic>h9j;8vnN2WpZ`{ihrvvlgA8^Ttx9RmuZ2- zkzy6#`Hxj2l;k^rui#e~U5vYq)YUJ2MHEeOok9O2;#->^GGjXVMs)rG;5A7T0Bw?# z?mlf;3F^})07~&&QAvSrdGS(;J*MFZB3xIb;fa+|4m^+ubpv$3+r|ZtwKar{4 zAf|!Siv#an~g~xgv03;}%gZA67Z+&zek;tygl3zB;1=a9c zAVbtwzcx}``O4jjXB@sF^G}Gs9xVc}D*XeP#r5EQ&>JHI3fb(Ynk}XwQXB#M=4H^> zuj)Mlm1#8gFF+|%Lakhp<`;vw^xXbVc^`3>cJ19x&*OZm4b)U&`go9&w&I}e)MNt!pgV0mshx+?JO}y zXS)%{r#%3K1+W~1PKd{JHK)w>i;MPil#13lfx}zZKig)Ta-3cDb?~Gp;FQx46z20o zcW*}}%t0CpYM>Jx$Q9KlQ{(Pr)3KPUA*^U^X^H&$%A=NLg>3mbSSL3xx9W!7Uh&rc z*K*ly)$8*6K~Lulqua=H>lmBy#1S#o9nWb}8+3dd=Ynyv9yEaFQQ6&0mF^rF{~a_k zFN_p{sm-_2r|fi-dIbyU=Vg$73O~%`f~iA|><$_aLr>tV5n4$sY#3`1uAi;__6ZU57UciW3FaD)d^7qKcjOK|Mvg1cD*oXQtSXHK#vpr1O^s z6K-dVd;G67ziO89di5jj$IRqwI{>FrUkZ8ckR>y6)8(y`cgGb|5mnN+U zO>yy&S%|{W%TESH0oHo8yA9+|f8xPW2pUf#$3VFp!R?BwmWdi51{zThdh-OxXszxk z>)r0wzi-$c{!J3F;qFLu5(lmk)LZLgjyn9#(c2!Lt|7$g*uE#*9oOmgL%yuzLuv|F z26Wv=!8tU5lo_DxvkV**1gXF9YVyrL7)A!>&Kd}7KWkE5$I4VLeN#QsqN5FIvZpo= zfgXYYnW%*lor%9f;{(aTbu5F!w2AyL+ok3|-;qau;{=ZC+{!DHcJs$6)HU{E#>8jj z(X^hus-Sho5IoUIS1R?>4R@*_E0?-32Mt-% z=b}rt5#A)PiK^O4+o_q$ILWsdvQ&}5$c zGWwz3#Z4y4lSYqYtzfzDK02=df_3mw_01`*25>&e0y3g8iZmbzQT`rKQvYWl!zk38)%U8>0$d|8aDgOGHS}Y^gQ;G7&g@ z|2;#g%|oSQAz^KW0(Egv7O%Vf`me)?ZS*c6k& z_XMYw{y5vI;vC?nu^?`&ae5X~rN#s1L3in^)}PS>_K*{uL2?%0rBi)OXMn=g!I3`i zn=G^!D^8h4;$I*fv;tm!dUJ7Q6L#fwn)2eSdP>O%FE5%y<2W&Bb3+ zN5{*WPmigPs4G3D*Di!PP-CdV9|2~23mhCNe?=+cVtUdo)$<8L%{yJZbRbwJ^0}?_ zd!h!;s9l=S=iA6laEI)&!dbBSyZPjxwr~qaK8omfTsLhB^v@@<0^Qie7Jz<9+n>`+ zIxn&fMrmh74pAoj*Gir<20nQDvwRq1Z5asXh)F+#lzu}3zyvK_D?xs5%Cw#lU z`~>Hrj)%V1+Gp9z4oF;FZ%qqWenEwP(!*X+`!xFnD`B8HfAa243I`-5&g_4UW`6Y* zz}E||z4Ps_;wEjxpL8{t~1pEE3P?sjl zUHtYENR_zf2Lb2gXP|beFi7o|gnAngcmJe%DTUMbpmr7yIxs^2(wqB9%}xqwY^+ytr21=Jl+phLL{ zUg69MSc!%YRBFpsafnH#d_b%ZcQ>?*QD~v@n9|aUVevkJ=*O*Ax--5r9dZ-jZ5MEG zwqh5%3dFZoAmgU7O@vC8ZUC<4L2*KWI$RcM+-^MkS>(8$*s1l+BYuUU^10Oo{t&p- z1lG4pPVV0tPJubfkFJ1@h$O0PP<0*<%8?NZP^>JdVQW{>^r!Qj3)%v3=8fF;Dab4M z1kxuio(Iz&lQc@((7B>kNVYJ7w1~<>fylb374EkfuRD>u{yA~S)O^-=SHms4UF=mN zq@bLF0wRVtIP-!BI)c{svV63bp+- ziwVSk6gSnA8#t8YU0Jx*_ff&v*mFK)Yk}@ugxY;b>pB|kXvw2f-NqyBU#qtRD2+B$ zqVrFQZ%YtD)hF^63q#dX=41Q_t5dKoEl~1(6ia$|OtSsZhe(0zwbg%d2RKAB)pB0% z6cvFkgEXD9u*y968|qp*I7h}R(u*Ef0_nZeYe6}|Qv6A$E^qE~tOBL3Eo#`g@$`{t z&o)r^Q$TX_yn6?h=ZqF<0S(HwlFH!PU8uFf2Tt|Exu&3Q8ZMVk`sN)qZf$?Ill{uZ zsGl4jt1R;%%F;|1eo=7H4cYQh?!4Z~Uk4#*TeDks$u4Y_FDzV_gu@Ju0ekY|rDCG< zhzqe%n&7FID2U;$ma!TmBeYbGWm;tsw?S2TU9VbL=4>L%uh3t?Ye3cMzo?V`k8mWF zfeJfM(GGNiz{p2yNo*n*%YF_V2cs!|lckyM0x53?UMl;ib)-DK**0M|(koO`Y@H-H zq=LNb2ULG>uEJ@&o0z&&%`uTYHEgDcTQ`jzZimd__h3te@Bim_0UWKRcR~l=3O+!@ zmLZiNpzS?=Q8m?ce?-h+#}QQ8RZ`qF8uI zl%CKVEk-cfC%BSs7J%bYQUhXYfra2|(JNf2sg|glUHX``+5c^>GYD4cOnA_^%;34mbScjs5KZ%yg&5d5m;K?XGPB3Ot^hv0?Y{0ZOa}zrC@{WvZYT4)pM+1GK4?EZM(gi zBRYj{vh`!>+>NVOb!t3Z)1T+>q{n8k_l+%XBSvq1k)zH(4iUZ`7V@V{BDlM}hBv2Ud*^a16R@dAeY&Uzd_<6Op*V!b*gs8_NO(=aJ2j%=j0T z`l=TzcNgYU^BGhYbB&(Ci1$UrD1c6q+Y8TU#3oCSBe9&a)pF>ZcGW_29ai{!lhAUF zg)2{A2?u+`ErNElY!Qjy`wOZkps18Lcdp z{C&dsFBqGr9*AaIcZDPkI&*VnTZBeU_cg_B!Qp$Z#|$v35`4KamIyVsfOVp140GJ~bGP6c_d# zn@w7Ot4TQ@&P+wV^euRLSd#PA?U;96Mrm4_TItcCIl3~a!DQbVvL@6q_8z-SG(s>! z+UirS1#vhvyGO^8RL(;D#%DNeV}8<-a5B6~W9~W&<}E;cQUu~Jim?y696{7+w$C}p zX4p1qu8Jp;B_@K$3dGf^2pT3Y3Des8?BB-t1{|p{6bejlyA7mDM&VsT#+If&5A#W(2Rgv9N+AJLdXvck_!)ucx zdmD}CXO5~QJo6AY_d1)!0=*uPg4wVHld#kx{t<%_Le_(CC)GQBsB>!#QS>?}58Ikq z)$y|G~%&7wa8n*HdFP6tHD;3qf+_M-;}JdH|%vn5RY*JX^o^q_s@w!M^Xx(o42~lHks|6{b#j$Z)SJG0Pt8S;X|G0o` zRFON?b8c+G=9FJd$wgB^%Jt;504j_Q2x!Y?UWj+1U!Gt`bdPz66)=Wz@~pCp39s!Y zv*qQIN>A4o&1h#GN1zVD@f$zY!0|-@Tm>M#i6j3jKkrsC>jog#$Ec{tTbeh~DDhmm zQQD3A32`N(y(J--g*wsFXP(N({5r9^x^_t_tYSYf$PKR~0O0e>g;^P|W#sA)!ozkd zXLxXyDfj2gWoC+$x;HRc<7smwny#+oaNoG2S4HmQkq+4Q5~*&>x0l^j7ivL&&jEJQ z_hEwwhr>^Mrl-P~SqWVMAp%M^vHHc?v;9hn&oy7<1;xTA1+|j$36q#}b0j3m+DKg9 z`{rlYeGStVT&FWkSJGxICHzlnjR~0-ifi8pnI4@;Qk56u`}NgsT9@qs9H0iosO;bP;7pp2mBM2#<*8} zB6F@)7Q?xCsBqzy3I%IU$xShxy@T)wW0@|Xkt)MSH}vT=@_IRWW%;EKnY=N;fzY|| znjS0mS8AI%^ZXG2lD~Yuv*0O3lW`Yly>k!TqED*hbQ^f6y8`;&@}8dnePw%D+_eCW z2B$PmUgt9(uXKsP5{Va=o9|%VYc)a?T#>@O#Yd_@~A_U#A72; zoWj5}M^aEUEEAbM#ClM8918A}vivMvV_FrnE7bO z`a~;F{3MdiY$U08ET>}kfQ7|sHK>?M1dM^k)+5aX-kk{!+Ok$ zNroHz>lsE|6S=R=3pp{am$*5%K(orF1;P4p4U42@f-25|WEdPzX0O>SDGaB-rlJYI z`pKP%tr)&fPstiV?bHtFF>TZ(E5&z8)$I&w#<*CBu@X>#w9PToEOxnKI=oGLpjwyC zmI!pA9=IpLYC<|!o&?fBU{|8oueg(y(@|Y>KMWB9wHCDILkaEXb<|`G;ip9#8!&`K>&Q(phNag|I#^ujK zV=n-9<>(Qu_@aQP&eo4P?{wg$%hC&$9IKu}e27mIcaF@CxJj&z5{CLRWgZ5=R7=ic z{gdf6%Sl-9cQ!`KyC>_wj;9lYgWiArQlz1$Xq`0nW}3cN)1FZZa&V}z8L~AaA8Scz zxs||QU}E65T~R|z&_i9$Y3@`jQyn>f!5cv{f>ogh$t@=X zvz-K@x&+L#s6V(z$jD^dT;A+}Yg=To$$Q73mE-lSHZV9U zq?-RV!CMoEE?yAKSxgbAetP2>X=+1a16fE)Ln^(7XYU+BPFfN7aEy&5hKW z%j@|GUg^(tL2LaTVH;kU9?uR=TT3wnz6@u0Di}|mU>A4uiL7=?h?3q-bc?N4nXn+D zO*Y#)ihI{kiN-)AyI^z1*K|#Kq}EKKiJKSUG={2|t6mU!{2nwO+tH~z#E9agVS3l| z7L3^zzR4X@{CfSAmHA~89edv82zTs;0j-(1>lpsmq~nxG+$!dp>aQ4A@$$z6t}$Rk zzdU42>k%$!kt+MHz+{*`%BDO@(Kbi~Kjz}RNi4lOst*T?okBUCK3TTG_K-k{`F zNbKFo;`^kirC5yYyV1oJgYoPo4omlOjnm@d4wNg!VSBgt*7tU?GVaQ7NtG74P6&ma z`yAOl=YO4$u)z1O{fWIzC+jJ^@0Bk-Pr_-=@!%*?2n8=YjQoaP>d~#Et4|IfGD1He zk@EEAtD~%>Ow53l^VNmF!o^>|Cqxm(t%4>jG9p{r{sSCg4?m_h7rZq%p2>t~t(=NU zSv6~>rTq9B*BGu7@~t8zsugRavFbF}y%`y+^&J@&rI5|vOhbJwg)5`Pauf9MTs7l6 zWvjLJTyO$_Y{0@Bb+szaLx+_pA;ac{@}X9f>rX}YjytE|_$g}*J&^#a5r~OqF4eUL zhU0WbnRmnGQod!Tp>&rhE}65SDO!e!th>*A-zHa}M!&y0Bi!}_eLvi?qA z;QBMXz%hf??EiP8{yk-_LZxu44oDnj8^U3g!Y&t+L#tq zON$52>OVUhANo<|Y^S5vb7bc&k2$$!;Tz_Te4T>mj$*`^W}k0%EjLiaY2knG1h&Pt zMyB;LjDU`Ix=63~*>IZBYsX^L0uO>pPh(?iN!&MYDqQ%3V|RV!3^EBOMRU`E3k39Va6C^g-`OHe*5cs+ai9cQhJ9J2cL` zv~j*8J64zfI@W1O{Fgq&Rt1I%l8Z5Sy!x0B^&V3ct z%&YKRS#&wgZVLHVK%DCuSk}pr|HQFkoF!e(>mwdzzHo#{CshPpON!N0UPy_?iR8#b>t_gy(e!RyndcG3E11uS#pP<+?ZJ^d`i@rBNK5msx7tr zBile#rN!yeL*1Qhx>L4<7&o>&6wL;X8Cs+}E%Vh-T&Zn=IfY_D%=c_{e5QCl)x{g) z)jNq9+3R*5J0F9e3`X_;f0TV?R90KGHeCi?N=t_{C@o!5D&37B-Cat9gmejFQ4-Rr zgmei=BS^k>nXv@x^ySj?3P?QCN)7PABGIr;kDOke`x4t;6l)HK# z_3gg`qnwj+LY%>&97KpC_jFONJxBRSf);V3#^8xFC)z0!Zq`J|Y~R)0H3iZ{u13o% z*$9)C7C5#1Zlx-kS25*Z^`U+hE3Pww+XoIO=IA7Z6uJsO)Md~`Pq-J@a^%Ovf$2v5 zB-Z~a73)q05~Y#;0Iop<7h;WZzJgn(x{=!(l0$CaCC|g-^P^2yqH?LMwwdKrwNJ|L z?d~spk6~V$JH(sws1u3{S+~0B4B#?Sh5lRH5)3Ya9gTcjP)h5zTSHYLPy*)}aMcW0 zFFgQ^A^eoi>#nW>=q9n&?$Pc>U&lX7Xg~hG<#>83Sg)OSgqae48(TWy$in#HpI0LL+~x1-VMMc+bLsSg{i8JS%z*#ZoEWLKJ6<*ZG6qmxDzcG|}6PgQ-!0|6}fmNc$(MP7tGGk8+3RNcx&g_bnL zMIQLa&eMPx>(F81F#M&TU@N-5)JFB=ZHKF)r0unexinS#SG!)4qxUv`Fhx?+9x5$% zp@!8mA!|~7njcUUCe7ERcSce*%*V!zp$3LPcbqC9xc-OOWDS-nGVwIE0ZPm&7up?v ziC~dOD?uGqynDs@51+k~FTRh7$?AWrZ|UVjjZLqlN znZbPW$$$rry9&z?JxBP{3pUmh;n-)>3Ohy>JTb!O!=<Ccfp*l`T?Kl z$lAcAlHm4Ep{B3z2tIkQj|ZGZ>#N7%Qf?SxAQqbOSTlo}&Q$M8y);J-kNI7Ll0i zaj*)y0I{;s2JS@qw5y`Ay%yLKaeg?mJjdfgX9u&JTmiycGp@H7``Ba&ILc@YMB#IM zgScfyD{VW8H|!a`S?L<|{TmU_J?6#|U%bg5bcxHE?4BLwXNy%b$;eOEe4hV$srY-+C=WR^ob|Jv-8sD zk~>aA$sCDBPlQ8-+C98yuGS$D7#c>besRwdcbEb_0=KMBKO0jcegX_E6nnW=20{EL zIJ8_J);=Mq9RR!#tE2+0;Qni&Ib4!be6KsWDDnbIfXs$JK zMx93k=vSE-O>z-Ikw&6)DkwG@ViTbbklRnyfbs=y5%~|dz`kdW^phalDmP9R>8-E? zHEXPGayZ>XW80S8(T?LP>uTKc{eX|u{#aLipuLR$D%!}Em>`^hJACwH^lslt5T#Kx z(X?>C%YHsZ_vbByN$bZx1J>YU?-X7lBI&skAiJ4a{nV`wdw?*)eB zrB}(}qOCp`yB>1Sz?F{Nds`j(fZUA#Hggx6EdJ>rfaH5|^Y4pd|6thLq#t&cH;yAZ`9TZ75@+<2;PFD4J&HLu4E4KJ?X~5&+=mW}uH7uy ze)XBLo4_6ltUGTZ=g4VGOHF;DcrFy{>-yuKhVpZU{ou||emRMaMnc7G7;L9BxESIc z>jjy{D&Bin&2Ca3`Ls)9gcfUAGbmsPm}E|weTuzDjr$fj?|OM+LaGR-LZ=+K$m$)j z%^7}=6_3qF{dXg*8pVl|df!r?tR)5iNbjQm8fAubJ|>tXU?EAXGstkC@6CB_LBET0 zx_8_Hr`y)?{CWN4r*kF2JtyiTciu{UEB4u|>~rH3 zo^+bH& zJbqQYh&q-qjfB;7ok$={Mt-a;Sek66f2p6gM!% z52Lh>xr$RXO&z9rD3;uKM>SEhw1U@gjO%gI;c4cMzMVu;Uc#>bxli1;bWP91K{FA5 z8cv4v9c=Yyhf`)7adC@Zd0x%&1^Qv_O5AOBKr!gOD{w_JXrunw#(Dfvs%z#7rWZPa zaE;c7Qd&?gIVZ;;mF0rj9!3_2f8<9G5Io{&mu%o;?sa=9EFT*|V#X1Tj-*T9_XVob z=@2ayZp(57C?JKoDjvZfJvcHL4Xq=Rb5ujXj6a#ao{^4N%DL*_Duz=Nx>&zhp6J_! z8E)6O@{SGu(gFw^4habjW#iDFC@L@2gB$* z52hL)M1(NmWu#+-G7ZVl?hv_THobf}_<$ZUR4@Cy>`>Tb>$%Jws#M(G$kUdNr*$u6 zVSpMkW9%nPnN!uNO7F zVt$qeiqTXzg5NL6IgFH@NCE2Fb962;IF$Gbc$`N#`;7&GPCbqs0u^p>ebHS2Eo*1{ z(1ItfJME*WjGw|G=dCYrOu}!oZkgm}pXd{qB(AI9usxsU51@JF*&vP@%*IymFy6KJ z-dTe`v2vbG&4<@)A|^W2yNVd_0j7K>nw{@?(Qbr|AR^?^nED1~Jt}bAqo}slQCWMb zvf8Yks(hyGf8dq9NJB%JkKbYxfDVr*a%T3-tle(w)pwy2ABdn}GhY7FuBy`GZGSEL zf*JKtZ0*WQMO{CIC1noftbyWK$5fYbqHX`VxHd@?=L(qtLN;|N1Cy<2fquarK($hl zhmuoFhj1&-6{TQjK>ff#dMdnFQ%3*((JPVIXJ%*}cJvN7?d?rW1jn9w8QuHyX&f6X zFZGOj!?k#a7*NUuSYw=$`98GNYCPqc_Q}ij^C=msuio{?SM{i&b7!J=+7UddPw=qX z;aPuNXeR&l>Zk3H5XlyP*Jgb@zbCqhrTQnIsZA=`l6-N}W}4$N)|dHD2S9}ypU#vx z$WYlducL&Pg;d5(e_B5^gpi`=Ef+zKl|aDaKHoNl{NcebY_267!bx_CLPP_)h3}|F z5piiMs9=8wsL=2slf0|ytcwkx@#27SarDFyV;i(>lbA2)BzkSWTU$6t!aLHA1vZDh|F>a9>30aI0xj?2>iLoOm zMk2iN=I-Y~Y?>R5FR(kf{7RIv?AB?8^YrJuYz_tajuh%c{XvL};dUdFvGhCI(f$x&@;TrlzK;Tgh+jB3?}z|Qk}@nfVB0NZ-|sP|6F}g9kZ0rk87|UMw{3( zdd0r=HX>RLIuETO&`>I%AiI}x%$GOA8I7dZKdhCY#}iL2@azP5S-tyPJUw=NYqZ9Zjk^MOKO(gN(!P#LH#nc$Ec- zJ*OvwcQb1^-#)z0S7j2fLEC*aTNZTl7VN>^l+Ss^eBiF#k0io^rHF@B=|L2n33)!$ znOFg4D1-C|hYdKjl{VOgCKTOyysSfnhS7{Zy?90A@$b&jHhdzGU!0Fg-dLfrnA*n(rTGd}&}30h-;O-CbU8}eVV2QFd$%^h ztQr+#c>e=E_8mBaMWnJ@~@XMs{q3AA^)Ys)jXg6uZF)b>9_lbjz!J`_%G~ z^Z|M7ek6n9z@STd6rqlz^3H(=K(WvClU(J_djqkGW;X^4xbl4WjvOSxd~W|V$^J%m zCetWxx=rC-dDqEXP|Ho6vgsHa^Jo2Rrm%b7w&HN8x)r7XvOVKpZ6^e$uJ7Tok>v^?fQRfjykohUTpagQ zuDm?|(R@RUxeux5`-sSSdKnt5+e-o$@O*npp7=n^F+Wlcd zx(R5ZoG7=n8@BlgclPS?y}djZPF3F+oZj>o!RYm;K_Rq68FypAgz2?$U$;br?LF5l z^yo;S@$v>(!r2BiCNT{AmMW?7QcVdz1!m6#5jCU_qS;?|c7Ld<%`L9EX&(odwcp@1 zJvkOl6lwb84uHjj)E;{ll5Q={DNjDcU~!X#p?J|)uC~+I%r&37rC9C5)1nl;oqxL9 zQK3HjG{BNqtC}tP+s#H5y~#ocasdzZgl^8PH@7!e+S>IKyz)9;m}?Kh3~1IYMm#=$ zwM@x?8}sLAYgV}fEyI<~;6}zyY6IQi316y?Z3=QN{{z0ALiyCWgyA2B_r0v#dopGn za%i}ByojDGiuDIFSuAyIc#AhGbVS}M*og#f2kQrJPQuvwq{Pam%87P_Eh?Y%pPN^7 zHq9aP-bDGKo>`=V)rkVTQqWBQeXjBT2ZV=4=+d_nmIQrzwgl7>yQUM8L=c4aDPJ0i z`?bV$^B`X302wk0@xp7`9XHr3aK>&K+|8OYIAvEQ2OEP*@r4rCLZMuAN>+&^>MA?jm^qC?kJ?Z&)n~! zwr}9?UuLv)&H6c8V~H<1avpyu7y&R1W&&IV$R?LU>kt?lY*l$LZW23_oPqpSzY9mV zoR_?Nt5$Gbl2DwGLsKwzQIBMiDZiNWZRrkQdVJ-Y5oJHZdmUKubsK4Q_`|Ez;dI1e zTiOQ99j9*AG0%*4!eoMl{rb}p1aJfr(DhoHc6vw|wwBzdm~cUR8wX(&K`NXo>QF8F zHzOsnO!hoV`gREPUfs{>YGisnjC%_G!%6e}Ti9%W#P^ZEtoLLTR}geD{rX<_mOv17pnZ_F<;kYzCjM%W;hnVF&ny z2%SwSt0=!(LXDaBmO8Sh!MDT?$UGUHalEz!flU&HLzx1Mm>X7d(g ztLN$-T0ygS7t)fU@MC5Iq_a=%eqnqT?SiK9I?f|R3I277GWSv3e<(As$nqeHw^#s-#n{w2L-o;k6VrXh33=b5eS{{Rs{yr+SpzXJ_duk49KKzZRK_=?ve7 zfHJj`BEm^`lGS<_PBg?xso?nXIg=orh+ZTpsNAtx%2CYfc~wY3AgL0*gt-)3@$3oQ zk{)p`N2P3du>Gy;!#UpM9X#zku!OcCcB)ft99m0sif1lYJ-)@F6jISj?1MDXL8pv` z+naR>;#Tv|C4LKdxB;${Umv^OKl5hUyc;litIc#sPJ_CFOa~@$Do5cE&1{00e#Rm$ zJT91Wm)RsujiJQwp_5C$8k0^=7c;9kBa;a%i|)(T>lEwIg<_3XmDlQuwsqLojgN1| z7dr_?C_U^@w?!CXc0cj?!d!N$+HWPI+>Y>Y@W@Lx=mz&LgUibL9J&Oxhn5ZoO-6-YFa*`~LCE`*&M!_s(Cc z@TGGCRyym=x6aJt`SQmMc07$5?X>92&ih>VGHLjtH)@yA^<@l(@--RCYaE?*)GUf5 z*+DC4eu6-eDrF}lZHJS!Qe#HP~N$g-n5a!1PN z6vP$K^(56i;-6df*hkyx87TKK;ra-Wui-ri)$(zbgEWU64;1LyhHhYf(YAVSQ=G{$ z%gMVWQ|zmwT)f+*7kLApwtM`r-ziChej|C&N7-72msabT_rT{kdF!;qYPGkQ+22Z* zbG~*Tq44EM0DaqV(v_|z4eDX)+2Y0-k*yOwjTRHwUW*xGSL1*pIpX$*XPXO29kbX{ zB-lC)B_mB0l32W{+$Bz<72>MPBoEH&?s_B%amSGc!K?XZtB6*)5vJ|AD@MXc^fm6|cHc*=CPo)V zoS8I!1Z^}%@IG58*`-`J_9IYAZ8e5HO7Gc|_FT#g_{6Ib_pLL7Ia~+~&aooU|DJ7i zjQS&%c?0vXE(X~^#DaYLd(iheE0F`wvY-XRkuv@-V3ZleWSU1OcGKNJFS2s|F`=zA^7A%*@Z0wqvM&{6+pXPg;H{aAGxL6JzpOzSvvl{(Bo#gl0uod0*Kj=`QUaI_lJC!JN>g3YE3JNO;p4KzD z7prts-|nA!|3w#DRL_<>3)7Um26eMcG5mXoP$e@MxD;w79|@Kqm*!ykJ=v>T093A$ z9a32+s(?KnQMqqz0?NZE)R{C@@Hg`Z!@`U2(ue8a5hF+@CtP{Q$;4XNR?LF(x+|_< zrg*Wu#6;CVyT+_Di{^TFmU&OS1Y=W|$1<}h>_-y5$rf|W>W`$D`$C*%S{U+i48;RB z6$bPs)`|`*C5l9G5)Em59hO_nqbpnh3jfR`+Mceh?0O>0*p#DE+0*tN4Wx~b;@!a# zvmuK$&inDsU&1+`z2iuDXP{yH4L<3q+q2F&EQ#cOyOCP6j=Zs?%DY$Fw9AEi_E1P- zbT}!(LhrC?T@id@ntxA2s!|PV5WtHT`FMM8@O5_Ngp8Ir?MG(Z>O02puVzLEHRmmL zBkubOjtRF5to~0}$bEbyC0DcVp`kgGcB|?aHJLTtiBHdLV&(hNxV55EhnO5Zm#s2t zYOb~++~_KvjeHWM6j@}oVA5};_()d<#rSquiRs$Rh%_51#siZ>g(QXn8KpY2Zsrjg z+IGCfrQ*|*Ee?q?(g?Fym4XeZF+nHoE9MZSsU{?g;Hri>Z zAo!bCu@K!@)-FR@0YvVYM0eP{b|7L_2+bGS;GvP(4&lI40bkJf&sHPKWfSrQEk>U5 z1A5rQWB4C1>!-b*>w3ASyly*!I%d)&KHHRrE_?MuH1PZouMIYF)Nj@tzZ=*hGSGmX z&zlPS5o@F**$oR zsY`fr{f129mjeI;8*5jX7Tz1@fz!YB(QvinJ&umY>-h^t?@=_iZ>IU%1|vMdd0utw zW8Tp&c$Rs^ZW;_>&464??FT0J-~w*3&h6V@obz1)k9Nv?U9U$;(IaK)pdnVha4&Q% zw|JL&3UF8!7}PK)o_sR$pMrsPze(4iZq2Sk@F89hRzCVT_gMa>o+UcY-pHmv+V(V0CYqGRyv&ljJQd@jgt}F;UKSS}kQjf4crura1qW-M%2eA$@*5viDr# zK^AC3X|@GDuz{faUQqMaK$CP`E!6O43v#4E5yemLSiTfr*xqwEMf)%}t}I20AK&Hd za~JgNe_9z)U{ZgX1ZN1U_9A(kYtqu3)MF7j+U;~v?LBYS8FbtgTL(N`_F~ETovoNX zs>-rm5WWFk0Atd$Jy&AC7R@*%Rab~U{V!m!OZD54B}8CR`D33?KAGmy~%>!|oDnLv@QMt>}r8W6@>tmfl6) z&_IkQ`VZ5n+k?B_8_A4Wf;E)&8C*Ifj z7Bk-l(yhljM$%kA!F(=tl(q>?&=TAhvfk@pfUp) z=$U$W(3a5VSF=(75fFzoaVP?$8oTcV)Y?*kB9PJDgNxIPBS?uG^=pg4S^OFehj<2o zxa{(|V2a8y!(gI^dA=TK4#_SK!?6X8YR&Lx01Qh4u^;~I(U+qP#jq|{FH}ZZ1C2oy zD5S`g4Ah6qnYQF^KcbcIHJT7=9G`!5p|f>=G1%CU(Pm5;h?gb(ijqQ0ohnf_M?W9Q zQi9rwpr~2;qo%SrAPZNrZS)lhLwm}oVl;sj+NUD&fD7I@I!+?+3nNR`3M~;u8(W*_ zdgau9H!m@5TPHQ=UCwO$i9%67)N=O7=M4sgd=CgGWF@!=hl5Mo>Oz;c=Mne2;b))Pg!dr;}EfuV)js z)I;1NQZN^QMl;MP=|f_^dw1&H!FAB@A|7J64Hn4Zqh2YR6w_vMOP-{hF5ay^L&AyT z>^c5Ohi?W!kMbiy3G)76?HOoZEW}7LpRgwA!!~mqj8OgTDyZ26io}!e=lE!&V3VVS z$NMf}GE2$%nWuu!dUkavFuD}ImLCk(Vm~z(Fc0x4u*|jJeyh9#I@T*6y(B@l8u!`s zod*=2j2Xw{3-1j-9%j-C4Gf5-%@?`5v+9P49sySBw`+%sx@57KEhGroiaYV<)_nmp zfGR_O1Plsj2UsdC{7tA!`w$3(TEuLW^->oLt$diMz_Vy=fPEfhd%Ea_UDPT_T1#P- zOQEa2abI1%&pK_^S(&Ckv>^MWDm!lh_$JS@;l!093Mg7p9?|N zgEtEKRbvJ3eAm4}yAfHdhF+`YfLl%Zdl7+(;I{7&sN~`PBgbr^#mswuEAE2riwfgw^)PGta2C@50a^0|o8m>|AD;?@9vs{ZwL2bo zecy6T(<{n@|BNSDYkX{z7YnnX%6ijd<>o|Jb$O`EMB$n+tXpTFzhM{mua8EGL3d>F zt&S9q*i4(KZj_YM31_MYLY;ei#Ezj)v>en^^EThloDx~yn0S({9%@M6(wGiFua6q> zCcZ%A55+*i?5KZ{q{QdsXZ8Up2Y+HLIdUUv=9Q&q0SpwIn40RfJ+?AM_C} zQ_7UmONBity(5)y3bN6!ZrsMI46$qO6Qg=|(~+g%)~h>`SJ{I{4vaDuH77Dy){cp8 z_d12O9b_>%mk>(T5Ee-gu7J@HC9nd)GZLMe*_RKmYAw3gx43T5r$g)^%ioppzLq6m zn1^`33sL*Ew@5s`A}2;d7*Rx8qvKTsv^qZ4b>#U&eKUkCPV79;G&<|S7aw_>%}UAA z0V~NPOBcKuWdU(;0O;9id8)c32}kbO42$0CCe0rxI&;CBWOwGlSX_L3b5^r@eJuQA z;gpfpKA31RIUF_OBZwhhehN|+IZbyCiEfhbw}95G$-CdR#!=bR@2-udm(7c1PL4+j zdI{;mmg=ImC74`>;}=0HqAK*(Gp0NX%Q7}8E4%Q;Ix@__8B1W~-)RmS&H2uMe#uRm zTd=;Hx?1(P!usbq2ZHN&k1&{^>HyU%96nvh%l)v4PDt5lEOKaOUie40`8U8b%pdl` zJS_~pCCfMD_S-%L5~FM*l{bs+qaQ2OCy<*fXU6+Zxy$G&47--^DBuk!8%r@O(@W*< zFzFq(Kdz^*$Zlp0eL<9R>WAr>*>qwi$<6wb@o(>7HcUchm)Er z7R`j&$%y(wBG!qMn_3fRx<2oGsg81Jbt!+IN^m@h#Qh3liJF_FH}SQ??^;{O(%yfs z`7EVgN*SlaznQ0+oq$X8tD*mg#mJ+w^4k35#Jo3IuMZFDNwSC{hhXyr$O_DIczS|Q z*spV!?bj>_4(`*t8){oHD{^6JmD)>QnXf7}ye_W&`81LJwlLW!*Okfn-4-Q(2gj`#V+nn%&Xiy0WGpPRijed~=ZVGH8F3vs$Wr?OPU;BQrKWroSQW;lW+9 zgC?R=fN3aPuEjEXm!id-fZrNcaNbu<>HZ)UB+p^mBCVUV-xURvLPhz=XeFY_bV{?I zM-@zhei+BWF{-_YW8ry(13(X>ou5R8iIBA~scjY>6#2>L$u>rl-EtK}7A)Cq`2OG4 zh=>-!#&h;&2k4&G#>eRUL?U-69;TI-F!sRGv2=w;>jwvJQ(jM>pboZRg+^$>VBdY= zx+?2FCw?+3h=wS4T$tTjkgQYu-VHJM0RSY&qQLn!JOtN>mIH&Z@PB z2MO7Y-!a?wJl{&F&+8_ieeY2s(cvr~Px#cmR7eV&tWY9)N-*9WKdKFuWm^ZP{k#|D z!#G>CpY)oVOs!Tprq6=KJsG~R^TtS7yLLKsDK|I>Q|NomUqq-*&>iTXE|Zb+-0&kP1r&~s|CRkJGQO^M@_-H%jwJIl-uIi98e?c!UuZzePEAN$?3arnl8Lj(M~RPvfV72X9?~6qCHikW`q%9u z_V5ypTS(|pzn1goeOqYsS=&EEsV^SEhivGUt6uy?(pTg*xTC-`_}T9}L};)$@3`oU z5nh2uxC@TU-7N}?Uuy5?u^~bLdq(-3niA)4Ylik!aT+wxGd+3oWVhN@O`f9Cm!=?w zPTKYN19$Nf1wEWcfLesPZ5AYp7=nk+{6LHN_0Y^ZghG!A*s{lFK`OX7QHe_@W&Zna z=#S@eBG_q6{mXt80a$MJ8Ac7!z>6KBK!V5=I1D}^5h0;b z<0^^M$pzc~Hi2k?4WK>xnm?RhAYiqnlMe;Z!C%V;{-Ai{OT}lVD=zVCgOwcoFufMV zOeSmGxYcYAk+#smJI;xVU%cac?ktEM4d>E_iC9`%60?629-g>{RE&O*+Zm6&dGS1R zG-kcJn$q&}67b|C@Z^7e$mC(SOFYn}=qT_AZAi>^FaEWlbg8zY78VwMmo2CW8WHBI z5XJKcU|eyk8#exL4cmnb(OYbRp5!6z#yQ+CbeX~33AotUzgK}CWHLMt0klRItdcR1 zEn{@->%VS?^TTre5iQjoLnEhu`7X25jy4&tAtM|DSv|C;qPmb(6A+ct5;~A$Nz>~) zuLa6r<6Qh|l-G)p$!Mts1saw#ZDz1SJl6M%bwcw49@teRcm#B0#|4E%Jj#og1#c~) z0EDT#COGuB^v)vy+5`zVkgu>TnBGGF0fbJ;xnnny@f>@;)>@`iF>%pn0Cc^jN`I@28hYXce;**_faP<$p?DJj%TzZE?0xf)PQyu4Jmez{d|iyD9^%W7$9 z{qbW!DB_&NWuelAuPx-~2_(YvE;jasi+gXRzFq&*0*$lyFJjezsh2G7zy#JYCzELr{7`#cQUk`Y;#Nf0D2 zTmROf+yq1*No4WlNq&*99N3Ts9JPPFkTytVm~ zcM58ImPDB9e%UZO);AIG&DW6DOD|+&h&B`7{fX!#9oqr8*2wE3d2zcXzIvUfn}v;y z9?aXBLPXydEO!8G)#~DIcN$xuuHtoECO)bikA}ecHR66~8l2YP$Guop-7AoX1rEW- z;p4Gr@Gsa(;+T;oCI91VVjC9wvX4va)6lVqNPs;=Z5`40Exl+vGEfUEJ!{Q|XoirH z83GcDt_Zf^MoafEDWed{>_PC^=Jk0n-<>wL&~pg33K1MEGIc#Ey5IlQ%jyT6OdKLMu%Nzud~6rra(2bh(J}6z z7#mpVhL!QkEy^1g%k`qcO!#=D7;r)KUar3;kA})2)%MbNZ5%z8iu&SKNE0vsP4Lfy zuD`c3V)Fgd(?5xhTHV$Qd%%Pfd->y3^VALgpl9i`)C#oGFE>Enpgcz)I_^(>2juym zI*Za4w8*^xUF8(0dmFV^63JV^BA`?M1+7}I`>Z_2J-AF z2#`@M75_guG9aT-$gElV_V3@5aI8xW4*n%sz9NTc zN8~|fc6Rn*HaO(e;PBAN1hQS64o7?j}#@P-Pm<7p~*%unBa5IsyMm zLA#G$kd7?xBJz{B$1>Qp_Xg?=6ecGpbsrpOL=jeU{V*6IM(b{gF-j zU&(x)Pu7;MMUH3DQUzUDsasL!2T{AvYU1L)D3G2Ni^#_~ z1J?F&iUO!#|3-A;}@B#0H8R!BoZ4LeH% zykC48hNM;^?`2juK=Ioe_-Gu=;GdU4ok$%TOSx-D-}*MG0gNM@n0%>_5rA=Yhf^3Y$W;p1+w36AJFjn;}v%9*J(S$o`VQw z0`WqNLYtYH0MxIp=*aIN!M8ylqY3E)lUe~u=L0@Mc!XLkHrgseY3B={pY0n0Ab~&D z&*(n{CgCZ_&+1Z)JpCJ84l*OI6O|JfNdI+jB*S|x zzUqijWc${4hHGH|Ha#M%$nmUt*7A<4>j-efz;+RrP|^PS9>38mHGoZuH9^N&W0e#R z>kDv;yZ;qFl$Mp^&cMhzqxaw6d2|br5=7j zHU3+bjez<_2dE56{#0f06|(;dCjgsCiF_g({2wfY+m`XA76OvZOFTNyAGgREwikK| zEB%4Xs|dD&m5%CSE0nCk1feb9MaF{&rVT_z|FTyQZE64LD8}Ws!`#x6iAgo@(x6!c z4Vpj+^aKM?x6-uwA-Vqw)$T04iWT|kyU98JBcgy#o;`3C9p)t-{yyg1ga^&6-pc7< zq)0MTh36#^+#D#8-i8RH(V@uuKe5C#Xii}TL^%SNy5FLiIXO8;kmq_yj)Tp4z4+~Bw+fK$@15C%)hL}KjT9%wHxmLCqDc;CQ|x?Prn7C->PxY_e*{G<05!Q zzpRW~BLmxu*Y{6H38+J6Qc}`C#Ib-ZxaM)h>z``??D@ac57eOtR`$ON1Q-xJ6yYn0FtJ=&!^nF*ZXaH8)Sur(Bf5u}qxrX9hq7mAV# z$uPnkCSHw?c1dW>Tf21MWa~%U&!xLWB)2;`p*U6vM27ztk#q&je{vu^`@>E_m6tdG zhEM&&*)r`+1Y*SyJ`Uwy=(9>p#07rKJM_nMRd8H+xDhS_!V)MT3=b*TzBWBNfU!s( z^RKI5?#XE}AT0ly)bJ5k8W<{tB!YVv!LN=!IF3$qwx>uc7>nI$94!79ngD2Lzi^Fm;5<2Hw{|yNtLedT} zWA$5} zY0!UWKc>aVkDjkxev&~V3*=*k;C+(*nZ}v}eN!y;#}n()KzuIAPC|r@9oKlr;VxI` z&_uFa;wlSp^CdY{5DY|I8Vmr^YQosC!4q2BLk8r|e+t&y>IN{EO~o11`Kvf9yb+dw zky%oqqWI-Xz$4^9!+3~*GW$<%=?|8m;zx{JJ18+ea6nE_q{sjPk)DG1MQ$S#swB^W zmSn0+!vQqlm?Wo-p0y;65)h zcpXtFU;U5tQarPU%IN4QWC<_XFUVi41P~#E%P%`DbhAVWY@z+(p&(Kj)a(Pn3#bhQ zcfY{w11I3ZnY}EtKJZ4772?PBg4e$5_X=dsWRr;uE);d6#Jg5moUoKZ* zkW2-~9##&tR*VZW+`2i#U7Rd3CdP5HG7Y1O-{^dyn>90z@0{o=A zAOQbAXu(Vd{o2LXf$K>2AaZf|aS!ieTUD_E7q;Xf6npmf_ZPRryeUQWKLfqZn^#|Q z5xp!bPXm5jM!AIBtDAzXI20eggM)svdol_Ae+r#`FfepM>YoBZXZ>GRLt z-rkO=9i?tjcVwVBG-X9x{tI!FwwAyBjw==isR78|571-?&vju z6`JXmr1|!HwIQ8VB`lC6d&(RV{r59OGMy1_Ngcg$UyWJw4+`{^(h{S(?@#^v2`LSL z=}a{p6jrNr%3w6lL53_%NCM&FAF>(O_veU<{JtAW_OL&p?xF7Pd$pIBo07dr51ap=ut3~p4X}=v{3+Yg?Navu zFiCn}erSD1Dko4cbJ5LhYzi@!k~x9gU83gYQ+V=gX7CF|eyU|TZJK}8zVb9m+Ce_| z52q5;)sQY#pg?(shdAGa%t!D)>o0de7NZ?9c9;7m6#(zo0l2wuUV88Xp{%ML2yiaf ztqpvAYlxQxTqK}?Wdc=}{|dN33h-YRI_`nOOHddR1v5AP>79e^z9fw~4X80$0X)$7 zPs#=G+r|A^xom*UL>KY7YCEW z`A|^1au1dCVF6&juk-e-T_=ZKsfX#TGeSi4?!sk=*CQKHg%28+$w*U6?t&_QExwZY zzG}PoU+d8D0Vg=i1!N=#)wVx@fsGY7`Q<)w>)0uPfpt5qks2f`84HAb|JXyIWu<&}5OrSR3HF5f z=VrIpP54xT*-RZNQz!FV>tHg^pccgM-_e=G*#YJ?fuSsufVKL)k#+6v&>W!BXV~<1 z;NSiFpaZBxS-i=qgBIR)kfiN^07hG2MnJ;p{#1R_vEBG;`BYua-p4`~sHVKz2Yk?% z+F*FZ+^UU_2gJ|<<(X7pD*%Q;fLjI43za#H#wiPntNV$AcUwGKE7k#`|K-V2#+k+8 z8=s>$-BGO-tL9EqfM33yNp~}J*+aXB70in0_L>O-aY}y89E%M@iCNF>sna!=+}S{{ zCgR~EpkTaToSqhYBhSD~3cwZptnSQyz9iLmLwY3H-N76lwb=|CkfGuLp)y&w%6p@hV=z@r zf7jd78KlVPfUMt!*p(kOv>s-U?SYXi>R?P!!OzOxv;RMEiE-kCNV5)mtIcN2LJ9A*vten|I0es_lpnBfN{ zcH=X^yr#KFh3=2=6>ZMxx{s5GYhEv7oD?V2=7r|Cg+ta98aF)$9ghx=$?f#zZy<$X zehB3(4GllK!fm|ft$oM$$dM+J>I530;gnZ@(ovsB#whOjCe2whq~{bUhHW{`4<0w) zoDMN>thv;K*t_GlJ6`byGm3m;{){&=YR6cuMWwvtD!$NLNYjC4~+HpDnvk$@|vTCVF^ z&+%AS!tu^&{rPb{KdnOQw?6alYbV;Xlwz&$s3c0H<$a8keTxMXhq^|RTg$tWn-kc_ z%>=60E_b*?SGv7!p+*GV7(9zWMNBI!Tn&PfrA7dnk(=uBBx6$u9NA(tu3V>y$`qWs zzkO2+WW$yfqJS~_PpZU-5DRNOU_N{6F6bXMv<%qGTj$8nzLTq3kVeFhm`g;k*Y>?1 zO<<`Sh`h;Luv|xCr5h#6JT+ov){;zME-ZUlRGWsNq)O2r92YHhBsifA?pz%bQR zS-R|gP8QkjrP&K9m@N_nSj4o#{kfn;3=*wyOKa=CEHW0D-O9!y-{jsC8xO5P95T+> z^I@M;we4Gj`(XCP;2fB2qPJif9cC^V#iDuWQ8n3}b_(TX3}`d?_u1s$cKlVn_r*qtOsSNDCYdj0vKghTeA#|tk*!-B;YQVDa%h&nK0D0f!ku^*5X+Ms*uvz>eN|&@(L3zY*{hP0xz1qrSc66MyvVLwfmNKinAYPzkv~2Cit)) zZLu8y*Q*;b5xF@4q8>}dH`;H8lk+#AL1j^`7IkD#orhUyabb1Mh~ehnqjE|Ox46cR z2wf*&x@ujBAI_pyf`JDCu>bxCoZ`smIoqk7cY0swTADjZXFm>o%S)WA#bY3Tq`csfBA;TNml>0Q&MH9F_?{ z(5<>Xx9lNrO@Mi2#;*amLZ$5KKC_SOiRoOZ3rt@vfmTH^ZgUH>e!NYOJeAjh6N)>*!e8&qfC zyGE&QfTLCEun+Kb?=;Wv4!K4WH)lI}9_=ipt-G944p~?;zj=h;$^K3quaf) zExsm|SO<-B!)`6~d`6p5zv5D;Vst8wZoTUPF{Lk0?DL$Dnx$D$lV<45|-QCg&g1kgqgfVJFrMFPQ|S zYwy|Tx`x)&b(u8d&AL-HB6iQ_dFwT9z|0fOHR=Efw=PHBT{0^1mn4{MpN@cyWrFNI zx1Sc?Oqm~UBB*Z5ZL!;$IT#}#xij_lA7C0ynGPTLNJSRN0rENhT_5^d!KBk);X6!> zBJ>+k(3n%W{r+r=>jbEyct#v^2@jksD3y3PZSR^dMT$BDgZs#jxR4cK9GU_Z1=IK= z2TqB)jGFhkYssHl;l0`XC{$Lk5b7^Pc4Mcsc{k76@I$4;LcF^05$U?CRLIcow=AM{ z8<@GHay{^*a#2w%?Uxx83PEm8U+fCkS@j?eyLrfDZ<4L|U)mLbdWuR_6eX;N5hW~l zM)xe%$j@&tKT#$`URaK-E;GtoI;B4tR)e3YDVX0M#P8iaTgF(NH=Fg?rW89FpF-dh zvV^5HfcXeY5qj%mZ&vR6g1~3zMA|;HXZhgoD9MkK3ai9z-$`jj}j97lzY^l4Q{L&qLhL(*Pl&C&)m$?9!m-_I zT?7rF8O*xED}G4;(qk5^j-2Ms4IWUr1mT~NuLV33Mn9IaZ~p&36+npKzGJ-7 z;ZF$Br&kE$0UGPsAM%OzKfd6=ynjN%rwcj$1qoL#v(f;dv^W3Fjk9SZYiel)!E&yB zae_3XN%@5H&F4n^^zaC$gp2z)Y5?2!zA9k_M17~i)ruF0Zb^zNx+qfqGM?e;0z&tl zKB+<&rDr;vA3WBg3-)PIlvkc7m^XJD0%Q1oAc^^vl+&+3hZ41jm;xUF4DV{WoP!_| z+E?k!oa~~(MRy&>zXE8;hEP)4f|&&IfF}91eCi!L=_V#=gwHyen{Xf85zOUxY&lGM9~)Fm(YWXc9*EOPKg0ShFVG(pEcqqlA+)S;1NRp-+&{aos$$r zU^X%``8L9qDx8h4Rd-P61P%y$Dz!MmwJlhE0NC3L2kr7)r~h*%Ln8}txP!%00Js7R zmOb7v0lTl7YuB#58~@Fkkx4oNxcsD1_`w%MI;|1{j^F76W9iLHU0}Jgfe{#R(gOEa zA_j2R_$9QfJuIA7>pdoF* z<{47<1dgA{v%*G%zJClZQ+G&M0CX<1?i*Oy1oZhYMFG|?z>(c+{rnfN*Dna7ZwZ*3_(=nvm6*0L^<$@g?3u zOtyBFQZ=?+#?MC*eK#_IlIiDr zW0|j5KLpx8=yt(KjJ^!7eyKF5d&fh(a$N?X~qS(AG)@Dx!vxl3>)p zZ)h;^AQLc1;1@XX!392GV6S2V!JvR|RN(XZ2gKiNAUBEs$a{@mt4D5A?oh{5Q z?KqveNdMS^6ZrkIn1Ph|k4@~&xJXqbWrzi=Yz>In=-<=7C*_7ECMN!5t8d6D_gUz# z-GTpcks90ETXQlnI5|1dJ2BH+*%~o0a&T}kyk}xyVxj}KptEzawAXQ_v$P}o^B{j8 z=d*#Go~?eKd;lk+2r3dS=#+|Tfhx6ysTkhq<_!w z&#{4BKfNsFlreEOFjxI-VgWP{a1L%Zw)da@*#7^l`S*;!?WywHo*y`V-}ARM|GTH6 zoq?@@l?8B0d+vXq%wIeIzVfdfKQX-A`EM=pXEXn?6liB|*iQ`qJTq=s$0^<{4%H@qflL86is*OetEU}9or-CbD*o(z&uL`H^$1n1-Z`|Atj1nvj(g{rS7D5(Egfeaag3qc|MJ=?1F zaVAxXx-;#EKoBB}(~$-&JUj~xIoZF*{^LwV& z=`T0p#K#+};#4osB>mszXyCHCl9X@%dy#AO(7~t&^iE>iRR6j7FQieladY|kl;;rt zb6Zgl$Rbgwi@^{aceFLp~Wm z4(SE4H8p~>?G?2p1P;E9FL9f-+_Xtn;DUn(s zzs;82Y9*WJ>Bi4=D!+Y8Dvh%o{^`ro>1MAT&fz!%w`PMqqe0lv{xnp9#Y=`^1o zdBN{}%GW!E_~imMW}UB(G&$XG-fPy|1~?wCNP>fd7bT7)GWt;~6^RU|u;-c0mW-EZ zHr9cvOeQN>uR8+ZSiGLx?;fvLsXl#5wOp!ef8_P?xY$KmZuLsXSbMy`mcP5$8#dvk z>PaLPz;rp$o0!PCH-5a!iskWgG@aV*PMns*{7;7!>41LQhl)4rz-lt#pUi63qieS_ zl2fc+JK^&Ric+s9tjc_z=}L3C#sbe^BsIxEsYF9mM@Ps0*Bm3zqqET{q{d>YP)8#$5)!&sHDGtdWV#hBc3qP=JP5E3l+8F@kc3<=S{m9Vt~yi?sz1R;~I-n z&imirDd5#%fp{)NHFMbt$7A2l3<`p3gl}sw6+O|m82jPVzduuqx07-4gcnPfLcwaO zks1a2-`BMc3*tEm6%UIx_#K#+Qr)YzG%(MyA96Wjs;nM2CnO2$^7m`)uRxrG5KD;g z9YPG9!)O5RrXD1bOWZT$8P5n>RQ<>ldKs z{K|6u*@x0xV2Uw|<8^m+D9&NG{Z?CB8x|2UcOpkz{`zRSexbKFXcFj2C_iB_X+;tk zv~#nBBhNkv5s{0@a`q>|E??3Oj zr8lo{?3}jeZNQ_X)33Sqgb?(nm)^0(^q{^z3+u}til78^;5@hMqw#5w^1N_7%_R|K zI+yFkSjblB#n~W3B}9YExuGMM_t5=ut8{k=MpkzyR%N#mmEat7pl6NwysmwxEaDnZ zDD<1oAS5F}oRHJ!r)wVhH%Vn>-_TJ`+4GbzcGBI{`F>LzlnZ!oooo z8GiOxRXT(Jgd(4}{$LlaUQArk1C?P+^G8q}&w5MnNF zbccRa_%iO3mq*bXU!BvI$!)PP)v%(BuHsGd_V;I-LUJMzH&Pr|pXU_%rr{n|vAhx$sXS^g8OO zLa7q5^y0^`2yHGx&~3LmmdQoWxPqQ30_+0zXUfGauAOU{*&Iz6*h(4}dVBoZ`vc#{ ze4_ZrL(axfF;B&bn7E>|ln4vmPc4t_IfW~4)*$zT1$($Z)ym`X8@{vZy%xZP+r_QseV-fBB+QRhdNGdH8 z<#f5UV&6-{snBU24Qf*ElJ7+1$_zl`qMt&%bTjwtw1o0eNcB znSX2!*W^))voM%O4=P{V41P0%D?&hRUxwNr#rDc2`?73z^Z? zA9M4W4=745!$!V%T#x*c9K2>=zmE?C;>BBJ@W;ETz4K8XWgj0Op>ev&=lj;@PLzg$ z-dY0s=XkX$Hxdq(!4b1)@B;<2S8lSDU6nG;&LMS&jj|upEVK2Z4{A64S{_gS3swU(Lc* zuZ+xSoz!_|k2K_01e)R;BiF?zkFZk-TWvMA@MGjPTiwzI?VeLX7k;4&9+vD0)ij6+ z)p*ber%JW znS>UJrEyksdOp`oS++{orkd9INsmqK>+qZpe#!d0pH}H=pX1?ak+t(rV{f#8&b?LW4WA0%@eA z1@3u7!M8SbNq6M+z-x3kf#~;lf$r7)({;OH@MT>epcn{Q8rG_w!tP+?bQj;$DlR8D z*6uSKwxa|F{+i9uJ{O3%Qe{)2&x@EzG%&jAvF*K_w00E8VXrFP3e`d^|t((G%|VAl@=|U!bzt%qMgL! z>`@x`eqX*B>AIfG7Rus7gHHtFhk+Eo7Lzjs3_SHP4>Hi5vOyA+dY()?ysEL>+*|sf z@6b6Cd(5(jq}2sub^(c46Wm?7k%hvX2eXrO165yKHX}Pd#YO_4}=GmMrh&q`QGQ8pSmf;g37ftDYS%qm~9c~rOpNm%cV zDaoG=ZVQKA(;K#0o$y%?yWHH6G_O7Sf`jL&K;`@0^WCxR4RfZ`a!-}>3s;)!r)u)p z6dPsnWZ&>~Tlg`DpCJ*WBH`w9A27qi-4<@}tsKSdDT94>Zc&qWqt zF{ouy*erBOa_OqFi_3?c-o7%x@@IsAfcW5bzAXvp#-B|OHrkMxM}p%WCjnKZtK5xP z>tPZ@J+CV;eguo7yuW`ouhTEO|m3lfm{amk)BmqrHaVRaGBNms5$Nt^i zdT%@n3J{;?%hWVigfGbVGTlQ(Kk2O&F0+#t^d0l+r)b z?-y9#>5vqqggb~R+B{C^4vVjTOU$x3lw$7ZXXQvJI{OkvcZz zz9iOo6+Im-QIp5DMn5P?1|)_;xgW4w1F50K3qVv0P-;9#Ou<6wK-`)!P{$*+$sH+q z2^Cws&LRkX&s`@v{RvG#Lw6zhD4Z1VPLc=&jvX%6nhYi8J+Jqc4jRqwZzX7NLxazj zaKR8B?d^#MOP%cHrORy%NNcg0@Tl%j8m3~BLI6|lsL1@M3Y*X1b?ieH90@0;i#Q!BZVbQ3KV$W6Cxz>+ZYUmCI1UbJ z-p$cHt%o4ZZ@lRyDD|;Q?AyR`)Tz;Vfbn>Zu)65n-F4ID9V)uAWf+3DVh4+DSY~9sP1k*G)Yt z|1j`evGvHiFJF11SY+DejQAhJcPL4&FeM>+xzE*MG-+|GJb^dgROfTH}9o45P~cyo+}#5*FjYr1tjGt3;!|Z+13eBF}4|%%HEA+^+e3q^y#9JA$hCLp0b zVCocC3y$;=yW@7Mn9DmNi=c=VrI}&X5U(MFs~jM^q0Pv< z{R|6huIZ5GhHhiPJ+-gBT#Ba@vpM4vD(NDGaLAp_;Go-1wBq^I52Fo4^j~Di@?RZ} z9@8J6vW1XE*q}e0hm7JR>Awor2-bu=`B9lQi`aN6M*`jT`HRQwC8O$#`{V;j8db#R zNRA9=LjSu$CtiE^;xxWv7t8&x(|GctgVS|MIR0Z1fV022nC$hkOv6TgZSnHzl)v;7 zJKNKuZRUIwese(wUk=KoKS4}RGIrhD;(iyIWmZF;HR`zYXR#(v$H%@QKtOd3flx561HW+XU70w4O5QX~u% zB60Ow|8BK1Gw@4j7u4gKijlscbcDRC(1r7bL~OQby?b1TlI)S9PX7pUv!S~PbIzKt zt#%)j<`g?%%&rNS?W&Xd;2@|lfjgA{Rh$493FosK@!Zp^K)Tk-RYC42pRoet8Dxr4 zA_%ug`}Q-7F;m`GMQ_84SzSK3h-ywi3UjOO4!c+_;03w{Uc9BmgQ6!aqtOFpw!dR3tEftDM=AlDsQ0tx`7&J=RfMJX#b%!dp0R5}6 zEb>dgsa8e(@>kB7_6(Re1P}MbM5AgfMzVh0c~EKLoP+Ty?=$c>6n^02*d;Rctxa$I zDSz|Kro`I%A*AR{A2rPem}!x2BQA10L3gT;G8>c&`|^cow4Tr#MBl7&Wrs~zO|~PF z9zyVKZ+;-e+8DvmqfO^3WIciIE35D7N7J)>`Cgk$#EX_Uw9z=#u{k4LZJ-h4*zOu9P$WcAt0Fv4^vfE7O8ie+E;Mx@iZmz%zS=W=6WkW6L~qiLoFasnEj$IaI&J@cM{2zWh* z@3jC=L*eP+cKB?jSbe(L?ONgXY%6JJb2IBjOIJ+{Z6WbIjHO2E$Gn3$Y_%%QHBZ{G zwgskAs~>XW-v1$&uj~gH{5XuC)pO`R?N1bo7oMi}6mD)r1VZOU&yr2|gDB#jqH8wr zUKiWbJd%}E1w?NVhq9GSOti+Ix!*m^37c$b9&*$Qzo^!|Ec4-#?I#XplN{bd?%EWW zg*e~AJB((kO$nYwDT~d6@s#ta&ECj3&l8z&Lv}fXo;b#P7!8d4s^f~^5Dxo?iSrKa-JIP6F za15E;RE{|3oa{xnn@?g5H0$M@MPhF<9nxq4tHyA$gzjg$8QkaC^tPUowN@hvP@e${c zfu#Z3I;N4Pye^+!v!N{J1CWPn=>dctwFS8%0xrwiSu~k&U5kEy%>J+W%ArXmdBti| z#qDE22)fu@+8xZ$#nEeWZf$MlL=bWfSe)c3mufAIK0V&Y)lAs7IG=9(KNR`A6q6_% z_#e{S;Pv>*P-=8L5^gNz!wFwfv-5f8yynHG@w9~rdronbSb9OpgYYeAM4Xf61fe66 zG*-hR!yulHTuGf@mBc^B^cHyjl;!bacsGe&$>1hatF=#TT|-mRwy(F$pGIzT&E1(~ zD7Dj03l%2`0i*Mf%=9cIj6Rd}DdPQLAAXZ_6b888o&?YEHk)2Rr?DUU?88&Vb<%6{ z*RUZOQP{St0bY59k|l=dno@sBai_Tvlo6rMQi0a(>a53Pg!sXNEC=KHq-H?EgtMkD z%j`*76tQf$l^+bz)B9OPF`ErVb>k*I_L^rI=iam!5~Zfi=Jrn|-7)X0Q{j&c z0TdWg#05Wn|4cizVw3;#6fvXBX^HrxXvQWuf}j z54svd%ZR1&cvq;I&4%Gxf;{M7g;c(mwI4mwX?g^x_A=^w*IT*QtFZRF6C}P!t6q}M z2BxBQQhsY{rzfWZuI=}){kl!cHM-{xAxZ-$^F&8K2|x0J;jOor-;fXDdRaHiCrhqx z^qW!COV^31#5_f&m)HEPkBL+oM?WX5n;@(>sqNV=Ls({$aUA_s!p6QuP%I$OH?DG2 z*F$};_^!_07({hzaGurehE-|x;f7dw2K%Q+#f?laEJ1WNsk_&i%NALYq`0YCW@R)eZci{NtI@ z?x?fH>cYzn4$NkrPq#)Roles@6ZFuun1qCu+Gj}H%ZQjNGT(!S`YjCUqY z>;-ag1C}6MC)PxZ=lw6K5uBvNa5#M$UX%n<2{y-oSz3k3rFul3e$%IEXuKA9@~d!E zE13*@>DjmL)|IaM)p8}ecVo$~y870jmSfgNp|mwAD@DUk$PkH^Qm(BkP_2fL404`v zV2j$FVz)NcP=sEmi#G)gG^w6fNLLp#rmd6wrrRHWKI0iJ zbd#gm5%6=rDEIK!e<^pzd#~q_>ugQ0e6@Dd+(=v9;&O<2IO_}O&tUD_l78)p5VrJ^~90$c5a1PMeMX=T3*^NPzN_6A*&y?E$0WKrg9j(f_N`YLKr@YroBd4{9k zC1*>uDGr5rK}yA>hiq*Fo4{DYyO86}t{F!qABah-!aK_I{HghDfaa$JkBrRtERYV{ z1@eEU~huUYzSX%J|DxLz&9QcfR)ljN=i!k_N_mAOa?8`>WMWL zxH?evSwu&5`c!in#E$8hK;8khqu zp(3X9$jFWHNG*5iJH44R^}}kANJ%9|e1~dy9Bt?;XLSEJa-@KCx4V-!26oHQ4&@y| z9OH2UDCWTvN0{5&%)e%Y>K*215Er|Px|%IWO&Qq&M*85!ha?bh!XZ)iL(OWDA5En>GU z7jzs>X3c&yGXZ7_4?a;VGD5DCI9mg8gXUyO**;+CIsNMID$l-H361o{o>6swT)Qv+ z)ytFlar7vguNq1Xnh5&zN%p3=@?Qcb0zs1b8pz#|0*~-)VV;uR+2oDhb!N? zWWzs7l1z($kBIi!TMPRfA}Gms3wP5S$+jk)km35vR_R=#F8&oXX64WxbLHh=nRSE} z(|2x-Q<5#b=tv^elR_Mo&F|d_Uj%z~-dN+bHzDwcErWxgtjAGz7{hxKqu*~Ir4D{v zr;&C)+sErju++}1r`wTR$CqAC2;)A?0fbZ+Hcde>;~Mx@b)plaC$S*T5lh?H1RYrk zYoMbF=$_%o=pg?zt?in%NxH7Ud&G(>z3P~?ndOPRLxAvS&@@pGR1&dmZwMmIca?kT z02}=X^Y8O>!>{R~(N+?@btHV*7CAlPJGwRi+$lX=!1LlW+bSMoLCjkI1w)$#c3R-j_JRc8bz=ut

!*=(1C zF+eI8(R67(-fu#w5k3BuOUe=Yp(>(xx^yL4%GD0xo_?VbD~iMY_WeCihgiG}?u#2> z{-+yo@E&jjVrH@Vf3_!UGf9iew}*eFSOe9p%?k$fW2!+c6q7C!pTpMrlcMrs1NF0Z#Mb`O z=NEJP-yA<6BR7h>NaxIfZHR<4SNdWiwkjCT1&1yX6O~~Vkf4z%|nkSvUouxaP z_R*;Q(W&BjOXYb4L!|Wg%gHu}+#sO99H5D?v{eJxqe=(wK7U-a5y-F(W|%H$!+(8qHr!sVm3Of>q(ymAx+F`Tjg?l6dsV7 zX|v45{AR2G*4Zb$msA%Ibx0YQ*BbZSs2N zZhx)w{Pd_KT6r1CwOqt%R)_i$%k3cGv~Z!ArB5 zB1V-@+811=QC|HBigrfRYvsC5 z7l=MSKR!Md-+YxlIX~C)QqL*{(sDLe01EYnMt0JC{!@X?=7zt5$bWmy9x<{NFzeU7 zrRKidC9^}rVzawEn2o#zdR|S#KoAm0dN--Z+M ze0Q;?@Ft?o2U;%`*9*wsXEBKW-Z%hUMF??v^|d>&BmfR;;(FCf8Za<_C~LU{c-|kf zPaJ_|Yr=fEz@(oAprz6VK<8vHL%+Z=S%V1-%vQjVwJY2zq01tg&J^`~mZ;a5 z>$IwwLo?@Ft+rgWK0ii2nq~Zqr`Jqwa5^5D{>r6fePW5rW=`Poa7r^(uJ>9G_J6yN z86^BFgtCY3xRh0$9ALF8y9!|4gK|Z`37Kpu?MW&L)rtiT$pH+(rmIJ>nAMe>t6luW zIQ$fV@aPVh(3F_YSLB>sT(B6V1Bel;)yk)MAL$|`d&NjXt`~6*sJZFbnl9?YhA&sq zDtb5so+o_4-^IPA>(5dDm&$8n<^^-jCePsq54ngU5rEDpMYd>D1l|iw0>BVnqeubh zCY51E$Ok2qW?q_Hf9O|N&_}O=wPb$qg4cB5+Pb3QC>F>C%#>)Fm6_*$WsDy`1sErj z>!Uvyr!1;$#{YeJ9(tj9BOin6fGh&G)kM0kdVdW&Yn9B-oS&>-z78YaHc~N7W&~| z5b7g=I#Un>L9UbUYKB^X*?0#V9FXg0|8feaOx2)S2)Bbv0GkMOQblJ;3eI@le zciH9-`&agN`*&dNZ~OO2OTu6Ruz#;v(o(bqyDig)RX$0Gx z`d7%=dgt6c8JfB89SYT}=p{`#ze;I-6jXetY@=Flv+YUVqjF@;U=H!fz$pHcijUkb zA^TEzw((O(y3tcMV~R$k$BB!~ic|%F_!Xhd;t!;Jm(6FJ zTm>01$%JdObjUM-o9VGA$2QyppeYQIz!^;;(%PF4E zk4__A7vsVgok%=mfV7|@CiVtQk=}uAU_6uP3`EERpo7XKKw6kkr;U$~`*&hS5P6-s z&aJMdW72Dg+|_A*0|--YwI*lAfWSaGYwP!bkNK6;@s|j|gyz_84U88mg8G2qem~Q@ zJpl;D7fE7&x*Vf*!6f z{j?LelE& zRKqXMq>{grxHxcYRl7t=2x(y6pNJk5?qe>dkeufXIGFr1$C+D1Sc4%6k}^lHNn7c$ zL@yqwsVMBm3#~K$QtVUy*_w;cgiH5yQ@6{Z6%}xtZ5h_K&kmxsT@Z?n8@^BEN{J(7 z63H~H-`#HMGkq*q4ha4=lO!cmG^bHRa1(_X(h~2GTw5b-M z7E9R`ujd@I{y*&2PPO?7IR=mpLl5*;^8d}Crfzn>ttix}XNnlzisYVA+9NYn%-|2G z(*TU>91P8t+^fSy5HJT#tS~;`2xXwI+FNPbp-x-uDU|V?Ll>U&;aXngJcr&+QdR@P)QM#;FSG3Gq z7sgZPSOf*2e}zMJOogM79#gk?J1)h$L45IecLy>pvoA2&qfEA;WqN^}N2*ve-b2a! z==h+p;`(^N^a%6E>8xGh{n0+2xMOJT%kzwMNgOi2OFTOSJcpO*5AWG$FxN=(ByuMu z-Eg>xcx9!dDm?FYU2-I>V(B|3m*;D*=U!XRr=p2?m2F`mAvLPRFQzoqgR0c!LKF+6 z0}cQLrZ?lX>e0Ie%-*p{b5TQ2PpQ;viY8{1Dm{TvJ2X3^Tn(_^7?_y30IgpDB%HG4 z@}!EZsuK+GSWUZZqrgc7y%r372G1yLP`q{Hot(9vbZTZRnVD`fRvb<7o_`3u*wlN? zk)HU)4RnXOux~IFn&YqKp0XYkcgWK)Zr-f-68;T{se6byD9L1Gt9iDuLyOU(!-;o1 zOu~y~J+)lclBb+U#0pWSEyQ4gB;9c={1yw3#M3{0S~%z&cMoIJ4)PpEf?s%^{ZgOG z*`OVtHjg(*a4gt4j}W&q)gwkWGx~p6FC?u`eFM1|@>oB*MxOK>CD=+2e)(0>R8?mG zX*VxSloDTS-Z*W)nu!0VZeB8pav=0}H>2B@5P-lS!LND28Y0Qb;hZ2mWZ$i`Fj$3^s=>RvyJU;h{)^+)^jxVlF*4x@edR#FYdbN~ef0)D zc={ofp@rCj&an1PSgpYzX1tFZIJDj5jQWWB(|ofVy9o_bBU_PHb29hih5SO52^xth z1hgeI|JTU2o8ip@bzb%kGfyDb4zD$;^a6}MDqWI2c1m~@Ua!+TeLnhuAk02C4le8> zFv%|2lA)^?TFzsn_*_Wqr+lT#q@N+G^Q{iwl{8lSa+Y2$1(r)W*oEX!!2B-XHM`O? zXC+etE}s4A<~QrvYNL|0j-e|R1hVtdW?~Dn*sbxYa5HqOuBSTQ^~B+i04O^*+4)kY z_8b9q$$o|uBD$5vM34M-sCKBk_06CQ-)p!xkg1r1ieU$9o>APAu9YJcp-2uzW8<`V zI7OB2TDQ=Lv;`(GkIxy1dPXvTJIR_b3&@|X%Y6<8u@G9{e;z_#9TkowN-}sUU*a7Q zAfBfxU&s{O>@_3*OjCNoK3%$bTM|#LNIkPWoXDt0`@It0d}M#Ao>?-9DL}2pyg$S^ zfnF0pi04v_*Lst=JzQ7js*T4ICDRZk$V8jz?mkY6n~oPOn;N6 zW5hva%BRW<>GceJ75Y}0g9;D-%e({dInwYMngKl)%WNd&>wtj=5=)?$Tv(0UY;L(; zaRUfOmKJmKm5!c#L;}t+pxY;2?~apoY%`pckHfJ55}sZFr@M#VkNfr~nvzt8hN-p+ z?uU~-Av6_}OEWJ_i`fzaz=#OHA8)B@G7-OTnnm#lC74nLP^5Rhj?OS*++eA5ybjx6%hDHJNHBEU>hepgqa~o%u03MsP z4w_=gQ2ksXLJOaaarHqc4h-1(nvA7pO*ILsQAj?Dj70Cl6$>pUo0RG7D^SfGz99}L z9MZcoWc5I8X52L&24XL7*;oZ&<|{`GQLv`&eehx#?jeX38Q0Swy2_(tP|A2;7}D_D zLr6k?!(lOCVz)DUHCv(z^?8;%zv#mZNI4RDkJqXz6YzMteZj>T?|8M} zI2;zd!Q@{0?EY>Sr~I1 z6*&%U90}ePaE&;UA^08XG#jSAh$o9cul3gNCJ3rh@f*{s12L%R`@(3Pb}c{0oQop` zLLpc1n^})DrM6wHfl1{IZP{)3r1^>IQX!swwR(`OMXs}fnx#9(3XbLHSKcYU%-QTM zV?eH1Zj_o!Rkhtr=QF9docC;v&<)+tbzX3KojCr`mpYmzxf_fXP0KKcgLb+?rUF<4 ziS+0S7yZqAIBToKMO*q~OZK0sl0HT)D4fZ?jiE4)*#0V2uatOfhRah&6NU7Kf>ECM zp6u`2EkMW`fGxaHUxykolyn~lfML^a8SECaJ7Q@t2S_@gcUDOfK|I{%OR!qKFD~`6 zHu3=-gdX@+(wHu?~s;Q5}K#g1*J zUVX+>8P|F3Wp$-BC%(X*TH#{2S=VRHr!r%iq&NjrM-hA503W0yfNw|wAO<2h&}+px zIpc4EaK=duUaWaP1-ngOdkKhdNM&e$Xuk^hZuWP3(C`<%L|)eU^j&EfVj7SqYPdQ+ zzKf8HhjTbMS&Uj~-&;JBVRtCaFR5qpg+my~mf%BNu=L;>4K#Ik7%|bInn(j<_9d`s z-0Zxo(Vk+0%lCiL7`S;Ls4)j?;;qTw(e?xFp>i%>c-=96Ny3sCLC7m;i)nL{+aXJo z@0Si*krDZW99v4X__7{U5jNLQ<34#h=J2@UfVhKESsV*EM#Q%-Wt}Q@U~Nd4rROMF z7^ey-gVXUuMUBg9UCEDt(YOoLx3RcgnGbxUK-R$lC9PJFzbm=wftbJ;BhfNtv zcS^3>F~qPToay#Nd<2h2`revjQkAm4UmZ6KqAa62p6R_0vH9S)4vTgym7Vdz`td;A zRbzf@?ETsdn5O$01u^^C5sR+vWPS~Ko2^l%051Q3(;))(4f+{WLdjwe&{! zJFZ7&$}k$zhIq5zuF|bFj-FM>mH#n5UWURY=(nJ7O&jeJk-yxKzfBP`i2ezR83HT+ zp?NOkHj|f9F?^|+|LdLbR?N+9ohdGwSnrGw+}&(O0)CGai_OO8k8&MSqJNku=_)^W zlP&sp&v&V;6^RwoG~&3`?{U)U(JPTsg;-oSjSCs8-@LYfCyhNE|9vq96|3PGWH5i$5&DE);p`tS}uTn3xauJ-O@G`bTUbUU!Jrp$eK$mPx%0u`tunLV7Le(+x=dU^qVIafMe>ZLA}36XmDhcpb(nPKl~H84EJ>4H)V$1hX5~L#_o}4A%XyxfGcWj{wpk` zxwvx0@wI?AkOn7R(`YZ|P*txx?H^7F8zQNQgfD94{u(uC7c%skHdk&_4CO?#!s+Yq zIJ1+7Ni`}Dcjf>S8XPj?4DcXoP7KXAc8A*BMg$RL0{S=nTE5JQd?Nq(uuJsA1XZ8Jm;B*at;B)x5{cVG6K+>SR{>KJ+ofo>^#r{V~tcQLJM~*4n-Ey^H z=Kl&TYU4%)9|10)0`U0(+u_@6m7jzAG{F0pEdfsa?F9%h>#cF5N&x&c>nT(C-+v3B0MN6k=`1Fw9vPc;M*y)i5Jw~Y(ec+0z{N9C@fv`)`ze5vnUz)l1k6`-zPCe7uIYRS>{-M5x~GSy`{PeU8qY5? znd{@#JiyC8anSjmk7bMCa#drR6^Trz{Pb z0%xl)u``zMO{oM_3697nZe-;~qgtDZcyX(lq)Xry$!c`Vl$9b6&1*2>aV4NG?Mr`G z`zuBm3d-qJrEi8uiT$NdBtb|Eyf~2z>wU^~vQ^ZJ1BGy#l0g{ELOOtX2=4lW`nNSvHH$_5H?gqQ0c6NeNe4h zDhmoIzPZ<%A`AE*o=(IB8XLTJi9@PLi9U`yMsd%rHyx-?r}lU#niwEUfWxHCugU}g zOCCcM-uy<}W|$QWB)C{`KE#&Nkh((^n&obLmU2JLKvgV}Z* zrL6Dd8wA{Z^;*j$kEKQ@X_K6o19x}#Sf%*ka-N41f%r)=*4YChb5{FZnQa6j?o=0S zp7-y^evQg*za-vwg6mi6Yy`Njm+Y31219|U{7eQ3&xgX5Y6T+@;Mk1?9{`olDM zCBo7SfZWT+UcwlTIFi^t6N7cr9-lNlbebcf8|j}2G#^f}J@ExGxt(rLUZOmHP;*dU zki>we&cEO|8Ssj2-EYdSgjgkhm=oxq##A$$m(#5#kHt`+EQlvMLGVR|>+Xno|IG4g zg3=?f`ER4*+-W3o`dv#{O}mBSb7IH`Szh^^Nbqg=fb)Ls7c3x=fwDIxv0s3n ziT?AYP|lrq!PYi(*nv}bIT(_wN@WD73il>nBZTf!(ET>719jJtxCSaL&J>CUQc*&B zy%9ODpnl!oCUs!qSTz5C?7d}BU0c_-87#O4w@o0pySux)27 zF2UWs7w0@jzV~~&x~jXnfA!B$KsIZwJ@=erT=z9tm%+>3i+o?6Uj-uz4UYN2=oQpp zO9((5P*^sZEtgh1?UuK*3 z2TmzMLPEPeSo%54rX%Ir-9TB85{T?Z|By^YgzU8?lwSsK{A$W2k5?nFG18wSo0>qi zQ#J%@Zk^>Hn#%V89UF*mAuZTBK@bqw#j&$^Pj2B+vDIQfII3Nh4KOL!%4O2vRF378 zOX?u;qDm!Am}V`AS}14kz%kP2c!$Y?RAZb7b!;Ea74ra)b!v7nk?K}0LpTu$)$3h4 zhG>yl1gECoCAvHEQMTFtJE z4+??E0m*}1eaL%ICs+tD9JC2V*s~o^MBYCxO;Y7CLHin+*_v!j8m4#6=co&sN|R{! zFEa4@XT+QlW3jc;w@qk3yiV8ZKk>TGN}QduCzd55LsdB8*V7&(_%FHesL04qZORm7 zr;@=S8i^)EjpeaF8@4rnG;Bk`i7^B?hm)AeXMrYX%%o0(gT2M$-ef*CKT&CQyqi=RXBLy(F4A z#sC<#z~||{mVC#cApbD7C{%cx92l>o^v`kIgPPKaZ-)Vt=5KEX$^pmcL(us&@4 z>R>uTuxevi^Vz+P2xV5|*o__>4sxtH^#Z2psm*uCbApaZrNn@BQXD6O_%YLVrR^88 z%3B2r>Zeg9f7X4gT&PN{wO!P(xHFyJ9U0U}OG%hBH9CFHLglSrzcp^9UH{LD9GG}b zhAXYD-Vc@M1^PwGK(_+`b$^uOz~?+Ea~@3>VF@D5C{PrM#}MX{N+*=#qYEBQN+~b^ z0l8nvIb5#CG7IVn7!$x2Io9WB^vPgz4%jrzp?#M9xU2rqeEydDC|zoOeH|SacL2^= zL=R8i*68v(H7{N{%IsIvX>)%}Al zHNo*GZD-m{68wP<=crD~61j|3e4;gk<-Ha7H1)kxmkMc{6nZ6n(1=LtM^3AAEq4P_ z983m=kCefxgSRS|MH18SYB7nGA+59+Z~u4N4xXJfbFG-Wl1}ZGL76C8MszizIHm8s zNfqut(A;+`p4zX`x|}A;D}i8<9f3ly=RmmPRdfSj=kr%@B52~Y=;`TK zwvml7 zvOR*#HS4AMRow#g(NO+V@!*cX-W?CjlTGf8fQ)mfry^1ULwD4;t>&liYjrNHLZ=A= z?PTd=8t3-&H?_%rNcrkNt^Ck%exODH{T~o-e63O5)ic|XLS8@EM>L7~lZmu?8}8Fj z%k9#N-X7{nhs{C7PbwdBCF4WXT(ySj;3^=k87_OVwC-n>_JQ~vHMJXUqy(e_-08v$ zOk42XGy2)P8@tDS`$^sP_|CGi;yTkL-c=8~&xJCDLO7GAIaY-8ZnGRqc)x2?q}>Qk zsK7?ONY2MMT(PQ>gT@If%>KyB4cq`Yl+prR(U=baY_Fe-AxL zMOMV_w+K}Gjl^@kn*se3nCnKTgZg)2WR(2>qA)VPy_g7#Ed0f{Y{JuP4LS?4c(**D zjr}zec!Vc6&r@Zu^QoSQ#ypm*l@+3HhxrC2ZRNH5T03Eft|xBi`lEKT3x|IW-&!5UO?|ma z;x{|NPk8(FwRS?V^xtYHHGc-}+<3KSNL>hx1nt|Y4R5CmpZXFFj)EKlv5Q7pm1@~% zGFSuWYQXq%M`KeO^ut-(ay;nqbwNUbd%IvNA52k0ZK?3D{JDZ+C-LA4KBdLx1>jX7 z(mX08plZ)1*m4mzmaaC*U1$%-%&WW-bYCJ-B@(1)^j=~B9_K9*em|q`s{0NB03HFv z#$1kz{<_KOq&)vP5G^zV6hd+2@`S({218r%+k%dAr-ittmgX?uiW9bJiqFWRJ;ngv(+p-AH_n!(PvM*=%;u9n#qOgnD38E5D1vo#W*_Wcd^Xjj{}(UQ;`@wg$0E+Y(O=B4 zRCkVv4^{uoOesSBU73x;*|YxIBE&W&7=^53;r7T-7#e%c8%!vU1DC zjQ3oJTF8j$d~%rV)!06G;?MK)=t{~ykbRJsDEr`c@JR}|gMXJ~>LUdz7l3-ov^2#3 znmMc!Akw_=ZiG5#lB^SXlMfr5ir~oMYWfM^g(&`?U$Djm{>#uPaZuelA58A#?`n*q zZ5|pLwJV|S*7ofQ( zfuj7K3N5@#@ga}`l=JcN8R~=8=15t)+?&)H0c>#71s7XOCmM*s>uNAw4SUl2`c-XR zoQts?U~e8Cj`Bn3*|v;yJ=G6}cY3;x+KDv8Y?qn@g%6N(nfQ#&BwF6H@O>DjTp|&^qj1??iOVR zUJk1(-1e^)5hl>49i7$lj6#ML&;nc+e8%u_#!W6qyBj^^W#-Vxgfc)-(Vzj~md;@{ zSuLj4m~>!X4Om8>_umu1zIj@sSoGqu5a^30xZ}|3cRE=~1=x}hnpfb&sAVIk;OFuP8!9o&w#PNE9=lp$+D6Jz>%G+F)uwwKDgV!FUbA2IiRuz zZse2iS>;=s-xqa+9Z^4xuJYK<;3WN#V`P}ItkTO?gV#R0>H8@TchKZ&Vyo5a+wi9j z?#l!U1Gr6tW6B&*4x{$ADw?>7=D&B-$c;%?)pFl*=m>y{2hhipm6mulsU+7cK>q|# z0IG#5l<@#EjDdn;Adoq>vRed57^#4bu+EJ5R3X6rR_Oq5@Fevt5m-4q7PAb1R5iY~ zKUv{bZS`_5y*N8l)Y4?@dzA!f%27}2l=+rV1GTv%cCTBz-ya{_+Xbrl#gn@C`^K>; zOG=+^j;7;imGSjl07=k}{2s{XFG-O8|4m8Iwv=w8vm|TUq=vK3(m2XG%ehAmm-`jq zoeRZSr7+9YHs2Qce?Wxfm!@u9-o|fI?R-huzh6GkNqgAQ$;FVbd04Wcv#i6Uvt&o1 z+e9uUIOuTvl26548o7UA1af}vb=RZ5|M+D)r4D(#?Z9_|dS{{c!}SsnlCvLTj?)mHr+pA7gWEHP!l^1WJ2?h>(lI3T`{}WbJW%!vfvC~fdqWD~R5jz6s6?kfd zOscMJmSij)KAoWO-yo1noa+Ru5pE^iOsA1mPJXrITc(9Sg+H!yO8^2A^8W$?0wfL< zzdX=Aa$kU%zh(|+_rjb>&@RUeIgx+8h5|f^UYkyAp#;0|Mge7owGp_YuWHFCKre`d zUw*P<3a9<4`fA1rzWsLzaJmL^XpZK9e-BfsyA*N(O$Jb6W&&r4i3D2tN5XZzH=Xr> z+@sDSuoJi^uto@YY>{eZda~(0_mlw49?ti0$eb{pFt1^a&xlq85;w|;Yy7t~h?JY; z8=JFMVM-g5@9DkX3xjg{zIXj7!B=jxu5m;sr*_4au}j%+wm;|Q3=c;&r`xCoWdH`Q z@>9Riw@xy9&Px#rW2y9T3#}jw zXm+;Jb100+2V+Br4C2qhYSw2UTC3J5HPnH=3A{9)NOtDa^!jNO9Bne+Z;-luF(7Vc zDk&?y`@&4?)$@6aLP&anZv8~+HBVS!{;xdY&7@smm?|a0Yxfit<^wk=8xvFPlU!zV zCpm^sInfcDfwM4(&#T`!Xxig+&0m!OE==XkHV}D8(E(JGd~%N413d+2K!SoV?!QnV z|637dkY2ks5~wS8N6=(}GE%AIG@k02OdR5({!SsvAJ>&wI*E~)G=a~ zW`&<-aoy^IuKwZ6+8IJG9PXXnji*A<_s$ptaXm13nuMCVQcV0GAf!VcwY5>fB%O47 z_(!65%qBNv#o+--Y|N8*#;FXssf8xg96zWYy^ro*$%*+qL4fH@bhIGs&k8{+ z%4tRLr!wf3*zV~q{a#lFAR)zG0MztKhQ8KH*o_3`9`Y;Q%Jf=b9Lht4GyzvA1+8Xf z&?{9YvXCF2feoBdzG+pP2?EvwvLot2xILgZE7^9v<5O;LwODIy72cD_a9QN7w+UJ@x>t+}=KPTB>F*pC%Jx6BK|!*v3s*f$FkxYDDC+1I7f`~PMgNsABnR?^ zs*3<0sueua83;?$U7+0aT+j)jFYx@P==xQe>K_*@K;{9i~&HpW_`!AB@$IMRN=P7GKl#DL?Z$t(m#rGiCEbMr2+Boz+{(E)k_ zd|}K1N}Ak-HVmuUPPy+4{)-mA+7qqi#S~o*p060lX!(W^q5Y>TGA6BZ1F*Y?hrpi8 z>qXni*Jvrse2vqdQs9xp58$1^W5;CX_pc(zFtvl&TQ&Kkoq;m#O#DNhrf+Yj2}J@A z_?C~NMlyN4G43&pN4_M{>ONUqW|zh{_2Occ$qYu(i+@%88b_vztF>&-+CJ@|h$!1Fwf}Yyb)6OU$+`xs!?g)jt)v-Wd;JeQM0fK~JY?Ou zxd@WyBC22f^Q!KU4Vsu|cNRgp#~Uo#9p=1oY-YnC-!J?pL3Icn&U@FSX`iXr`(Kar zjA}|PSYeoB4N9h+_ri09K-_Y2Jq8)CE@1WydXV4X1}liEOB-_O;+HIWG9A0^u zhM#)(X+h6@41LD}tgmFZhVylNWlP1|5}fW*#8XP|ge~9%QG5q5HD@n}sn97i-IINx zdeJMMC$b`O#1ixYPG3rIeN`b4edX zd7y?C56s^O2`3_t7pt4PQwdE3`JseCL#7Z!rFDvRP27$4ft1zMfcQ7Bv}XuXu1JmL zc+2*ns%1GMtuhxtecW!nV{PhaV>X?5%N7ye;-*OJUNp;|0v+CQw0?Vgc4<3KY~gvj zCOCMu-W3YF-ORHgEyM{!L2lmCm~b6Z1uBCag&d;|KlYXHh>pyEjQuV1X2`X*j#&&t zV@FF;#)_=``lu#^TE?n0e@Yg(z!YTd%UV=CM;F~8mk0Sz+Kq88Av-QD-+7W;T+a-T zlp?k&d>%$~Bnw+j$>mfQPengRdZbIINO%T&ri@m?hvn05@x((QAtMn^(brf7`=OwK z3kkr$fW1GRLN$n=Q`+HJmwUs@zv&0&6xy`e6^fXv0*|RwNhV7{`%u2}Tnx%hZ6i_x z9bC2a(Lw*dUm?80h+4ZYF47Aez@U{nm{T?E4!M%+o8{k^C2exb^lToYJ3rVaU7JU{ zJV$$B&s9hFeJ6SL=YL5A`FgQ2!R;*!)T>dxeFI*_A7A?8C5do@MUopR7u&CJtiQ9Y z7IOi<_pjGV1i1kP=$JNpj1$D*{`nyy8$fWxW}_=_9`*l!>;DH=z~7I$LVMIEm)5k_ zEyz^7*9a_@;`NsPb(>JiU?FwFk1DdDdEGACNmRI*2;om->vtXrsjgHh>6QE?`CK>g zqvlycRN>bX7KfeZRy>Ue;=jpX!n|ZL23et^_AjhhsJ;!d6Nln z>XJx1Nd5Wco-&Wa=bKEMWMZVh;>p=4SU+8l+GaOvetyxD0rb$$?u0zlbSsU!OtiIP zZwp5jU*_e~A0J`8Zzn@p47*?&y&ftp&W|4I3I=0zr>^!IDow3=45ln^@7D`UL+L?m z8(9vflY6rJUT!|4tL&>Y1+5FW@dFpL!EIK*`ns#$wDr7Y##5-8AKuOH;vdiMi%Hp! zxX)f*R(Gn)SqAh#%gnloE;Zdv+;c82c&tCB!wTgJ3s8N`CTw;Zi{%60FN~L)o;KREQl!$A2C3Df zyJ()XT9S*N6aBH}P*>g5&a!$xs)}1iv&S-zj(rVlVsR>x0sqfo7~V6keJ*2 zEuNj=YX`&icr}wtbYG#gx4ICK(5HZ6FoQ;A zcTlKT{~m15{H|lWcdsl|KR<*SZ=%X5J(ulbL1AHZq7WL_$H}9dBzv&8#ya>6gNN$+ zxwg(NVMB$=l2XgjDymJi=l+qjDqh7}*VE8CZUzHx|HbDPlq;9E;E?7_K7(4iGsvu` zcEf#q2N<#Nm}*)JL>of3l-lAm~+@&rsNTuLM+jheJhxSIZbaX)|Q%ETB_Iv z7}XK&BqefbYaViCGD*p!!J7Q*(b{~`2dsGtS`$%RbW4FXx98qFvjT|E0Psr(g zRZY5bwiepvlQEGkO}*T)d@>o0Fz|G-l+NPNCDEsR>pKLO4hLi+#yqa}b3tMjiw0s4 zQFvR7Wdt}eP>@7tRnzI_j;^CuO*!$QY8I)OF6T`t0_bZcvTdLjT~E)mMSqU?S*8`; z`_k)+^>kVXE93D5LXE%->SHCF5CbyYpmVdqmS{6KKPnmlv6Nv~yDetoMI#SdwfUiEN( zjEje8H7LGQmGOJ(ht}zpLAFhwaI|F-v149S$k_EPwYmUpKO-1G}5etQMCDIq4}gp4wtCrRWB*l;j_r~ z;!6j-=eLZ>5O>HO1h(8v{m892(4Q_JT6(qUBbbHSX)1tBsKRpRur#Y{k!Dlu$L-Hl zaz7;i_Zu^f{0(SXy)W$KMcY@Euoc^2o0=&Lrb7sj-crxhSfrfhWxKz)^_uweuGvOHu|vJ>!*sJuy$BbRrFYIBl(Uz7rD^KATE*KoA1Yz z6|Kvesb3muj4deP{?m+ z3|UTaQJzKDKigdqf`R1MXt$G3gX0xdB^O^B)WNxU1IOL^KWU8arOr*v^@5Ex#08##P7%? zBp@u1GfZ-S+4=T8+5PGp`QceZVN|uL+;*uY^BfafPe8NeOvaYAE?(Is@_~ZAH054)&D|St zQhi3)-`u-_y9_&E&LC|Ll^ToZ0U`fWDo zvzw~W$&J9vvx^weG};n+gOCIXi-rPhs$U=RLHpk-N#^q%#%U23yiv9~7>_mGt*vX$ z))O<59`~on3bcKJLIk( zbTO{MpA1bi4HKKT^;HEJ|9IuXy7TGrILREZy#m&UR+MOlBE72Zg58;u;=v20j#=x@5 z(OIw33gVLW&vEgXf$SsW2l;xq)YA(dwKGetuG-r5wwVc*c65lCruyKmULP3^jU_y7 zmK^tgBwZkDv&$Iq6FSCCwi4jv%g_mltg@_!N3mQ^xLFvW=fIS$^)1cl<66 zc@X55#SS&YsxS1%GmoIfEK&3%Ykjne_d>-s=_)f@-?U^Hu4``>TuI}XPUr%4qGa6y z82<^4JqLBYB61secpVo{7A?xl9b9wY_C~Mg3-ja5z*doB8t>m=p0CZT+qnrkgR0Wg zd*NboDY&=S>W+rdJ`1%>&pQ~hL*KVVni8hXy3K4L-vkt`jZ7Hr{BTWKRqS#+K`}4AgY%TG#}@pA+L4~fgQtBOA0-q>o1ONd;iaB< z_IYfkM(bhs`R!cOi~HC|vPFLk?_m{>K|Utfr9b2@K9&~luiiYqF-NnvESWYw9qCNx z_pi~BcG>I)kz&FTG}bNgrr3$eGr8TaK~(~+3MdR@V*F$bzLuHC;Ji2QsyR{LRnJ&5jtKk%ixyDoiJ&yq7g_l&se!vfFg z3>ifQM<{1|%NuKf_L{%|6CZP8!U2}XXKz>q!_Uzag?g3deA1ga-Icl0l>KP~)g+sg zZE3v_&~aG>AfwO6l!P*dBAK4B^n8vSUVG(A*hBVn&?L3rxy7L4KyWu(a()lcAUi9? z>Zw429oE`dtqmT6U8zGLK1*wO`(<38>bBjGpG=yI(8cObK`M*1qZe3G8$6NxB=4X1 zSatXv4Ww&C!$q~WVdJL!MAn<7t#|Dz%HtJ{p6xM{L2DCh(7!R4L_-`Gd0jhcEu;IfKGs zvk(s|<9@6VVYU*5U-APSq{I@1tq^y`UW%_x6ZT1^zDqb;y04eLd4?_YL3ujW=!=B#OSQY^N(AkPPDS5fEj@s_8cnfSOq2 zie*t$B0;1>wT<)b;+Sy-AC{+|oyNRTiwa=vufRiqplZ9{q*m8l>do{-gs>R`qZ6zb zN429v7{X~WuhtY^j~$uhZ+1^ZndZOpf(o97z}n<} zV3krkone+OurusVy8=y67a!`|zL8hB?Cd3t56{*psb4`ioZR@xTNPxJfC$D#t*qCj z{5-Ne3H|lESxj&6aTQGcN*)_gd?r_fGWHLTcw8o0bT6uAN2%CztO}}ddNMul?{r4- z`dnN+TBbguk;}+zvc)JvD4s5Yw0wUmyIfSEw+TDCx)J%N%aOZ;wHxH985bWTxwWeP zQtuI*c=+hV2hTtaWrEP|h;;&|-Z3<#%C(|fl5TD-X`x(;_z}ICP>EDJ_w9zUWV~n$ zaXog9WLhr=Qn#E;^9kc1w9fY#XFc&fTah=C(ZgEs0jk>uT7}dXqZ2XQ zFBxM}a>$%Hlz~eH`7|b~1dM*--Fx!g;3ayE!hT)6f%IWC9C)O)ZxL9t38mT;z9Elb zMdM3sj;fls66>YB_qA7b`y`M)+PwPvdpTiei5d4_Izl7Zak%4$esC4x+G|l5y-(b@ zRjgpuzmpU!m<>FK-%4T|f-6q@ZGorysFMnh`~!r{5i`_d_NjU{(XYUm0bZmLeJ|3g zY2+x&)RCtsTKW^Mpe~hjCZVb)_MT#eQD}PVj=;*L`?Q(vt#2|0B9AscgxGE;xywb; zuth=$dzvrF1U);&#mma0`W;-d_vBi3sHf!1X-DXx&qT~L8;GS(Z=nWVkznYp>Rv{7w zb0%wcw9{=;J^nqJ1RUvTDA4pO3e zZEd7UCG(V|krFNL`m72o_QhEqtZK?@D&JiXv9n{J7(4m_$4F0mWO31UTlCQkfwyhE zKk}{8vsxiPessiY{v|LTNF0d=uUx(c1cAi@q6!~6qn^9Xh^KXq z@hONfqr1IgWfnRtZFL%xo~oIMkwOr;elJ>wGi%{zAC$#`ZF0s?2UyAzR}?3{B0B?N zvrGBvbax6@z30Gq@QYo+uVWBzqdxk<=3xu9 z_o2C>;vyX#f`Ck3f_0JUStB9~zm7Zwokx4~k49oOPJQF6j1F`bkM=GwDc?Q^f-4Gb zZJBqWU<@AZZ-fzG%oH1gBLbjM^&fvXt_ea*W4MMN%s;Lytefs|zBv#s5vrlF?&Rsl zX4#{k!Fyk4mOLe#v0t3HGdeTEDqed?7b137;?DdDVc?GnF`yB~oLKtQ?E) zc(#jUGMAD{>Ej@IUOT%}QXeU~7- zSmpipghTo{bpO%i0+yZ!@-bsf_Op$kMyC_}$feq(s%u5^WK-nz6j`L-b_ZMz8K!B` zLVpN}`PvWvedrj+0NHG`sHvZx6tTNm-C=T5?6+bJS0(f6&cTg56~Z6Hei@!yG>;80 z<8ZikZ6KPP6u}sS_wjH@c$01#M<(NeD3z1tS&Kiqe8QJIae~>#CZQWwMZiMR*gc)_ zY}#1N8O`x`anYWUjq2=6Y5X3FeACsP_b$ptXlUP$jzojn$r8D-@Y<(*ww!G9{XRW^ z3z!>f+r=jLervBVE;5Ca??D1-y`+H(WgNc~so*dC6$6fz=cNM=RKhy=7cGgyZ{nlB zy%O9M!uRufv3N@%bZCFXSs8AHf`=g^d8O{id{h2qQ2n%J@{M*zjwJANs=!&*1%A6X z1nFCP2QP%R#+Iv2Z41n|6qbYBwComPeIOH)cC#17vPh;4lB&S3hviF~+r^;;i}{7f zP84G0Ji3T&g*2$Qh*^tr$lqoCp;5=<%c+AN;M!7i%ji|zPZ<%|QM)(qv(kRDi}iI? zLe7(x(Pmme6&7gXEX8N1!{4|*;apJ)N5I?=YXtgw9f@yhEtFL0r8UvUQ4WE@)s$gSn_3txHoUu4sR_Cg8kz6Zlp$r<#1jVbibS;=;@*~FP^ zc5>WK^YauyuJ2om4KM|}{JOewFN-s9=|pHI@_qXa99!D@7wK3E*dsUFP+`Qp|)Nj8HX-o*^fs!BPSo z>9@$xw@Qx>ovQ<(^eD?VXKs@5X-h77ac`vq83!4YqT!a|ye}U&L8uvB%7k{W68};y0}Bk9(@SFGJxH|! zkH2XYj6%NLK$w!DZEEN$Bul~Tll@g^=ip%a8&M3t?GEoi+G8AwccVC7Z#o<-u17C+ zyq>puS|GLweVU<|(VZIW47F2_^cyhfq?|-eNR2Z4w)B6PTmc$$I;hQ z?e?PR9~2I5dTikA+p61Hd0_5ZUsU(lV)GI~F=5&bqJUW3O4sgAjy2cz@LeXe9=vVq z^wPF2O~DX|6$`T4`(X|mjtzGU*zXw~h(URv9hoUQ8-jdFJwWm>!{-bH8D4DUaWiin5cE?W$UcXUq z+T+f9AbgnYUN;0Cg%kYLJH3Ls(;5LYm({ie6^hM)f;15Yh69Yo0TrWz-ZkLo&o6P5 z|L&C#LE^)5Xc?xv@pDTJRQ%mS`Ljiq3+U>iaa=;@{sbKtp;u zMO6VH3;C`q?xBECbq8xfAbwMwG>e&e6iS?@fjP1p0CCL%Ah$gQc>9EV zN1{_5nx~;J;sE3@QY;c%5DFeMhfJ1uCP_YpgOODB$JEb|ItK(nWbP`{le}Q~7YAzl zvkh8c-sgtRBrR-nB=NR;2U;#oW%FfG5rfC2SZnmhsa9{!-zhWkVcoEQ-!LH}F__!j zZZetgUncToiyEiaL50+g3KFQVfYLiM8HEVzXnal;{6`HS<|PZr$;frX0clY7xowO4 zMV^uyFzQzhkgBVn-~0AMkYX;szyM~mEFfmS`>VFjWuQVCGzB3=Rco+DHAmT}%ICNg z0ChCKu^36nKQlCL!LOJV1dwHL`EGTnR)S=Dpm{X3GuqSB@T<9$+K*k_P8%XHtX5{q zl5D04-hVw~z_Tjy9(c?&TJ+q{w;0hic##$_c1Ec`(=@IS2p6gpME(S_(8_=WcMq6b zJfKU&!V(t^;&Z-yY%O~VUzt!cnC+RCc3zqH_+rJRR(}cxdN#^|P&1)Dd z@H+J!(T`g&1OX=wUJw|nupCaQ9srE4R*0vPe`I}?s0#A|T34t2DauC29i&{Dq=~TA z8q35AThl%p&hihQg)ym)f@CP$fP&-ONLq^+Ll##^5bQgY3F}aVyYOrJ+KBXdA(gyb z*60|U)mHhzL{Lt5_`AxNGiJFxwD*vIw{QKwe^{Gsr?bV7kE1|!-W~G?oQI}3J;>4r z!2BE)7lcQtG#<{R_!&y&yfI)#N94x050Y6r&;WR8(hW>l4>uWE^bI)q%LR~Pj=wlx zp&Xrhzq=El-`m0nqFghvZN9`6n4_X>YF8vwUH zs6ks%4j93=8w&Et0N~O|AX_k94Au5{4sSgCBmQ*|eBfKeEc({l0ERJ({i%XpPTnKn z5tZ|{i)OQ4pwQRT2%5~16b8JGs>di*Cd0%{N9QWDe)*&uf~K^0!Ukm2tx2Czbor#F z?zOYJf2GI)q!-vg0xhdxc*1`c!`DUCe@=`DOdczjs}LFqUjmrv&f>7uPf_cL z=M8;54#!)WUr@%_j%`Bkov;{6FpJB-@4XqY{1jN{a5w|K!|(Vz(lE3!*_2%12mQ7H zpH}A+Ll(+4=ScU(q2W42sNKnm@IZsH=Vr== z*=+?5z5Qq~@J;gH$ZfOrTIQZ!2S)$B(iwt*iIcKT@Kt=|5#P`DeO8ohtn=awPN0;X zBkr$2BqWtqsQ=Zc?*Eze1qzB31Bxv{2fiTW?_aPM@@5GZmqPQtOIzMMIU+H)_D6&(?$EwwP57@^J8-9bkpK) zcGBgDzed0ywaN`AkFM{v(1}s`W-tSjW>Z&ynA#6^+cbSq=tBH9D%3@$P<;}ui6k?x z;4tes3~9vC)F#2DEz6S+R_R}WB1kEW>KK$yTAF@ zp5S>teX?()d9^P5J60WmFHz2b5KDe=Zrc0zAFHkA1^1`SGmQzkK9BiAyPoiEss3&S ztmU)k8-#s2;Swo-Um28O$Sjrcr%mK6b^RKBPrb;`(G`5{@J^gi8Xs*}fA{2hX`9a! z;5$Onm=&oJ zdjmwAq0bO|FlT*laHgrX*`Vodb-p}5Uf@5S!rqUz` zL9CY=KasZ`FRzUjg)18OK~e-)t>Ed=vDgN~en@#tsYGjJxTis*&k@gNiAXOZVeW@m zb0L;uIGV`O;=7g`Ld7hR5n#g3VlnZbuPAN2v6s&q$QnTzRG<(&(3rbHHi;Edx7&T3wDH zk=9Zd%5vyC<0W9Yt%~(YPILc_bKmyzz@Lqv@6!Cb7ve$s0`T=kYHHGHJPn3^7v$^k zH73KjMyyP>+j9jM^lkW=={{UT_|pOQ2{d*~He(ss`c;s6#(M6#^`vEsfzr_7z>X+3 z#jkDkk1dfSPXpo8{dCsVf&9dG#$*+Ov`^*79cZ7w@cVjL2H^j*ffyGeQj(%MunlrX zx;}ibCzi0wQDzXqPh;S@0XZ(}Lf`Y8EiS(R%xDYB8uTFWeqvQD)e!-Cqkjcvs20PV zOZ|K=(p*TR`&nd^58Z&G6;LM_kIJdE@>G-&E5;Ct97 zhyV!!_3a#quK{|VN36K~K0LW{sXuDVn#51gRnCA!zjdCJZ^9hkuF8hscp!fsxdWlaC=bOyeJKL5b^qSp1k0$jO zMOu?yW3I-z>y@V=W6pD{)7rCIVs4PS2HyTiQKf@2*q{Hw8{nXML{Oe{6%ZJqDN-JkA0nkc9u(@I|!!iVEpWPOY)j zupS0;&*&EN7c~Q%BCh7M44>x~>R$H+8H5T-6;KfB9dewd=SiEGG-mSO$XFfC!)4ASu(>Febz#5wB&?3OmwOs$oy%Se|S?CCBaC8;YFC?5LyXC#<+! zOjH~uyBj~qzt?S|1z3$5c95KYhCmQ&yk`<64Gr<;fEn*Wf|Ip<#t;QFH7sqxX5D;lQqyjygMrm+HOy9J!FX!LTtfFG5fA)4^1M zQMB4afl@Bh2Al1Q%+te->ENYkEkdFxXh5M>qw3dv8wx&tu@0s~2aPox;F8Dy4kJm1 zJSPjZecIkU>D!VPL<30-AE|w-4;>4-J8yx50*;H3#FlEFI5HO2gQRNuA?fCUL%i(y zZ@aI$2oAia(TWc^%wiOIv!%gJDu(K%JUKKqD0EsZRmwi$|9mWGr3vK3Yp6tdF3cw~ zXT@q(iQQ&)(qpuY*?4@+E*8BvV5mp6vu#%P3!cGeG7iXPjOGD<#w$#%1tK4b+s6 zAi{}7x1_=>RKFh|>dQ2d*=;xjBhTb=AcHf9(B@mA`Z$D{#Y9_T@8$I92D91*3n-wT zaUD_buS*IHAFi~AXI?HI-^Z>5$BF#2R47UUp;sDoHH18mJyK$X`%cV{drDbRcqG^B zFL3&E{t)2|!I(68-_76fUV?lix_f1}P2tTE&;u>O5aM`RrJ(xT(-Wc+sfY+6`kZhO zSn!xWbRuKg^YBGrywB7rr$Kn^T%)L(;0k`C0nRvvaZzB(b_CzqtZ|)BR&;yxH&D%)aS$Hu zj^1(u@9!8NK8l`Dun}}5d=3F%V_7*(r_5H@BpfoebD+9v-I!(|E|z#zPus4qbHRNc zRU1lS%1IbsA0>{qQjK)I0d9~PiU!Xfkdcu?_c0ry4vtA%!mK&a};<{cIPEZd>m;&(j#1_$F7nD}cz+*M^MtjbysAK1-M z4v)Dc6;D;cM3@-`q=Hg8AH^tMu4eUjI?QY8j{|QZ3@DwCm*y!(7o+PO6x6w|I^4$y zhu*=fM*vY(s%>XS@sV-TzX|os zrcx`bCEloxkKz&-6fn;4&9q8p`N?jn5Y~7=H&C^+kfo$1n{&X|g@Yt}^`M zalS=P_w>>EY`Q4sEVR$bMb|ySwAE}V5F_yN!~8!~v!cM8`>*aU8QqF@(b)88jXCh% z69sxe-NJOc1?lRrA$CWQHxC_+{5?HjKpm!oh~D_FeBH2<<{0V16=GXL{-TwSU_%UW z@W89%({J^%84zC z7=$=cW!6C;)EU)@JN(xsQl=fKVNsN7SEH}Ccw%U^+{>nIP-i`GMD9p<2T9s-E@`)> z2o1)mX>->8%KiikX9`-)XH+SO)nA713T->Axu;Yn%N$N_s)QeWITQafjDyykK=t=w zBFN7g+>TrTo58>jP_34Q1-d=H9CZZNjGd2~-^>(`)8$H(F6%aPh}8*GsZNZL%H?<~ zW(Ew>P8bREI?LV6l+6lBq0e^ij70Uher3`9yTk<41l4S4MRCQmx}W>DJ0NJSIw5Iu z+!&9JD~+kp7Qam78gAVJH+bO=hq)TQC!&y+{?3B)H>a6ui(#S9o2~wxj#h82x&E&& zVDbMZ%pb|ues=f%^4I-8*n8`*Dz`RlR1hTvBoz=)q*J6jB&55$ySq~wM7qmGcXuPw zNOws{hje}uWAFW*@twcmoF5#Fu>{t7nDd#>eP8z#)dRz?{)Yj5Acty&H-)+Hu9FsA zKoW!TpG!n7MMWi^@aZ^d1HLPpDUJ)vkw1O{QF-VSIaVe~Y|E}4D`e#6LEE;$<;@|Q zFYpp`@3UeVzHC`aiXO;q*lt)HX!T9o9V|g|AgnTyzn^k}20$l2^FRZn%;f`5c@=|+ z54EaKJ$u`FwUoSgd>o-2SR6OYqp_n|(qpT}MLTOB3=yE>T3uVEvntjb>EfIT#jVw z{N)k=oTD%fkAkB36a72KdB@S0ORnsI2G6_ZPd_Id*w?hG>Vad(PamQ#d37n!dN$?3 zlw5?$FU4w|e7f_=VdH=O`gJHaHLGw68+BzreC|eE(cebl`IP?XkQ3yZz3IW%c zlK@Lt9E(DCqgRkZlZ$;-#9eP3t>unlo{WI*+APbL@P_JH>Htg^bKtlTOJ8F#pMO~1 zE0|ovw(Y}cA|^d)Jf7d-68%SNL5Pp8RW(0ynezn=krFx99-y4mz}`IM93m_bj3F&r zwWUzn9YHV(thMFbur!Jhv5G--rZShjlCsve1gZWD3aRu5_vwLP(-=m!LG>N0EqUa=BlUJzkOuo4gz^GRlnuxWL%W{}tJkcq2l> zq)F?Zu*~IdaztMbPi0>3al@9vW*-ccMVvbRGV`WbPyr9AI{Mza4);Wnj`x}&_iPP^ zBNes)xF-WZjI|W)=5rthXZ%xF5V}yI>L)VkSD;jGtaqF@u!&kSot?+{&NyR{a&=Mw z=>-sO)Fget6IDrSmHv#M8_wpY@V^@{RBpH*6y|1WI*3@b9z7HN3nBJiOM+NbO1gpcAuM4n`*Q~-sI>?z6m;ZQIAsbG!Z82Ma;@Eri zh!Rr3eJX7iH%`vQq*;_{ zymR!E+GH~}+mb@Fh+|{4!no@z0Sfy=U~1+lAXEWJ{q6Sv-q_HuTOFE6UAFF|cRb-x z(b!xUIUfbtKV`RLIpIffjJr4 z?_|}KWiCb25mLH~>^H+xnYb}=YU6mCt2;vZpkEpKIC>w^nsNAm@Ja8(E5@yFCP^UR zgqnqA3auMUH7!O-v-ePrK(4cx-MhwyD$K?j6$d99G%vH8`&;AeG@qMpnQRZ zOz-A&#pJPU!`#sWP7fM9t(ssVno(kz-$!_=2Y_A7-Vve&qfZ)4C$b>@93Y&_EX zh&q0<7atF7>4}1=OF9+ASK@NFY@R%MBA-=n52c_%Zn@CdiI9sAss2-ZD+5y7)UV<@ zr6U_dq^XkOsSI-2VqzQ}3`$f*VX!RvD zo0^)|;8~uO+0$bo{4U6|PNttslD9fyYSz%9O9}A=5PVmL2-kG@ z1y>M9@WS(K-m#ZpI?!%PdlDZ1eM|rM9q3|^nk_B@$bSVS%S5YS@5_12?a+U>dVCfj zrQuv>B$CF}gvOV^p(W;?TQ{_pj5b}(9_zPVZ$8Vk!fPTp0`za=ma-8CkiqVV092A1 z8acA8)Olmqt5bKC=4(P?iR6zrlWEo>ad3YgW=SxqiQei92f93>0mv_MHCsIVHocA? zh*DlrjS_${p;Ec#NT^qhrKv+l3ozJk zjeWjrqqqWgWlF34usfb+e3{rZE}M}^$@&0N3rEK z(Lk|Tb>P@;p{~QN(@yvX=5(Iq`U|jDGY_0CdRw?IrK9cr1VO}P)p1T4z}a`F8>66y zeG#<^M3nbf-3py|j$n{GScaGrjNn;B+Q#%-00CLnG3+q+W(}a{*HO$?8uR}s?h^Lk zB-{nij-tXVC7L(gq$r=I6<(#r3ftrIJ6s-b9?x2~>4toPS8`L0vaBAo;;w zavMQ2OEB!-65~E-aa+K7KC_0$QN6AI1;-C*@^E02QMD?7}xCn=mpt#!p6ros;f1ER@7zl4cb%D}hOJ`nPYV-9o-dS4zPT`dQbG`v(x|}nbkAMH*SU;`yBw)?k z#qH+tx3N5Gr8b)FEe15w-=Emp?}1$zz}d$$S@Jr+M4A#01oA=0yH*+w#Q@089E|l0 zvkt^$?DXKwrmQ~AyJVNk*HEB%n66d_mX=-tH*Tg=#Nu@YLA2ka8$t_ID>Az?IKuPb0n)eSCN zR|G}6dfIXaXM;kkq5{U$vj#C6o%c4}pm24vVdo>9bwlGuCFSWgmxB zMZwRF~6oWkzw?A#|0lKmh~e&UG~znAP! zp%Mk626a3yH|d6`uV5uFx%`VYn$V-nBW?ffl)!g(qC*2NXPT!*9M@GLlfR$ew_^2; z6?i7&?EiT`F|-5KAc3`?8xcy88_OL+__Oq8Q!XaobdUka)RC3^`rnrD*V{|NfLecx zG*GEkf!k&w9KR{jdGiFYT{8b`4H*N=RV4(4jDwoti7 zC#<+5T&6~TQVBn)jt^bx*`og)MS>qJ;W#IEz}|IY9E2rbaz0*OzDxQQOyh2fkaoa|hD64e29SI$}=3dN&p`)xzDUg7`C z+mL*_Is-q0Nf|r6%L=fpcQ4rbbHtM*8e$^<#qWNpl(hoxN2KheD)q+?^!s8HKOp5< z3aRP+zRq9YaevXO&>juwYRzu52fkqRUe$*_-*EQPr!1pm}h|NMwL zw1=ur%eBozP#~mfxa2*Ep-t;sa|Q+{0M47tt~T$h7mE}AwPF5Qwk1TU7V5GzHyK|X zW|}q;Gmn}LaHfkixyail(fqTq|EvM_{Vqs&3oBh^^2m)f=j;DtIa}b)cO{l4r->{6 z`Srh+FAxR@or$2t%l}0(?)MZGcvUyHCHddx7OXQN+C5JMhN0)x|7_EW`&X53Z5;ml z>AgX=DKQh;@avHOz8|>B!K(%rebfI@h7-cP;^m2+66T4b`k#IEMijgnzea0_4gH_j z|NjsC=MM`0!f>@{4JSJaGd2bx-TC)qd$0e!i#tuBp%iso3xDvU;By|pjYsvLdRC8; za(nU-+#bISo1*gpfpt4&RG#R}$#XpSg6QU(pQ@fA<*S;uZebLT3k%M%=jRTkzZKPa zM&{KmlMEzVctu{TdViN><$*Vl1$!o6`ZC-qHfh<{7;*Fza#bfTs9a-V_Ne($Y!r#* zMM1KKA_(fJDN*5pO!u>lvgo%NbW?X4i>XWP%Jcm$nx8Q}8Xto7DEN#l-H;Qrzv=E; zSH3#fNX*Q9BW>vD#WAnDcO=~S_sY+C2(1Zm;rlj)#<`A^K9fFIqHY0EdW>Wft-8;> z#rpB06M&KdZIR8dH-90 zH#LWe5<*od}7g%R0H2MB;9g zT|T~%eLDkO5VmTBrzX>{-*>$a{^1s3| zse8OJqI7uj-e5S9S7q1I#Pn|ATv1nmH>p{-J{E|#i#o261z8;&1{YaM$})3-csg2o zacR3Pwy-eS6o_ZjN-orNyJTivJxaa=M>w3$DDw|WG$3iCuxmXsC|oif3iS}Y(&~bp z@lV2*yE*^Pw^nIeJSfU$%9uSiJXIps;Iy5|q_>MY{vmsjX?%)XS+_5}+UZWG=%(>h z(0ovxJIDT_EQHB(-;D^FeaV}xjL!^cb)n@qc+_Rt?Z4avnIbf69Zt4*8Yet97?Jpp zDmMUBc;gG_%TI`ZZkD7`Jf0o0n7mi^O;~8K6YIuhq`2<9`wCyQbl@|yXWLK}5P@&v z`S>o+1G@$PM!uU$_3!a(?eoBNCD!4Y&@EY+l!A?0WJji*%3wRJgWi{s75fFLCp=@rK$ti}1Cz&hGK}XzcZXA@k%E zf@$Y6PC|^*YaVS|Ih_-vM;|bU9n~#v@@&&f@-%oI?bj2SD**|7Q^#cXq`P<~^Cl#e z1-~{|K22tx<%@){QebSkrN}PGaHLqE;kP_{)=-e^iqrDwhR9?)p=isuLk5cVZw+=3 zjSec)hb!pp4x>t2YGrSMKDE85ZYDgmq5odLbpALKaS`uaMM`Rf5K~`h{YOkz^jmWY zidaZ|-7^!Fa&qmNl3cjwG6tm>A#Em?Jf!UQ)q2&|A35zET(YilB|bR}o(!FM=1OJr zMFY*T=xw6E$;iD*x|Rq3Ty`VAHakI9znXzya_r3*rOXgo4A4TgdsXeZ-zg7gdHoI&q=-xOQS zuTowT{;4v-3O$9yVX_?oZ-H>-%NqweL;*QicKdMiNjXCwWlFBR!ype~Gh$)~Qt7vr zKO()g0ykTG*QkW55XNP?+AV8F+a)@SImZfAXm8}oG5XupM*OIu)=x8!+(hEWV}8w3^fC4`O!6>C=iJD7Bz3V2BtX!fYLdC z4$;RFMs_jLJ& z=F=BRU?7Hwrb>jt2~A$@OjN`ijhtRp!z9qe)DQnrHryvP>C2~nGkMHN@lAN(^-__# z24y>RJQS2UtIY*mTW0V_3T29!t2Pb(jIs{Y3%YG+3|ggO%Jn>9BlN;Dqd0svnjgYf zxAQ_l=p+gi1g$cz2P?cPv(D5pg9?dsB6k}^+?0p1ijvRm+wt0s*n!LqFV}RdXmF=n zgnGR?9e0`ehv(2;#24llk~@f^Kv*xUK6;WeDH~Ks4EOeFD;olrlukyd?nJnwrrTsK zmQf4yhmVJL5#F3zjdv>^jA=GNw*@*K{$BOPmF2&9CTD>S3KW7GOygx_7d(2(g!nGJ|gNrX6Li8g9|@Y%{DLX$5`>5>4?N^x_= zet*JfC9>@>Q3i)dld$spE%QOc>jwLjk{Cy%;-B8YI*f-WMoH;y)N2twou9wdR@OhpVxXB;D$LlEe*X`B`d5qS@nokL zBf4k1XZNcU^*b0HKOUfR&u6T{;(f#43UkM8cm7gv>!>n0dZUlH_C>4Yv)dUfNo(e3 zhsMTHW3qpDt=a3PI5b>b+}HL9cu+_avy-}-O9-K`#Nwu`)cBP$iGPPggzNBMQP<;J z9?SeMzl;Dr5N(@??&<$l*3O{g`*O|r5$At;fpcL(1@bb0kY!?PIJI??oRi|?xuqmB zr}4ugs~wl5D}BQKne&3%BN>o_&_zv-j}>$QdU-s^4hk6OpP_=u?2f*Pj7j3KC>r!D zdVg;`V9ewJT_$qRzIY0e*lk2^3fBjAUdKO&o}&NQw!XD!uu~Qhjl)l`Ff4g40HRF7 z?sGi>3i^4qCu($SydaFhJqL^~Fn&#w0Ap485;)X?9AwveIOnK~wK&aOy`E3ejHU|m zgSJDQLcqkhluTSuo5`X1a*L8eu^10z^F@L5sn5O1oa{Q@(A~BfgXfg$^$blQJRskW zogVdgf(BRKo#I_w(?;kI{FdP1*7!t;UqeN`YEk`RP*IL*m0&*JtA}SwVm6E~Z6$@%_0-6+=_D5N=ze5$HjpnLKz(TQs{#D=^nCXM zY9f@{UuXuc4}rMs(>p*PVXQQ#NdSOi(F({hDPD;Ke6d0`Y2f;}IZ>oK*5v9)A@dpT zKDO+`_z^(fz8TNeg*P2$V zGKq=Yt9@%RXMj1LBPlKd3=D}c0Nd%FeC)E{bQXvyBJUXD)xq2D2Oq=@^8>8>PNG%o zOU9M|84FQ$sIP)`zYjr)X0h%pG%hu{_umIow0-(MFsLAZ{o0S-aBwFM387|`CN8G* z`31l;M?rkA@Ws!K9KkT0Z+Ew6+-xq#xskJj_BBMl(AIZe%KvcsdojOrTuQWfRE_Nc zw%IXR&8&9Eglq_w?T_mVTnqjGU=*M?Rfn96qxJP>eNkV=q0G(?1ZZ*IE}(o@p%IH1 z2@84of5POW24nJ>iXpCoCokCK5D^haYm-!c$E8uoU~LMWwxnZsK}1XyaCt0n``AIS z(yu+7&Z`0l!IgmbQ}7e`K`Q9#zf*1TXj1N1@Hq~_pgcQJl_fZTv#r0I4D zG?4!GAaH42ugbDoa0?vwV#6RiG=o5eD%Rz*@|SOHy&|PLr69IgeQpA)Q8b1EGU$GL z?HuQ9RSd`wCT(qPGr7`Pm0%9X-ZLG^OTupMNWp>jv{pzdZww3tvTQn5LQOA0mgO_Z zblskVo?rxiQCWeXwPul08DAgZ;71W-kq#z1r(<-6WX*zGNlsuI{x7L!Ii@>B~Vn#4ry9}s}< zrjo>9oVlV2XmVBKci@A^%=kAJJh&UhAeSNImW$qarld@wfNTtcP@}P(ch% zVHunls^xPncYzYgaQfRCk566gzj(EA6o%$=6rPi}NIPJbMlWwYh!v+h#K6;^67Z>= zO{CFm7AqC$KtL3&poMA#^IJ!dH_VpNTuKO7*wIQ*ijzFFh_@+ooW)yHMXJo4Gv!r8 zx;+Pr-ntEHJ?A*EAxHj**n!+)WWX1_lYsv|kwhw$!&y$+p|}Akx<0t-gWG8fzvE3| z^8xq(!I*t~NlYmQ;mox(n`%M1wNG#tmanM%aG0p}{()cb0i{KF7E?>EXm4-c`?R|d zY}$O~MNolVyx*f$7WK`tD_irDt}Jrtv&~u7g>DQt!u?5LT64 zet2LtNmOOIxF9?WQln(9hq)xZsXu)1S(6x)&bI#kB_H?dYb4QDvXlW4IvvjrNUf0f zw5sr;gi%$?bKGALw3g~8kw_K>=F$vmZ>H!i$ShDRiF7fHW~|NP$jL_<0K<%^_Olxp zFfizSMYRu`7D||+DCw=Vo!|Ysl(+#{r@$j#KhHD-i`obbsAF01^uUyAQLO=MPdbQN znVu45p>Hc%^VQ#70ta;mcV5)VSLbztp0%W{4))orrpv8@+i?oCx@FPCm;8?zKjC5V zTE3LcCagv!RaBrCng+KwWx*V-Wux}%40j_+H=FrKO4qT}s_WJcP>S^L0nkV`bI>){ z=v;Ah=f_Q381C>eS`j~92;>_mDoc@|JbN3>*=>`ay9L!;nZ(3;Olu4FG8h&2e(K+QVPd*bKO5;?jznN2CLT+_7KMWA zP-3Or^}U<_zIx<9d?y&U8QAE4#YL^@@zIq6t=x0>t;O1ZPLqQaukB{nq%|!zfN#bX z#Bn`t=kfL#M>>>#nr?*0MtPqF4AA{$PvJyFh z;o4e07)l~y)5u{-i=9Ehpee+{%+cH3dpx}=PQ#_A=*U>;F#=0=@7A}hfCkyR&;ZD@ z;)m-G9O1x_i8?;#kuB-=`!BK$t<`4JZ{$iA?5f==TyrC!XkNu1i2?CrFg9&*v`*)* zGhitkY7**a-PBN$!C-P;+RRjYFbXl21q?6m`!J{Olo(2sLrzxBx)&_jJ^u>OmI&_E zGW{8eAPErPeV%VT6Tq$}l?~IMPWBr1Wnw7g#{%7{^t`y0Zu`AqrShCU^OBFRU7qfm zVr7B5FxOdUIrc$|k+m2cz$Sa&XA1P!~SruX*egZ z_#;^XylVg{QGH1t`r=h5b5egqNXjV7mo1h6XFu%A{Jg&pVViM|t~C(0Ag{30tDX52 zloAsmNA-_vn?&*6@*WVfRl_Whq-0#Ev1z*Z`R2%7IOq}nC{kbVy}dN;q=J6-EsY|^ z)qg0tyD`EQ$@bxQ{EkAH(qmut(-W;P*Cl*3mtfnHyj zKn*)8#&Tp;)rY;UOt|G3jp}u&*5dTH$hC*C@!pnoBp??e8u}SqIw|_2>6d$uVbajqT$iDi!O`s!Y!cYpBY&QgZxOc!lT71Yc_pR^6TZbq`N_xQo(IwF0I9>PWK-D zPoug7>zy-#wk_nEQqK47^5*QjT$PDO8te;JOp>P(Fbah%ofR)I9LtT^;JJvON=Zx> zvA69JJt9*s&pE<9Ab&|c{+CjvMT|;HRW?C#;pi7rzcoRn~fko^YH7E{#!s3yrt2}R7PTD;;F#QrOW@$-uD(v&q*4*5j%7c}?{uc30n z=)|CfI4iwzG`9P&w6x8!xPh12ys2ZC$|N{+0?I{=yd6LN#Ne-TaHDGJcw&wuZOqUc zgY)SI0|ujQzHx4SWFvo(IzO*hs0GnVg@t~Y<#YbvVVobdKMxTiX$H~_j)WU1FsMt6 zd{Mw8pDV>X@CMOy6qH)H#+S$>*C+@GGBzK(jwJ~^&R-@dRv(f0c0HoQHUTzP^@z46`Q zFQLdH0s3JIq*C+u60DFzDVWLq;r`Ly&?#6rj}!kc(`WC?^o)T2_cGmQBa?B(`5=Y~ zpan%BU0E>sMFLPo8iRj~M+aNVIFxZXB{&A1)6>gq4veV;3aVu~{x6#y_ceBlY8L3j zomo}G6n~B=eN(VDiyU`4eP}-N`Td8`6dMxB)WSphMw}y}gB^IBUIYC(vhFf<;d2l{ zQ^~yOHu^DWpZ!n z{S&e1*hq;I!pVP1c5P+Jd6S^L&mgcRd$n|@K$oWuP!L`!RObTDtO#;n&#NI&XFfUn z%M!aNZbOdOn#Bdbm!dUWo zdv}B)BI?pJtYe_?%rySDx3jX4EVV(8Vq|eSe}On(@hZXNddGj7*Qr z@L;K}(W-4<#9EM5i4Xf^u2lQsr31hQEeMpAC33|1GfA{+-jBg+g z_de2-{EPEs6cUCZSqz}0Sq=UmCIM`tv07_gh!$J0&U1}g6qb6^R$^!xB;iTl9Gg~5 z0LV$EX<#d=su9&bi+_mWSxrR=!REM^!vabXQ^ zS>-+AUy*^Jp|gQx~~MbFc^8f+-ndAQMFO;>X@}MK=qvn(Ad$a_Qp$kK2Kp@=5h@rhbpeiO0?B2-S@NrPK?tWW zP35!Zv{?;gBQ2bXd?M8eTziUI%})AbDB~_$t>kH@+U7g(=3*X-(yZ?K-FL@8ziSMb zxF2R=4d{8My^+IXwUhZ3lfN}Z$Pcx);#pBIk3h4MwZNtJ^0FBWdqL*#tUTQhyRa#G zC$H#qzXV?G&s148TaF}zRPDq8Wil+3K~-mu_dnA-u~tiBEG&^)*#1;bRb|CDd^h8Y znqdyvrSt}0Kl0Wgw~lO5>-A!EPRy;Eg@sue)AbFdace4DEjOpbR-%~u6HlvqVIo_g zq#9sb5l1l?%lN#4@svJSZ9ZQK=}luMxKb4)0SpUor-PU-W^DCckSr}vLue2OO%_uc z-|n;fOfBYN!7k|4#s~^Ou0J?~s^nLMJ7FNJZ~>$+F7uhyorjl`n%L2_2;+=8ngzui@u97C_{5aOz_Xp{WCO{-tpr^lky2i!f!SU{p0?^RQd z(9o_3Nk2~%rCC#w{xiT|L5G@jKVyQArc?~qEbfbOd4OYv1`cM7KbMD%z9?TEz zL0@~lF?!+~I!B)7pqK8|F%sY{16I6O>cd`KsLfJv+uMhzLw;IF*FHLDKuS@tXRR2V zTwsF=dna3~6hBX*mG^}~m)XK;4{H+kXTt2`Tm8Q9440Ga3VRNdIpjnp^L(nYdV8!{ zfWDxwxWfY?svKAMdYf%LC3f>-ZFBQnz>gUEI@vAP900^u>TVeUeVdmfWY+T%{)@?nOo!%EL(Z9q82w|h-%*o{6TJ_n@ik<|Cd ztrPEaa5-mPB+G9&ME>LG)|vIcQ}_T_~vi5?r9uDUv~fdso&abMyQuzJt@pq%R86MOMr0`8V}s z*`q7Y$|wA(f;*9?n>lGF#3IpBvZl-R@y}vC`x)q7l4YOOmgyCmI7 zNp{m&uPE5R03yxR_|=nV8=da09`!hslOne@iyos>I)!B?%DR(JFawOcrkeP^Ps3N< z-Rygsgy?n>O;N*^?-XYY2H7Y%k1IW`7Be?E;{yF*lZj&lzGSul|3!F)d_kQ`wON|! zWJv%!$v^Fvw-ditmA$Ac`Vt%kM>ZMXB)#Bq_vwQ)nX#A{CegD}4NIj?x*2@+#l6RG zd9h+k=jsB=^^cy?It(j@VNCV~_RRtxkOFVDbttDuW7@*V($E~yxWNK~(ww6thKtxG z39B6?%jVP|j-bnw51Y+&PjDW8%b4XZhr%*M(p!x{t>J1;-Ro#@Bq;ClAoKsQuzcyZ zGC^><&=laNiJX}yt6I8fGyPRT@#wEk%V`Tfo%x)*O1`R#Hf<_CGxENp$QISv-kAM1 z_SXv_ZE_3pyS*5z*!ZWtnB=hLec(~xZFSL#grVQdA}P6!NW_#mT5nJ4{6J}xOi@dk zmaO=eti)xOj{QRot0m`r08p&}%MyzUN+J0g1ggQ4gJs>V#g=NaQZ}c56g1}%t+K{J zPgci$(N3=RyPZu6uAvB|FuuBcSt|$q#k(*ZOh+74thiaEHM{wGbPh)wD3REmyzAJ| zzBr+oUtTh(&}AQal1n=uf1*^_%2%xsCJaGRQda5-jq{fu<*%c}D6jy@(L&VEmL*84 zySuyVB;VXO7W+C^H?L0qb~+EBb)bX0&Y%?NuP(Ps#$hh^^5KcGZ2lRr08Af{)B@3P z`%0LyG5^vTe?Obh5xiEe?0czp?E7nI(6PlDvC}B5(k5ZVyy83Ph);d zM=n*cH~h8ty-b7;x?*#wO*E2w%h&nIdRTv&L_!$A{JtE4jZ`la9U^!E z;N1_#098Yt$~)a*%~24e&~STQVt`q;6<2ov3~x*U16#I0CgJ;ud*M3>Y~hD4L_s4U zWEjaBn@H*h_$-44!o*kGy*bt*z+yLfL{2o){o*I&YE2{A8a%ZxwEsoW;a)`yPkrle3Tq_k%oK!qI}df$lm1v5#`{^ z5+kn{#3Cv2g9>^dH1Q!;DH9IIU;>a_X0CwIPX6obt`Kb5%@N47vg_M=*E1T>69hRm z$gJ$@JSiepdmlrqsc|@7s64vd_u%5lp`=qa1-_K{ZPrcvhR_EuYa#B83Gf%i58`!P)KfhcFp$)8gEpN}PS%V@Jh7)tn&$Ij3 z{`^4us&8u!0mb1Nh=P7GkjA}ejNkmO+UQ$5Zy&qm0>?OW^D6F4rNZ`TgQLEDXRfp= z2Nt8A@B>C8x+u_R>_#d+`_I*$2oR3(nSqB#v{sE?58AlP!~wbC2>|2QcGdQC^X%1@ zn%3Y)*S)>HMSgUeT!D^97F0OoEG$Zpu4qm_@dNd`6{94Cjq1Kw>Z}Ov+jy6=y`uA0 z#8DrhoXO`nuv}{OZnSRGglT(zp@pbEW()Sj;|NyNXHLBEC1E(Mdu&}enphuja+#I` zIf|r!RK^HYix$fBhdfrdz)>d;Smj&%gc}fm3j=w7W$%M+JB47BizFc;)8nzN;M_Sg zlA*I%HBc#E1#*3<5+1%}fQzk+N2nI~T>K5HMjp3}M)ZSgcpbTqRcxB{@hjKOP^2TE8%`dxxZ=b0mr8a8Jg6KQZs!}_vE-|}M^c})eK-TZ*939mK9Z+_O!UH8 zHf^p>76j-SKA)Yns44SVSx}Dj$XfI_ykk zRHhzK9DojA8rat)yFB{}<_s@eU8Mc=C&0>*576`@@4UzUIB@8=$FU*~qfv^AJ&9M; zj@r)*lsZ`%eh!$2MrrII{2dQb`T2a=M^4GznW~uQogf%dJ^|IBBbYD{^uX%wQozlC ze-{o*L0`FN(!?G3KyBtEF%#5d>ik?2?Fw0wQ6^V$`p;%#6l|@V8P)M6?BuHj9seU< z!uDuxS~(kvPL+Q8F|$(Oh{TXb|HA9Tw`<>YbIG2lZrvx=W{|3imdEVUMpnx)Ja@by z9ImHkt_n%EM!w!q91m(=8FJ7Cd8H2^mKXsepx!-f9}6Yaehe)esIYy|y5|Dl0Gbe1 zt0nr~S;wHNACl@v=Pqp~?h+{o3fV8FD@bjXSDRcVr_^)^sFkc*i6hj0odUo1k2mu} zs5Cz%jd2MOBboz-kTPI$OAdOpUI&arZQjxxPKTX%@rlb<6tx?`=L%Au;J@i}Z=}TB z4}HvX6Hh8B;$yJipMdKU%|@eC%47U)c~A7N&BGRu-kIFi(Wjtvzp2#OtlcMBu8Rsz zA)sVWX`_QNgCS80_d~jy^Ce#tIrYA1^6xf%Dq1qR!({6z?Kq|m$f$yP5U$}w{y<>j z^d>2)vD~}5WTZDn=mI}J2P|z#Pu+iZhBDmw>rNeBtR3>Q1NbrE;h^Ca#Rp%-CU&ng zfD=V!(zfv;aEndcyxMPJE>5@rH=Mpf7O)S>?@}u*@K2#Ivk?k?rcHI~-3>D}mMO8+ z#n8k)E91@^w+DIP-^LEz_>_kqj$MAZ%@UM?_x4+B9YWU(r@{opj_b}_-pPK=E zX*G@D!C;x=qnzp{pKtNhEcy|#Q`9cUzLf}OUAX%&E=?JS9M&r^+TPgxNNP_R+@8}T zWrP0yrvYr!7=n{xqot}_3fn0BIt;1gsa9x~fYMJebpDdK+h%uj`Orc0G)&ZWsyiah z`tBqr&C{ZlrUOAh$sMBg_u%0{g~NqeD%yff1x?k8igy3Ny5Fh`&@dXJ;)UE-kDzBYJySBv^(#sdOHgaHZu{@- z2TZ$yv&<$w3Q=bVe`Vrf0i85MlBwRBxvjfR_S49LkLCX!n5{xEJB($PO>$l-^$VWd zIT58F#BH2Vy#{swD58et0nDaNC>6jRu&CF^-%{o)Z#6{#^mD zw=fGXFDIUpMG_+;ZhQ%U(YFAV+E9q*r*r@h|NGGT_00!NFA4d~UhkXNVxs?ZJ-;ri zRA8w}ZAyO@h86wqb^dzYX%-dr<*E6$wQ;hw)sYNUDr2+ zh*_l7%>OQtPpfK}*P{Q_ASK)A=!WFHkBNV``D1*Vy{5{zqr3RUhl*1g8jdC}s?_IV z*Y}ces~`Elaugr^(OHFVcXp^AmsfO9D`w{S@_>)f{pS_)n7zE>rS^A}o}>Y|7;mJOPFhlP7Ah*Acot5k3~Lx4z(-K(dhiJUZ||nr!Zp^dNmDe zI7PjdYuwvXqZ8-tP2eESXwnw{c{-F;`EXR`df|}#s&wZIignR?O*y$otLDS8lL%}N zu{_w%+4XO?WMW?`H?EhxUs~7O?WsE6%#e!#55G%)ui>!S$Ys`0AT9b!z|Yb0nBd+?U)i>0kig+%TK0 zcF5s&`4OMfeU+(bJVamwJ0oj8Tty#+F|C0uCpay5LHvv+1%V55>$-@Fa{gPFLPV@S|5tO7&z41PK;! zD~rSHlFDsVoDOCN&63J&!Q>e$j4F@m+M}pg+dYcuk@=9#lqh1Y+X+cRv6R_0uG*|S zOHzVag9pC!E4t54x{2?PmE91*rwUF;2F%_q5;p>iHy1Bn-0idM zHrFOSE+)vWWsltx9#}ND_?+D2$n@mNGZEezUo!qoq+{_e%Uu2C*e~{!Hx_gaaBgKg zi1Gmv`fT6kZfuQ#;d(TOhE0A-O*LAn?z@l-8I?^$$`kmK>hI0%0b{l z_eNC!N5wrCvN12)qT1D++xYhgZij`!KgT@TomB+oR+7ioN!iKu#Ef4HX|4E1a6k@5 z6|yM15fM|^@Zda4jt6gzkJ-@*c2#i1C}sHR;8(}W5(PJidb6!EM0yD$ex*TS7QG|N%a&Ix{o*_~1 z2R6>!dY%=KE&2Z3Y{=QB3MSa(IBAG%eZO>hvyGD^ncIAR8ez#eN94Wnuqv@-Vk~h8 zEPm+-w!&V=feHI1&e`4%>MTZ%hj5O{(*lUi1ciH^Zzoby5s#mae6Q1KQ(`~ZhoW|F zS>5s&fjXM3s94*~R^V8Y z&q8TmEfb6B7AhkAx8V^()#{ld(frN@7r%lam1e55W41O+CkWPui*Hme+ISaNHM`3ZLu?pi1HX;za&LqiPMd|Ki=bvh=#|FcfymE{}^7Xe%Y}6d3AH(f9Gmpw-3@v+Y z0wO4+ZvPZyew5H93tkyir>9x742Fw%Y;z~2eRzf7p}W(KIQ>aKD@!qABmviu%yguX z!f?!dyRK*~!w!}^R*#yJ${^?kCzH8pOIZ2qsuNT#YS<06dOELyHImq@@ADC|6MD)q zO+;9UZI7qKN`3v(P5ry67!rOSFoj0IPGNNn#3?JavvR1#KT@*%>ClC;k6l_f4iC+q zL`OCRUy6bp(mdQpPe7EpyMDxvetK5n>x$|gdSzkL(IaQ*^1?)|^o>9WjyUyE`3&~B zjeOREscV6u(|nKpv2|I*6LuF$d*lK`I)-PWIDaa*eN?!nnRR{EC}>jYET1qq6>3F2 ze^|uo)0>8&uh7uQSYLT4H)(y+eY!Zs4VW{C1LB4WNN)+NiR5u=hohHGQ(pFKJw=~g zoj#Y)R~6EIDQC2$FYyqM7nKWE0asXjogo9UhUOU+2<}Tk2&4F1sifc(>gNHc1-Uc~ z;U=nnDPOl7Xj_507oZbLwL}_Yo*tA_0biWDHB?w)fGX1|nqly?y`DBS*ov@m)`Ete zLEDp?eVq+MSluh#ySoYQ=(MiYhw1#~2S;R%MKb*=RWD*wJhPv(?IBsM{-#} zsc#1er8rz}wQDN8KfWIFBhSoPRE(suh#L9BZZ7Qw?aq=}^w&nSIOwohT|AKQ)p%dG z>}};AGZlZ@W#XtFg2S!gHgh)4rHg=BFnofYp*)MwQgxv9pk3~Esz^6RQbnw3qE}Va zn2b`dD4he7j3nf4Zv45AOa<$JT*FL^iBN|rQjW*=<B4X47NjJ z6iJXmwA?b87Db50ShJj~C}W!()hskc5s8{>0z75G{*y+-cVRR1BIYa~x$Ql4lBDz< z=o&W7){w;vL3Y>AdbajHsM$5NS$&yImLRxP(#%q3IH%ZFIeNI!trxNOQZ&s=if2n} zzWGC*`Bzcei8_!)_3<`56gPw%O0mX3G59i6jivWl-(6pXU3H6SD&GYIf-pR=q*^#wykJfSM(JYm$ukw>0ryaAs?A^8X-=ojM z?^KUHw=|xdQ+XX__io1-!V;jQC^}@bN9>U)s^ZRAq}v6Jd^;lQ%NuBC8e5siVrbrT zDoI5|(ysfkA+tatIEJUa=OAi-I<~KHSVpcS@uzvX4u7x;S79?s`Vy-heNJt_lxs*| zpy(hTogWc?`SIXkhmOd!S}eZBo03OWas~wet5K(LJ$jVh!+ESm!?Au{ffdfHj7hAsz+!9 z#l$u4WQay9d{L;!V4ER_(vN3V3=wBv$);%DvGb_>g1LA)Uuw~IURIVOB7f(M#Kf0$ zKEJxGHUDn3RgC%*I-W#J7RFunk!Kw7g#ZWiZfqry7(DDXdb(LyT#fSHq`%$M#rA^& zUOg9wUP-Ewe*0k;xJtV5O@-#9htDGO29aZ;;C>$s-cm$MQLMbVqA`B|)U|(_7;LyH zQyuqHi6w#Qc8dS>eD?_4`6JLe-au#Rz51X3KuraWCT^;csPg~*G7nxt8Tc~LIYWTG z^%X>0;VnEuy}-%#(C3bT5+!l8yo)97T`-30-LU*zY(z%kc)IgsYt)b$pjbU`?1yPy zEim|axI`G46TDi88Sutmc)c=!VWbxH@%xP_G8KhS4GpBrJP7&Lz%>OMIq#e@!0dZU ziZXSdvWn$*r^z=e!-;{8hC~{b!eq0lOUN$D-5)P2TJ&*`92@ZG2xr)njPgvmyV)Hd z1N+W`%^%+Yd+D1buu2y{*&0YE5=z#bym++*=Q-+rw_|Gd(!3ZpwGDkzf=sh!{roH5 zsbR`PTA2I+()!}9d^Z{0ZBx{16WvICRgdbWqdogqX3?MSy00Y)%pmFtPRRxq!JX{_ zT8>|P&viwx7251`Ch{)(=b4!cgW=-bm~Shjt8_2-HkV~Ij1b%QJ{379che**6}pV} zutaRs5=H#1m0VI>6kC|%{)&r>60_t;96p)cNLh5?b~ky}iymEve~TND&Ig9PzR$CfzUO}jRdd7< zr4t#6dSi%E0c_RbcMw3$pazJb5$G+!NH{rwaxt~tPu8qJ=1-NuVKt}N=6y%4R-#Iq zNiGqg*c*Xkak4Ujiqsu~szL$E+wu1^x$;V$* z3Ioz001zZ%G8C7s+vKPO44Ku4Q#oxMJNnl#ffPipQE%T=hyUS0tdRVYsc-c7;yjZ{ z>E{`5G|U!LS!3TSjFl1gLK>wJ_mS?~bJs%lTK_w6Df7c&KGCo6@0H2!ktzXxuG?ii za~=zpT4O3Yu{)^b`}1INo)V0+Pf*Sm{K)6|;S0fOsD@lE?Y`u^cT_)C8@m6FyKcf5 ze1pni^Hx~!g-xOb0RJp&nkLxsOhVzdEA9q=u&_|j0CIT(Q8uRy?gDp%t3gy2LJLHl zNM~nb$_f(F8E2!(Y01%!lTYwH;yh+RW}zCxIq)=HQ@~j1+Hi4}2|TY9&Ae3&1#AAf z0|STTblDv?BR@2;#QiBV-rHyOijMN=*LP*~&vKAR%sunvPr7KfBfANnDR#AZYRb<(7|= zv5Mz54T}B9WH>_$sr1Tn-B|C5N`ZlZn+yMB?6++j`x6{YF#RoIo{he|&d= zhjuqtsjvS5C{~yQIs`(k!l>2Dk}zK$@0_CD1g3#U!?;Ila}fZ|&$WTPC`JJ_s=mf# z*b>mDShvbx0jqgYz4@f5*ZpO&k?-|U@-<-Fv7{$0by|y-D^<)6_@OqLBN5GvoKJwf z4qmYYX;#g@4t)P&&iTjF#g?=Y@Vf_I zI_a+5dC|u;mfd&hZX%d0*4H+HM2H~H~R~P%~ka*aZ{~lBNx9Z#+UbH zb;C%prMsIx8>`2w+Uc~gt%3Ulb@AudVcj>-FN@d= zLDs2z0t1?bPmzjNMp{{UKon^b7`fYho=am>0~mI_=s?J&mkHn>18a}mYg0wD+o>`T zaeA$$0Nf*1VpezilN56UaT?yhqQibZ4Kwf?&`sG5yI{eKwS`+^8J}TLbL4?5WGUrJ z7C)*K$bOly2J~X>_9J^jHq+9G!^K)GiD-h}-TQK#-}`_9dI`{@Fp%R)PB>A3SZl5% z@AF-#95&jr9x6|L7tFC8kghU+e!P>vu*c!CSz;&PvL%#=CEmKn(>@+c=c7y0 z%df^FS-RI8T>e08ZX}{r^U)zAiffg5zuj28#ilyC4^xFMc^IF*M+Z#>t<5cau&yvq zOVnr~v`S@*sL$M%jeO2=GV9X1Zz7%}vyvV1S58YF8A4uTq(HuAGZvE5Prg+iZL{A) zBHl8~jALtoZR4~R>w1>p*V0}k*H9r3$ZjQ!0$#W|#kG5ftIahLKyO9l6J_WHnHY4D zNMN$_0noT$^&HdT%+ugxt6fwqH^&#?syNEeftopuXhf-~{mX5-&8pjM2lkF+`@!A( zD!XoPinv~)F5Y?V{I+zAeuR2-Vknoc_yK%Eiz4^qx60@QYYnr=<5XVHI_2vWaB3_o zopZDc&4wt!H7o8(h1Q0vKt9xtKt8`Ok=#7190Qpo$R>4IfmUGw1rAofn_KG9&DW=J zi`gWCA#F0Dm}ByC z339EuZQP36Zx)Ypu$SzbJ6VWXlAS;^<~#Wa`lVRVnY^D!)SkpdH(K2+*xUNmRP?>e zy1^W&#d=YG`O)B3telnkCW01%5C|n4r|j-Fl<4r z1#Xm_-#s$d<0sx80*9%V3Btm#Oi>%!{KBgnmKjlcE1UR#iOgz+FV7FL>v~G=mOeDA zO-@pB<=diwVQGfl#lRp7ix)5q%K;5Ag+P~zioJc6bR>-zLTb`jo8wMI1lx;P+y6^y zCMbh}N6Q2HB_c_=DFAFzC^opsVOuh=pAZ41B`auNG8!o*S1pO7?NQk}Ybl80yiODl z8?)2-Y~b}CoKM_Ixoh9XmuT$K7)1s(e3D6s`uVXM2jcfZ7Dbqu|egb=S=Ycjiz15}0nrs(}H`Yh?M`W-tF099) zabX<pqzgcM=rs?)b_+L*s3?H-5X2Tl$=1%Bg^Ls+q84h-@6|BA|E`BAAb%n|)VEzO=JT&?=2h(5 z4epBuq=sVV(snO;GpFx#_AHKH%JWB$IZJJZyvk0pzn)){;O;u_+Rr=c9gJMDvF8q= zL=bcE>NUEE7z(tWIg74u@=||E`)FOvu22u}I`+d~aC5H?BUT8{{c&-8dz^;YcPY6q z({9dNtPHh~2DOkH#bF#&zeQ*Aye0SKrn?|Q!t|IVIZrJ2`+hx#<3&eo&o(1>RixRb zrqlVJ&tffGrj-ek^JgboQilqa0w{&nhZd|{fgHWSE-I(@vb?7sukhmXbP#1>6BzIF zd+Hj>7nO9*pu`KsWOhI=kYL=lOk;iulP`YjxgxV&u%2mkt#RF5@zyyj3H-L)S5EUEVqW3GmXw0CJs$s&;s(fI=fdxePtn#37i;B ztvs?C^#B{bx)UZW&4v@A7vh91eq~!UNKX(@DP*ir@SVF#UZ1ti^=rLv>j41$$t;MI zeUx$p^DgSi>l9o5sY5=!$Z37&(m!9mo{1tvVD8QGh)y=Hr!O}3nxJm_>4-l1$jJ~ynvk`tGG=JL5Ipf7|AVs#ugZxGY_eEH)SdWD@jBQqKWyt`xRKk;Y;Hyw5xXy#Y|RetX=dpYG*i*%nHXW6q&%RL&b;rom9p~i0< zdIp&=h1EQtlwY_TJ_4760MH5g$%x3oyQNkaTgk0<4cT%QdU+gYmsvl?QoW7d)u z_!C1fH6N_y>pphg$pq?CJEZl*@rqcQxLKvT*F9mDDaQ^OHjTjO@-d~K#2|)JF@-JEE-fOvpuotlj?m`F?Vv~;V{6&jH|p${s#R9X;g?7Pc|^g_W^03k_U zt^)JKETwu$noX4JhTAZ)b-%L9=JN&WEXuzW#JBXe^+^~c+M-W*=iKcJgWM!N+x*u0t3R3_;19Bx zt9)&9FPf*Uv2BB;D#aS|77>h1HGkw@?n->ooTxMIN6Wto((qsRd5C8HHn1_%E!-FN zzF3}U52g`M;?K8M=YyY9M_or98Kk7k)9HM%VaEo8$%?R@-WR*0AsCT$6BXpd$4*j! zKZv4kmT2)}rb15#u>#0nXqbqW9-|tv5H6iEA=!W+P)gaNZ~*x;w7n$f+|WxiKf3!% zg)WWm-Y5ai7T))J!w8a6Q?iKwqcZ`6cG4}RRQx<9EXUR7_Eq-iQ4=4U1&p^9ml7Ui z_*Rx%4o@u%!=GvuGprx|&2OA@#yPq1+B}q^rGN)hL>SIy%{##-vaC^<(nTC&n`Q46AV(*Qf>g+R#2D%_|H;sOgAIhP$XNB?48O z?ME%uT5Oa+U082?7Pdns{w2_uiMay=NvdJcA$Oy#Rhxt5D|QS*{gDuZ8C;zvP~dpdq%c} z`qZ(_j~j2h;XqsqdmRK9lrgaS6!;uHe#-RF8z^Ml)j9Joucd@T~ zY*7u1P>pe-UZyF+W;&v%^4!8aP>f;4GOSqJ>B?p?l{e(P0l1@%Qub!i0~!P<6^LW& zo2s=we6aQn2h4_r?2QoVte1`VoxeD7mkg2w_KBegS$7fsZ4Zhpf3*im>!6Cv({{>q z0QSP!aY59h@8vB6BL|{7BnBt4Z-neOY8)d0^QwvyOF}n5k29)-C82&6@S;aL3}-uI ze;L#;=@^^MBT~d01J;6LeSHT{w6n}4IS$G;tlznc~HvZ z3Zuf+LhD10(R-GhPc8?_V>A!NDxK2EaROefh4Yvmr)$_LY-a!V9tklC!vq$adj-&? zWa*Th`Fu%Ix7Mg3GSr^?5HLzu@OR#~VeJ<6*}iJ3!Q&S?7}2I6nR(UR=kBg_Y?oE^ zL&ydUIugtpiSPt9gJu2a*0S0Z>uEB3u|`$}wsjxp)P{^@uuC;1Tf8c$_Adl0W!*@S zfw%GV;`)+8zGu1vK9%2w&8LNkt#0@(>)nsZ@t>S@9&9e{PJN@-cLWm;F6RqJ2l+!( zC_nF9wOVBs#|zdgY#*%vdr1qvx_r$=y9@#;4^4?vy8y`B<;CXM)1`0GPSGzdI0o6R z!EZhQj|BUCHl^DQ`uRxLDO2qyB8TN$y>R#RGRS_5yE+SQFwWh_9$ZG{?S?7RF6=H%PFY#9pW*%R0S#|^`u-Wwa_`p|9=_PkUb=6@m(0t>uQ+jNW%C62X_Xt{(;z3tRL3_8ZPE|3Ri#I5}Lu@iG0Q5ynDa4d#D z(7eyU*S%qpBy4RdTehsth$6Q=q6waCV46|f3NNu5SDL9Y~HNStPfXPR3$<+MJW7LMYe#TSOOQkQZ7r?>6Y(Norpu+ zDhRRCWi|ftG;=DI;fpF@D54Iefo7no|4soWIKWa>f@OK73xENNa2-V!X1G}W`Yr(j z>x9-ma4Ij1r_*9KV7=&^hQcK}n5nZ+G1)`1Ve(ErS^lkH!Bovk5`f142{>9x%oYP~ zwo4!}3iJrU@~NF>n;AOw1TfN{1SAHq7+aQFL1Nz6!D?#u+Lp!%`#?5C?g0YzBFlr) zPV^=acvF3~%58<1B&rYTLc6x}b}E$d5!1^D+G)|-^Vx5_Dc7!#z@n0ut+$+2&cGLZ zgoxFL$&HV%Vw#YVnEPi>O5j5?2(o@Jl!sttdnpS08i0KP>;$+b{@xGoO6(b9Xue#h z&}_8Lb)0U!P7)S=0rZo%SNh`BQb)ea{xx(OV#N5BLxv78h0FH@&@LOF8NFp?qV24c z6T@}&Eyt`eI25YT_;o;r+*pP?5J`wo>b;5i#^<8y4GLOewPwkzU8Un$4t?fXC$vA+ zjToo>gw>m6-LF-mnvJHxkBf}Avbs+$pNlo)ST0S7EYD>E@kHFku&LhCE??bWT{O$R z6&f#Ap~?~oECJ3l^AZd74f;!88PJO3T7UjvkVPTo{&US^}`J1ssf^`v}#5|N)0KwDkve}Xr9c{FbJw+6!R!vpAj}v)`+OTe8Ai%k3n2cks zX&BzaFrrB+n7GHbJ??1_v2AZT-m*{~Wt(@vGF_}u?)Y@1)i_c(vXb5vTuW@^5nclkrFNI6I5+5p{T!i5u8jM4 z*A)=kj*5est$qiWe#}RNZ5Zb3lZ7}gldmR{#`){zbs+UxEpRvdI6;tNSzYM0uX%^! zj@FyV=`t)_;sii|_o(X#kV$$nknMQF6p(g)wTytP%3yW~G9nH3kT)^rF;7tq$reDw zd)0S-@{JnR%$VAiblY3 z??+>_NjLQnK6~hSeWVo~EY|(In7EQe>!PR{S2=K8&CU7YT8zQ4OHezFyR{C8G3>=$ z)i?Dq_Os)Lao|!@NTdVN#R8Kd_M``Jvci==lhW-ausf@8Jx+;i`{OInb4vHH=lms> zhv9K`b04`A4{UP1^TGQ*USIX$kv?vR$@?f0?W!qrX{Y$HRO8e#nqX8J^v6o$I}BfH zMpf+gv-ah_{za?xq0sEeuirbRtSNQ z;+Du1O0?PjBA|9SVf#D!iP^0H8bfe_LV4Uy32=kbZL&FT`GQGQ^%0-^p6#zjwil84 zNs5}h-h#>lxem%#gl=x1;MS|S|Bx&26D7K$#J}ii?2u&y8>SBTEgpXCS*q*k$95gf z{hH^rdvwJ@^~E;!4d?eTlJ?)6#(lOAGG8+!C)FF-`#F0b&tnnfy{zZ&qmA-3ucwVP4sK1P5lU05*k@qh}^$zRV3 z$Pn-?_*#LXUUdMQR(qH#+afX=SFk`v`eY0L`J+(zr4wcJX!4`Bj29Uvl4EE}qFbtw z2Ioz@omyHuLk~&yk{P%T8FfqvHVhyC#|NAPNfq+?cGq5)9zg5c(DZtu#MUnI~{=cpw3|M?c zt#3Ez|LOC6)jly_wNHeCZ4Qngu#++(V% zgtK>Ukm892`0H%g--9hkm!2R=LqJ16J|F+o% zoo%}0ME+))if`8NE^*ul7QWxTu`4<(skrR!BiV2FdMSQ95ojtr@wzlljMwv~r6|L$ z#;IPu z$HN|t&tEM!Nj-{j)Iu(djAQgdpQ1j2C=kvkHRcK()G~pl1jyK6GC5WJm}&}EkF@Z( zKEKA`c3+qb1JZ9r(A{$9dYS2aGHx&=E7|tHLoFV5TCC^qaB|meKqAU3_#@6{2@_lKKNl8y0+(@1-Zxk-~28C#(&Ivgs zw98MsV33PD2B1qSbyqdi-4$<7BAYF4`!+eRApKvQeZS@G`7?E&>@*)1%Etnpflrs@ zHJ|Uvo$HpB5^1tTK$kN&Owis+Umvf@d&6+MO#qp9b8MT>k~xxiwwjN{;G1k3%Sb6p z^P=^=(IOsf_FeZ13Bittu^;*ejJk^Yg4vw6a0C-z1@+QKC$4d&r{pUdideRxLo=SwAVz#|=X)&glShb=L*~dI zTBMt#$(RV1=6T3^$RgB9(hZYn54TX3KwMxCL-OX?K z0Pb;6)1%A~Gc^q5VPe!;=3`rT+;cy&kh)D~6$lzC6}`Y)I63s&CzK6!1TLH*L8m8_ z_;ma!+cY=r$w4xnqc|2KgmTg9>fZf*H65~-^YmfxTbW5AI1w*xCv7=ikicKKjql09 z2(tPH9esFiDXMZ}iEUiu$*qQ!Lc8;H_rNaY=7D}G*VD}IXCA&R*yjqm zU)xuHBS;JPEIZkR#M}MqIDsV);74!7bI=#l?3m|2;j3+JiFbsQkrAE9Adm~eKU-@M z6nEBdjpNLMbS%ATv;mMkDtaG=c^C6lK`O7IC9;G2b-BEQM+x!?lR2c%nkYBJcmtUs zlkwX@ylC_4tj3;`YtRIou9Dkd|L2}}?Zoh?nS#hW#cgBfZn&YbDt}|Wpr;qd1`;_J z_d;2-7K1j-3y4JcicB+7LaGquKC&yF7J%kQOnIVcre5fteO3AFY#j4VYSNOCB@veP zDG;hi5mZ5?m-&DI)%1B2|7pIs{oONNY=tT`^F6eXUEy|lix^9vv*wb>XpzWtbn*UX zY6x})9e=+%Vu4GeepYeFTY|1(|6zFRpJGmn_Ud9+D@JK|CjCsD^9})m0T!g^otS&P z416`RvsOT^L-pO?xBS~hbSF}Fh-`HeN5-6(5%I%rOkSyQdcOAQDWf(8b&nH&#lkND zqc{bL`Ir(~-MxcnZ?J z8ndA1PsxpDRw%W4{BB84O)Cf?kLKz@&y-FDeDM_MGsChRzU9TV)t%k{!vd)OUXl$e zI*nRoW6x-tQf!l_hqe0EpfKfbDKqOfw#HM!xc+=rKDKo|fF4RKw4D`2U_}%4N4MQ~ z^%GIMZhd3xmgS*B`Mf4fdGso;`gUSg=f%b#48uHn6MKW&oIHHyoF7N~-+FeSeiFi! zvfG!Q~YuzZ@7OW39EPKd=5zTZ~T+-HhRu$*Pl5nebs z`@{~Z^nd$X=zf4?LF-tG?uvt8?<3@zYfLakbJ!9rR~0u3;N4JX;IH>Ey9b=Ym$H}w(G5w$ z3>hC9OXO=N<}H3W{@IQDom;|Y98@y|Rv<~a}U_NCOV&DDI0 zb216~y<$&`9ULDU&Mebd8Cy`QLR2@i`pt;bw9qYO(3xg6{g}sCzSj1scDD{`D@6;L zMp+@0@&1vHjz@S|w|gn9CJoQ(Qvs?*&r9t)4&EI5wm&HZkG|BP4O$A$ri@w?oG&;S zhJxu*BXQ*~&!D#7uX*hwKQdo)Q#_oz5YwGbs9$IoJ7AB~t@=tql`gB&yTtZvGieOF;zb(PTGR1u9%p-HRNgd|v`FPi1jw>z`guG!8lfoj5s^ z_8uLIUWd@DiL?PP+0oY6m7~jC3b8djf4T}@{yc*-9&WDN!5{&X{&&%%qN)!ztoBX; zH&jbOdqkj+y-YLWc)~2I!-kU7K9XC46QY%Id|y9Q->iN5uO$A4yfq9gt$iduJ9kp-%{}DmH`c#axGyBaf-#b=ALeTlo$*vDWZ+MNoL*5b;h(cLP> zo|Ir=-Tthh29*e$0IFq%|Nj6X%D3CRbpo|c<54^2htu*i?U(5Vep|AELg}7i1 zXW&F(-#W0#t+8lD-pR>2#c^6*P)8RAM{^@EjtK^!zQu!ba}mLsqqf+M{oGOph~U3<6N%+=(VS*2Mcfa^vM7Rro zXvu@a)>V7$3nI#xyI%D8MsU{TL+qL)E5Daw8aG8PhE%;fCS-y89uJ~MM{-xNkCj1Y#pKGMeb$*`yEZS4mz%V@WpOU0P zsK);=GUKYGV>6gdDXDs$y%x4xpZ55%0Z&0Q99mQT-L<5#_V^m5BA}b){#9K{sW>{B~b6Kp_q=7G8aUkjRsrvkf zoR%c$s#xpqV2TkupZ9`!wW6uYx8AdGRTv zjIcDn{~)+5{AKH-!)Id?J-_DrF2;rRpohV9sho+py;_XOPAv4D&5BUHgwycCZv{Us z-*kfO?-+KmQ;8Il=}k~lsaF>4saR?cWIyD(F7!)Ec11S>`dw&=A&y}QY%$>$1uwbR z`H?EE3Qhg@PJNf;S?7cyq-z^~9Es^vSq&1BD*qGZipW5}as4td%v%!b40rxn%*Z79 zx0xST+`^5h9EVOS1(A@C($p68uX?xe-F-h3QdKLV;4MB>H$Xu)27<{H}{i z9c+}@x7aj$&nsgU(+w@%s2q^WTecPY-M|O)zO-$ZRWJBy_LIQNtJlKyVDBE2Qyy`z2! zA$4ob%WI_{v2p1@0N5gw|ewI ztpk0mbSDh_T+bgf@mxDw5!p#@hwLRX+#}4WD_{)~2GiUrhS1T`caHCIes{7;M0bVi?+Y{lQ)_6yN_lP0v z#msyd1ZTF!?^l05qs-Viq0k{pMgRTGr4#0@0uWdXSsmP>%pIfCzr*U|p!e%WGWZTi zWj+-#jlPg8KFeY1KsZn&oU{EN-0QIeo6qfOzw+J)6C2lZKPXs3^G%jjaW+dAUfZ2d zmvB_C^aSriWLaDw9(9HXs1rIvi4&))7`l)6_6sZ%!f|)kXLHLVM3Zype5~iT;@jwc zION?+;Az-(!?`YKt2h_tH&vM+Ha9+7SwepQTvFN0yFdR3JPAVP&-VKNRycF*Ci}5r zs5}L9U>U53-E>OaaMyHh4WUr#9Mm5-z*k*SZ`b5@;nXpTSV9h9vvGa&$UYth-H7hy zC3nmDNi?aiWhcQMsuo~#B(I9o7&0>&v;$h(3=l99QZ-){h$vXc8277_dnmD)ZTQ9j4JAX>> zK0T(UA3017U~$2`wJviN^1iBaq=J4iyLHsQJCo)>4N$8C;%0jJEWUC^1iqHJ#gbp` zQS>~$Eh0X!F;d2)YnG=3RnEVcGvzk7j}k9CX#r!ZkoW1;uAY7K0#kuxT79|{MhlWH~Qe{9$9)rLUR=21;M=` z@NY{6xBDX$CStY>doAtw&65O0($6rqca3z|k^T_n*|NHS5`F}dQYiBb)WbOSN%Nu> zLEqmBrTe)=^K%{{c} zS7po(lt3;)c1VEVr+55GU74D8Inf1OpAGQ*vJjnMWhb|qCW0quq6?_mKBTXz@Mw;X zT<4XbCEfS-(+MgXL_l1EE8`_eJW#cT!U|4OO73y+Ip`M@Eoh7+A|-r%?hB*mU^0;0 zI}y_Fc2Pv=OykRD;MRmT0^f~qu2o)RjX1dbQ|LAOM&GL)o0(^~6jwIiZ)%NmbLV>c zI48RBw#VOBaQ_KdV3ems^uZ`&Z*s`#S3(L**FRDvV3rlxroe_Je!2_M>FkK{50PBg zw-M!WH-mV>YcDuvpLa%cWAF<>v@J~TgJM;qlyGX)6^-LO`rxMPTRQsjGtVB4hj3=R zZ0t;?g3mCFSyr$&al+cV>sXh5-{$tJ3R-#8N2KB17CplVRWR7J#v$b~W}SBl-5!;3 z))P=hV{egTq|8#1FtB7`Bd8`72f1P$*z&hzvn%0mPrjvt&Bh=x#qY-I{UW|kQHId( z9`l|ZgY!ny%cvYFbsZG%q*|a)@R+j&9MrQ_H-g)TVtIqcv^}h7D)~AV`6Y``^d7st zZa3ls!5+OAv1VAO1RDX>Z=ms*Tq&Y1lCGd|pXRP8xHtM;T1u4|gZ9vdd8rj(>~Rpw z&N7~hPZYqdC3xt+C|dMc9s7d?0pe)cwKx7WjD*hGad9|N3GQx%P4!SPnf&%jz2!R))$yr<~!lSGYn@BhsMcedQ3R>Sy7^O5fi`jR!S|T zD$4_`_F0o>?u!QZGns9ZN5lQd#7vAUgzvFTAkxF%#*jHntN7l2dhS?A`^^(o{GlZX zQq@e`j}*(JU}jhsinlbuXR+>3f(S7qxr56^flh^~_ZefTmOtHNc|tTg z+{iakw$t)mO(wB+k_po!6K*FZxLzFDwGAIEZE)B}x~nUxCx}Df70aJuL-UKvQjEw- z+?EwxM6#khoQw%h$*F&dVH=20-uMRK_pbBtKE>kwe~4=tg0w{nub)zDl4a&d zM7{#Nih(){=VR^tf-Zrb?P9@&&76OPXu95T1N`g^z~EJH)?DaqEo|>lV>;>po-m}T zxBA_)Ip6lAtX&UIij0%b@z8ibq`Nx{w}ut#*g`_S&=$cVDi#8(82FYA-~)aK9YiDl z4{G3F!~xO(Oo&BoAP~1w0@@mi6thL8{j6q+eqad#L}YOQ`W(lo$4kI%PkOvi9Y_k< z9Zpn`dvODByjf7?Kyu5{2QYi@=f(==0IefR0kDYXKq<-$01HDxKw#eR-)I6oxB~I8 zU((HvJJObGEj+W8`s*9jSXDn`3-3D=fS!@zeE_+YWxZIVl+H``Fb3R|bfdl~3)OBF z!MC}o9Z~PS%sT^M7mYf-k>909IT6?UnCm7$EG-RHR<6ty*m)@l>;u8}D5<1ApcB zJ%WPSUQ+$sAz_S=%hv~a?shI0kBQx>4oNB!H~#0xGhhTeNBZlDcy96**Zo@B%bM}q zcHj8H(2RZEl+RwPl8hy#w*{g^(#O|XNT1kKh(`4L~uY4n;&n0D9vm=}f^^%ur#VaL62pdU86P$d~N`57fyJv;Kt? zup4@jyVyH*qwT2?O_F0ms+s#!)}%SVsTp+_UE45USrsmFPu}3bG=2`C@|G@CLahuW8)7{6h2GbK?guujBYH|sGI_7?=91G5H$z;r9qc9 zr0+=hOq;8~Kyv}OhU;$sj)xD8Ks7obpe;WVkH{B~@zSVyg<$L_PwcR^;5W?q{8qC0 zaXTu{5z#7->iJ=S|4h8omMW#wuwY@EzDHmE&vyziECel#UTu9xdSs0_OvE(?2r2Ub zqQ2X_2MApj0k;^IZyxx_)7SuYPgF&~ryv5}wO-%njxwG>N8N*n+Z{sM=|*=>qgL{c zLAUWcU{x0eqI61MkpYssSg~?`4ggG5e0hF)1?ExF*WCllYc%UBT-jgad_n45i|K-) z1U!OGAmTgK26~9&=>gE~1D4Gzv$Lo3IcGVwA&+DbI^;GQN318efTBU?oc(IT2%tur zIbS~Ak5nA_pGzsmB1Tx(NzRP8OS{k0KHyVj_r8TN7gONch`9GcGbO!Wz}9sX!4Emr zKnSWpu&vJu4laa2sLZBRxYI009})uk(7MoExV)%3IPA`$d>bczJ&1Q&JEf&P9T{!I z@OeJozR&+WnJI)qQ1wtJ>Z-A$`cE1fMCy&>x=ghi4zVrZ`ruNNMqz-yITgwd$NsJI z+t%8L^y5G59>O1mJHCdY*2^7%u7BJ=cEQc&^$#abUj@J+NPSP!>7da6>S_4xkOZvtMS`nzD0#^7x!D*VezIGeJ$DWhqOWQ7ob)^nQRFm$A)|Rc4{xvSKeuF?25+9cV}CLl3Zsilq($9SCYL#eBkVvBb5O7)=dS^riw_# z=+=k3xj6nC8}I<%_BTRe>p!4B(kKiB6*AmZ$Le+8D}aXUgq%Q+xY_D8|HJLWX-vcu z%H^Xwo_4!pv#ShZ%u{u})i+Y>OT}Vk%6hd*rmM66*%G{*$ejIPJ5DPg^KnSMfERs? z6KDIdFvG@uE6AYZ8njfWWw0GO7ILsNm@75zp4e2)ZolEVwz8eah8zBWXlJng%;Kc7 z!3%P!VFX+aU%l3%BIRrNJ3ct`VANIJoSd1etR1r-evjpWCa9Y&jaACvr#a|GcS>cF z(BuYwU(0TIeYy=9K_Dq#v-oLY{*QRXWujJmtbX|q@xdC**7Zy~>bb_}=Jlel*`gL6 zUfb4nUN;ijwIOb7PGY`KE)f;_zQTdpH94=3ZO0%J$3k(IP+fK#@m~2DJR9!rrY;>9<|b(KncWChDGHx# zzDnlU4{BkR_HUZrg!0Z%%&3GG{dQB{^6&89Ih1n+n5STgI4&loNL+D!Z;^KlDaJLg zFyH|I27JZ|jNn3mUCuOMOa2VB5aj@iR4~BT9TgoVs7GZSGwzL$cuo7V823R6-eA9i zgDtIApjN_w1T6ktRVZw{E0C3e?W_WL>);kevSqz0017Pu*yIh#o`_p7#`rGVU!KMX zx>NlMz4>QD=b@&6C9Tp`J(7s8q3g{~|3-{9fR3*IkX#6S|9Xt3Y`+o!`jl+&&3?g# zEYFti?FvS2{QycP_&F`~GIRw* z^@iJh7$S)~yaap+)F98ClU1<_A@9%h2CCWv5?a$!8xJOFig~&^-8$`0FZUAR3jFkI*Vd(0&rJ_XabHLfNeYt>=7Gd zIxUx1{9)jyfPy~+Xr_ja)dAF_iEJ3;#j_%S!cChAV1XxqQXO#s>5vPHh&9345WYWx z^STWi3jE*OupUdRJiR7IN)EfVv}5PP5B$Iv^7)x62}11fE%FBZbHqRb!-v^o6)7Ad z0Z(T!KZ&5%zpz_hlt7(9CsbmYM#ahwYp%!NYWkkFom5t1hvoCEA0YegPZdBSv}MPZ z|K!)jP~Mv)ZVH6Qf9&5e91wz}!%QUdrfO=^#7Mk_=|?c1F0s!7KIZ&!3I8NVo+6MM z7Vq(PG?OH!wbdz<+QZQ(`!pV7n3aO5hzwex)>IO!61r?a6`H=TvMN1#D5Bv5eI~mJ z+rZg&c-uXacCCh%pvQR{+{qzfV%(txvY4uTT9{fNy4If%P!Z#Z2q~O^*?~8|8B!-F zL!SGmh3XhWo}aHB9|+X|a9rigt#J~=eY(mSwY)s}`&&_ZSWQL1;O;KnoRi$EyZ{6P zz=58^pL;R!xhd%GUUjfkp>{aAB*pRKPXNE8DDLAI!d~0p{6vKvkG?+LFpWfMsC%bqD~f^ z)3dF%ll-(_pF&ak zxJ=X!xZl`9%!xgC-CU;@D@*Qa-$g#`ye<7Z>KrVGGKI&|Uu0kNjV$0`G!;D^sT$Z9 zsikN$?+wLwk+uGVhAX^4fniC8tG1o&+OUIC{H;Wl%(bXWrkB1hQfq

PH(siYYAr zhg0E+i4+TFwL;{FXc*;7|=oRZYgo`@E?g=D+Lu>ES({NCy z9iTL8xp!cJbnzeC^7NRAuLJ(I309B1loCv2T2~?_OB)^P7JNTX*3)Gb#7A7}Qjk{` zBGfpL@U+626M$;7eS0)hY|!SLt9X6%$gvv)R^+0P!tMDhRZh=euC zHvq65G##!=Je^dKgg$pb0wd*83(rTC2aScU>q9A6Jnf03uIV?X`^~PDMmq&HwQyXx z5uJ5!kfgur=Oge}6`JhillK^JCXEFKn+CoOUg#u`nU|_26?Z<;43j(ZIt|WadkNKm zUg*u>aSE(4m9uR7%Sjlm5ngY-sLU`G&;(VmE;3QqRqzhEwhDqAnL8qS__3ju`*qjL zg)7_XYyc2sUJt7{zVRA)PeUCYX!EpM|JwJS1N&YW`%k>yx6C`^nd{2UvV*#B;ZaNa zp49#qdv6sG<=TdgN=Qh9bT`r=9U@3bH%NzoNcYg)B@H4YCEYD0jS?c=E!`ag?gwM7 zZ~gzlKHUfVOr3G&oj0E6zV7>qfmP=lf1nJW*yUjIu?LR5ywmzwPC;(!%P#uQAw4b? z_~!S}gafrwusXqhV3CAr2Xej(N`>8y`X9wS`?pgdI!V=nQ5m zCGh(Rl<=A!mQ%y5a`>gAD)nNfQqvsv{k-yLos;$R2K9cljK_nv63F55mS)M@l2&dI zUs>B2Rk)*@R=H$tZQ}_*@G0|X4cn*dICfxXnY2n$M@F=na z)IfmyG7vGs=s5S|7_n95YNu*2@*2=6Rs$Ne3ov@0dMwi8c@F=#Xp2ShV_;5s zm9O$dBX-{0!k%w5qm2J)5egxeKU3gL%2(XiGTTX%9fsp%Pu4BA^53^3`A!A4g1u3c4!H;pBVB z31T*X>B;rS@;VCq6zZ>d2=Fi^F?q@sT-}RQE)0!ZgP)h{#Cd1s0zal}=r21=9;B5v z^+DNQ9~*Z;u4wuH=duz|+`Ig|L(1Szkbt%HLM+LNN>N~x$*6sjxk>W_tDZ(B`DnZh zg}vbsCQRF?6{q1K`uj>?H(wFs!WUv)BKSi)1fG>jXw{@fg;;dLw~>Oy-D-@Gm|ukG$89Ec(YZ2hZUM@8>68 zy9}4+S6I7;4bIbJd=)hS_DgKogR*qGYh$Q-UpB$cz4P?5k|Jqd4-AYpSk z{x2v+f-Sobdxp|D@18a}ku{MM_um(J0L9-DF621AwN>=$`gqWd_DXjh70=`*F{W#I zUYm!B5Apt-u|YZ4)Xh{PFDyMYM_Gr+!wisDP+)DwQr`ITkd}N0H5d&kP>9;_{<_O<(oryW$XIQyd-NoK3FzAF zKv~kKt*wFE{}t^@X!>r4om#A|Z1Sp_(lk&vt;t>e->&>&8USaveP& z#e;Oj3Kg<`t_KJ_A^F_1o7FC&!sE-Gu~Rub;=;PTY_w7u4~ms1?AGQg!{5vIxt%q_ zrQ73tZ%pLoL^WczbV@7@V;?h@#aIzpY#h@rPQ(d{YK##EI#(LPe%AzshcJ6`r75VD@pexX_YN|{4nD4DTWPQ(VR0ho?bIe2JjuPZ0+X{-_KSoAaGS=m-Xpe#1EKk@9+yo8{Y{mo;>y&u zqJd!J(=F8#FYU^gWwA6mzf&%yJK-m-Tt8kxR>Fet5&If=KotsXfMl+-rGe$tm~0J^ zemU?K8V3C$CwCY9QWUaMTG^!fro!@y*7@|>X+gDe!>8_>ACg}IDGRFrpD6!zfFi&l zcWH818tq3?o{1|(!k%>-Ad3b+7#KEbrS)$ z^(G05c?!oChs(_h;X?xUm)?YZsONin0m(!1%MS9%ta@4EA?QOOtwP>PY0G>-qHXtH z-@#EonG+C8Hgl%w)QUJ|!R_0EW4Q~)bdi9_&9rzm>R0kHFc{Cp0Z%mmq&>6V>&4K! zeGc8L@nGmSuN>hy-_}%3=C+x2e{br2GW7cO@JoSop)Zy?5g^}(0zOc5nZhuo+TJUi z1=4gOrE$opQV8V1pj&M8V4iZ1NBzL~DFPZ%4#;Q8bJ?5yLRKpXsyp@YD5aXW>#W=~ zG&IlhjvXolEI{Xv70O5hpn`^da`^)^v@wCZ5aAbQDP<2yRLm;TAadmS9%P=%;CvgCcu3S(;Z;hg;CrUsGycgF^HuH+OV(3wij>k95ye0USUi*&>X#vOU zWd%QPQKF7M+ATFi)$ZriyL_^lN7eiiccX~Q_;|MVkR+{e5~t|+z-qMk1y?vU-A@1{ zesBZJKGlh2^hm8e7A25$nzKv>1JZ#?8Ribmj6_`6H4iZLa{^XsaeLs3K%Z!DgS4mF-BpS5EmV|=x}`^knx_UjoIjBhJH@m=h-x=3d{pa0IU^}A4P zoePcH2#AzYSZ{JW9!6nn!u-%>)pTU|26OIo51Ptjt<4oCT-K>Czf7(?x9SeU;Drlk zlnhrA!ImLqd`$fd6y}YdWR&$+`&Mi|8ZkFwNx}_ z=~!C*_G7C_rI3;xAV!{W1Nlj|c^>^8_bNcYaSKCC4)Z}9LJgVP>LE>CWQuO1j&d}5 zdM&?fQs@t-!)3I!v>}rzTG_S5HV1y8HQC_b>4G#uu!mnmPn}RORa1aQUO3>M$4lXY zp`x-DnUOq2da_aDt@4Tf*&c@1ozIL5sUpAg1PYsXmu8oYIC$3dD4P~P40TX=-;yP@ z?MPtr@aYc25gcuW^CwV0ixYC@^Xg*kN&B!D#eSYlL36M?6In6A6 zLneFvGk#*~{*IGMj`UMVn{gT4678Kunm}}#xY_LM15c!t#N-H&KFw)CoA3p$`y}G+ zdR5lig~#q5?NW=)d{Zjq&D+!(kRW?A_ZT~CWbNjMI8wY0KI^+KKH*-T$0v9!TIFf} z{&5c1?o$kxabO9!yU~&3MVkmGS5clIRD<3|_#GJ|1^qK7M4MkjncR3$6O^c5URNJ6 z*!a`;zX9Fs?agTR)i;#pgU}CUEmysKPSwsT(eY;liERwQ9EOSDmu+@zB$x;F6 z6WDRy=?m!0@{T6m7N?dPcYV^ezcSfm(AGAE3~d$80mlVmg<3 zbOiL&*QDr_q63)o#L=&egrVyXpf?p=yKbm%U77m<^U3aSNE=op-0zJ;D}0 zb^<%0H2w@>ydr zwx1e8wE|VD!R!vg9xxN z8Iykd=i$bLE$`Y(Ex8KE&cR9(-AmN%_M-9qDCKdY^=!dw;vJahxsqGPW!+Ggn+-fg z-%jn-pJNod*maAM&~0>ypi`Xhw{II0+LHdeSfIl0Nm?}_(bw}h(9H3>$SwG#q2PVH z>~Mkvaj}C!(~O%%j;#rK79d#w!X@e{0%B>j)TSXQSI0N_d-pWaK;On&G5 zy_bvr&MGvH7mbY4dfX!F7mDlF-mwp9PKpuHmY07kmlsdZ<}ns8_rKo_L%A3wDjKPk z?H_vIDk?jFrov=mDCWst)`GVn8Mms+uhsK!cyXYwIP6pO)22exukU2msd;LZGMOKe zyqnYT8F*W_(dvg;Xz*l`$KX$G@#lB~eQ{u64uO!9%&59l$2ByGb#A?4K91J$8wy)O zPDX~W+I9um7f_4*^L|X}NqE@hu$z^bP#C>zDc%e+;lgQb6trdu6ug~r1gk1DQ)_1$ z<^M)A@=;=9CU2DJV8Jzn;}5P?e3gheQ!3KTH#XK;>k~91|8=!pJFWKBZA>H6`GZq;`;)Tu`=lfUM zWH0snY#I~(W_ODs)nkLt7IYYpb}yUuDCMpvj35{=Ka=VV{-AKR7Kq0 z>>Iq+Ev)Df6WZ*b-(e>5$ z>DZIiakkCxtMO{gIviUDMNhaN&2<*<9|U!b`ndT_yL&RFqX_2-w|l)l$)rCLGTJgk zB>PC{^EKm*C1pc2-l}%}cY`MTSR(JaH+%Vl33MP$=Wy*o@^w|FdBK+xx)ZgQyp7RB z)oP~&mEJd;aLqcqdRs?M_^RhuyW1!8%jX**=}(m6Xr6Bb#jz>H7E5gOeDcZRWomg| zCVcz0VA}#QldwjlOyY<5nQCE%+GC4jnv%E7&pEY^rm~}AD08?9 z8CG3*>s8>@b!OIr6P#NalWtq*CEKBik++f@qY6cJ%hx>BA1(A`@)}oc^vS|1l2V#m zcD4{LfpOaY%2Igxf`!zKv-+!2p>loj{JFE9?avdQ8~bI9Esc-FUY}Mxv|V43n##u~ z5+%KorrwIm`2HnMHDimD6@PGIUFS(&^ZMEF$R%|Ayv8+ZUhQnDGC17opPk9CUevd- zzsB>R4Y3eZ)!jzbb=GDz%JDq%NA6Ji^zFLRB5M5Td^InXf=ZJlvYRxsc%kt`!E znPeX~J=2|4Re!7X94G^{gXU4yt6cjvK8AePh&W^B+!nIm3^Wc}qWxITv%ks)oKdQRi) zpaA4NeW*n5FGPnvd6l0(&ZSdyWiX~WqhO`_ zz7S(YU6H2*x{p5|zKn7C$eXVAMOC>)?(*d0T%l98OH9qkk9`d;nx>-8a#{aS8+VP0N;!U(I=_hX?>#e3R zGKHVzBI~F0`g#0<>l!cUncOH=sWa&E7d)(#?bVZjxf+EZeEhqJJue|Mlj&b;K7|%>di<9^f1CDSs^N3 z-fqZv&tWRLt4yxq5h{XvzM5vXpfsQ9#=R0*z=@E<`Y;+|1F8CXxli5;zLKku;W``jth$FFWi27IjHivD#p}G*qLt{%FkC1Q zJx4mJT^ju}9vh0rmpB0wBhmT2s>TA9Tbc1^bWF;eR71xm~~Y(@3VQ&Oj(C@yv#SRfl8iM{#)pP%Rw-xz;4?2@U?dCqc*{{ z=itZ%@Hg4tvA+s65jgc_5l>!GwD?>YYGW3Nnu8=`~La{;M&+19QkUbvF z8Fyk>UFQ9>R|tJnVfvxPsc(+i0#7!%>y0#t=JTjr?%{bEpDodg_A}L)0aYVu2a@75 z$+R$imv`(Z4h^#7OffBDq-)L@X-mA$0=0ZLx33&kG3IVb=&i`gMC#w>+N8cFBNkLB zMd_yPVcy1!eIaP3ys{Zpvrf(>D+;TQkANSojdN*5;YqF7EtK&1dE_U$?$ut6f2uPi zOh1~?Hxe)I+^#|X^H9EW?33k|E#E_xmoKrjC+ySDlBPEWhuT8jozRXK=|?P6&oZm4 zZ_;;jVcOs_^SAv=AKwgas(lc}wMI35DP%^zaiT`A$1R2@GJjD4<#L{w(o^I2F}+${ zFmPgC%W{cuI``fvQL>gZHiIQl%0C~VpC6^(wZtuuK$&Kxhz$SfwlLTrS459{ykJ}# zI3UHV8c!&{aTL|(9_**6fBkGw^q_l|x4H-795SXxC51ef`13G0=JdLhJrK%v*aRI| zO!Xh!NPVyaagz~me1CglA(Kv36OD7TePZCA@Uz-%q}qPsx^WFYJzCK@NBLB0s1+B^ zVyB^^LHHHdmP`9L)thmrKs2Y@0x@|EM#~2CWYS)LW6kiFu`OI23R0<+4tuiu1M}vN}9QP{- z;~*GYFFlt^>5M76&F9x9{M_;n5I8VIHq6cQFe@tMla$=)C*?X-)8f+UKY`#XI0-?m z;{9M$e+FO6hQ1FK?@7UNM@!2jllk-@8j=3LdQ7^N~ylRZ-j~W!uxnzy zIYl*irA{N%$4)hrXtUc8qhQoRAi%PHeR|wUeRbLj9GD^1_5G=nT=D(O!6sc3h&zGp;z|6Q*!L~aKCw;QV;itB5IRe2r zdn9|F#r}up&G+ZG_Nfb}?pZWZf4u;1$H+d(N`@jOF$AwS8+{30MvGdgQ`Khs15YR< z;b)^J3D9=hJC9pB!=lSLmmP2EiJxS$`TSHdfX$t6Z$}=#|6uvJW^TXsxT#XW3GT2u z)JrlyB+t+5ypcua-?NBWht$dNj>1MSyjt>~Tl}v5oQZ9B-q~Be9q697+VF2#NBPDV zAd@s-+fv;>t&LxGDmEhwhcV7pdg36km8K}o zr{g*&H|Gl;O|2_EY|1O$BNh{-pG|#*8D%Bk(bz3_n!X-=MYKEb#iLNGNJRVlM~6j- zzT-5Grp^S#;eE*K(P%&;x$>MQ-xr+d3Z|K1eF%DUiBvWQJ^fp?{zA~fL3$~KDI-Y z_mu^2jkVy6X{AN_BcH#QN9Yx-Rt3sY8zS(`^^4{U&GWhJ(XWvDW_5${3;weS7i{y zQUH3Uxx7(6>~|)j+GU{mxdwazliDTp6opS8MiFvJ(gRkbHaYd2O~EW^&fbmekf1D( zc+{seZ=!ixEm6u=<7j0gt(t?9QOL@TI)YO-Pq!x~ECLWQct(sHIuHme{B{p<$jJ6w zaqG!J;&;xADzj8mzKppUrOQ^S5RKd@yN+~ z&dMw+db!Dr5%=@br(n`&|K*d@CN>z4hR%Ecur?0Rc|y(lzy=Y#LhHSh1-{hbl~~~U zhO^Z7!LrS|&|kdZTi zEMfD9`S+zpl$hE>PYgoNXFg?j4EOvE>rdX?r!KR63&O}la7yV^vl zp6W=hyy^iSQ9DBExJmY~G-q9%&76u=H6zbE+%w9_%C=(3XcCKDLj3}811q&fm_oYy z{UPQcZoE*x+5I)Rj~c9tG)h#i;cVQ;Gy3N8!qLpCbaMcuPpx992$&N`claW073ekA z?uInRkJ)~@S*s^=wIwEYd%(HG9ltFocPYA>$Wd@vgEDA%BnNs?bWAlESR zK;&BfOcD<_!dNt{a%A3~c%2>1xjaHdBvHw*`$Tb2?tODQ;l6Oy^Hue7Gw*#NpxO+p z9e-E}jCqj~Ls~?SS9p+w_ekYg`V$}h_k?o|JJU7^+t8lOdw{s5+CX+~f7G8Xe4(Eu z1-p<9CWdM2I<5!l?XFJ1mc0;Mk8p9G;;A+7S}Qp9w~xJWo4(D{SdRNmTMF9F2W+Ap zjN^U5`L*1Fp_wn5L2?9pOqOM5R)UDvRX)Bxr0s(!LG;dQnDLH%Q|=WwJYA<<^jV*3 zv~)nYbaZtpZ}1Xq$Mm=FpBJ;fAQHHEx_%+n1y#GBJ;fd!>3L?gRhus4HY`0n^WNw7 z1##T?GlB*ZrdM=+gE`OR`&a5~;lzW4`@)4khYRO}MNjwjT!XV2SGMCWA99oZ4SO(F zoDuGsl@#)jVN6L0mdCMKy-d=MPoYxg(l}MWSM;3vPS{vaenj(IGiZg$xm-U~Scmwf zg0tr#N3VTl5LVw|&eeVJ=~t_3Rf8g2icvOtE)(Vt$3Y*v%f9gHjqqS0c!njD=C9n> zG6T=RXh>?QE7T;fS)`|aeMe7&M0`&r<`hsbo=@|wUYJ=rBzTc^_AFfLU8gtW&?;j8 zy?37_`!-?`XPcTYJup+G;>k69^8ld{P(YrzV@Aiu^4jVf2Tbrqp7DSQM#GFtoB#cW zG#tu%1g$}SIvP(u!w`Sy?5-XcufO;6z!H~7-&u1D9Id6W#D1Q9+Bj{A?Lv#dyL+b< z>nWIcer>FN4^i`<@(L_;GIV97yKwnNtH0N!IM1$HV=FGJaH`caGOhYRrcAw4c<~2*-OCjwM~t zm5!__2aWD596JR2SwR+HMhCt?H=I>SrXvpaPNe7$LXA=3dXlj z4lT0o;AkSiC6j(S%MvLm=!eypN#yc^Y$OB2gruU7q3&)_xt82KEMPYErKUsrt%z4c z1Xc%BtO`hT9JdHG(2Fug3#idl&(2Z>#?y;mj2tveKSx9l)QUe9Sr+7f>^EIwX};E` z^y6&Cw#MicUBfO#QcClc^0Gw9YZq_=wFaFlW2WHv`rET#XKaDd_c9rV*eu`jU{s2_ zW!F5^t%&T*sGrE+vOKK@ZV<{l_324qhHAYXUd-#v%sQazntS*#Apy+Iwv`n*|K55R zvaS1mdXhm03f|X;J<~dFS0@(B5H0_T&3&~Ok{?}kK!7Av=p&CIS9-zqQo6hR{U0^Yv@srGv+b;jI7SXN@2F=c?dQM;3t|r zT+>&-PjeigsOr6l(r7|zt+GVAFu7uti!uESDyU6x={eD}tpWmoOw*iq@}hY$u7?t4 z8YRNK>!LM4$owk_zVJ3o&(>C(ABZfWC0KV^e%6y7qsQ+j6Y*RR$gvvg;NYl+j(vZu z$j`oavuzl+=xy43alH5<1PcYj>g{8wK_wo`bjLzX>h+wUm9XB~-~JPPv@cf7qOBbB zvM$Hs1Gr4gh0uECZC?zYSGXSVfJ#wtqV_!WXNMtOQMv1v{;Dmb#iT|VRpLw@*~k6lGx4%_$kSiMUog=T9|0@>8ArNZ9GFne%lfFvo+PN`E!qoxdvo}bU#jRHTMLM< z_VcHhj8o_CRIYvbr>yruTCDrV_}uPZd4Qrb|9WMog6Xc5>CK)Gk0INb zb$xIdPMVE+Gv8>VO5J$)oCy5W6+GpRop%quQAYYp&Egox3M1!^`QziEut2u`gU?wN zp+=o>o{)+F5uxUIOOo8YBU)h_EF>@m-9P_51-1V@1zlV55c)L*9q|(;s$&(?rv6>U zA12+iiUOFeC72)tr&AM~{p;lR_aWadXCuNfP=L`gz~N1-Zck&)`@-1IqiQ7t*f@zxY}n^U~hk$AbVL9SDi@ZMne?)c*Z3!IqY zp5Yo<2m;Lc_D2pk2hWDViurMyImTno0$U}ZsWfh88NT-uNv%{@bfIIZgWBxJf!YIO zQ$SH$d!#e`&;1X`k%XJCC+Z$`^uIX49E3@u zWC#`eaW4C?=V=pjk#swSFV@c#BRGz4Q1~kNi?@WWBOl{ff1hn|4&b4pkq9pLTliJ7 z{z1+Zcdv%Zh$6U1m{L9ZTSxy|lnx_(7vLIUozu14P*9My=tnWsrc}NLQ2MSq+GB8} z1<><*Ut@FI2&L!k39LMq7{j!x1wzN7| zdSX1jS9@MM2q&tZ?M$5(N(iNXf^y%J?V}pditRIjK^8IrXw1D-0~+|AQKL9Nucy6G zdp@#PltX9_QMdVCvVyaWJO^kXqpVM89CdXaVFB3 z5-0wJ#nRWd2XldF3cqUc();)uNaL#!NFwTP?r_C46$5i%j)1w*+Fh&UkJnDQ*I2(w zH5f`vY1nS|vW>3_hVSr0S=jaq%PW(3FG0a^Dx(wp^$w|N+8VD+NXv}s@Gz(Wd8r%% zy}~;suofz7?QTB(Tx&h^8>5W@jCR7P*w&Uk3bxhkjmI{#T1H)EctFeiMI2TmmNO91 zU=w$Ay4Ko~X0zn(d>Cb`0gblyL_}hTMyYPWWQuBLW~KmIkvnidRM;o>nM6`+aw@jZ z_x`cVJN7{q|2PFdLrKdGmC228`FpDCC)RfniG%Zy6|`)EJED&|;9WYp1eKSwd-XSy z=SIh;52W#K@6Xm)PE-p__ajubH`mGBu_JHv0XvfW#XpOFXXw3|Pps=dcEeUbn1v;~ zegNoQHJ(nsS}K=s5Wi2h3;SoerC=JGY6+KdCz4k`_jVVY9T{8Zrg4M`ZUK+=G$z~n zbHJpe<*{-L%9~*o=xzh`w8d<_eP?&T86DwfKK>>j`8=iEMMyE1 z2XDa3u>eGwZt*R3bhCL3O}`#A0;OOW8q;)zNyv-f3b?OY1E}ZklJ{wg=U1Q8$%WQ~ zO?M&#RLb@FfLs)YPAc%s_E@*c%5DU}W4)s}j5s=Znd|m0CP~uKqVSLFE0bZ-q~wj2 zo*yVbH-WEmUqbC5-rgo@yao~wETP_uuam#fTAwUS75(F9Y+`;MCP;f&y*$u;O7Y;0 zedZR+AuOT&CXx#8QaiGyW&jZ>AlW6uWd`zIe1L>$S-E>FMP9O2`-^NavnKn`dY}k@ z&0~BRa51W?xJfR4b}E+db4G;A#1d(vv)c^GtCF&IZ1AuDoZIGNRV1}JBNv`)(1Z@Dey znlr^iUQ@3(pU-oR&>gqmM^Y}&O--cmDC3-&9l_^> zHs@>LCf~5=L|d%XSd4J8Zt|rFx|Vtew?1SOuXj~|53VXJwX+p)@q9OGnFj~w4G3QD zsrrqciWU(24iwaB&iDA}gpXgnF>S7SSK705#9jAgLhny|Ig}a(;w!{g$&MxM2QCeTY=ruC= zCe)2i{E^XlYc$*^!&#s~gP%vGf?gfSSq!``mO@yst^*3UO{u48(^oLm0gYU5c(Pm- zz_#3_X*b_gw;iVtG}o?**ey`^59yqB@(Xh>x^HNh?N=GwrW#`uf>v6xY_f~fMTR|~ zm4Xh$NU;jQX|yPHTDOl;~rZQ@jAXZ_P+C zj0j77iOB}uQxe=jh5^sE4!EiVdomDj4u)Vf)7=WOdeONCELp>LxX4m9h|e6Y^>j7s z^E(a168ymfN6+_Xw}f!2x(~fb4DA$w2E7I!n~ar*%JbdQ+o-3!8#?R;+# z*|zS4`uV9dOq%U{R?Dn_&oeE@<)Vbw6TMYSWN}bRMG6<%^d**B>ds$dUhT794>5V! z{i&B`y$NCLZ%P4`P9P9resJT#r+dSIm2C_SHpjFM)Z_(xXo0yIF57n(0NajqhT+tN zoC3M30O25aON2&SMj0T_ZnwpbpKR-2TE)&^j)I*GMYkk#gAbO61=8{nkIihp&ur9VdL06%@zUo`>c)?`jq%YQ z>DHIu+V>R00~|_8&=QP=B23VNL~5v5ZXdpj$MJE)Ujmi79RoAs$2W0|sV#Temuywh zT%FAKb_mBMt(;I@I|&+k5QtCPLgizrg6uaym>p?^zrTsYw5*(e&+kY_nN)vAhMfl%0Zz%h z#zn%&#$g;NzI9XhJ5Ei9hib`rPG=dzcU_^hD08eOJR}Z|Kt#>W^%1_>ndO$ld(5Q7 zRzgw$|DAIh0{C|}H8AbB&PX?0W2t#F>{kxkS-e7vx+J_;>EjdXjm4xp$^E^j5TD3B zq9ejZRY^%Dx`@?sAkOP==_i8BhcbVng4!gdRfz+A57=Dwg1br-LwjfG)i-V<5ej5`$=n*Gsv%30H>R-jt^^eat+~%vE+wpnvV^bG)4wb%ectzSnx<~} zU2eYlj7(5*|F*l6sx}cZiec|eE!6gz92v2=WQBW#*7IAKiNoR@Bb8axLX&$~C}ECM zjd{TsO%Qa73MM%9gri-n9-I*&a#wf~&#y(R@qw5uvWhN@IU!kvhOz(?f*?$Th zknouNQ3#09FPM#45B)&(5HRcH`6xzA^BOzzqD$1it~t6!*kvc>1^OPAanzI}FjNYA zRB7jf$+X34(p@}u7^~OF%3cMLMCwAQSmsy3HGV=F`o5ck_&`A6p+#uT>fY-)x-+${ z3tge2ar6G=2G^=QEze30RM=tI68vE?AN!p^#O%yy- zIkFZCC~6<-6}s5x@0qstg%`40$J0L*Y0z6jJIP(&^rR+*@p0cGha3$(Uzehkp1NnRq}^mwB=|;?eXnvNr@D52$4t3YJp);>`(MpDZkOpZh5Rd^p#kgdT{?PJuB;)jm0HY7?_JW__nQ9)-5)^g4;yBDN zQ#boJI-11sEwLZ88hgMI9ad9D_xd&PValRw_>f_*HN}t%+Y!;MpdaxRpP|7OC&Jz+ zXek1totXXA*W?UYGIE1B5ic3<=k7`FCt96MB{zLW_@&3;R{~F3)=XM)^b@e-e<4;Y zvCVYXLdavoHfo`3Rt$<_S_CU&cfRl1zYru0-J+}9j&5|sY_Frv+16OZ{L+`v!6c;I zG@)s7|6M@vUHQ&|@X2Yl3p3p1m13rl)T3q7eJKyygV`#oSoj9#qJG(emZwofiQ#ey z&G1qg4y&+NA{gXk9G0PZD9?(m?#PR#vJHR1-~#+4OA&1YM@6R4#P%<^%A}EBRiIK> z{?QSq(}kgj7K$X3D^jdA1gK(wy{6$!Nt(ie;G^xQ?> z)$cw1Jr5LsseP@-I<#-+|F{WO>Aw)xE%i5qjhN^uJKvLV&GnYth1|~20J9R}Y{_B0 zzQgToDgK6*jC+9+7vA@=qC_!8QF+WJMc-A^BQKj1yCchEAJ@=Vdpw%>)mD(Uf;WC# z&Q#GBxBV{{;SS=G!tTK}UPp&TrLW1B{0HZX`7(@0X+bqL*mw-nl?-g;uBm*-01BUH zh@aOfTfR7y9j!lff;MbN0@Q*-@E7C?)l5#oyO=ug{{guZNdE=7FRR{}sLCcXe$d

SJO@96fPTEG~>R!I*St)_{QHmZHy~_^xlco z+k_DwKs);WhqCS(`d^jxcmNl{sEDG|?Rc^Hb2u|2Nd0fRdMO$IA6?y@dYQB)5hU#` zE$JG**AG*HzGyd3lB#j&9ym>4sOIV&c@ojghBsu8+HRA!yAiU_@MkHZcc8TwrVQbs z!0pAr$YQvO#d&tFPsu(7$@w(rqo}Uq2%ir*SIl_bmvF$0V91=Yyz4mG=5?F+mT%lM z!j&XXOMRF;sFtSn1o3%!mOrho1yg}lnbJe?vUGf@{z<^?&Og=}u&h^rN#ktg<5vq) zK=A&)2_{+6Z=~+eas?L#i%we~aubKGPEzTf8KvXL^;)f0;r z!(<^Hq_31sP}zp}`%h@$2R@qYOkG+YBSr!w-m9SKjaZ-GS2TD8^Na-^I!D&kKM@1S zKM{jBqeweYKbOrwGig1{@M*``I(%3!LYnG4T5O+v&HYbBT%Efd1>DnEv(Y0!$5rCb z1%eMO$RoJiXsK&`I)GR*%ndg&nFGer7`qwuwj2pa>N*aZ+1O5Ga_Xl~a`iD24WazC zu+zq--Gw_L0vf#nGqf;0ED-R0EJ|7NM|d0ynJyQJJ*5O^Lb^beWMej7 zwLmTif`~@?r_~}%q@*zt+Pp|k{dY7F(FqYzxY^RYnQGzRMP1$qU>VNa>ha0t?`6;i zBA^TQrMAY!x(0XjZQ`1AS0d1<&;NfaH~{wdU)5+jei{w zf3?vNey55r_=^k9EA=^SVZ`cijbIYHwAQ_+31tbfZ{ZlinU3mCQGhE)E8BYuj>y$JkSATvDvMoCbs`UYF7-JbS_4s*HK%5++B2~w*yMZa}a zQo^%l9;uE)xu2?1#z63YE50M@&9dlchM3pm8PZ7I;1lrm&c~Ji zH!4{+`f0f}7Fb$%kxYp+G(8@v7oQgnpf1ef(itm!6x-*wVgq+7mi=L@QBx^|#--Kj zs<^*B28CTh9-069sn$eZaD)@s(pss9L%Q*VOT8B5)w>d|tfe*nH!9ZvWj z=KI{}9IM}QTUOb=gw!x^c+XbpPm_(AkRz#5^O>q6h&OVKFUOThketEmfa2nk7Z^;)P*O zU)G3NW}n$!`-dO=AuH7Nds2mqU`|_&Wy!lIZrR$TMR5bH?*a~5Qyi$n8L@s}lU*zt z@b*L0KXQ&OwYVt+tiFr70=&cwh&vhBNV(Xk4lfTbibkgR)3%lpe*T+3m8FaH<0A{# z1UmnLj3@$NAmS2V5)o_u;R`Q%@IRny{)H0VZO|&m0q`Rf4cyU54sDJAb2z>TOI-PJ z?_ZRK=`YSE{q%&pcfWc`s5D>g4+cu9Q_^pCnXfm_F#k{3!(;w8?BN7R#4}$sZckT7 z`B<1I#TAQ{a^LL2POBcGK)t+U>w*SxL}X3%^j;#Xua#qjvJpQC@cxeHK>akn(XQTK zL|C18Gn`)ip0rCJBWZOx{U`xVyzW1B4cOmGv~l=WNRIKJdu6iOBJ(QfbftIC4|0@E zp2x3$98iBN=YLDE#tGqP8f~Yg1dSZeJ?K-ae_?&7nu+%(QTNaIDu72G{;Hxj1o_%( zAf6baNbretlwWo7Tdk5XS7{>tKrE|r%_1&>Xc?gxpl~^;RLdE$Io4{=@TNp;o4Ng1 z;A4p$kPppGZ-7yLO5Uhxy>yIgiXV|*u=fZLla)}X%>`V<*nwGzp%YJrmxVV|3sWu5 zc68mBX5470)b@FCWNNl9^`Xn`eV2$!td4VEd?>*iOB@PkT8vWePo+#sZHEkA@1LJk zeW*H@oOb2Q?0=;HNTJ^fkMk^X;1+v}e_4G%Hp7~w(`*_8!YqP7m~j`gjzl7kW~!lb zlQGB2^5@WlDzP{HoTI@4Bh$V)&=O^Lg#`CjeuG&l&CwjlXTmu(UT-O>IT)k=p$(9E z{6!lu^7RqyuyGIVp)|e<98b}9vd1UfR3280wQc$CH;BQijg>oM673(^zl{*a{LOU} zLDDoN19gZ9h@r{d(&!g_{0s<)n?j>lm@P`R>cS>ZlgggwOf@(MewEt_Q|{6)`_im&ruAUQ_z93efm${<{(S-x?n^X${8& zub%8UGH2}=S%Cix42pwZ#6>V;0-Bk34Q5k)V9d1IEzRWj4Z(Q!CeVqFG5pM$re{-M z(m8eF4bM#N|JeZz)07|hAKKpC2c-Ri*gyVf;)v-Y7#gWwVPO&-4Kr_!x_^cKA4dO# z{_BMPg#N2SIJo)VtZ_sq#AkaNpD;??{uPx!o~!h`IawYo7Ej}LAyxQXsnb~HpYPpq zH@peUwxG#K49sx^RJ42*ODXtm6`54BBu)s`CI9pt3v7dcV%EkTsp^S0$wGxtL~2AR z|6YrRK=w~g3@S%{af8}vs?U4R?P6%aimqQ-|?)l8%Cw8 zx6ki`?&AHk-tK>P-byPO5)*1Loe|H4Xn}aSf0(SSfzK7rwg?E?A`sfRgyN&KBwo!i zM)T{j2p?3{5eX{AH=Njj9*p73OK>5z?i@7TdH48EtRj=Cq9c&Iz&&I(}EVkP2xyxs_%cy9ESMg@tx8C%qQagUj(j< zY`4u51R#C$-vq9Q4RnFk_oIZ8k`eRlfr#!;D(?^-eEzO)nf`~qRRb`8-VDAt5A#ry zAx8NxH4h~UVTAk{UhPwl=A|G?U>LP3J?Y7*uSYr1NQB7t*1G?Lx|LB)`^Sh61;(B_ zMMK?-(>Fa=*Byj337{7W0cukWX-IZix&TQf5i$xW9tZtOb%J1Wph(H5OGjgPJ&jKs zHro)}lF5_n3DX6l;YdVZVJ;xINVq;`lvwac{iRhM{S1dL{D_4!$6xEFab(`s>UA<~ z3O9!0k=6;wduE=D4)hwONQNy}rCx0m+XtV!6bTFb=Ig!6UnL-!Fqjh;f-Q)CL;Jxm z);nvFKs}8$**?BYUI|qpe_Qbfx5D(O^|9PoCYBtTe6U{cgY@N*`68AI$;CXfAnq~+ z!*A38fX8YYHx2W{C8ua}nae)NS_rZvEm#-1=pifHe0P8Yvq5-MyIA6@Hx{4-bx2nxnrxev@EFF`1e}G1{_pZW3!KU zkP3)k{fo_e<^t4E*Y~tBkpCv<0$o%#WI*MxJgP2{2Uf`ca<8U~u7zOF0$TsLw%4;T zKsj~)z|hs*onFvN9+AGSk~Sn^{P$xcL!^)(Tl8H6wAd+t=_?L6?$K%0V&wBA#oj=% zK0mIR0qWdeklU-8!v3pKTbd|xa)f`qW$lgsq)&k96;*}=xEHs1w00Kla; zC*i|M^C^Yr)7=qd0g%FAb~yN&1i(st1fBpNb%Q&Q>;!y>yl=93a~!RF4$LHoTMZD! z(00Zps+0nPYk$liI6xbQ#bm-C0BvfE1EHH`AT$Gr?p@5&#mYvQwb-A&WdM!^-gA|o zAEh>IBI*qWZzg87%k$|psbr5A?j!WxR+j(zJpi&V8rZm=#v6Tf5}(x^i>dTiM|S5} zrck1dW~(a;Bm~4500UBS0D<2HNV_lxkU%Ce?{f%h;-K(6V5MM3VgVofkbx&Z2ha#6 zZ;lrmhX5Qd@YM^y0dWPI9s#9ZK}7`%IM#JY{{|?H)#dJKRxCA=TpW!FAaAXG5c`jl z!3BGm)BdMF5MN9^6JXy^0mxFh3DZ<%#WD?!GPB9NhzU3az!CV#1R!wa48&0C@BA2p zr=J9%8}pe`@stpDKj6{T_wKc=xD2~UQuj;4U%@Jd03r@cDv5dn( z%a>rXzmH-f7;Z0!tT)~j_2^S}6z?Z`y>&qhdleLbZa7eR?g;RurMte(0NQE9#+S;> zkKXSJV6mtmesynj0HSgud=c1~nJ~rI0_6U_WVXIf57BR(?|%+@E-UIT|j~#|p6+Imt{8}b}59UiT%oxCC-UGn48g<`(qtcF- zbnm}8BjFDrfb5E1>vRMzTU8njodb3zaEV<3L$Ioi25S*`z5<|FG%hUa2LzsE_<(z5 zNAZiOs6)Dwk%4PwG37&jftw1ZJ-6ut0LX z{QbrDrfVy2F18kiId*DmH2qhMnu8^ycXRbLZtFd?)m$`!2&(|v38ka_k)!jqPe(Y@PI~# zNC>?HJ3_9Etn6!6&!)c1UW;J)HE>bX00D0$G~++X38n1kpWy~qx;>tr=hqs(;U)j| zxY$0%nj@}Cc7WZE*(4UM?%4I|&SoO7Tt((aHG+QgKSx{-9z>eT#shOaSgcPNCjAB6 z=9|Y^2jfq}>fcZ0UlJk`VJe$LJRbAbwy`q%*886yMhQZ%rpVhN>%W4H7vBKo^|#te zr(ix2{cIC~`nVdh$p1s@NUx>H`#6>xx(hgKWV-L2;VJ-7Gdl&8I}{MZLgMH&#ToFS zS~V@IZwmJgnsCejXd*LUo^V|84lzw`K*YeyN^!@dKLP>;9;$~D@e}}xYhK`eEXhnn z1^}Xx103W9vLd3R)^gwZY{uU_HT@F=SNuE~TOrYt!JH&%4Kn*{zmexWUAbD>9(fj~ zE#e0wS<~kv`A!~woba@WVn-Wg01Qgmhpm0hy7O&unKZ>_EU+k`!8BHaUtItc;^FI) z9lw6wb4Q%#$_NnE5v^UK&T4z!2k1n@Seh)iey6MtApU$_Zrfka-5GaDL?gL> z%T~4>FOZmt?Y9qbP;+S(ltckBn7cdln=K7*`nTKm7NO`(Or zqy9#05cx5yFSE0G-AQ7PmCVP55=S+!66`%Hp>er0ERib_p9fUGa=;yB&Vc3ywoJdX z1fXRGi^tK3Wn4Pm0fye!^ww(c>LTaIJE8uGlz8Tjod#Iu8}zB;z|^!q%(i-!OTk2I*<-9=Zl66H zkr!p^zEx+Uw9zo!A*@q5)Jvh9q(LY6e2UMfu;ij-THf!}#3C51{LtP-fI_jSd{FE;ZT}0`pG{l_FJ81X_i$F}O8Js2b#!P$ZBc?Sc| zT%(klUls0tX24Xl80*I&l*;KqAruJDsRaPyjDa++0>Tee8It1(bafSRKfGpO^l^A=K$9yda0#P<8*{F&{1jJSvU%2 zV^>~zlWTm?3bA5}SLF*^ruK&~w9Q*>bsm5lgYz^LjcTHzJ#fE;@Ac5Gi*Z1hJq4ZD z&T(|yBx%%AIul8#aCagt#_qH9a=#Pd^7Oq%yHn~I;+CeB8qe|W-D$bL*Zvv6e=>~| zc_jYTl}Wee$BC%I!~eKWR(<1CTf3Uy?osqy@^m?suXIr{Fh*#Q$*Y9*fna1;Pd`j9 zFEbIw!*=}!(%J3U@QKNfN~$EMyi?h*MbKiWF4K$t$!teImv_%A1*t${XOwAjF>E!D z@vvWZJ0#X*Co=Kd^}>12*g$RdQO74ux)HmEAQ=MrYs%@`)z$u2)E-b>`Pi^DM=w+Ik%aFl6oa;C4ql-3a)gVMAQ{HEJsg|qz#1cE_^MADXfVEC ztUj=g>F%m}soYTz#b!4Cj;J4zivw_SZ-8ZR!i`Yk%2g0Byf}3Sag;To>LSZz(s`-G zqDe#B?elt;^3=aP*ef4z0-*ypB_#g-Jvs^gNZs4o%k@N!jD6qQdjB3dpKHrqO(rC$&YUxvF4rQ} z8k{l*7`cP=)_;fV=N_;5IC?Z}2=%HFUZ383DFzZ_PlXhd54o2dr8K)ppZjS=X!0qg zwmGW3`da#@=8L#eyN5TF)v=u{%hK#o*0Cwh1$ARQk2-edrK9sY5w1*Mf9uq4WjKKx zUh67_YeIO+`lbYW%%7}M!18SFWX(&|GCm~o@bqaR>ItEKqvPFj{OqX~Tgy~r27ahE z##HWNyd<9tm(s(O0)Y~?t9o3q9s2co&-VZm#oNcGgQwxk2hP+skEYOD8}45E)U+gh zOi%8uh5Chr0`1O(YF+g?eel zr|9g^OPfTCZ?(@=PFXsmwbPXxE1UtY%e-T(e zc$p(>I2|wK1{46H{ewW=FcAPUra(BFz$iqBNv$MlvD6?}Ih?Q7Xq&57n)wDl(My)W zM|z#Z_}ahC@@+axIIM=xpDj(pps#ZT=%PAs8~IXqji&RJcdvTfYT^aj0UI|IA&Ppe zRS2g7gA0qUj2ubE0DFHKwk#N&ZnG~!0UQcm7MQ*!uJ3OatsHFAmU5%cKb`H3ll1=V zj3M?0V3>;(8;vU)pg%2CSPiHl*jayxr-aqhQ_V;hcwpWX9(7kA24(B>oCt$a_ z_a-wNw^ws|O}P%RaGyCUEH$cIaGgI^PE-+ocDa(7EWaICIS6ZSXNYQ@x0wYd7GM@& zMJhIM+3@2|?bW5t5a*&O?W)x~Jdi0qtzC1a*-99Jn*}SAX`s!oPna#Rc$srjB5cth zePI64B@w=EEqxvwu@T}F7=N+zHls||`dtnvSqqc#K5jB`bxs!~6kpCS9(MhbsT1r# z2NkmRII+-ZBwmQ6RaZh9u4WJiz$YKf0pE5`Pz4HT^}#wK0r!{bBE`wax>un4Cc!{n z;qV5_s01l#wcYxqi@O#7Jj(Z)0&IF9OhamF2VNo%(vPFkzI7_~(LKv}QMqP*96RrQ z5F-AB01&pUOM?jlFOl|k?7_Ib?kg`;&$WDWfyGPNVj2OF5N79LoegLf#UVvGnW~MT z;K7z9$d(tug!wQOa?u04ue_ozoVMG+Y0puKTLDZ9ib1Rzs=8*cFP`KpQNRMIQ&~iQrn20H$N?xpJBC&= zvk5Bg;MZs1T7k$!rw0K_?GI<(!_;P*QV;-wOA6}&*fQi=0t`&4T+1Sm@05$}bTy~Z zZKp}o_m?K#5ZGx;1=Fx4Q?fbvP{#_(2Sf?{dCs{`{3iEcqK=JDD7V^0B+EruPxd}j z7&Rg({9=)hs=ScXJJvoUI`RFh;fMk34DEt5<2O@3r$1BUd)+UDP(>IyV59En+3vf5Z4)CN9!Jv`Z;O$czh z9FuNK#LMJ&dO$tTREx_xzm| z*Y}yWr*okYh}Y!uxZS+%onxQ!*7BRkl?Vay;pae4r4YEyzAf`pX_-S3wt9s&flYv5 zk8khJ^}8VG?nv|%3APKWTIWKo#OoyHvnjE%; z0yMte*F4K)@lx^HtgGLt<-fyr8pAH{_VvY67#Le)cFCl`aAYJ2^d5&{espf(E(TFD zx8_#GeBef2TZpj~TE=i+TW4J-;GCXT_%W$0>XvulVh<%so-#F~vTNHBc+h1_K;j@*&v6r}3}W1H@AgN;B)a3jmI@J79+HO4Lm z?;td5?aEmLHp!WQ*AcM>lAt6ZD|$EF5E^L}pV=&zh|*dT96T2_>xU=c|oo z9Rm47bzgzM%n*a)Y1LVmr5PT!e#$T_*L6=1ngTa5aidr=35+KDeNO{Jf48@3H*3BQmJes+wA9Rwb3m9 zbF>3a*A4wznjZDLQ$bURD-`lUK z-w-@I-mz)YF18gUw&&4df+EK`%O?@UNvG1VkVzy+wqK2yF!f7kh-ko^2lT(s``DW_ zHmBU*HAuZ%D8txu9AVc#Ca$V9qDT#IbHdLK4~sA^bhQ^>hTeoK6PVKkc{p)FH%Q9@ zXK>uyAd|eEBNiuOCavpRku!~LX!OeEb8i627ld0+;c%`-1=kPVKBG`3)Ab{!;Fk}|4P1{Xsn4WmK~ zvQ2PeQYmd+JK+ptts;Z2rV5j+!b;7FKa;s!sUK9=O$%_5?3DrlcvAi$sLxESCcQMC zdk2Wkxec_IrZ*0oWl6xJacK)u!00Mhx)Pp-z~$nwQ({;w`xp1ubB~A9E-zQzyD2e+ z=Alt>5S7i$cgK){D5<5%aF4=i%aV|v-dh*a)}i)`dl0!3AaE2NFJ!3N+P|1L>#vkW zX5I&QM+V%b&L2G#AD0c(U*Gohvs!*V5?Z6+%%iaIvT9C6$YnDSHAojRP}KoR{u=%v z=9hezyUST%1PbPYXAApAFWhVqA6{H|cl?-6_EE%TU}nA<^g09fuXMWhNw=tJ*WQ}L zF4I;rt)UOd`H-^-W9SUZ-mripLitMcT}Gq76lG05gKJ6KFI~#dKfI5(Wm87KVyRR;|CR65m%2Q#T##J)E3t4`!Q)^ThIt=r&bN@=o4d1F?P9+~ zrb0rs`kAmN{lg>Y*SX3j5`8r{{qtJ1d#SL1-Rk;Xqb;F0RDW+|WH7-smk&BHWj409 zO6KEn5?udykpIv7VZ!uK;m$UU=}w3c6G8m-pB@LWNOga3J0Ft85|eZJB$AAyhh*RzS} zkdZrC=&q`2Pe725&Yo)Px|F3iM=cgee@-?H` z`)uO-|4#LmUY=18{`wCc8#^d%e9$H`-G;ub+&~VaAwLAnG zF5y>(v=3G&#~k(jCL9gQ8Z92gcC+REHZv9{34O>MZBPSeq?C-ztV`=90^#`xjFmL^*m@Y=AdcG)J8r*yRBdfa6OUVqMz2IM61N zoc^dhIcl2wwV@!0o^WP&8;Q#c1``8~$2w{sC~y?hHI2mkD;CX%vL&E>Mesc9fI z9exEIVZCn3&Fkrgm*dL_V%c)5$Xhpa>AVirXimF(?`89GBsBPY`YMF721w|u=}!56 z)d%4BkThom!N2*+5e7^y_S6)O!Ov0n$n9Ui%_L0mh3!{q!vDf zyl_8|qYufBm60L5V;kH3RcMxf^n{P(`EqMS#Zi_Mhp(ZTuCzh4n%c=_GSmbx+CD!e zK=Qn8Rr$7C(vipGkdLf-f z;@zwu&~zSluFac~r}?(GS?}p0v91=Nf1LKZ--bYcVWv}m%Q$?@!iKGv-d#@3ueN`N z3gd+~{=V}9={@y3n*OK(H-Y0*)8Y(V0mJ3U06Yckpl{4k5vdcK64Xk*6f+~ZT8`?} zyMsnv?s;e2pYYm2iomkpVFkH{nV}+jgtkTOp8$O_S-zvY&axzfcDmv_gUJ!3^F0#z zYR8ePOEyI3t;J1_iz~`vkbCIe(0X8%jMTOdoqv1Z*cVM#rQkWPZH}Exs4|@Lz;Bi@KWsV2HB*7R%zra59m(dgqGU9pH zM*-XH%?GT5e1xYkdf=NhIZO9*;O-GlQopqd2s<4BzxE4FvqHS;|G_upe&?eT578}l zoj;v_B{ZQhg2J)?ynTum*oqLub{qe(HD}UqcnF{AdT|)ww;0cFbtJQ{)3$7<#6cVz zozUAJ)@x__OY1_lyLGq(AsqMT42rZ%{C)$OZ22AZKP@Tag5mOrpBEw*Zckwd?17;W=aim5E`-q zp?So*6~{;AQ!O&?ZiZ+-Il_bsZs(_ta5Q=??yk|)YE|ES zu-Gp3!0hhirG2w}biOfszbi(hbBeZ3N`kbpbL82Z<580je*>_o^pDQ)C zv37iT$&yZuk%qp)tt!jtk{#aGexpe9rI>$aV9CW=ly<3Eb{i{ANTB*Cq8pcvS%?Ot z&~`Ou(!(&>b8D3ZeQ|rvHDIwGm0aTkIl)f?RB=997sn#8>|s}TsCBP zXu79ZO*bciYkji*(0L!gdNwS&u2FH2VbIATclzksM2keo_jT3sLCJtHZFy?P2X_Q* z3kiYHS#JtLjoB)t{Z6$J30{-w>-2M58K>7}iy@Q8qkXT?x+BgkI<%580@xk4rRW&q z@{B}1J+@X{J-2(l>cWl@sU=r^sqe?wpbzL+Tus_YFQ%r%<}78uCfV!1L*2GLWnUa# zCLG_qhvRTmRs9V;TSp#2{ptFvQM0cm9@g%s6PE_|+YFTmBR-O6ZB*#3i)BAG0@QWLDqj1nkO%-=5(oJoXkV4CnG`4~}_!E%?Ii#{?`ftJ-FdNw$5acVP{ z$=$a&m9VAlV_DePH(0%T-Z_a{U1G)i$UywX0>Dwe;t+APKH>M&RoC&*O8Q~$2;6B| zV?*>cTOSX&_G6ju___|E zJY#H7QaP0GRnRqB3#+RyES?vJEV2XMsq+~N4`wksvx*(-^25x}E?Hq1-Zsi@2+?lbIMH#^Z(H;z7(cNC562N$a;{2j*JuW2CkDq_B89k&8+rB>Ol^Zx7ZG*4p?oJ3p$x zy06R8hJg}HmjO;Jg{Tr6$}Wg)pk0>$m+NK6XzS2C%&u7JMQw|{CqV3ncIoSYDr&qZ z7H4-3wmP&OVM?|&1>8@wJ_|e}T;X)KUCY$$lESVbz9X<@yt{$XNe__gku**&V613P zZb-onp3QS=q(7}?yFwnK1P(F$UISFEIysut%_r%kU!DacU&4u72x8s#2seh8nc`JQ zM1%M^%|BPx1SEnSgk(Cgd)`ePX;zz9w|2%&;Qp|daA}uQ2ed!e(^;mS3Uns2aLx{c#_X^d+ita;8V7AKX7 z7kPt+^*F;OOrpC1m}mrb*@Wt^1=`#?LDS8yr`BDV?dSXPm@O&Jq58Sei3)}@Ou}$E z^lQwVbfhy2X3gX<7lD(Go-KlbrDLZ49E&0td`1TAJ5}Gj?yzeUgu`!KH!I-bm8`z} zq=`s07d=Cy`dJ#zOBdIOZ*8X5GdLgiSDU%0^T^eGgED@J8D6#v9_|Y$54&I zT9zzrHBn66a=w9!;+Y}f&F6L4Qukb2%i=eTKSH)?08L+>I^Zzj*i|7lg#5j;QcXH) zK3o`1^!3`a&K*JPO1J$mVl9bQk#(|cf+9GGBSN$FS~>OjQ^cB2EbnMKZ+jgh&p#Eo zcz+|rhgzf9G_6=X=MS=rid}W6k)oi5CpAr1@@erfyK(5dgV!e8xm|UL3A881QBm<0 z)Ps2QJATgvO_(~ouyI{fiMcsSM9x*2_9gi?;h0b@;aRT;(2M$uyO=lQK?c?F({g8e zVRlpw6H_9n?dHJrfwYb{m<`#6_9aol*=e-if+20N4zX^&V!D?D4;yio;zZ!ZnpgFs z%rdKD`?Wwt4wH;UXvy;>6W z3t<3#-^ZqBI!$&4n;QimH5 z)Y6MXk|8~<878C&zo)sDy4UT{cQGV_4(_N#WRtkwL0dq~H2R(CuuDF5k)qH*Lj+-x z61*@)Ow6x{64ui$&wSaiIkfWJlYyZQ>~4w=JX0i-LHDrhv}FwJMgaBo?61N{pqEgm z*$)(>3G5~nz`Cxdm=|;tPzYvoTWng}fr@yK z@}%}ocLS0j0eP&1(|ERX+|l>_OnM)S3A@+y{IAjY(pcX9J@|*RYnjq(IQ$Xq5~NTR zG%L;GbTyP2P&SQq$$)DZ6p^qJ&f-~e5p<{2#oX%NYUt6LP30(cT9N+l#>hwPj6db zW#XQ{ISI6xO@YACdmJ2b{XTBDV3z@Wq&q;CGxaXRF(pGB=!DlPsSRY)ObJ)sS;f=X zIda94L`JnrG&5L-O?f1c&=OHJkBCm=^IJR6^qb8sZoFo8g6O#)!VL z?<_)B!jYdk^K2^nTE;z-3fS__#kRguL(vRTZ07qeVo zOp-KDCF$M4D04P$)$I(j>E9Rj+iAF+sa6ZH@4Y8HK?Y+q6xYMT+QA;{Sr@o<%&q^e z0t$Y7)L4?A#uLS2RVhs{5+o)?o+#w45&_yRFeZ+rh7T`vd~Y39$kG=vsq85Nes<kDas9wagJI=lWUMzABoOHU$fE**bHlh{QMijxM=fm z?oIcm-)n5^#{^t91N#fa(5WWuBiFP^aMCh90x5-vCk+c?e%%P^1p8d+xTI(@$vjB( z@GgF9pYE)k;;53D$GY1iPRPTtTiUkSm$wR*LneBndLT2T`xzeREa~2KA$5>bi0!o^ z>s^`E((Jkpm_PQC-vQ!8zjI|jPR<@&4Y)6f5_(5B;SOap?_BO<_rNu5HBw!`G>)Lg zDm!7t4C_e{viOg&Yn=iQHQ@!i{}{O#FLrU3>j9^|1L@p3}?lq3pv%s^3g@ z&`WGY?O411`fx8FUp}~-gIrBa%S$92*QM7FG!24&4I69kXv62X%<9d^A~nI-pq)vc0F89v z<0xT~=MoK34L_W&!lCPU!A>c7R+a`85>A>8W;^n<7h|-*$f!WPX*RJrJkdNq zB3N2*Iy_|$Q?kf>&Mc`hWT0p^L)A$}L_$q)djCtd!yUU4p}y&q-V;l;Bbou3#x`#R z`(&xJH!K+zLcIn4UXKJJf`|a3mkHA;2}y*ELCqT%SBt<#KZ<5IBz^i31mdG{5i!gh z?=SO1g!PQQgscbR0O&Y+#4bwn9=`|Z`9v)unI)sM32=nM($-Y^?fYnqT|@}ix$Pa9 zc)q1Z^vMJB+EI(dH8LDQa`S{%2j~6Hd%r{CtXp&HS#k(V7!3v?hWA7(GtN7rOf89 zgrRNJ<=rcjKIwAD(6F)D1l%S;3$|HPh!V_6Jylbc$i&XH_nL-f4ol#`i9I1 zR$-7{NiswVpOpPaGR0wxi57>sO9kQOUYEhtRR9IRycekd*6ZGs!!j5woLM8Kp)$SD zzw3){5+CTB#0Q#6r1)>y5qS7ak{?2OG@LZ>ZxWwBKRnTy#=A0LH>&J6y1~wH^8cdk zErYV`+OSblN(t!(DUp_tZlqJXL%LJC8wmyJ?(UTC?k*(+q#J3z#S=ch-=6(v&+K2$ z+yd*m*R|q|Beu{5y*Ae0QDnYmDw}@WG{-BQk5jvG{=Mt3dHTD%x#B|l_*)c`vDphX z=99o5#(iy1k{2-9Snn=0=HO7=3xyRaHvd9?&qh0f+7DK0x#40A+Ra2dRYD@(p$y zCIGDBr0MJDS0EWjuUM?gG!Vx?{0MKqIC#b&MVfZ9G5GG&3z0_}o5O}gS6~Cr#(Dwo z<%BQ*pP2yd@2N5!zF`OgGhl@XJaAYRH>ru4Gm}!jd+|x}xY6evEXVoZod2wd@w_{a zN}56zxHZHacz2#a#T%wl?itT`Gc>z|&+$4+y*gfcYh;<_?!D+AP1|vCk`7`LlbK@N z=@N}Ni8%U_fLZ`aH1)9`&lJIU{#+2iaq?C>gC6;42!d9}Yu)E#o`BhfkT?Ped^=85 zlCd9w?}IQp@P7b`ofF`V7O0eIW8mPJ*!h$L>o?^`Yd@JryA27m*-}DQ>u*aR4GeoF zcvE-$9J_#Jz}qa*$lOPP0Q0f{k69kQ4*wW-Tm34$)DtGMuk#74=Gb(amt})E*bLut zRdncfUN6ym^XZ8-yqa?{9j5VenohufV+H-o;AM@qPAo^B6PC#B-;3t&PInFrIN^FC ziPIGFWJ-bt^$tzpZBPYQ0TWsfI5a0vj z-vFs@hxMLkgx@cNSWq=dk2-)#X*{3|8^UOOQ||}(5IGe1+&Nz#W@8Fs<86yOELxM% z#ATzivx3U=nHz-XU3t}}(E+C)w%Zf+*ACGZ8(^01BGXJmEIVgP+=3#AQ8M0!(<1iN zh8XJn@lHPRlXUnN$;W9n*d+)Stp@D_Y5wX>%+8$%dcfbyodsB8ld*IGhvPL7OGKOV z9VJeuy?9(A3=CoLgSiA8cJZEbra)!t?(WxjC(i@q;!Uug4hG7for?0JQ(0xeB3*D| zYN{O%8e!(Ba%m0Ms?Oes$6wXFyI94ZcPA0$aBF=a#AHZKsQHnQ5*U*A#}>%+^B(mr zDw60_Bmrpo1#S|9o{y!Orp!KInY^1W9NlBkaX%Je_Kc-f`w2AXAGsEQb>6Vu3RKYT zzYj!AyQecbsSwi`l1A{IG}x?SrEu7%q|-V&fDJ?Dui>A-0g z-`zNtzq!J>;#iEp8Ta<}<;_}IIclvkzNt#FBs1<$zS$^3V?*0rIw(J4)(9agUoq#> z+x4PWDdq0-c$3CvfnM{A&;~J1Fn?JFk0*z2Y&6 zIqb+E1;};l7Jxcf2`dkUf?&U<@d;cAXhXKZ8qBfoG_ZAQT8l_LN8v|dqfyY8_ zGKc*HSgPk{(5(;mT!tgb2~PV9B7j+yqt_XTMk)C?m{G!kQ}GYLo_Luhoy4}lhMo9b zu|Q!wmB+2Rpc4=S`IQ$pN=rRaB-_w?)hmzqq63yc1_aX+lbYR;$#;;ihN^#c;4|$1 z{F#J34E?|BfM1FfH8Spl0${{sjE%|RF&jx`Pa&a~k(BFy#*%_3AdDRa))X~rq%dFY zRH2X-l#Ascd{{F_-tj8$`kjE|v1C5uptcB+WGKlUl}}=+Q!W#=mUN_TcV*202Kk`f zuQ!HiUCtBNq+K%Qixd18q2CY*aIx_~_Iap&W|07eG3(SA9C@gW3>4FWn3AbFh9s=u zKcDg`2|&=GRoXt=V3m5rFeM%T#xPO!VmV_ly!~Zi;lx^xbHYGEQUPDWl+BQh_uq8^ z4H=L4l!#mPe18$UF?K0swR3vo^nOzBwdGr zAkQ-y6ewsVv5L%{Z^<07&XVMRJbRZR6m84S=I+Bc#L}H{GE7d}OhjsR;bom#DPw<2 zvHs`dcJ8Yku#Q$ePaQMF^qMzDOBM)E@hZM*k1O#(*!?&)In>7FH2P>n_3+0gAc4_D zXM|Cyuyq{cAI$h;uln;3y;zA3Hy<(!oqGGn@$v{X2_E}FOQU;fpnA5Mq}M7M$(@J8 z&PYq|l}3`hzM)m%_RIKce+idf!k^pM*Glemv&x0KGz?AR@KE^AtNY!|{XlP?L|1j! zuTpfngSRXh`g3e&p<2)U{HztKjH-UN`A9y(3S?5gzJ-P>-8^?2Z3umF|KA*^AqXd~ zghm=1tKVM#x~$kzXHa{N_3L8)$QD>lh#!YTlJVU`73*mJI*>#N+h4CLWNKesM*<|> znY8}%9Nv~6r+~dP`RGL?dCbJTzr?1uJ5#$J=ZldLY*bfXWGp83l1{_P`>TUm{%lAU zk^_6a*8`K+{=Noae$#o`tmg~m6CgguV}H%(ClQhfnA!8)5N)qz*P5#=RfiZuh7BOJbGdc6q5nv5>8=}Fr!QrZEAgd49goxNXTolr!C5Daqb7-TdjYE zXzT1gC!5gG{leoJ-iwwqDy!)AGEJ^nji+_CSbKY7{&Etie{PNFUf+GVFO0|kHLKJ6 zb7#8otQ)&buGO<Bw+VPEg`?Vgp)woqTs32H@QqM!1aCl zy=PDhV4AQr)r`p8-E@Cht;yCuCtNQJTI;n#A>@;B_%tk1@$jR+%C$@bn{ug=R`k24 zWDFS`kOB?}_}(Z=dwZ-RCKP-bR_ee5m34vYO1gKjAQHn$BY$<$RT~7NIkXf`5!&~> zMPU_nmWyh1<+Wx?14k2!M#G8n%U>N4pp3%tSn~m6wEDxLHZajbn`ILAs&u+MT75)f z`$mP0j+U-Jx!9YJhTVlIRIADzp#m04K&+K2kx>sSM=IenTUE0VL#Z4v=TRf_9|qv+ z30GxVvV9=pP@vW5Wbt9~ckBi2V~ZUDp6wqRbioe3g16U36EqZn`*jwIHE zVbR~t8cu~_TiYifaQ9Tu)_>cKeysv~bCT}T>^3}7=CluW+pq|65Y4=x2_cot0e`jj z`&9DDr&_6589)>*kv5zU)b>nJ^(ISX32|0Hlb_5LewX+B?hGA`W?!mKS0Fz2VumjB zDQ+-qGvGE2hHz5{!rLy?vPL`CbRtU9RSOOxYRp|0%Qg1A7RP5ZPiFu0vlAtQ&@FbO zGe}mouVuh-e$9Susu-`O_c`kx&ofA5!Iw+uv3*o_xu`}}0(Qo5jZ?PkJzzCv zNwu?jT%RpGV7?w`t|`G$F#{5(#~u z8ICV2FE=Jl#35$joV4h1LvIpJ%RP~^t_NZJ`}pIJK`W12pv6jJ(21Xk5sji|VWAzr zy%ILP%3#6I?C%Tgtmc0d9GB1Rcn2dW+=tgem+xjL+j1! zNaOrN-wjU4q-J;*Fk#hC)qxX@hIMn=3lYRgYoNQqVlqZD!>{xHnT<a& z>eI{K?8>pdXRPE=3-XQ)yrOTG%OY&VeexqSqKA31#FQVkTRle$R=(P82$Xyh`Oy); z94N^^^xv2MffgLK61sErl5^20P>I%lld(}@EF=d$P{HOJ*37F z_cec3d`wNLMcPWvU@8w6Qqy*|3qJ@sVX;k2j87AF!ERxdbUyCJ9=@Yhs~i*8CR(MJ zJnq+PyWy*Y?rGCzFS>FrjGTUP_h~c$sqRbydF1Vqp7lo?_)^vVb}fP;$6SYEtM7Gv zyw}^q!a3>-H^<`@2BhxyJy}q&m(PYmcE7jQUJx?svYKCw9uJDDQ&n_H{=Q0spF;5> zm7*#zkrui-zK~k#z_Qv_$b5$1aiKSgxHv;96kNW9$&v-|>{*9^%3tyP-Qw^IHcGd4 z3y4s$RI(hxwKm?^FEI=4#L=xE+2d*1^o8TKXl|V&(2@9rgOCH}>s!tgR5=$PR6(_% zluA}R%l&F%{z=2KY#Vbkr^C;5D)sgl2%%k@vHXs=7|}(HE=cIfkKwL`knQD>9<#NS ztFKyHwdv$fkfV;>$2lv{OFe;Z1U)|qUgaHw7&w7T)VBHhyPIF{LY`C5)(lc63@)RQ z;n{ex+TdEjsD>DHIW`XWTC1IMorKgTy>wGcRjdDE4E?P#t-KqTA04F~lM)ING9<+a zA*0r2Rf=xdee1L$g z*KPNWL449ezl5nR1Nj(iub5FbhGjc_OFgMjCw}jGeP-$*lYS6FNa7kDsRf&LvqIVm z8$hs*>kHe-`&RcIF|F+vs!?oLj~R3-UPrUQU#UN`BBpr_j4=)&|X z|F`a8prRtvg(YziTtv-dqli=bqE>eR^v9Zdd|G( zk${qV^EI7z1dW9Wd(14Ohp&j)JdbKia%t?Vf;u~kPol^A4w05lX`(7MX4)R~AMwsZ zdv}1IKrox-PWvYHLoWj_mI~WZe39^fB`xmf-UV&IJ4Xp*Viov#%l}^z0^4iu&pk-L z1I~MjHmH&jxd-g_b1xfW9)%2as=}yDk*Pbw!D^~nQVL1o!DHa*H`Rd4JQeUF;5?SE z&g{dGdDo7sh{_#A->1>6W;dmu@k|fUuke4*1V8+xOdG1E!SWB<$X7-o|HQU`pT9?% zXpEG`-KO}nTZO&ZmA?;VP{dmYMZ9wxD2v6~@NdAHHdTOkR7mPqI|%SSsGpk!*vpMQ z(=KvkV^DHpQifQE#JS_pa}U^eu|3!!AaIYSk{Zc`hNI_U82;q?cRX4gC{H zJuB=l)SOdk^9VcQgR0%7AT}=|$p|wfO>*N0odIH(xiUU#^B=(s@k+(2pW+k-OIuxQ zUC+(P`j*zhj6h(`Nwl`s`P}2O%1U*1#wK;`c0^~O&9yniYCO;4^nf17^rOfG zC|_dJKP76iD%%F3B)WT(kmL45_gU3*X9H=D zh3*K-54qno2eocsrOrS&{Q=tui+mlw**+ zQY`?P1aWREPn-fs@jC8Y&r~H^?_K*O*f?dR4j9(aTko!?H)ZE~s>~;W#L{C)>Fk@^ zAsW)BYt5>#6&r8am6K3{M;7T_085arhY1C8brUG(=D{Liu@83SdqMUHVNK8Ul3hiTOxNWG#wkTg1^fMK-JBuRL!ffu4(=y2RqZ zX;cGnWQ)J>r91}&+VW?hH-@J|X7hp}gUv6FjyXAxJpxY!%N?`TqyVT$;H#-FoD7D& zlF#&~zX1`W%;cOIza?vF?Y-E~g8kZKtqlW_b+eq2w?eOt2r{9dc;DSBm^pJS8}%^? zr&WbvyX93lB_=rkv(rD4w@uWS#@!Q7!m9X@EW*C3&0$6!rVA9ivdl!z@zPd^kw+^`tIGTGVj)`>`3z-?D&PMvSNZ9L@O(qK(iUI zuvD`F{QyWPXi-)0xOG?GyB@7nNJOomf8l$0nfJw5<6SqN3SppqmP`ug>KX^S1U9{9 zeoq9UdCxfpoG(ozVm{DMqn^1f0uE;Z`1)^{Ps{yk+=EVonCFl+Dm==7LTI|Dt$pl} z@`}ULx(O$;@FMqO_|m>kvy>vGq+-|!X5&(P5w7JEa;_GJJ>%#QDw)picYoi6sa{Zl zD|l=@m&q@`*nA>%ye(MoJwNowN7j-h0`jOKP}W*TL<0&ZaT~ZC=4cgjyTDr@k*N% zac$w`iAPf|kZ&BVc77cK!g7t02d|$-H}T%=G2i)J!4DCr2G5{pX;Rw3ALZ;LtEq8T zt8blccV2H_pSumc2_I|H0a8Z%T zUwJ5x8{2Ug1~amG?~uokXJ9y4EM~`3i_VI`p}Cssn#9PwYt>gD$*#!Kkg%<`)h;ZQ z@kiRRFjnvF#;0{~U&F}lOo?w_9!J?oC-8akR=B`5ANSxJ;TUZ_oK4Cuw9K73%;{CZ zr$V+4?H59ftdYwk#~&$lFGzNT^-3}{XYW_e6ZB-j%m$&VUcDQRVY5^xL~uFDX1KH4 zTHFq^x&DxDHZh(t=uu9eR3O6F3(pTdO#w9BAl81>w1 zMZHKh>z)6mxbg43IMS3FIq@fmRsg{_KM>X+pw33DT#oY%0+ZW>}hL(k~_mhCPzanZSefC`nB5|a1NbuSi-x2%Ov;a*Wn~hS(E@{MF{j6XKRKWRSd4MLY+1AR3cw`3Y6YD{IGtb69RCX6V^Fxn&(L=w^z<~gq&P;g}*~-Y6gE-?ghQOek84-Q1pA6jW~4Y{9ER;lIed4>y+(e?;UQ z_YxD25jmF5K_ySm+RnHd-&vu-RpiBiQiefK*BASn25YJjreu{;Pxjv5t?Xb)Fds@5 znpvXbbUFXkok19`N`AC7SFdgnDf~wV14QK;Fz$)T=c9ZAx2F9{4o53FsuALeRDYMN z|Bl{Kmw`8*rq|s$YT4b_RBZh;iP_TS@Pk^ht3RT9RH%x0Z&}~nt+d%ZALv~LP#52y zLn8=d5}*8CvwO@+GLyhh(Y#7~V?7sVOT_27R!c`F`a~fNt7?0xA<1f8JpFg>`d40I z^R~^neY!8EwPRx@9KX&dmUdotu@0^woXY2aMi*?u_3(K z3CWZ?bq9o;p4|R;tgm)hG`auinLQ4`1;uNw8HRy?uK31+UA*1 zG{@e4G|~MXQvW-h&LVA8?|cU14&$9l5}5NG)Q|k&BDW*?BE~*^uSuUOh~^9@OZ~s* z2puwwrBuhWA;R;@kXWfKHF6+sbhWy;H!^J^UBIyb;0^u@KK>m?m2@S#=X_GuTt1qz zTh(TGx3bvVyKAb>8gP%E|Miakd;DV5BXOJIcqVVNsXpTzf)KJl*AWSfJLnb_c*{~q z>VuW=@81X8Z3Pxk$eX<>}0kiz4 z0RzQ~iwLKi41s8Avf%ujeHD z|7SE{YtlZAr21UxcfkTo0m=0v<=ta1=l!3fK{9|(cVKBlUj6xnQ9T|3ExZ>Bm4A-r zjs2J*L&XYX{`rN2c>zEEEwLN5+MlDfA%Ra_Atf{aXOjyPgNe+FY>uJ*bF}~ernjxk zcQ=+b24vtN^m&EtB~M<@2dw)X$YJlh3o6pg)w+J8k09emX_qO}Gz!q0=t?0S@f`!fv3+E0&j(0ZWzq35b1lfvE zx+_~s)$PT4I_nV9sg*n}s`74DM5{oqM^KUC1Exd*IR%f)b(f*`J^ahm9QMEXxI{X; zj!tCIq7#`9SRB$S|B!w&nr-K)bAA@M;^n}*Z@*InqwOI^HQEm;;T`{ldwRSbk`Tzx zRMyi;@A7*@t*Y#?;THNC@IA$WWr0Id5Fa%2llR$n90TJKD}>s{ZinMMfCSny>5%a5 zeeUb|!Lf=c1DVeCP^vkiJvSigwJVvHnK>?Rtm3_tVo*8}{4e&&XHKe(0dMvW``6?+ zjc9>O$R@p7L+I&jMQi>*_N(fX6^Xyeb-lHndt*(4H;??}m}0NjuXtKm=VC^L&K_uI#oDVYEVP?a z|H1}cxc%>o0U9M$S}&;J9@QGp`P~GG0~G)zk$NjQdCEy!wXedC|gi^ch7rYr&hHKI=as3#Jkc( z#@CAnmqjCele4@cM5#OO+anZ(oDGG%qKkmuxBVn9tBLG%qKgjwlV;=zIuFR|Nt7(gIIi{)!1@*^qMppv?$9XkX6ef42B{uXoWy zakCUYK_M!>zSs|2hHn@_;wI4im4=|KF4f z^=6CMg=U{BIkuJ+jeO@WQ)QQ%tdmta<^{0Cp+ntG1H-MQVf)YkAy%h5)v6YOH@kj{O0$Bo;39c3OLb1(xHOGvUClHOcYYXI)dv^pd?&z`AsJ)eH*Yx-_4 z0|sZ#m&&hn2f1d_B{_34idsz`^5Lpnf^`|&kO)CUzqoX-M)BP#gjkzn^o9{kCk zc6Xd$RK7pNcD^&DqSWZJ??6!Wv?SS}S16(g@ZE~E+8d|VsUoLG(o?R#Uat2MKQWsB z_XG;Ygi*bo*&loGK+_~me~%wKEHman{+Y7UVCN*M@fQbs`tw7q z2_KDPt)#^ab#cwGA2FO^4vQAg4KJ5x39oVJrj%}P}l&8{;dEnrn(_{HooG{a#Y zY0*w8RvraaK=vv>y@>NP6nAIClmUPIthV*?)zPwKEsnKm>x8!IRX?;JZ#E<;%^~JpfBCcs;{MoWMp3=*&9JAhs zd~Q$_(Fj?8b-cZshfwQ@lUnCElm@s+CJZ#T5t;y`i8T$HWC` zwu95Wen$e6TE9ff{&NlXzQorw&GPr*HcTZkju$;@uc9&_HpG*9={@$LYPQqTz4mTz zT~;Jhva)2EbNftY-OmcL+#1FPowT>3^QffoxNNo|9u>zL8Fi2mvl;kX9d1REra#`g zkypeftGA9Nwpg6yFw9G+|9gxw#Xg2_!#8hC4^kVdTSqf2ZHPlD;Ci(JPIWBJ2 zRFTHkE^kjl z+C4#wS|NZcWexpY^3Nsdqbk&v*RS@jPC7?9M;(ntqBQvnd+9_6apDrPAs6bR$wJBQ zfKvbqV05HRNhAZc>0P+n_;Z7yHO7pOK{LY!)=rh#LxOH2hfLABg3l-u1<)$5rWjTDP(f`sT7FOHy7nTrrgHT7f0oI>WBN2U#1tO^NMuVtd^Xpf0GAfd0zc0C)gV4SOie}iTpNs#X4Or^>2m^@{W-jKa>phF@IAYe)N=I7td5xw@o-%X$U4Cd}*AF ze`{dUmq^ND)yZDMlR9qpgq40qwG3jVK#Pc`1FhT+a={Krm-=pE(2IP=sNU-2$wf5? zAf3>wfq~Z;i%}U+3K^p`(Hf`kbkrRCbdmb~k!ChkgvC$hwz3APzGzAJWk}&ONRjF~ zTep8wm8G!k`m=hDJ3Vq#bUbznMl9kafc;E*(l4utB!(7o^h6nwTFaa|tmd2>79eki zIjH%u+!vxceFe1Eq1crdoqy$g7;fRe;b9Uc_^^dDmo;)je=xaAm?s_^h^)<-FRd5r zpq{zw&;4Jn^bCdsUyuVwuif$f+f_9{s3(56YLO&*)C<`oU+HPacVPMPvYq~UB8BmY z1i>8CT9GD2WlT9bW%9;WKT4v`+{#)fLI^tf1;(;z{TEIyX&gvd>p|ZmSiKn_>sev) zCb01|Z?FvLKFDDXiUV1OG!L@|eVG@6HUZw>vQ^QkGA^`%nk;8;`u_J1dTCh6q%VJi z;7}JIlK?^UgqH#@BvM#vB-tJxixzTR1_JN&SsvRM3YX`YFU_?^vN=>_8ZV{$1Wp!| zbm$wjl00+8=P&*V!rEva3y7=zYPe9ZooUA;2qF{2{7p!0&JUCdPqher8J`4+{PH?D zvag=naG{Qwt)hs>Xgk2Zm}TU#Wz9HpF^!)3rxfYWFZBXdubq{WRd8p`3o72Gu5MQQ z!I&V-9$?GWX{}ONr2h#|`Ona!wtL6F!zn=jd$#-)JU_}b)j)`6f&hx-cZ?{^_ZYCY zqGUh$p8y*Rz6+-v2#@(GW(dL}DP8Eqq^ILgHCyYqUQ~rg}htm=&U8^Aee4X z+yN62N9H0RLn#lOLa2euL=20mf_k%S4X)8%wb^ti6cm&LcNd-3SC;eb$si(bXI)`Z zSzFJCyAQ9lo7e%6Qf=!UaK`{06)X{9)O_UpKK01O@ zlVWksiN>ANA@Zhmm1lM+7GW0Xx#H1(2T<)IfY^Eq{w}>H4!1S{?oK}RM^jy1$L~z! zgl!vBj6@J}VIUB%KTEHbk0ifdL zBZ+t>wn62f_?1d&ajr~?84&LVQ$czRP^v}WTzBc1iT70XOyb)51qu{$>QTa8VU0Py zyoT~DZdL)+$yrb31y&$j-wjo}#?Y6La|;G|1u({&6|GKe*1&E2as}GUaEmRikJcQ# zgF+xEYBd;n(57CKyR+*)T_`lJg-QJnmfD@9EwfmLkTjeI=~EZfv*|LO?-(H*ZwfW) zN-v06&0;QqJug!Qi7;4uIg54H=zPTmav6d!((xH7O@I|Joi8uc>lZsXPWs*Td}kcA zo(gnYJvoU^gcE~Mh!e-tcs(RQM{f2?=m!4TCRi>*HoYmcGxURE4=e{QN)Hln3#{ZB3+D1CRw-2?+!UbF9--$4lV*!e)V!)pGj`_^RuZ^ zpr0xSl;&URb(AYjq{9YbRRZ06H2Q1MJ$!`Z(?Wb5?nNXv{v!67903LHP1eR}0{obs~%D&`&U-rW54)0%@PAWUX?G-sQ<;KimHP)iDh83}hbxpbtLS84DyH5O1p5XcO-|%N_t5n4+tFY&!0D-&+dO(2Jl0J?A2T|FfI~knF0Zm! zo2$OXh92YZrr&-I2ke43r=WQnnJDt3L65`tjiH3-w(m18X4nVBIGAm$pbbdmQSt(1 z3E!eD4HA0ir$uk%cax;%$-7Tv&;=r4^9~0~(s`?E)PEmBOx_S(DkfV^)E}8(^4<3q7a&d2 zCqg!LcJ6?m)LTGGoFewTY-dwFTr@)pZv$pUg}BT{I6+Q$BAt_L5m`o`VReQf)L&Z@ zRHxIz6jT|HCIWE{0@l*hg#b?)&||ae1oND%+%BPJq5{g=N^){hcwA1!z_2KEvZ8Jc#5+Oy#G<1csn);vnkOP79X47=Zu)G@EL4F@mkZ3RRTy-te- z)<@1&f*w}b-dxy1fFU$ucd~hwv79HHHgi8lyPgadYcuXBQ1vV&WNVePxYyVIKm))b zpa%a-Vjaa`)@0dHhs}GXmK=3FL-8zUVCpjShW6Qm(bt$%dHH=C`vuNhc^jO=yp1P@=dFvGb`yzl>P z+Nkhj>*wXFEG?>8xFVovI56%V>h8ktGw>blf97_-^p7BJm2f%DHQ<^#g4Z=3fXbKY zgo>{n_P-d6_EScaTH@$skI(KDD(wmZTq#Dk1E;WqJkra&sz9dp8uCb3TXA_bW=p)PJdO{5-pd?RcNQ)8rjCvCkv+=&J z2I2?v&2f)B4Zj3rq!7NDm*57>bV=s*_}Z&EMl#m0#LMLz3m85Wqa@ee#6T*oV;&9DZn@N?CO3&G$^@-Xy1GZrB%(%@re|hso!Q1y*BP?(gIBefm>vt1v z^0m)(nXaX9&*^+UGrZRd&fs*+Y$`faMU#WUfD|DKAVJkJv*%!BUs?$W#pce0bU&X~ zdBJ}f`qUe{3Qb+hiTF9bIh-NKf`*(B)!Ua&PM6=kwu1N7)ks1#u-ML>aRnJWUzcWp z%V|LJIg|p4{;&#nMtjotq&%zTulj38pv5%ELE085 za%CW$L)$L+k`e03^;0MMBmVOCiG6U+Sw!vTH7D%B-6sbWp}!_@~u4xGWJ&rjGi0F2}Uy0Z$={DZM9VCmAkZmgo-eJ zYa*f%U)KiHj=j;3ev?eh<;0f0qMj=S=@d`9QiMeJ?s59~(ZopMFO_Y-;t;^7z&kX( z7dzriV>U)Ues23YsJcT=Z|g}3PPIFLrk!8<+3ZWZoEmM!X;Y4uI?uK%KVP5_MrZUI z!@5BamU1&G^%lsH_#!3WRKk$@6!&$o&9haQ4#YiY(k79_r6D9=LN1p1yq-DK0*DTc zdKgG7C}jAHDxW@VUZ2Yp$8wh*0zJ`f>Gn-ny_C3J1YcHoC{zo>V>mY%9l_nrVK~$E z9_Xe-oADb5G>)D^;YVti-WsJ;Jjunvp8w|f8yGd`U!BOfs|825K55b#+?P;<^)5~% zVjY`{T-?(p;6)hsfTHd)wBfq?-UEfF;q`hHyQv~I(`0uZ)t7ETn5_8 z=u&tj5FGslu&f*P#;+7{q-x9~jfQ!K*vKT=sRS!3?n;-LM4d=XHd0_tar`-${f$vO zO3IkVlFiUH?+S#+8y#(%O`f)3Yt)0 z1=F&LM7^HbnYZ_~f6AbN7)Z+~#&eI<)5&B?@ev)V$oCV%vVU!HLJZ#?92t8SWEk-N zSs<-Y^{$(voOq>Hna!Tpkb+%qh~g0@%|%-)6ys9CZ_KsYz!7J!UH@%_?_<9lqx(~x zbh@5kwX0i)2c81M%vWIrQbDb zclZ>y5-Nyv9303SU#q0^*eNrZ2rh=s^_elZQIIX$B5ImXG~3Y-W&>ES*FHX>Wc!Xv z#p2K2=_ts~P%B=WLbjYbI9vry#NM)cWoTKxWDk!WbQ7_DIuP%7*PHmELHn{9a@lpa z1Z(m80Iw){MOvBesUS4Bxkc!U)%Cf+q9i1yT<4vfR`{xv$N7Y4BA4z%gAT$_gH~}aZ+5F=R_F}4UdsWq5o)&2N zZ6ZgA+e~!4&gb)`%(Yo<0i-}fZA#CePFBP7Uf1C-Lhqg>uX020E1QwNIrW`EmYg0# zUMKR~FL*Ou^d>_0Rk{6Ek~@kkCzQ)6c39!_*3(+;n5YY9xoc7q$EEiMt@?!gp#6o|t*ObNhLC{>! z@m^~=aTm$bX?#ntd*bvg5+)a|+&W+zVvU{*3c+N1f^V*C^&N1CdbI~}<*(x}lh)D} z5nhyUzh&m>QZ4w;tht~u`kK$NmG*Pp_9frd%)Y9Eo;f9eX|{OJIi;<@10|;HgOY+p z*HN{>d$$_oa8=d{lXq%C=9#^;?@uQdDb%^HQA?wf#ER5BuzDFawBlCl#$!L5;&9>R z)cS=6`^#3N*Mlgmj@Lu`g;IdB*H4p%zc=4gKpFElUk|O72=Rlka78;JWXrq1m$L?P zsUt5x=gm%W|9WR3>h`0QtK>8cOD*WNapj0D-uhJee&@y3&7_v`xjvVMj>Z&KH}|N0 z$EWy3cG#n?kbe8q9W~6yJ3$WSIV>brT8_?@4(zOOJ9~SIosCO>guj$v%Y78n%Nm8k zl$-9b8B?d8(2!S_DM`$t^u)DHb#cvwkq@g^1S`e1^|BQ_s@KYq22)hi?hJOD)stXJ z&4>zZz_-0eoIXc?@W;TM}2eE%k~?~g`wQ}syZ8j*?N||K4gD~+F;1A z_;|6K9qBy`iesMkAif{choGO@bo8Kxk^<2lQdBsXyhW?Va4BtP-LSc2Bks#!ejR8y!Ah0bF9nP>USfaq+(yU5i3O7rMqq;ZHs^UMPwkjBZCSz7-tYeHu(kr z8W_8UK(E+yf9vS#N6SW-h+13Fc4by4Xt)rTyCb?s7fS z3HNnqMb8-TJt%mPcVZ#wJ0<*TlJj}`euP#rxn*_@2F^(lMyBRfZ}QC4LGSPgNQi&j zCdUZQF&SZfE&-u;y=f{fcgt&T7nH7NBi}3)I&8!7vVJsxwAW1nCn7@NpLOtsq|L5= zh@AGXtbJQ$8$4$1hRZ=XyJc1kFqPLCFdj)3{{_hJ##t_bk5wgFa3Ylp$bE;4H zE#TXC`~r`=c$YwUHdvsHAX%LJMEP=zYC*H!roCL;^8$p$k`H6G0)7p;?NE*1+>HC8 zz9>xPNJhp!S}8tmz;N2E2$Qgt{1cDL!C1g_JlCvXd8TItpw$!OfKW|wx4t)D zwGr^q&>ppy_)Crf?K7dVuF5k&uolkuWrXgqBYi5loE(;-6T0k= z(xkdD5=H7ajMxqbh2d{_=66>-?t{%v?0>V$-K3xr-2S|f2>%KcFH+~Dcg63sA zTJ>Ye=u5{i^^FROWL-ij#spv|pitago=j-0)ZfM4vXbsv^`RZr`@|F+7%Azmm1su(u% z(>@&(4N-XZ?TH-p@bQrpE)f%Nx~zWdNNl`4Vvh8trWJq*q{=g&ugvK+1@^m?y(8G^ z(U4bbpL)aS3?c>*2ct5HUZS!NZOr3fyQkyE;Zv0syVi>KZ&IU1AdK7T^bs zoB^P76x-OQKp30=8IC{^PM;InezOb7)Ny4kJmeU!&?4e9E%rxSAK!4Q9tbXRcuG+1 zKrWzRdlPj9H3`;Uf6ZBs`&w7XXA~l0;<3wBzmBA%_9H<0>{Go(_*^ebA}m1ip7}{6 z3fkelFQJfgw`1V4xxO5L%WaVD0(#{JMrPR{wKr0aPPK`AJLE4Dz=7nEiFCsvHvZ<& zOZXwU2XiC8w;54G3qEnv1>V1E(JggY=_M#P+eNm|;IJ4C;UxHphrbFCxbHlTh!lZ8 zxLom8#`Wde%WN)iFrDK%Ts8Od4aMl+*0LmK(7Jxj7sRBPCljNU%k}SF#}8EEB`tmG z2_2mgDy6ec-mLa@)<&GHonzvri+y_W-d|Z18*MRQ21yWuMf=-(e+c6%uZI)^xC9#7 zDMMSVL0*4eczNJSRJFT8>u(2-S{&{dflM8UErV&J0bQ<8u=thhqNpEW? zbUt3I^nXTYobSKOVll_qh)a;n_}0XFl^@PG9f34(-&iEdN9_p=Cl$|e7dnf67yNiO zUNmy8(3eOQD&hk&cw3tb#5iD7NoMqNOj+m#VU-mlgEru-DAULM2Hy|P$o(&_V& zAu8aU!(Z!0p(AEVY=3F3ZDPe7Qrnw^tuATOs+*__f7+?3H~KR&9nw!+C_Nvd#aZ_N zVioTqt=!Lj#Rsm7_gAcj)$xZ{ts9ZtCt}?Dm3mL!?)8xmF6DkC%=R^V&qVK(`CLPX zEdI+&c|m_w69Un2Jc`*R4I9=iZwPbvb)g@S)*T8CftaE~3Bv%U|3q zmyG*9&CEllx*AB&?DD&io%EwWx-|jmlT1t2U;b5PT8;W$4*9|%mz3mzAU;8|cw6yB z#bQEXy=OzZ!s?%J4#USAz6&=G_I;QR0v#?3JK*!8QDK_g94Uwb6Zt&@QWK7Tb|L}v zxh5BTwaP#>U(z|uL<+BWMz!+tSMwu>w2O8`tRj^uY?g`e0ya{wy~bJq?t9s9)CHnZ zjy+YtU{iL*p%Xd-U%eBVkdwVJvX3{}LDw2lLk_h%2bFVG4m((P7xCQ_FPV3!rRl5Z z6j83f-0p8(4l`kh*IhaGh0r#UdRur&Y)WYUNoT{kxLSh*#uUMyQ)G!tj#b`sBK1y` zvl_D#x-o;lC~EOHiT4MWLT@?R5EKx)VbE*Z6YPz6Gm`P`)aKr|`2-ZY$Q2W_%vBmu z)q&gEi&4mAx{3~{VH#e|6#wa`@TH7seTl4oRRab9YHN8rB!d|Z6^Y36S5fJ4i9wOJ z5q0VXb*@q9hc({;9oc!~G_~8cFCM4Ftz&dEB%ftoVTae49&v=6vN`E>1GJTe^N1?*j4zO>eHonBgcP#T zIa;h!Ys}2JO~G;LW=yZ;xE85a=wmHvAaZT`7~H2*fPr}X+YvHYfNOq zmT~MG9cw>7?WR5U6q9}_E-rS}|182;(Csw4$G{|8uWX=0OODxRitWMmya!DR*6F}K z`Y=V+ZCP#;UPGSU`>HbM|8VwJVO6ee)F>&TbV-+jv>?*mND4@IBi-FC2qMzmBHi8H zDcy~9H|z&>ee3)Fy-)sqvJU38t|{}K?|h#z?h*I-KHQ9gM>&kq0f%j%zIm;2k79t$qRIVmh_vd;T^K5ZSM zvs8Zwkop~bb3W?9K(V=cGx)a}TFT$oOr(2J$tDzSG z`CY>)S<=d|Y4MeG=uz@|vG#9Ho9pR01dp*016x@H(m|HZ5Nig z%)1s1(fyk5KUtY5$lYTZzxvB7Gf7~S)1yYz?X#g;gpbBsAnQb(irQF4h)J)Y|Gcx& zdg_gd%|>QqQKJBRwud+_`+_-g=Yzt9h0@uPt;RxjUy6rF0XvU5p0w)GtryDnr`5|E zZ)o)a1`QZVBDq=j{FC>8*i;Y~lv0wd;u`5N(LaygKZIJ2396HQC%)2Jss~4`EBlcJ z|A+H}eHx$8)InE+2;jCZ$gHKJf7xx~`>m92hx`UAC=b-7>aMR45L6!W_p$$+d&n7Z?&)@ypUskePEFjdV8M|h4!08apyYUG!fpH45@;9)# zw3PEhp?ch#aKcqt-4Hf+3uG$6bJ_#vG?d$UkDI;Il@Nw^T4Ij92v7AT#cUA;M5sg2 z)`8|4RjU0U{3AtsGg#mK?)t35f*o*L%PI@2^I0}r8eLs!+nLpZ@gF~#gDYY}1xMs* z{#?~eMVO^@|9qMIQo1b6z)}zgRCzNM$thQ^)8^50h=KLCxz>%Hel=>l3G92H00tad zX;YI&ZhNU8MELy$`FpT#QZmBK_b6YjY7nFq3+4?U?(e?xZ&xjPl=Xo=CX$bIDy2eG z5k0}SqiTs>Ei2=Nasj)lAog^zwGSFdD%n01XjB57BoDySb4IwzsxOeCz7w+s-LK98 zri3T zNs4Y{0zUOSOnxi56EK-VV-(mW5ZdK8LVn&WQf_i4Ms0H_d`Q1o;M72>tY_sxr&lVv#TclPbskC7u>{M(Y)! zJfPQOC#bUJX&i|DNa3UO6Nw$5%?E0a@~!uaizNW=^^+MIPQNnyXD1B2=Vr{v7g3+ZCZMV=6UgPs1k zH|JMAdw!!bjcx~ODrF88UZNzu2^a1ogM*2<*NB3t9u?AmM>%Jt%>sFn)cI_MKy=1Y z6P?;7jef-}0)}yG3Slwr(P(ER#=w5u&0y&B`6G9jJA&@ASmg$NH-PF z^c>&DQ%RL(QgsQ-ewE=&I3g!L=G(gz)e>f{|^8a#H=+2#Jf$f~Xf@|YBB~-SD1`u04koCSxC%vj_ zljZq)#+VX#U&=(XI{1S6OJF zxhxrk*$Q|e{Wm`~%8^AN#%T*cDobcFLDZY8wWn$EMuxFPWwR||c^^HX6AkG-C@%Jv z0}#}BUe8AJ(Uuv9t4pinWgZ_?IHMvz+01JMmVg<8?~CH7lFQGkKue(YsO<#ojOQaU z{Q=ZHDbVopa$@D3=l5riyvq#)?mHB;>2tC>-g+UR6qxa@!vB? zy$$|_m{k(9$yZ}`=WVU>@5Nas9;B48mBp;>A;hXY#>~F&7wU)S4AITQSsBWshdnAR z=4T39Z(l1-y}2egZeD6YF)!df?trEv_cPUWz~K}#W+H_zb@p$vxQ*sL?j~O=&|v9r zer)+v01YGZLc3F#3gjWyB^Xgt*JLhGfCHkFwF|7WeH?#Pv$5cl$BqD_Ecl$548;k< z%!Zub;(EINDs?JTfF_pRcDI-B)n>q70%|aZe5L%_z@joJXlYzXuL87>S|vS$ZDs%F zN`&V&72CFed){pp%{NRE_|D>Hx`HOaitZgyO)oHWfM{A{TXpzh{6M$-s8 z->JUtfl?Wv6NIi8CvZ|*poNh8G7-+I+dkMFCSA@gm_w=E!?=k^*2Jq(|IF>$T_h zGCx*hYwD$Y3q;IP@^4ofRK1b(muwxo5ic{Pu~=)@~OH3m+Ei!PM@nyc3eWDH^EyaA8VjWZETGRLa*+%{zU5bi|v4G+g<|WbjUL+rm6?<*Jsx zY^w*12yjZv6qbJbgZ?V~Oq?I2g| zLD^e067wtaeyDRR{k3p1YifO|>W;n#8y;3RUq9X|00nJf^$e&UP1#+s@*k!p0*-Ln^rBRAs$OIZ4%XX-#E z<|q-;$kXpPNu)tdX*$oI8{kHHgB9y;RsI=9g*@=nyv**Id<;JCwVba zNMj@HFnkc=6cjQZ0c{EXM!wv>V@HKa+zAUFH|8!$7$^j_be{&xKC{BllCN9HM08n& zxkk19jB?o)=IBV9MBSiEJhJGH(75(SV!58yxTFZb`v1iq&w40RPHzHT4zpO^1>5re ztgEvBUrF?O`B4&O>HjT>)?R3)sEyafZFUr>$y8?r8TzJFHWiD?r$S`_m#<}ewHBgA$Nbi@Ym{QZM{?s?_H>> zt=kN9V)@DyB=IO#*O4tWTy?xwEqp9jX623YX2zfA0;)4iA;)HZw_qwa^?wn>RQODN zm{8k^x8|$yUm3oHN&NvneKJD&D1t z#7^uaoYv?NHiiL+ohLxMuVg zhF3a5_KrBZ!Z1^%LBYs#(9!e$+8NZ-uIoW{k?2c7(Ra5RdOC4z{KE9P&X|FNMHv8u%?nUs4T^`6MCcvc4bH=_Uszf}9SY+d$wd~QCmk51-Mq)b*W)~=hS=*{_e zJRt4`(bs7cUCdlaD}gJV!TAC9`MJQY9JMOd(fOqo8Xt$*qDAzNQ&v!fY*u8iikSYLlkn-I!b@1~&DE5_ z+X4&X*Y`kJie1`!n*d!-e)l4JfS?lRyF95ugiPCH&2OmHk#sZBx77|kuBKSV#W6#}^E~xWm4Fqpb0JGciB76GYT|K7}~kT9*ER)Lk&rNlHeEDW2DxcvKHnzMC2 zYe@+>r$K)NIh-}0^Ixj-5l}s|0Z+iZA~g*z|r>@j{7*bTmAdWT`$n6Rhe05O90m~p#xlJ956x`(0@36UJ?cP4ue*s8;m4W z1S^W)8qnNP{XC6R(#-uu*fF6NP-j5D6y2)7{0A_yVb9vTu|b+Ug>9(-`V|XJ;Y%Kf z!(|A8AF}Guf|l0m@>3%&S`zXHhWNoXb?o7@H>mDW^hqg-`BQC^M?%fzSrRdg{TH&& ze&%AV8^ZVgeNH}_^U(O3t1`FJ_2B8ipO0p~#(gm-sO5f!RiM?hV5AQLFC=1YKVsia z3x`aAibOAoxOAw0pK8tRKs$KUYj+DwplrntSlFE_(d|-%FhlEoG2km05b`oxsIM_L z_eIDwZUXhF)|yQ2S2Hdre6}^lBV@n=fyeI^2!W5>(vt_qQ>UxpyuJ2pc3Y@O1Z>0h zN|z*7$*usOpMr7DnC%dESF8HA(+1RKkk=t%q_-87oUNm)2kV7SGFQn(dx@SAw1XP( zV>agW9R{jRh08YISIGVQWM3giJy*l=p^5I878k9bEJ++fX61pMyv+G*hOkSXhUTZz z35+?K$%$qlCyJ}3xVLy(`VEleyqLU=Q`|wwuOVw zFBa9PvB{b`5~xP+R!&O7LVBF1LM`nIQc%nwZg_BkNqn9%7(My@9+6#J^`64MT*TO#!{Ogu_ zJgvyW`KEkm1Q;HT{shh>Q)|6Z66Hm&WS;rB?*bbLcP&eptd)olDlEQo?91n^$5krF ztOkboSWzkd4Q0E2_T!j6*zq#PYH0C&x6992GVkFX8mzc{>9**q=2jvHkWl*JwO41- z1mRNLFKOE0VEUmF{hS3)2oPJsszEcYZt0R4ToBwYGh?X(J%FyQ+P9@I>yA{XT4C1z+wxFe@gUBE@UriU^FP)9&gl{$w6h|oj3t#wr(8PRGm4_#Ef}bZ}QY96KhLs33+lXMjUJWp`1u+E} zO1fCoOLF5$M4bIT(j@v5^efI4sr~Sak5qvHxR>8(lm;EXJT=<2>IZiA7(ZI*!D1sR z=^sj#1PLz)^x>LWui~|0UJ0^0?xUOb-TX$-`O~u=gk^&OL0`Q4ji7HMWJ%w5rYHUT zXL(UV$$sHii5o0qTPMC!`@~8PEXADsPw_%ajfUF$c2KMXzF+MUR7AcFEtyX9sgUS7 zOMLEi7$YmdHTC`bw`Drd^-sbfI1l{fBI7d5X;@ALnhoJVtrRY5(gb)Ju~8zXaJcS( z=eqz+v#i`7bwC#8>vQis=~X;&HxkUd!#4UKa#^neT|OZ#WA)ru6m^rlwp?IgNee>k zAs`)pBS@lA@5Gwmj+qgPTU2{cBb$?V`_EC({K3EZL!R~L7rouFXNPTBWYY%i*8-AC zQ_Z^53&i|yICNTJ!h{ogh$X&8Q%=P0Of=AqYg)IHGL}yO8uhCH1WsfSqydN{#^|G7oosG0 ze~)~>7VqE>Cf6HxnCeOR?@h!xBtyF|uYnhMk6sg)%l%bO(7_ zYei~PU`#>7UkpIHVPBuXS5z2>*g0OOIl49!?{2c>;;yN_!53a!q>Z?H;^rkps^3qI?&p^d>3 zPF(PB>6KXhgI7b|q)&GxDo(B_mrMZP^lmBeM5!hk^zk^9Vf>1nKaG=3#(OK$!(F1? za_;AlwfC>qMdAZryi3#IpJgrlQ9kq9?&Cr=tIJ^vTo#DB-IJ*eC9=zp7Lp-t|HZ2r za#`<2fDN2RC9c^I^&L1nF?ftG>k;mFPKO0g0e_PP8l@jfuKLIZIEz?rqG*(i50_d- zdCNVAwds|Mn+q=%7;`o2>~ec@b;q0($nU75d$pX`vBV)mO5n&c;|-Qm-q7EX=4!hi z)h>IpVB{(^ff$mO#wDFz%lpw$vR(-I8B=5FgW4VXCUdtBq0bq88t<=7!^<1QHhYPm z5$#sr50{sw?!G7L<9usR40|o7mXr%Po)gw!^y<882?4ftA!*0FG$^Zz~aUf zJw)ufTjJ&Jq$IEeqJ|tLo!{>7Z!B{Imcn?e{On3zlXfStTGms5`RLiJI*{7Q1-Te9 z0#^k;E7V}dI_`OIXgK(6iq~!0QbnPU;3tu)Z!EdOZ~*=5wd2np?)(F=PmojxFCKuw zjACZ*^*5P+Ar5*FpR$iBz|0+mj2s-QiQd{d2stF0zp_D?HAxo5Cz??-jKJ=ES7k&@ zKrjBK1U5oG%3gXJh`}NdgULP251eC1#K)a_Fx&$Sm>=;hS;pPl z`YFw7t2l=Pp4OSFIkPT2Ub5#fi6F%$9{Jcs4qSPO19$r;UpWXlv5gpZLPiqcW+aV^ zLU0%caqE8sO+3e2mxXr{H0E_WB+;n{J5g54GY`g|vXe8$1E1hPeZ=tFE1NFlV>BK85`hhMX_{ zIA*_3b%}<(Y?lc`wfv&`J9hG@46A3rUq)kUctMJ$04XAf1s$2|f6y&xd9)odb)rSh zC*f6PO??U6LR4$y1X$*KkZ!rJ#lq38SaG=mb}m-Wu6 zHR_=JAKYf2Nty*+36Ymq)Bb~Ly;!W5n!O9_TD!bX=7z-p*FQO(vmJU5Y=EnAPnX&t zTWKVpv}XB*P479UZ3j-=0>+aA+>#23lU7X}ydIKT&d2Qw7+qn`h`+KI%pToa7k0P; zopdk%Bb}j%;lZ?e> z5LHnbr@Vt_TDX*-!eRfz_#>KB^Q}%Cd+Y?3s+P8FuG~u_N1~hGelBmU^Y1iDg_xY0 z@KtH3Fp4=|VLa2@As*M;l#}y(L334>#HRQ%68OfRz=!zC6IDH}=ZpgW4BYAGrjt7Z z2VBVZ{RynZnV<2~u8`&nvGX8@+uQbW3~8!m&^*j{r%DD%d+rN07(OY?`b~ z88P4y^lpA-iEe5F@zQXX4H9Z<-lwjA2jO(gDX%g3jOkAT^=RpC$fwR)kDgVgDhHek zTR3TpK;TaTk`~&uJs_#_K1Jq(J1Z) zE9Joc`#}5R1n);z-J1I=0N+A;=vM$!ZBDYwCqlJjXQ#|6%f;kJKRX_KEXLA7gecKb ztW=R^LneVest^*fZU9FvASWwdU?ZW8AAtRxMq)XL1HvbV(@c$#;!qcX=S#tN>t3c2 z*kL$=05;4hHc|7bVn|_KoM1*lL8J6nBw%4DO<**7*BiFCI?n=pj+$HY4f>*Wbshyu3P&5e;FVd`|}Lr8ev?6JFMMRyl{V2g5i+_?_cokIWpMFYwzOoHU2%dZyr zQrBrE$F7x$qZ1Lb5BEcJD1Q6^Fmi!dxIffPfOwUrj~wq0CI_ad65%~;pZT7Q6q zo7wx=y8Ykauo>z&6Cz-Fl`*IdTV0?1>>P;Q`H9~}fdB_Ky*#4f%{+W1gIF9G#e7u0 zSo=|6LQ+;+hyhvZHl2Y5?1H?qh20ch&)|b7qSNghq$~0?AF7Ez1Kr=>?hCTt&=_|y ziw8{xFSyY1s0`Ecdhq{%$Jx2R;W3ylKhGEcRJ7R+;n(DczS5#g#ZC2UDz-?y#Q121 z*SJ0C8Uc7Yar&RC47!c{`gy~~H9_?ltnu(yEC-#Xh@xgrvi^!%Bp>7c89;vNhF7=8 zn-p7tOxp@1cCdc_Bb~s4hQ&|m#m!_RnQwEw^X!x#8MVHxpX?yAH%KDQo1MQ8WiqV) z)wn4T_v83KAYX|PN~xgp?N>2!y@@uIm{0mKXgg1FJG7xg*3CU(kXHNvUmUY!u9xqmwSBcl0WXr@e}b??;N}0D z%a8iLd#uoeOl)mm2 z&z~Vm7a_sC$sQ8dBcY3im*zO{VEqbdCZc5H{W|cC``0aAiDn%~ll8NfnEcAlRru~m z+B-pqA9b1PcNbWStyOu*<~~I1^3Hu{yC7G4$Vb7`&7FFt$hez}2nmY7t?Go+MW^$r z0<{)Z?Zd{+_I2pw*g8!Nbh#M!2P*S@@iTRpTA#~Q$rD4o8n~F-5+UW14)>5_CgLd4 z&^M!NhWindY@C{f)LdcUecL&h$)n$Mp6LP486&1wHXT z5~HZ{i1o9vIL=8nYq^y zB87P3utf}F7zvV@tT^@JCzS&ky}~qOIG-C+X}8OUOUk;w?@!g*P1j1QywT4RW}yAV z8vO=mXc%9siC^pPI;x&zCn{I;3Dn2 zR@XeQ+IY#fxq?nbj^PoIR4u`sFMBv1Hh+8yP2V7uNMxT!0hNtDz5i-XaFK+l}Bn@RZt zV%MjRH#f8LW1pntduZp|dt!LWA!fi8+ z(#oJp$R0lCjgeo-ch226w-^2{?Wy5nvb~{NjVmKJKzM=0lRT)-MxISP|It39!;>~U z<>H?9LN@0|lD=k3a)@9K^7}Rfr0vFpgN&w!n}o)@JGI^jDmeQe6Twyu&xBW|y=7-s z!myb=?wp*lB^R43rU?gLna5ATriy8VXxnyO`D!qPW^EX~U2nTilS6rbFw-DRqrOw7 zYIogg;G>7i$T#ng!(J>3{%|z<46F=LN#byUq0uyPUp{3Q zugRVD8p_W6!9oR(>Uo4{**s%i0d{v=IB#69T)V}0b5fBmMw?Kz9;2GOY&27^71a*j@IIe ztAhc>g^QEkJAH^x<7H)=TSR%|fmxDOrF7h><^3&~BEM8qo3w2mZ5uYsLATS6Qa^{o zMl5c61-(lW*HEA|QE8t~5)GAgC0y2@cugicj52&oT=xS4n);ER#&;!Bl(csI3~jFY zrX35ZTZ44RGN&$7?aq9BjH0QH>H+I5)=Gh43+Lyf_KA>Sf6z8|IwllQk-c zFMGAnh@b5A*$0z3ZmwOm!ZJ#x5dBV~yUVxC<|b`V@#wxINx1ajytd2qvI)uozWhh{ zkNx*ZkPLmCDnic@&K~c7-629^)%VcpyFfU*Fbt{iwQ+kmyeaUMzSojre%t7^*D&bKfI#XGId4oVtI$JNXg-l7mS zmb8zzWDIxkCw=G8T}3o1cpAeX_B0l0Z75pndNn-ItD(7`>^V3r1-`eVUv$vmbTGG0 z=iA+n`XF?sfH zQi3MCSq|aBwOrjXbSZF@`yT`&JRDRP=T*&0^Dqkp@^x|)9V?h}(q&UZ{M4V`WYRlm zw~lRg@tn%`1a5W5ku$rW*v-tC7)0GU?Mg6~%do6}GQbQKa@H{PF}+xV)^*IodBC zp6A*$p{w*J1i=+wNQIi}46e^nbj>Agq8Rax z4k4Q@FJ5oZ=@wK?{qVe;3Op}H->E5^i@mQt+hKtFDL_15sD1IfI1L6W2+vj=M71L6wHw9B= zK8ZCe4=xC%FqbyXh@7PbAR2N@4pxRX&wCj*OT5I;;`UgA~4eRwWDr?NCWx zS(ZrD$!%miWD=pSi=zM(qv0ZyCYmob@VU zdzdyDAhG#O6x~7Z?cH<@R9L-9&Wv}>T8?_53YD(lcDzPur+0v#E0BhW{@IuCXlrXa zqdaRByQT?>8^=uV9TFu=CWeuWn8IhBvq16j&znYE3>Uw?#zYUB!&-!FmHC>MvAEF9 zbM9(h-}@gJT+KT-klEAC-BMK7Xd0SberdFCE~A(tZ(5cEy3P=MU$<(0A;*&qu73&jao^VtqZrSJ zhDRF}ist#t^$M|1HE;=*0>3b>cVRdkkng_M4^Mq;zQ(`ImjJ^cv(YOT9i1|N(! zg6eY)xpl%xzXe>9cp1{8)+{58^L*ooja#EySsZ`yteI-S!JizIc$bsZgTYU}J3MNnxrpAyQm}GZh#a{8 zONlT;ycn^>WEH$IIXMYq|CfvY5Cqgw!BN~^;Jrj_?nTrj7IQ@r0eGwBKG{#$~VT5Cp6m$wTk1dhL!5=Wh}>$9HNw5^ue zijopQdCyx~cz6=*p_@966bdsXQ{m^ln4tQaxtQfi8|6%LX7`Rl-`OqNb29=7V=$r1 z?RIdL-D0Teq*?~`VnIA@j&}>c#|za6f7a8zo@V~=^g1m@=-4`pT<(TS#HwMxc4zB) zXZ1M4Rpt=5980R|8Uu?2AVv9A08u#mpB0y5y|Js?Pjm)v6J$#)AH1IGs#g7ET=W@{dVX9 z|JcEZcDv}biUjsY!EoQ5j)8uOm$)}z1^i>(=DAZDFcuwT+yY@}&5#RtPO`v)#j%2_ zg?Eo(bDn5AHEfg=F`P$d<$j~vc(RR@xYO1z%Cze=alxx>dl2!LpSY40eQR2C&_vyWn;)F!zI1VYdbXi&;S_tZ zQ&eSJ8fl0}=r0hd_x18a!Zf#eN9>foW zi{S2qKiGPqPfXa-bh3DIQ1AHhLUuoe;`Y9`_n?>Rwor)UGJt0x3TT=KS~Ael$X3XV zHaEAjss{}h$v;7@>29|2@7fa3+!^%QvMu0_cDi0iSQx1o^cJEQErL2mWw1QHIUxbZVG@)M!D(kJV9f_&}gkYLJ=5( z@_`hDcmrHW`Qbd*-MVSYVeB;VA3%daU0&UOZQp_s|G2KVTG)J8puh7@lH)?jjo5C| z)k#%P#jjb%k^^~-+9j2Hajd?XGN!`agMsLuW!iH`QGL;8I#hie#f*jhyXLWGDwf6d z<<_65f@cSJv{lqLI|*-Qp02Am&IHO;Ru>r*;O|VYta8$tR^do5wIxo3U#z_qwb-!t zPeHr&zQzifcX>Dn*z`14cBP*LNfp9{hVIAClS~f~5aZd2Ec+6Ps;t*wwdi47_rZnF zB;?JPo?&IwD7^{0ZtMQ-=R3)17gKGGSqF6I_ep~L6{2d=8RjM0CNZ%1WdmC_IqNZW1+cR?FzfUi2logwOa^bN<6`iMJj>ee zUb9Xegg12t%ZA--ci=Km2n>nOuD|n8vf?=WA~SPWw?EOYMG-JZKmO#_8u#F01NlBl zYxj&3g=b~)>d3=mbAFCD;p(n~xc(gVs%?zAKfUB7!RjQXbv8r%@iR2jvhPDu`8>Pr zc!O+Goc=cSDU|SO#UeOlgV!7U+f3-rlqyptUxLPNM+pbGmAR39214ZbtQR!HDUOim z4sVOzkEGWa9E@fue@=ANny>x&HNC}G$w{e$a~}xYZ_U`e!QLmdBKlESWkgZxbqcI_ zC%Xm;7bI??vuZ+&YcK>1amdt7`$Xhsfjs=+&=`KhJCWkSei)Kzj>8mBDBh9l(7km z%^>DkZ+U#Or;$pU`NiVY0izV~K}TIVS$V#G;PFuSO@|$GJCZRbkOzgKEZq*X<>8C@ z0q*^sH_IIb_^OMih@=sfS`CGCv$DF$sf*x@o#SflgpklBW~-RH}4#c;d=WiQ?OK?wjtEB zk`C+deXOj6?SPjy_t$igL(FynF8*%x}tF z3oOmTjEsz<*nLN+uS*o}hIo(6rO>KOL5;_Ft-UE|3Hd**vD@HBMFQWqWdx{^pEW&j z2ya;L=WE`@z~_I)i?Ri^Bc={l!c(#vesq8ObHGC3$tU_q?a(@LZ?jIP^y+VSzP_I1 zaz2(VR8JNlwDP@zfX{{m^~0yrdZ4bF+scl~{|%ARjo9Am>dRL;J%|$1x4uI5PdekF zV?`*h8mU(dct6vcD_>t^yH%Agb+r=b5T1*VJ%q!@b=BSrpI%d>$7-0JOjCrt{bt)y z@u{C^7BhI*<-|St?yikk-rlL_2~-^~A`64(9=h`W!6+ye@&RJ}yFA6cHcE%)vS)bZ zz!WeeU^*cLw-zF_&f4TD=s4#jS|8IXU&Sjt#v&7$#gXa#9Q|ST6 zV*K#hpT7*B)_+f|wWj9Q*f$Y(od-1e+QxP+(CpP+J7O+tnMkLCNuZzqYh%Kjl1U`osA?)LhO$lxlRmbz(mFU;?}Xe ze!xZ??S8|Db-iQ%j&a#+bM8Q)0^`nkO$Kk#i7QJYA?%*xK1Q2+f7{y6G|)x1u)k&s z(d0)zoI@X#_kDPZygtuuh^HsZ(ZLY?{hi6`<(>1*Y*lc@0`qn5h!5$04VXXDXVayH zdJbrrem^^%IJKS+-*yULJ>9ci99__JHE}<63AZR#(7X>gwo9Fb6yG0RpQZQ7(Lr>O zda6&uN0{f3>D~9FxAb&z{vq1Kh4vS}Cx;u>oVp{c?ZK6xOiy&M>$A-t^0mm^+}kE^ z)RksW^Ix^x&8M0{e{}U!yYc91SdlbjUXDRHMq`YVnvy={;*U&@d(pM(iF@#i>poVS z6EW?Kr)cqt?v|6+%X5>|>^ejHVu<(lzP4*eBX~FUl8ABlB7ZvX47(JVgpnl!9?M9&t>Di26gciNO;Uaq34r_x~?D<>Y&TfidX;WEXm8Vn`j&S z7PFA`T{r|A?zb zg#FF}b`w+UOV{xIEOh60?K@a3Iue?yWDMrU?WyiQ%@9&VTeanr?;DuTRw@Mf@0iZG zHCZBAq)RI(h8C`9k497G>A!jGig-8xFPu!7QwOTF#h`uJR5^C9rr5c4;`B{i$mD3* zQYQf`y5IJ*ZKmN*+%)adD0u7{Slk}x)$m8Cz0?cJ5fPXl$msMM?U}wI&hRjK@Gp!fnFMM02h?BW45Boq^$Fl86@}jY$iMN>` zj*54Akr-agDwW;!DBq3kv%5>a+w0|P9*ujG>*B${)0VF&0(iS{61=IC)J-DA9|F)b zCB2!LH?|r5!Z9e9UF$Fk4@w%FJA36*X9Hd%;K+lYVIo@r%Hd?nfZwLyjI{uf0 zoqfaoV)^@6Ps+fb_#&+OP5eBn$#t9T0w1qs5iXo9Je2qjI~&5laUkvE#h$lLi?;h7 z+0C#rR{Rx8%P97cWzb+E}o*hG`WvS8uV|NPS?z4YZk zD04^5jCwMpwD_YFevjf$2eLx7l}<_oBv=kBaQ5r!e#F7K*30Z4GkiUQKnd&J#Ui_I zo#OFJc>d?W&tF4VolaN&{Z-d3)W|}ED}pVO&;l2TykeF0uC75$D<#})~+wi;0BKK5o46LH@QwPRKN82Qh+-~)JTVj_U?=E9b0+~_6>IRG$X|; z*aW3vWC1-dSwyf`PexPtDk(i(H$15UthDCb2gOjwwozj$>m^!`t;Tk=OYftV;bIDa z*~pzDWnS>jQHx#)6jbyxt@tx^e%tZQ2p*m*cdS++2nLTzoQgcwvhBV~!P%`!s48Ha zbnr3xF(Ue+bJvj8!YP%?&2q%mZflJcjU;W|+o21Ux#0a(hR`shfm6?d``G-(@Y(r7 z>jip05Awa^7sO3k+E%`ZL}B=KsyLD$4usZGjoTaO&sNE_&O%Q9J-(4v#E(`It0w*> zdpVwaci+_A7x0$SKEa{#-Qf0-Qlq8fM7VoyV>_RQ6mW=Vyx$D(1Ad8FQj?_}fvZC| z9_Ozlwjeugj(UZ!s%_5wZ8MOG%P%kC{dv|Fo!J8DHx`}qpEzM8LioNUa9&(us%zNAkYG>g zJ{ExYLseSL_v+ZK{LL1qsF)@MR}?ZXe0XeM8yP2y_qq}k^3_&VGIrM))#kcdweN@h zQ==76m~9MPa_Lb4Ry)y4^PY!G{8uLy&~>`33=BqfR$b8j?g;b1SoIB6(P&81F1hpU zp7UT_|IL?~)`va@df3xM))Vym!D`!Pi=Sz*Up_VPqT#wuunjJ`-j<$_RMwDVvvj+e z2Raq{tq5Ma1P)&xqmxaj`+?eMEQhW}{6eiJE$S!8ZiyTY`M)AfCJUdBoPT>2(PhMq zDDx+ikZCf;a65;)_q8h&QC0slot6P?x`vdM zD*$JR+9QNa3XDu0=d9r#lNizWAn-)T5?u@{{JRaT%F+n3g})OGaZn8G@W%O_#Q@b2 z@a`c0voWmq6bXfy-SZyTs17m!tUn3fz=)STfh+R{vvb#SN22CJoG>Hc7R^$#sOeBK zIH+6p#)ULfUshg^E)E^b5JmA3_Z^djB*uatvtJQfGMM1oL@?qSy!SHqNA%5g*c+iO zKe2It?sqlsVw%sg-|8=Mg{Zh1s>%-fMmG^=5lq z8OJ@)k#K$xQ4A};7P@6KM8OU{ETCWKD>RKPI2x2DVf^nTf!sa&(F|CRhC zYpoX++*ef7_daKdwj^-TgWslTdbPUJW>z?bxr*#kWy+7NSm(JdMvudcXPX3gv>sp_ zo0?obQ5fu2SnI{U)w{T{rei=oXgYh2j)kcK^)Z~BZ}O@|kY-b#K9MOSb#2YUS=J!X(2jMofAfnRxSm~f(ZIP!Nrz_G^{nFKybR~_vdcX$oBF{@7vQDa_b zye_PZ$ck!$>s}VQ#IV$^ESGFmDq5}M^(^P7>h$h@-qciR2LNQ2mWIhlq-Qg_Q$|J= zFub;>i$`iXbx=N{yfsA$WO{)gU5&y!bIt$ihtur(bqd-@G99$u9pl&O5y+<5FcnU_ ztNrYUdAGXMFy8jVTZ*acdn73qU@j#LHwLKVZW;af%3$cfn_^Y01jvU0}}kiOG1A$AXE_&8UnCPB+j;KI68j*6bnY+ z#~`*E&yScb7cGsN%>x8{@K|Xqs%V2&{*_iuQ4!ucf1Nyg?c-1kjYxH>ypoTBqAQRy9I{ z7t|LE-vBD0G0ej6(dg@d7v0HbsDcq_L-<`j_zp4TcQxyaF*(10dVWG!Ks{lq&c0Z9 zZx|BnRq!?j-6=d2Iq?EO>99=M^*z!5fO#*51z;mT?W7v79mdU8xxydWf1!CD9Oe$x z42EEur+vJ7ZxF_E=~{4;5pIyyr$5_+f%?<=S?A|rRhHG5V%$Avm*oB_cil4F#0A{y zJcLd`!pysHYh-#h#DGvL@2HA(Pt-MC)IMa%2SSB@I&}^j|8iEr46O8##g7XfRQhXy z4MtwU6XK7L7mxhyh_ljfzdesl(N$1!NQW1tLlZ(RB?Z|kPZ310i)C7$^H7aN;+pS0g9|& zb|i&Hyr}>pF~yR_t0@M3vD%38!a5xI+Qn?gbDjQ`pI2;3BHQRg{qMnkas1**{5t!q zUTxofYT}zAHS*7^fo;mf`#v;yw)=#-sqvjwME7;xLk@k%Ez@Z>zFJ^hH(Kni+AsJs(X`Zut@^1vB8$qESWJT>Pe}r@XI}-?W1(tBWvbB?8<&F&f0h^NIR5tQ?V(y> z+@-`vUd~T{e2qTq+_;;@$Ri|(itgXUhF1Kf{UT5}8t|DBbaB#=k0^ZG#dG~9UdnkM zr}^K~=%qSOwz$5x;wvIs5?BQXF0mnsPUeB|0=Z`_A2Osw;lvs>)^t&9Ye^lw9#@-v zejE)7+(B7-F$S-fi29FY#5p;Bh9b9eeD8?F-S?zXU~$)Cu`jshhZ50S09{W6=)tc0 zW3%Ipk6PUpRrO<{a+7bI*L$>>y)F;IzlM)A!!WbZdOW8l9o#vhLJXopzTt8HYIf<@ z=37}zs+cN3j7F{!#&&A3oM4=8e}pxGi(-5FOgFDne0M+%5+DH^T+wh1&yU6jefu#{ zw!2GNQBD$Q6i2FIKKo|+hHm6D$D=uM;5ne)!_y4M_c zTXEdYVpG)g#f)1`p)ZpG_pB*v< z9U8?vH1DCsNa_OC%^#ab%`GW{3%okvQjz=xsI5=4H)77(T_!%8k+wgi5ucM~%2u5(|}_X$K-Q4-bXRR;tOuK?+3p zmoDED%hLcF6pS*xvvjUz(J1ao6Iids^Qk)KvVf_hI8IjlYq7_?-C2h=-Jue7DVT|N zwMoNd`vVM=by0XcS$sC_4=?-O@UtzI+LF-uVR-BLXPeRDZSf>F$Y(+(M7)=QZ#tag zuBq_lRg2a`{Po?~->6(0epj^Cwkg3IQzq%;dG5Jcrucb>v<`hte-@FjazqDD-$-J zPT{s)QLt7%ATlHxMR@dUcBB_5F|RC7=_1Rlmt8~YfSyIURLNf0FN;n-Mby`jSwh)% zQCymt`Mz&JFi6d;HxXD$n*BKnnYb70h~Tp30VSmxkoM@Pvf#ck9&`M61`+Cs_+hnV z_XD0MBh?3BS5XtSxFMxR)WFxi&&k{TCvW(1{&%S;5=1!|PWA8ZyFcnY!zC#9`Jrgp z|H(c6!3h9LUgItHn*R9J->q3)l0Z_jGG`+6pL74Nzm<*vYD=Xf>N92kTU%=LyS9{6 z!1l}U)bIatmH+SLl>a{s%Nuc|nXIdOIgx{V#?|YkW5oS8%>S)(hDsw+Gc~#<&jhgP zw-I5z`(2icqSCb1@s#OSd5%&SwL;kIgys9S?bEufiOl7jOEQ?z0U_$qt1h8)$avQ8 zT3lO^R-rB-`m&Y@LWPMRes1Fh%t?2yKy>N7aryBZ1E8q(r!dju&|{4ofjw9a@vq~Aq-e(eNjxJMMP;{0Edz#L&`Vnw7N zbI5c2n+wg(;1Y9l8=z#oyGOoN)jv-D$!f!rP~XKSq3xI1LFz?qS|mrB%OiBc=gzWU zF%ib^*=@nvel#vDd-@4~-o=-&E^Q%(NxnpUgD4rJLxTTK#~*;nVvm(jt3!vcx$N*e1U&Yz?2@ zO{he9WZn1`$|5}|B$?AlrHrTw6tNBRdx47=Y8sY^Jzx90d-_@43&^i*UZ;7b)J{u= zM~xdx$5j*7z%cVx;gex6#bHIGREPW(jHdF<fPx5{x_`dU$fqAG5ax-D-wRxqO9c7kpDsQDtti0OO zb@G0`)^HD-oVoD9ylP{LD^0!u(=f+EUxJ(*iw5O4YvPM~PHZFAvjZ7v-lPNLlHNwNz4oLFSUX@-( zzJMzs_h74Ds=mZRPZ&I`smAC<+tt16fug*$a%XvJEvMAn8bU^KcubdjcJCkuDSwO< zhMrdGd4*NHC`=JFA3uzBRsDP|z5OFdP|efZvg`f@{8dWsPSp4c#a;PT&GQk-ev#ME z4cc}_OA_u#QwGU?;n$KA^@@4X^nAO>g7MmumWV_m_0Ds-M;S^4X@s^4Q%>L__8A}P zSTPFKzI>rGGee7h-6-L_mL=RYVgG#xxbhA`QS)+#WIczYKtd?8b}k<$+?V2G-^lNwLTx&*SJeR=0kcX`c&_Y+<6 zsD2!SYe>WDmDE9w6s<6Lp2vcw2fh9%vJrK4;exsLahTdGUH8X=d(Z6#xS(J)Vnovg>3CMeQ-uFBm_@)Vu+i}h&Ng*jVS)(%~L2P295 zOXah$R9BDL0Q4vGDb==-Zuaa05eUq zT`{D$OTK%*)L!`Z6YU0FWKZ%HY_FPn^**d0M8R@pco^_IWwej_J)Q5gXXRIlxzJIG zQaj{H-cRq~6iv|Dx2^ZZ$Wo}^eJi7~t}c63wI&`Yfz=uLL2FhF5%U98X_y82yY+{N z;^XbENr$&msV(MEtwG2ZL-l@HrWEr%59SYfL`;*$*bbR^?>CMmLIu3{UI!3C%X8#* zAzfyzP}7{)B)|Q0-uD_EhRBJ6cjWf0>&>Bo{td3WZuJjG;Q7w?&o}M$L~pLyjs?S} z->oQ>`f8{{8aCe3y zN;PKyOBUHLX2Pt$HO-5TA!wYFxvY8{T`#{2q8%Z!J)MSVT(rn^qciUI^91BrX;5+X z|J052KQnU6dCPA%|&X(7+;@dj2|fl-97)7!871!YKNGk zyYb?!rG!5YPj(-im1bp58qSXaKeAidGl-{sG1$|A?RaZXsU^Xj;gD00z-5faywbur z*<%u>9K3?>+Yf%S_{;ul2laDVZoEVx!mC;Qu#oD3VLF&w)a~$)7X(%W$z70#A(l8)R<*eS0+y+qYJF zt#x|M2Qdu~qJ|f|pKTE9tw1Z!@s<;hu=bF=&`kduj&q#dLkPR`v zqN8M}yM;`S4^8EIDc$>wGWS`TtE;4p_U$(B%YO6F@P5`uO6S{@Ff*`CG{>zY>vgK$ zYv1gWU?1F)seUkX{j1XVygqr?o#um!UhayU#3@o$M^ysk&bk3SBD3nv?BgkS@`jk7 zpi#|CZHA2CV6_Mb+ViGre2O-+MkBu$3onZr@rjE>*>F7B_sVB!-&QH6AkdRj&&*7G zY!6Kyf8dvTFfhLWY422c*t1dVDA+1brm1~DvStF;Pj&ZWUetXweD~C4?}1e>5MLIM zr)bmvMBpxdzJxdNFZ(F?F)$*9;kY z*|nO7tFnzFA-Yqan5Bk88?u(5v(<&O^zudbPKOk%xLXtag>p*vAKUtE_^UeQx{p3n z1X~>*HkqY1gg3P5e)Up6>3)Y#tS1h+o%P!&;=^laNLB~@A;x{`K&SeLm5WFUD9jBF zJD+vAU2{ds=7@b6X00Q1hz-iONHgi{#Jm~+*Jv~PGIC5rzcYy)_|iXH%_%l@-4h#ya2ru4>%iaKra|qH>6JLU~ps#9$aC zo=B!!c$5&{ezqqVnII*Ok2%3~`F-Z3u79TF@g61uFpAl4kN4|aN_yE@oyq*NZgjGk zTjbCM`|jbdxItI;Y{y|NBARnyaYR5Pcv2bRc+KZ9iq%p zX4f0SovJtXF+$8~nN`eQANPE*R zZz7Dlj>xv2wfm79PI~$)m(5h`M?!Y-p=7!vjgS7%+vAC-h2M8p?*1%M*tDl`yYS*c zEI*(5*gH)o2r&{ti8u2yu4N6UV2Za|Af-Lcc~*Tf2v)0lEaJjb zYReDWD!KZQ=GM=l%JBK+?pWFst^FFIfekln~qG;d)9b1JwWWIBVK!>Cb=l&t^ zN`8~jCt%&5w88dz?TA8cQE_9Qu9%awkAeJ@XCk|-(es1{X;B<>fg~~Om~R7lapLc} zx79E_Uv@devPu`26uW|XZ}&^IDYe$+bJKhA){B{sXZejJT<&Xb7nJ8SoWuujJi0tw z@w4&P$OpmhJ}dk6`DtJr-GSy75ix>6iFOfKO7HPPP*sn5rMj-|SveE5kz(ztH;)t+ zk~i-*g{R-;{oJN*Ql`GaF*DPLVegPQGU@dq--(JfB18Z6!A9>ltBxw#TTseF28krq zqL;xJP6Rv+K$^MR>ug08{fhNfoMX(l;skT<0Gcp1igB5$PoXQEhurn>p%f6nf504r zOxlvV$4{E~;B=0vHTQ0a%9ot&pF;_QOPyRl=!&}2{m z?{(oDJ~2C$$Y4g4INl$NppB}h%v|Kmt8%`L$`6w_jIz}%uDPo=h<+I~e%0KtUsik8 zetJ^@udd;Y9K&q=y)exzX|l<#C8L-5=fUEbB3bP|nd7vmPPdgq=amyRj*3DbHW`cx z$c7dAT@d{`aEN8LJ+b&g^_1y#f-~-R*PMDIll9_`5`1E>3uF{a0{p1yZqCc6XB7G- zViMZ2L3HeM57Qj!-}ww{tV-{B&>JJXHP^&oW@mh`hNpy#*I%P;Ii}<5=OHz3UQ#~@ z(B+}$FvYL;S5aKPI(5wdmU9oP!n}gUpbO&Y3|UwliGgAKPS7R@h-Iim<1;t@l`#vnh{X7`sN_d|ErgrsJNKA1B1km)y=@hIl zpIjZQ5X+|Af~jAt{>+Cg+?D7NyCce1!`T>`0T>1Yb42FrZP$Ok{@;xIIW z#`c(jFCspl&tZ)pe2k-#KKV}F?%X1dbEK50&MBB&^OHC(*MrW>ASG89C;R=|QL5$Pe2F$RX1x z^XAF)YjaHHeLo>$eKV}jUbypiZ%uI(ziQWUsMGi~kQ8SA8-?wFznm{PQ&_+SZs5m+ z$GL_dCpKyv>j(b93GY4YO9{Lh?s<;OnS7pfI;7&b#XJ5lAWEsgB=E*i+=oK}GwW_!y; zf3W}prtsT!qZTiULF>PL0o2$n>qV&Pl zrF1kp^?p%~RNo%bagPHQg&?$)&9ZtShCHV!j-mOuyxa2=)LK^A{)M2I;8wG#6GaK- zN_W++A{)K?Rd%>JtG8MguRW3RBnduAMg4vNN zT|dIj?0y64swuc7^MOv<+MJ1}s+M}UNAoBsjy*EpPmkuDN57em;b_apPY$gWm#k?%XB`>H$&3ZBv) zB>%KmX2nPCtq}J6fQy0S(AwH=6``Jhxr%m*2fd^!AL|~h`^iGp@6DB(PB*BVjE0NB z=Q4L1=QdJhy#in7oatqwwZ72>5?}3O8ef^Ap-+n}J#ZEr|B9RoY1B0A3!~=9{efbo zk(-g&NlHF#)cxcs0moe9a)L&SCFoS!-dV?UH`?9Nn>qH9WYz zvf`D2>&TH>^VbfB7KlE5n1qCQw~1qOI_4K4A^1oig&)43{NiG^7}B%gX-TNR%YOFo z0dCsmY^uOgzS}I{D2pD3b<4dMhBP1Ic&e9oG(6V@Hu(Tsvh5_it9ti(`O6ykGoQLX zEPt0?jZz+7Z}<+cT4p#7)q2Kf z)2h3g0~bYa!T}N~UVAAR1>iBM5H@k3Plw_ygx7?;JOXl6*kZ$ zWG{1P3`pywR~H^j^qS;xzEDbS_9+prFqq7@$F+?PCuAnSzd6iuoqk@%(Ge%S-BU!5 zGRM~(hg-+Xzbr0Dnn!lbSLf>VzCU&4|WPpS!~QQ z&VL3^v8d@`QV_Esd|R?rqOijEpkJip5!&^!BhKwYU`y%M-d070#t^*uf>$tf^t+!s z#?jYWjYs{H+y3`BBP8~nU*Tj36g6oUw>X=h5IoU%{*om!)*Dnh#)kKcEvjW3AEC00 zMswr!{XyEw?pDbdXffj0yO#l7zuIt2(0&K5{IF4*yP@l;PrzpkX8va=js2}UFukt` z==$P`wGg9MTaHoqft7_`GpBkNH+?8ry6zoVcDQ{iTJGd)SBMSqk#kJD#y#AGcCzl+ zbvTu$Si90V?A|xLi^kdQntJ73R(_mD#{;K|&J8vdrOFqQDKucPi4wdoM4}IGOg3E7 zEFXSG*tZ57tZP`(_x-)=(43uz&hOYZ)syLXpo`j)LTG_BAt-rMkHP)gVfFi*>vpFj zl0G6lAw4N3(X(in@d1~c{CZAI7(QFLoHX~1iF|cAuJD&*ny0va9QEZ3yfKD=^33rQ zx0x7x;spV{UI!3JD>O2nef>0gC2Q*&#eQpsl-~s*0VT^70`2mY3+mAAT4@*$cPoo< zd0k|A?UP>OnQ(_QH~)eJ zkcLs#?0yqjg7wLq;RBAQZ?PHM7A>6+8M5z!67R`=5IlV@JbQU^16%`(8%>LQVz+4r znO~{x#wHw1Vr9pz6&3G12Nd}Qw=SD5D?O4i{^DGv+RL&rW#Y2)8H)RE^yj}?i0p!~ z%eluRnU@ug-#8VyXB&e}s6F9S6i`s$saB)m^q+E+JrmirTG7Z8`-(Al^WB4){bnQ+ zPBOQWfUm3>Tb(k~rvmbU;KVB+5WN@I+U`s>B=XWkpCQ@8060YRSYVbWc>34fBtjT4Pm5U zorH+!9Z=mO49I>mtGu~WSIieUVL?BecMKjejA6&E-z+eF`Ol?AS3RhXb(x?*k6bR$ z2`~n*sHuBjb6tEO6HN4d6xt6${S!(wa8TWBF!#_3}4Q_|!MO?Q`s##-U`$?rBk`6E~8fUOMn!Y1n5djYE($t zBroU)EyIG?xt)!azq8t=Sz*>?}52zGdg*ZLj-QeNji37axY$~zP(cct)B}a5ThU~CQ zfP$6FnxS5zHWrpn`4#~X9Ak~95Xr=$SC9jMbcKgYEfWCwR{o_DZ-d>E%-&4Jj443H zlwsAW&j;icQ@Fs?;N6pHiZYsd_Y)(M*N!hYzkLc?cBk1FJgPG8F9eh@QzIC|$2H@8 zdiB>!3rrkH6#-cDfNnc!0N*y%y?K1~3Xh*52PG#b=M_MlEgvpa%+fFFWOq5=9{a5p z%Y`?C$EafAH?`34-kO$Auh|(WJC$dBe;3B3q{~?wtJLo6Q=(m0^2yf2x`3Sd{;f!M zvJv`IXkdb;ZbFTG8g~)Cjw|VS9Q`1#R=;s?r1Ze&o8#ve^>}d`e0jzvJAN@&@Li3b1oa8fd%h-*8z%vd&}y;RK9Z9&eLNf^vXcEwHRG=8f71?$jHt3-`aRT#KM zpc)6Y+3kp&RxWu|4rrKZA#T8_(#B-z%Y+#huztJF%Zws?55NofQ2JE*vAE5iiMDNi z1d)yb`R(;0UR-=TqpUtJwF&52(`+92C@lfOw_j}u z;R&8)=lvGwk;ge=mvMQQM=KRIKE?fCMy6c1N9O^Qr@8ZC`q@efx6j;2J+#yaI#I2G zkrwXaYp(gQQ!b9Fc~X~Ac>x(Hki|T0N|Pt$6VSBKn_(&b_l(jZQrkEj%me-@B%~VL z>^z%Kp{eiF{J~Ri=uh1OoP`vEM6v>aoXWpGc01%k6 znmYIw09iWb*Y`B36fV^5@;MXuis%b z`r{QP1xf{H+L|iw=%haf1AN5-Ju=_B6RB!X-Avf-RjVe*p$wJzaYA!WJ|S&;5IfgQ z1iLq8YZ3tH-!j_H_aOFa1p+(3Uk{Xv9zfLE8b`u!Pl<$#Jn=<2FH@$S;{*+jna;8^ zq=VDohJ~R+GYwxRyTzZj(!jPC4M|CphNN}MxK7045ty4)GMHUU3EZi7G4koiOVBeq zG$V@j_*M)yVaZi2a*(@RvC8xHq=3HGNiF3t-8uZfuN>S_Ll5^AQ}(kkZtuk$MP@CL zGD2r3Ch+*>lcj_$1n>U2$>2AyC=D2(*ryK9fAPqPjiir-ofr(@G=m$l45KJ-3Ec6% zDPGxnyYe(zoI>8b(N)&Y8)*7y_s^z-fw;=VVbB2wux;7JSwmXHLcdtk%6>sE1s4yY zu9tqiIm>evtkr$(!sg0yA(6tx*6=MB+9La3tL_q5%cVsbXn(xpxnMYtDP`J73OL*|lQzxB%x#f%a*pwhkJu1UScN1q0K z#aseIeiP$Oez05~C>1@v|5N1eFY@;meTDd}SKV8ejAgW%8S!5m7S>l3@xgu`PqN5! z$$u^QqN}EqSUCe);Ev<|%I$-O?gw(AQ~g}OM-HtA;Q-auO}%2(qGOorzCBKaQrBD! zU$Jr?aVy?T0ZPJ^TTVyE8_&o4D@&m4_*2O|UxN04EyQx}UdM&2&3$ z*(rC2PV96947u(cx&l>X3ir1aKQFvST5vx(|9$fvBZ!%)>yfd>C)#(gqZ8w!ulkJ~ za+g2I%;&z}nW}gjcYKL$hucdUEuNt6GP=DkvvNkcz7_?vAJ5GlX(qD1O>zUG^)R3* zgl59~oCQeJRk~Q~oXWnZWiS2F`xd*!tfB)6=>%yHfi@=}&oFBswW5>Mb6`SArKtn~Vz?5+s zob24`W*7scZSowp=V4ou%R-KYl`YbRHHRTynECM|R*T}fWIg*>?wthh+(yNNMK0d! zaI5F*=#i|Ygsy2z%-XMn{IvOz4`v-=z-6lyksc_1_bwj*@jR*S(60(UFtrOxMy7FbX+QIacYo~IX)kxCmDFK)8 z>!C04uNeJYYEj2DAlSVTxQSuxJs9L4D&#^A&Ty*KzBZpx<+4uiEr#kego`|pwc(+8 zXeLm8xDzke#`ZaeS1!~1(c2fjkdJ$NUfh>u5p9SDbYlAdrc4ihrbYJw=6|vRA_6u6 zZ!3-(iRibwp9AeJ0HpZ^`=m&O-EwQOh^$~TW-fqTHWO;C4q$7sUEmGm(rk5i_zK9( z-Oqdh3?wmtYK_kP%dHp?**I^1ydNp+P6}`EY`qBEI#Djyt~)3EvECnBL7aWh@0|;9 z!cBeghjoO&uvVj9L+$!~EGIREb^A7`Dm{Bv4UxX-?$pqh+Olca<`Z!(=3w*Q)vG$) zx~}-S^R=x!Nc(QB>p?r9b}zXUc8qYzsi})yZ7OS)MdkJPD0isRuGXv%3XrZXJP~xm z@#{+1Ch`-)^DDa=J=qXXn$^QQ867?LtKf4yTc!+ zq<+X{UJCE{A{4LB(DHaE7SBeeJn*@vElhl=1vdjEU1kz`)fxf0-7yqe1|~?nDuEPH!Fdj9Ap~@t*JPK<}3M%n7{f&Ugs^9vpz+ zkA%{{4Mdy-FaRVXz93qK^km+eb}_fZQNZf@ewl3pjC{g$jR(nJR+mZpf@I-`-+Nu_ z1v1)((KJlzG))Y^t8Pd0Esx3rh3X4x+Mia-0fQz(_?{wF&@EPHOg8DzKCP;CP!p_s zbS#n5RO{na*}B|fmjuj)!o#91Tvpt~ydIpKE)v7dKZbIExnhTTZ^HAK`P9MY=U*>L zst}~k4;p&nBEy%x<19{1anYoM*qa-b>e62-`IE#HQu zZG?p9PUtxjh75x!gY{3`Miqvdot!2of5`6kSe_+NpH;Y?N-cqw;hTm@B4Z~o{lze& z?m5GgUVIs5QNVQYXlyPi4=-r^Z4Myc`x`~F5;dZMcOBG!@Y?=J2fuPK5=$bO0c6Bg zs!dR9fz0uO=TcveMIUPEM0`Z=*8Pc?(@gl!?`f&Lb|7i&MIK^h-SoPY_Hu>B+< zdioRejPmW@%doo{;(i@()OWKHaxE=Gs_mT(9R-=?QBGR8L z*L)jsuelAT;DdvZBbdO?q81)T1PU|OJ#Sr6n6ni@OyntZE1gQ(wtE7853TjBz`{HM zn4Y+RsaW`zsaXF8VuWrleHqc(muSf=|40asaZ8u5xw`Ibet))dKoee-^vR1l?bwC~ zcRO0p48?_9a%Bia&9y~M*YKy!cs@r9L(eJG#MhRnII`ubV@;4S`^?d4>USIy^(>^8Zd)aws) zPK68JMSsHV#V-|d|6Db1F7xBp<=3-=UPW6vro|rN=&Kkq^z}Wyr<=nA?+w^QSK6kW zt=fH==LY>UA;O=VK7M}rudMOhQG}Z5E;V$bCN2!C}xCu zP4y0YWRB3?oLbR2aepIpYnBny@wj@ZE*0!-q>>iu{v%F-?^!em6>=m-V25(eZSpb9 z;2R~`kjY!EUPou~V`aw90rcPo#5`7FjR@H91m-8?UYW?JsN zGY+e8we_O424tf4rASq8%X!VE=AU{W1I9jI$*2c~?=@8mtJ)-PEyoyoT#Z37s|NH1 z^Qs}qH6Wgm+?^E+Td1?{#~m(lT0yQFZx0u6-M5(DVqGsMKcA8TI$2|o_Zqw8a{&;B zw&8tbQb0%WO9 z-KnPedw9e#5jZuel%LGukqncpGb9UUox$y~o{KV+Dp_na^QK$wX&1_M&&s?Q6dU)s zuyKT7lHpbW%XKdOA0{?vbrT~SZfh_h>T^fujC|wZZyO~NA8qlwiJR&q+Z?b@-Ui7i zW(X8ipq>jspNKl%dawqPb!H9n z&7^3?{X~@y8f6w8xEN19u+07A04fH+ozoDF8Ht26=hez=$Fe!UD-x!a#>vtnv{H#B zm-WaP&(lVAz&ZU4XF54e)}j2ux-F4Gxr=kolA^6T^UgE_tx+2A>!%*FVSu7Uvt`J+ zc0D*|$QDUC0Xqp_F3m;9wgsEWJrzQJc#4LHFT_;Npju_btIx(NF373{gLB%6!BU0RmO|06!1d14w81$DVcr@vyBCR@(joGUh29R2s#$S}E(%J|lKe#VhU*Xby5KH5AF}sR?Jc)`0wyxG`CWOCNEq@IJdwin5 zDy7(68U;tYbJc^KC33rOY#nk2H~=2y z)5NW6a|MJ5M3^1hBd8n9HY2jflUsn9e-b>f*kw?bcB$V{q`+&c%I0_o#whClv~3<1{lSkV4VRy>bY58*Jt-RhPtHXVfs=>RHU zpG@seBx-<29V@byw`MNA-}Ljnd6LewVYCO8r_+1#-7C_PWSZb-5T&T?sIi$l;wC_e z@oe|0&^346YnP0PBE^}dCcnoveG#IARm>~`-gV8vgSGB3?H>zOI>pG4XrOnL%n$Kp z)*(96!}Ufiqln*oVu-PhKYQdIA?Sjb`t94!JE~7lCfn|HznWz!E7bq>GMG3fBKfTW zyxbDNzn%%qH~$nq-3{4QIr9j4f;x@jzTWDz^;%+5XLE>8CL~nYp`=ndPZH4Hb0`5& z{|X-OR$aWlpO20zdAEuI!N6s?8tNKAP~kTgs^%Hp(uv0OB%owA{EGP#5VK%%sEiqexxJRH?4nkuenkpN+w1X5&>i-wYyrawq zBAI(5`6Sa&piOWT2u{U_}7$OCf^B>gVGXN~J-;!YSU%eVKp1CSv ziu_~*^a6o^C3hELF*Q!>)JD08cjy;DwB!|_z`i?C6l(^Dk#Hl}5?c4{{uCUW&{m=8 zklgI7B@Vm@&ak~j4{TN)*KaaB+H?Y0>>If4fDg2xINMh#SO|m@$0Km*w}UW+DZ$hi zb!POdl|hQDr;#rJwcN*D_Wai-dwR@Vm8Y(|lM%m$Q#MM_8Z3ce?06P>44L4*AT=O& zn+r6J?^(~-Qt=VDk+-B-wbJPvIJNsux#$`9*_>-{9j{pzJ8Td;KY;u+wEq|CXq`pO zZaeMf)2`S+8zKa?z%lf&sPlVgZ-kFJHZ`s%cr(06i`3 zs`cXO)A?LU6g0H)ox5Ui2A~H`Cm4l?1JK^e-5WKQzItg4-2qGwCW%NqVIAG_N#g>M z?S4R*92$%N?AZKV-tFUKjY=%lp4~#(+{k^jbpJcDZ%# zOV@ZJL|+Zq^j#LVMzY+r`lb=AtR3p)rQW{p2Uz7$a%KVzW*=URm+B<}Oq8qKz3c^& zpNDJ*sDAM?QeS9oco|ny;zKXhib2d zTj$u-zFy8-7p7x3x$GsbOM}qRp4>8$40xt-8cVtW8aXYm>W5)vjWCtiqK9l6upSZ+ zBXUz>bA{@mgEcCaU0^M~_nGLHN77>l-a_ujn!S-15rdzMgNJ_9R1_OUmHz0iQwF$Z z^shB!c7Qi`N(16!I_Mb}us)cFPy$HqM6y0I0nu{>v3)aBeQM1iHxxhqp!-Vv+p7|Q zowi5Fr_pPJuh8$!+;11}pb?ATi|?X&0F)Ww)`@z;&Y$O5Ch26F^k0SC?{i`AazSuH zekVdiS8wQn$daM)hmi>7*G|8N&-+N<^Is(5gEL35^TVddxPP18bX6e@R+aq!|Jx`<{kOd>JBS$!v*@hOQ%nW zK)K8L(uTXsOARAwk_~4rfXQD4VWtJX%^f0#AG0Oz06&@QtloA(^4&;0V_eEFCdb`L z#;z()v=}ac`7+AJ2;h~bZS|WRdsQ78Jd%H2%$aBHhyaz`-vbgXgg6Inslq;dd(k4M zp6FVB`C1~f^2`}9;eXvmE;OU#z3awZ9&h}f^z47jh64&nBeGO*{}@{?6V#M>Gm@y` zX7t+!O$DOACp~zoq2&Et}%bKNwU z-(h{bAfgiV5gWky$pON=+^(#)e|+MS#!A6CaI>L+&Aq1wm}9I*L=*zGygGy;8xi_H z{LFux7kr}G6Cj8<_EpQQ@V-&OWpSrh&~4Zg!Mw5_GGFhB7`P6)a9M8kaCNK~+-EMQ zM+29rMJzI=gH4XBLuhk>V7r~p*BO46uKg%$`mjB+A=?`qYR7%(ZiRX&K^26|!P%@EC=v({!T&=@~bU(`+;UD%-0O7|DryL-| zFt4s7ol};;rlBh?stMX6x8rNX}o(OWmW6R(HNGTTq;W|p~=?%as;9TpK{cH2MMl|ZsBLtIX7AWRPMtdH{ z5)nXYq++lX-N&K^1j(@yN4lPXG@!bk4zB&)#uTy!o%4}loT1Erg~=&=Kl3YY3x+E!8XYA3ep1Sl>~PIa(gzB)dbOC$xxoQ$BJ0J8sp=f~YAw9Y%65^5%H^6WT9! zT%^sRQqhOoYqw(`Pdac>ZdXK%f|d;4B7bu z-pT)%uRmb%({_AK26Dea>VFwV|F;7HqgPXMFWW(5n;+_hybHE~?($ z{`X8A0q+>0LC76?I8z+Q{Q;JW{gZ>RqGz`wFR{#fn~sN(bM&Bju*`DGPtB~n73YL3#o|6A3| zYk94~Jp~{Boy+{~A1NYI+dg<-bJ(bWfuQwbeU0r*5!336+F;ksb3Xz-DaFqZ4DKKgQUuY;JttYFJ692S^{^w|V zQvy{Wn3dvc{~iv?UBDM4aoI00{r4H*DSms1Pgt=3<%jxXg$p3$HcFCtS!(_J3?0b8 zUtw^v;{Ul=ZVYf^%KiG<|0zZJf4BR`v{Rs5t2-z{@w{XFKi+#kUB@1N zSipYvv-Wz{nsfeQx_*#S1FCC&fAvOVk=haouYW;|3#zc5N?H@CYI4E%D%}blRtUvi zbqqJyEXY|5f{#bAv&K4AdB#ejGpM$L0AHNqsV-0Q+J4f0#paJqWTn06dL+x#+J()! z8xQ)y3I?xp?X5qwqaTPDGIm7u))c2Dzh_>mK4=XN9E=M-Dk}peZd^6_tzM}w3g!^alk%kIddVQSqG7}(ba*f8v zv0SO)c4A?58px8+q*9n}H#0LnPB=L{irB36ZBg*E#4!c_{L!yv-ywToO1_+k$jYC| z8NSq9r;#h*9R*BNbFIAcsk!cLs{H$&yQHkH<-x&|3A7!uHPec^NAL z1($WEQF*N6CFkCJ+7kheGFCdUUS(QuxjVm<8_hVh+<`_?FXto()YeVn_ zM$-)5c2d9HfoHSZ7G}Vw6Q$R6bMp3xoX-TH8jSu;*-T>Lh^vzvbvV`xfwU( z_~(?xbq(@(wUgEhI9G!z^t02f7xfgdxUMg?sW;zRC6U9oxI~*)f8HRG(dF zK+nHxvpbz@#n_39R-Y^=R`xq^4$?p{#~$>HbnfQgn;#ckOfDJollwxRDHaLmqtr5* zCeV1vDKPVgr)vyaTTwZkt*OuEN(-@0WfSn^CAogtqtiSZ{fgqI+~Z9%)-rL&F07n1 zWu-i81|1u4;2B$_3xE<(v$|{>;@rHRj6TNI7tw~QkBS^WqPj`G@Y=k4s>7}} z(X@TT7z5KoA&`TOK+ zF+Nm}BFE&}^wM&H@EiRzb@G2U1Hn;rJ#BSPLbH(Vdd+o2tWU4l6IUSjXB1yZWnp`w z=tCH1ir;f?$yfM>pBIfP*)X?X@5b7g$({2}zYl5$8kB5?jIy1vURAkXBk@G2Mb8{}-*4Mf~KYnVR9B*g& zrJt*)t#s8h?B^&+=E#D{6=bm%5v!qsYEYJQe?IGt+uE;$W|Jz)7w-UX_iHSXM$Wmu zNDcllm4sY3)+?$y_~*%5F&*V?$YJtq9t!-r zuwpQ+{J3?i7BL-TDh8yoRLLkaA3X?ttqvTuK6_E#(M{X)uT+yYP!IIV^?PRY39(xI zETwSnV6sZLzc6Vt_W-UHk}1^Hj${cFuEeX-2_rQ#nE%a{9!}GY&w^Pvs?@$jy^a*r zX?s362_J$+5+n<)_;Rh2hl5ptwA|`Ty5n}b`%Ama^i?*!u}2N=>nt(dTB3AhaeG=U z71i%xAJf`weKW=?nfSDP_5r+U$~els#ZVTio?1^+8m`au?1; z>%S4fT;E44WaHrjd$ZB~Qpt>cTGl;l`6|el zYhIfe%EYQ@9&$L%Nl!(YIM5(JE}s4E$d-BewBSo^IL#L~Lu*!43re&U3%_wlw=YR{ zi%>ZBn~jB4-w!|xW92P!yHK_{`f3YkVOo-oHBxc>b?EeAsOJwFn~oPy0oQplpko+f z*3m2g3sL%rR*W$z<1@+UZJ&!QP| z>ndaw6Dm$%D>Dhzk5k9)d3jxJURas1LH6D+Jz&XEy+4E_Hynj~*tZky19#1&jY5?l zO#qq4*){w5#9d=-Gs?}tZ%%SZI0`c5HL1mU#GA%-`OFt#RemIN??^8S8Klt(s~K;m zKU#2h<$0gKf>x!`ca2eJ-_}n#O-9~X0t!X!mkAA zh7nm(dY~B!P_dy_6*#jK|Dgtf4c6(JAHTG(Yc_3>HY(~+ir+N*FU&LoOOV+(oB5mZ z0qv~*WR7;Mx+*Vbh}uWFZEqhZLo2v}?D9{br%u8&bJ27bIp#R~+o1M~2jrxc720rGz$eqK#jwR9xw48Sur0j?); z#fh`_H8WrT!>$*30_f$>xFrt){Mh@LKk=fb1Frq1z9GHBDr2h`hLkamwB|2a7<;Py z(i2Ffrowg9ZoY9zB1Qowt|4{!^H;S*vFF(=HcyMkp}TYJO9>aQM^QW>JXaz5H-y~| z84%@V2e*wCi=|IHo!unmiz1a&0$jK$mp)pDVbgZy?bBlStiWjaXH^^^KnDzGy~jS z`GJu?K|o`-i(DgYx)uvqbm`C5qtN4jw+Di zy#;B*l~1zxo4+cO|C#-78}Pz*9z%m=gsK0$H7UFfI_#jZ-R@8(!UL!Upn^pbc;~kl z>inUAz}Bw^ND|h)$$V~K@YxgJUY`ee6^s{`8S>V`52Q4TJR^|Jg+pKzsO=;r`g?On z$ASOau;jYZg@F&as#YLRt}t@A?H zv4}vcl=IZ6>$5kYGs%Gt-)zUB;-E4}LrN;x`xam?8KadZa;^uYJKK=Y$FC~icOZk# zGLNz)zKBjJWe6hyvN5fTVpZ6gRV}cn&v8CnQkbeR+5{D~A$1<7z0qs&hx*=|8bAJJ zv{2B_kx%=l!cT24aEAuOwG6&3cUQeT4{brH)hi5@i`1BvaCaZb*3Fk|1V5xi01=A@ zaGa|wR44IzfJJ5cd1NynYgIDJVHA3xA|vN?grLb^pE)pi-S#OpM*UrZSjZ;($Yk>D z`D;PY)Ez~kB>=FtmoiVyL9=zw(rcH(&p2GCdyV@IJ7)ta>+wo9F--H+IQUoX5;^}1 zh*7H)L?;mA;|G_f=b!D)B$+`BYi#CAxSjSaV*zD8xj?NvW4hKRHNlt?&~7B4pr-}T zP@!sR0YLnc%)+h641gKn7HDE(M+YFMnx}n|cOl;{*OInwE{`3%fkl;a9X|%}T+2en zV=UU6Zz(+&diU<+4uFBD&13h35xv@}g^-k@!E-?9D}pfC|GnCDG+=*GVgS;vc9|Yb z43q9xjVjZ(PJ6%80kKK}(Ejta8yy{E`PC2s0{1WlA-{Sb(dh%SuzIZ*$l7bPN@Mte z5I|Tn(2gFkDPO5w#WLwyPduS}X~|$A2MAudJ5!ZPUtYpV z_q)Z*D{i$sXCm#bZyq3RFdh?O6`#C729}Z!0t4m`;pw-)mTx2d0yMYTpv9sP7qptG z$p#pn5~zZk0TbxaCO0RIM#thQiieVX?J&4AsCo?-mZsEHKy@UI0Rhre-b|eF92u0E zSKD0i?}C0Dz7J`BYj=j5MsEPZfbTxmB7EI~!1i{#^whRt23gBc&qOUPnGMr%JrwUjrqGhF2P7<9x&nIwMmr_n zvi^>=oD~p-)$IH_7y+5NU8u0Vt@r^}GJPe#VF`y;UGWZAzm4(mfa&(IlcYvPlxwee zuxw8@{`Ff;IRJN;&UpVraxKy{IlmV0yg6oet{paH`))Zke}?oSy%XLJ03y3B;m8 zl}TiipsOO_uuKD@jt0!*MC0l~m*bqAFao)w!}*N91YJAL{RZg%@hL_-6WrzR9&!J_ zwsG$`?~j$SE6WPN;C4z`LmMY7bU66xwcQh;m7VbgWj^}PJLmb@xXCPh6m{FI*Mb1Y z1bU(rNh-9cBk0^n=@vII9Mw zqtK(h8u=oxt4*p~MOKfi4_4`p(S9uVyS4M?+iQT-4|TM)Ez93|`Ca$+t+q2vD+rA>30xL@J0_gc84Ukdh5yF>c#DH`@**!4|8Hjo6 z{7xdkhOw^YYO8R1kQ2}XYebrUZja^*0T<*k$P8dHBs6E1AiwiwhlNm1)-nIyAJGNh zcqi@+?_(|1NF>O$`;OXY$NR1XR==6Gy*UqYsaV1^&@QMVL!J(~y~=3H&kP@zvEW6ui$A}C_B0lWt22-0Qp_%K2PYLduO z>Ms^SD-<@XX*Poivm{VW_F3I+588HgIk^9{iq%~8ljLl@Kgop3q!AA9iF9nCaz19g z5?67Tpi4jm-HnsSvj>gQgpQlcIUF*7ygE{il=GV&u^fSLC|{w6lZSr{Q81krJS^<* zMhbdu*UzQhQ`6ZC>Zj_{7_k36AkeLl^vt{i=>t0WgMy3gf<2y`DoU#b2jbu;<5~H} z@K?qtA5xHY+%*PM9a7gkq1DkBlu2Oe;AK4X9qsJ9%P9by=inIa4xyvq;r63*GH-&(ZMypdeTGSipetYWL#5){7!pIzjEoZA(1W)(XJ;zx_IVGGj zvuseZ@qOHrl%Iw8@#`>B3gx=_{JBMjNl=$Bmw?mdLQ~=%jKkoe1J;;+tPx$_kJM6_ zUeiOr(n)&@)zKOTEzTOR9i}29D&2rZt1M~ z+LWj*apa(6&0O>8xMt0i&NAdWG*~oLt#Huke6Pi&2pH)m&{|D@-&*T0Giu;Pmdg42 zA;yb-bb|Eh`9;LU4aEg+I6gb=H0|VIgF%`2(lXLP5F13iY!{B+SIwLjz+ur85|}ge zja;#rRvsR7V`Su$A-8MfXgCe%x5H#Tp91XV%6^X3y3%s6`XX-6acO5lH!0#YCNjN6qWMB)ht^&-t$WY;t2 zj^L@Uy?0W%#TbBlM&Q+OPE?@vrm++Dz+-o;utMxbH}+qP>wpCVy@MS;#mb$z5K{bm zC{Jur`+H)En#}i+xSrQ!D1{7aDSwuR1-FMJ0z!SNl$mpz{#zGQt0n!9vdB83OP-nROY?MbR7G)zRtUf zuMTgl|64E(^n^FGhn( z5$hgc0Tk*eihqar=(i8B!0kZLiTMB2`X7V0&=!pRL$rN>8#crQu_rd1WV6Knj?Vsb zUB(9t0XCT8f37Ah@PHw-IFR#U{xzGV57)JQ3pj3g@)Q#Pz8dM(!#rQ5U~NYJy-@yh zmnh&Z8a|Rs{Lj^fA^_kpw4W><@jo}M1?F$;F}B42Tn$W{uw9tvy0Gj2-1LGEcniif z@u+`aP4MIaO!)AR3UZJ8hD>XO6bZv?0#c zEIr9_Q9wr{;RbTCs|8?Pe(LvD%X-t5)aI!_<} z;uoTzvgb4tN|mq>@cqNdmV)ceO1aV{aI_qDySZ+g-cMO^v-j-51r}6O=^H+XT`iix4vE@@fl~>{D<*dr!~UZ-zICd-#OU4_HN#-gs7jSh zMOFRqe@XE^6f}VI7(85QtE2!dRM-OaZ9rgZjXwRq=XW4(=>_tat~g!Ke4KmG$wmou zHroV!K{G&qr!88LxX4LFQ7~U8z1Dxn8h!#uJy9`tS}mR_v>^R(Ia*0S*&NyC9xv71 zm*RX$L4bJ_FBx;?@BdU?#_Pszv^hM)tt~oAi#>2IS@6R$+feOl5OKg{Z}Cm?@c?=g zb2zn~Mn-B9reA|RvQOPzix=qAmj$K9EvV%Ce|>!`u?bKw|)SFWdU8K=4!#T(07yL)f+*Jqg#deqBf zg|BhB*SPlQo1f=Y#om!9EO+Z0)p%MknTYsHg%Z>TU7e`EwQ1>RQe=eHS@66vL-4pg zeS%jn$7#ma((chpzuX?YTtlYH@=y|P7}-)RFYm~^ELw}M@=`SKV#3nKb7J4_PU2>& zrX3FkWl}MVdu@vxfqjhse9;5}6qPPrO2mYdN5pA@qt6E=a;*c=q-3P1>DoQRXKJ5yUYkiwH> z1pdpVg%st1yw@a0JxCe^%0SsB$p@r?A(a{F4{ECp$?`c9l%fa0kGe{C6lFgbT}CjD zb(2lCKv7>IpsXZ9d3U>j+~TwzpTjcDLCM0OrutUw`lP%ghTHn(^{2u8153%-CVWQD zQeVYJfKR(H4vIJj`DU|ag>tncE=y?0( z$`e>kj!u*0R7!QU?}2Jw76`s2ChlfzTJn7!qZ9zjUDD;}Hg>zkACFh#BPu`!1p&;h zitSmzc~l0iGE|Z{tgu_u@{zD!#b1KsT>;$R@aZwi=P6XWdW}~U6vElk3B%H2*GQNY z@^MVMkQ>ab-d9qweT*&g>F~b;vvHx%2EV@{iDY#rVWwlD$0fXx$6?}!P)ZEXH{W=!M8`poCkfVjXADcOz;}{9; z+A=5{YdoH3chrq9j#&ovZzOTm<|kePCygoMplmpraU1d>F~&h(4T7JSfu+4RjyI5GxgxBG#yW_;mDU_RgbYx z$=ZXk0)Qw>#BTZL*mc>H@(hWh_8}np1=K5PHs=Gq0+8DdINhM(KKsP)QJ~Nl&%9Hi za0mXXJ(vXqrhcHJu{?xN$Z9P8el=CiOt04WwjJ45+hekv9FEQ=S2hJ`#@|?K6D}zS zG=3uSx`||n^a7%6{amc^ZJ_nXqC?yZR+Ee{^`ckV^F^mGL7b|fbYN5&^E;(?)UxE{~yu4+8B6GZ%pB8l)Tl!Rn!_ZcU&;uIKI5bksmk zc5_bEqx%U!NxV7IUbC60k(mgX=lG%Pbt_UtI0D)$pCH0{W`dpjA#dNDH!M)j@52bf zXE!%>(LQLo|ApGJknoc7c~d->9eK8FKg1Mu(uuzI!=~cx%ynsSm(84}m9Cg{4dzb? zy;R3_%v2IboV@Rl%a6)uE;VjclMR<*x*1aLvlRW}$`+&w>8}Q1rSLE< z&CA*2^WQ)@7008fEE5E+f@{+$4!_hfEJzvyCt_>>T3qEVi5b@ybyp0x`(v4nbeFl6 zCoEFhM;4FIPVJhU9*#|upP7%VnpygmN3)8^R;~>DN^K_f&;N=n6gxScBlUvAS1^I6 z49DXyn9$cj;Qp|RUd=EROKVgp%s1tSc%4LQ8Vk+B$A`Me>5MV*EEpA z!kI0VEX!%Is-+eQ^i*`o#mP#^g^VE$@(T%qJp(B-w`y@{*tTp1AwF~^M z+6t1CI!7N`*#hx$1AjhMDI;2uW&DG{S+DPssl|kiwRg{VyE&2{38;9WS*DEj{Qlvm z-)7>>^%G#_0ke2RV9Px%dt(mB@#zp{I5@a`;H44GVWk21>zw7Qem*`vAKIRWKlGD} zZTt{KLW&A=%Bb@#{E+J+16H8 z4Si5HDO2Ld9tS)Bw!sfvs0I=^&|mW2@I97ek&jJ}pU7A0RKjs|;Ti|l66l&GJHy|f z?ZF}1KKqEdPy3XBqc1$OSX%hXKyyylj#@Al?wZ3an_a5@fE+3Q8L=AGorA^4qk2d9 zA-~y07EyYYudgZDRIFcic=GJ;zJ7dC$tp}@cbR6eb3aL=^+ZyBZFuoIFuMz5%~&rL zf0HlSVfou&5@*B$CTz#3N~;Yz;bBxfo0+02`Sciki&WdH5s*e+bv(0XMs!yHv~)S9 z%KO`zY(WH>sTk2Y2ypk&WKy`tjJl842S>npP^G8^ipX&+k+O#Kl0F1tV{$u%KJ)fb zPlaP#r*mia_`daej`ra)(fCeQ=WSwV%r{^W>0mHI&skF45FWFLIFfq;>-peNnw>Yp z-vI5u%&3h-E-!tSW8=f!I z6B4tdO{y=FlU(xfM9RC;R*_7l;Ct-&EbErw{c(%eSV;qoc{qW}}(hA-b41gnQrtrN!rM~oRsOQem>>Py9?EVSetY;$~U8yb>y zG(*fy$t(%BoZ2pUr^Tmj@+gML+oA8?dl*`fdZ5_8ByfLLXd{ zXkB1eq*WQQ!ECZ~VW^qou2xYuO)0%lhU}R$N10Rzb8iU27D44Jw6_!82iLjQirrC3?C}`-6ABzJ96H+0#45PWn z{0}{~)p$CNoRqNhK_qm!$?J4kHQUO!M${}+g$Y7L9a9#Cqw=9%bD+RB_9lB-H&h>H_gTGN zV9{(fB*&9Q%}mb@ zeia<8*La~8#%+Y9He*&R{Jz$QCLeWUUm~N8V=S34-i!vBa;(h=C*SsM(m#vsxOr;D zI(jmau8&|~wSU#3oxL{&74mqgSEe)OTCv+&Bd@+9Dig+YVYp5uTHe$!Fg7gaF>JBW z$gA74X+mVBOsm>W-M%_I##ZaoeD#90fQs8`RDz9oH2o76by2n7e#9l}TZy`<)};W; zM*tCH={+7|fg3H>E$P>VwFrkWsz@jRsfvQ9xTdPizHDM4TeA3kt7DvD|98ofOaDVk2@}2Usc>i!VzdXTJf9r9YeO}4vV!R-?xbDs`t9f zJcliUWrkY02~Qk6%y1@-uF!E`wEC?sa?7BE)BI%Mr3YdKO<*8}J(jY%Q#pwG82<+{ z35{BID|>({{PA1h!E*X)+?S#!ee{*0)@*axRl4WctBYR@4!Vbe$Z^=A$I(bd*?}hk z?}yE_POQmEILIyD2x!)#+q@z6)LV3V?BHda`>e~M_x1Ul5frSdh^7@xH`bKb`t`g= z;!@Q*0lE{QSt45xffmdXl2$tR2kPmzR=LC={bXYa=WCs9QOx2NXJ|omA^A+p4DoJR zR!>@>{)_9INOQ9Dt|2V3Rn_%7T4h+e@s)^I1RaY@_;|hC{k-<-#l7#Yn+UWS_c0YU znpQn)zijr}B#9Jr%9b9VT?vkDlQd;z=*+|us6`bPHb$q`A1F~xG5=iJF_Cp>>5U;^ zY$hv``qt?}Nf)7WpQnCD(&GM2K`%Wo{D-6@=8{R@+}isTo-OQ7=WiZHKO7^mCqVZ{ z0=jij;Sa;X;p7*t%+;`6_VuFw4XZaKO~G!i43V;ZT-~rorwj$UvY(156Y#3Go?NQ* z(4UxU9*e{1Omu=(+_p|1?ZXIo=--!dleGy#AdsSxA3gf|AcwELEClt*N&btqj9pV? zDK(M7q_pL!I=f6m6B9f|t#WB3$~^tHM00l4ZKbHAcC52r^RCZ+6~_G~?>KEn2%`h! zOoC5TLkw^%{DP-t#^^C_-hN)nE2kyy4!%2DoG`Rh}0CL*JoHb ztKT(d9KGPMj9<`)n?Qpvd?C+2`;&7X-VAU``{5`ONQrpDEzV{UXtFOM5PK@Zviy41F1TUA>kAUTaCtw`YCSp)!||abGK{;?lBGmRn{bXF!8DrRQN`dbM>Mt zH?L}*5F4i*6!^WS@Z4Ha)v4XHsE&;r5176WcAZ^cS$SbmQ@G%N6*xIrcc^*$^-iOB zeJt9cDX{5oZs5+n<}jwOB!=ktqcgNjn(xD+{0sM6J(_1NHW53&MnB*s6U|dEKmstY z2%vCR2V^NB&nw=o8%-yg9SyaSAjP_or50$SWA`n^DxcvP?x=0~w=#Vg?vY^0zQ$f= z`E1GI(r|Cj8b#Jlfn0?%R_=HFtN?xKkAT;) zt)))C(%!z}qKo~pnTr~2O`l6%)>A4-L&W_4Q)@Piq(7bf^UpaboVRJ1hP-plt!F)S zo%y<}hSjz_bK1?dcAn4hN7+unVWoaicm5Ug@_h*u%{B&d`#$Ph@(-wj59M;LXRc>J z!7sLLq9)mIiqEdlwT+RHeq#-&2$-pomCQ>@lg2V?<9BQ4$7=8P5|qVw*(zKLzPJIK zkKw_>_w>bus{kAh#f1U5?*4xEde4{VZAU?*0%`*a2uEEnBc5mDzEwx3zkK<8r?%xZ z_h30OKrs|Sz+IFK5V@wszx(EHiN-@|;pxh9Ty)!5wAbjejzH(+YT$z?n*I-7xi1avr@-w8LpvW%~tgKqZxL0)u zTJCnIKK#6z7UOwPRZ5=5*xagvwa;WIC)aj44Nln2jK~w=IxNQB@p;~ibJK@gRYM=3 zV|1#VZ&j=lGg4LZNw}QSOwS(Ku-et~ux}Zxi9-2spr}cxs;61PPd}eH@i8hNkUhA(+W?p(BbUp=oi$VvO zS-|Mv)gw4>!IG!XU$?3mrt38@!q>eegPn`XP4(13mw0+OE>y(~8=&>QAJeliv7&p0 zLc7*FrJQBa7-jVd1pjv{a}LmQy(mOy!B`L_kU@|3d&FRf_gtX4G9Nbet&E6~Pv$}r z0;M}}Lm95M(fy7_LD~pYK}{-F$OHM^mrmX4g_rPHOF|g|LcQYY7XlZJW~kI^Pm}Q- zO@-J6~jHB=FtupP~R_nY7Bg^m3 z4)n(i8)OYXmdpx)Es>!vv2K}bu&dQS95pg}lR-x}$6IvZ)wp(77vS&sKKw8fC*CAX zF}B>izSzU>*-qmPY7)VC@l##(3rP651zaruX-ahSe!bgnVJi2cSiAfA&2evkGo$tg zX=Zr-iv6Zqb%sNku^6iRWAsexqW8k;aSYlJE!_dr)0@$^=>2^6b)74?9Tj)$_}B<^ z69jz43Xi8DUPmmHFt})D zDNacqsa}*9wx;6rwuW`G#};gHRGAIFs*||x? zooitI!eILFcu-E)6EJ9r1eWXF)@E7m@7GX@=Jl44)#ir9TfQ7o4r!$&rgB@mmFwKv zp0Va0Xp1ZmMvvXy@7S;)ek+=YfrdSWk@;%#-aXc?xz0a!g`W93wP{s-Gcgqg zeh<^jeLW(bu=pTg_jSyhe(B7sy&{^$#X1U)h2piteZSc42Kutjg#AnbN^H$=Ykf|>^@iH z1kZq+2tmjjj1|Ax;(Et(D?36QqX{QR z!J8fw4#CCB++mi#`PNf_h+r;|;Hx;L%Can}JTa(sk^d!+q~#i`Kfji!Z`(yKb)7-d zoZ!-5U}E16su1k*TcSxfkIviIuA?BXFW$g0i{~bkYVI(h4DB3NoaV;Y=!pOpvW3(u zq~W%w#JqCF;Z70}#HvQa`Kd<^WBahDFNt$vzs-bJ=TZ|bnJ}F`vAQ|=>V70D<1t=C z-5(QZ5b{MXh$~%*4e_G={bOM8?h)KTW7j`k%p>Wz$D22$#+~n{p^8Gjk{&QO{PCMg zg<-dEVk0Nrdz+D(B&{;Ax|s7B047q3268DFp$dNc&H zNP9iQX!-0%SQ6bw`VoguMV&Ge5Vp}s+z(=MJ~h0SUywJ}qWwO2v|HOEKj9;4e97fZ zcP4&INv2+7S;(lai_T6UtS}=$)QLxxI>LOXd>WKiEPn2-eCp9~_4c(&4DG7HRjuc7 zzy5OS{5O}T5q9A-DOeh+U)J_KQ&7{m%XleBbAK}IS`&x(-ZB@}4yvR+uwb?2h)W~xImqLbH1fzWo0FL!_MB8LJ;<^m;5jiyrPy( zi*}ZBzE6w&s_=9bMgo_@%CGblxy#cDkpfk`sq&YX22w{Dx}KNWp#+?={5Jkj@aQyk^sSn{{X-alyLPm=%2A@e<2B4Dbx|6Z!eeCi$r}P?_sSUX~`O-C^OXX!L z!T%n(L>M~*`Et~7He5PmcW!5S%*9;SISa& z8R>Fdgc;nVb~h1YpRcQ%Wp5N&Sc>a%cjja9khENkgzhFsj(Wp zQy2exfeFG=Mt#gY8dZ{e6TyE)&EX+6_%-|`=ACM$|1!O{dD6x8{BHA(Wv6;gpB<#g zHreHvFxTFwlSX^m?(TNqr$jWO2C7<2OMPrT>(v4bwY}bMx~#t$sGh+6);TW4H05fN zvdUFyGULJH$Te_2KVwy!lCQLTZO}=Wt4P^tHZjmFcjg7GERunpMmw)GLk{zKN-$B- zPhWoE9=*kTy;He%svPQV74>KN*`QCWyi4O`r+(qu$hC+Nm;ezXVoi!}AP}z787C9gjj9wgyH9kvK-U*?M zq3xJfvhOKX(e(okWnx6=*c0}bp6H%i!d4Wbi*%*mGD>jgy8*eG2AW}Z${cRM{AYb8 zTf=($RNkF&Mp8=c{9-4TfRxsFW^v9^Yzff7h8Tz7ay&xzES8F!7A%%Y5}tFF0vYf1 z9N%RxW2L4XufA`&t$TkW$7f`>g_kJa_D@OF)y&6Ylt=wW;eEf`fXeQdS5vNDS6{>@ zO32UW=n@6SV#!RO-j#grB&iK9-?IIwi;KH_dA!@Ke8;5Q{7v}UAqEmkIqezkvVB2x z42mByO1cTWE){v2-t%p05r57oNqBET+zF?7hOT;UrxNk)aSl-M^31X`C>$kEdbCBx z^QZ!K0nMkC0bcYiGxV{yQrReZD~cqeuygY2J7C3m<1&-urooyz*__$F1KW$A~7QehN!@mS9p8b=!tUUba%AMT389b53<7 zAb9La_T0iZhfdHII}5l}E#O!@YGh?^|$G{!fE?oK2uQDpDvk0p47l6Uh zpkSU}rx^9};|_uebsd0FUOACkeEDZNx0+Er;*@NEoGpASz~YD5BhUEg02{8ONlzi9Yg8zyZA5zx ogM91OM=^iy1%xA#gx>cEbuNTu>>^Y5kH9|(5n17qcX~em2V#6eBLDyZ literal 0 HcmV?d00001 From 244a9e67e22fa64f57598e02d0e34d56169f41c5 Mon Sep 17 00:00:00 2001 From: Steven Bellock Date: Fri, 8 Dec 2023 07:36:16 -0800 Subject: [PATCH 13/48] Update per review feedback APB defines are not meant to be user-configurable. Signed-off-by: Steven Bellock --- docs/CaliptraIntegrationSpecification.md | 3 --- 1 file changed, 3 deletions(-) diff --git a/docs/CaliptraIntegrationSpecification.md b/docs/CaliptraIntegrationSpecification.md index ca106b2a8..f0a58f4ae 100644 --- a/docs/CaliptraIntegrationSpecification.md +++ b/docs/CaliptraIntegrationSpecification.md @@ -70,9 +70,6 @@ The following table describes integration parameters. | **Defines** | **Defines file** | **Description** | | :--------- | :--------- | :--------- | -| CALIPTRA_APB_ADDR_WIDTH | config_defines.svh | Width of the APB Address field. Default to 32. | -| CALIPTRA_APB_DATA_WIDTH | config_defines.svh | Width of the APB Data field. Default to 32. | -| CALIPTRA_APB_USER_WIDTH | config_defines.svh | Width of the APB PAUSER field. | | CALIPTRA_INTERNAL_TRNG | config_defines.svh | Defining this enables the internal TRNG source. | | CALIPTRA_INTERNAL_UART | config_defines.svh | Defining this enables the internal UART. | | CALIPTRA_INTERNAL_QSPI | config_defines.svh | Defining this enables the internal QSPI. | From aa57fc7d4afc564d531fbc7743d9bf80542d7595 Mon Sep 17 00:00:00 2001 From: Caleb Whitehead Date: Fri, 8 Dec 2023 13:29:48 -0800 Subject: [PATCH 14/48] Remove HW spec PDF --- docs/Caliptra_Hardware_Specification.pdf | Bin 2366499 -> 0 bytes 1 file changed, 0 insertions(+), 0 deletions(-) delete mode 100755 docs/Caliptra_Hardware_Specification.pdf diff --git a/docs/Caliptra_Hardware_Specification.pdf b/docs/Caliptra_Hardware_Specification.pdf deleted file mode 100755 index 68f46575c3d2b2487aaf1ac376299f430e461d29..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 2366499 zcmcG#1z45evp;H3BB-=9D2>G4ba!_*NNgH5vFQ>J6_M^nq+7a6kOt}Q?(VuT!uOot z@1FC|=U$%2XTN)|HEU+g%$oVkyi0O3qat&2Gbp>* zKp2GdVfvO(69##G6No(t7#LP$kk+?0p@LXblQ1a3>>LbX%61TlA`}WEVF9uHogkxc zXCYy23Pq#gQ^+C31TO22Qh}&L97h{9>CCF zHoUy3$PjCzztY_O@NZ)M6^B6x>R=5c;b3QwGBdK*B4NH;kp%Sc!T$H#{aPg8`yat< z_dh<2as2(p#CZSlS0ECmzsyOPnC^dMz5lqUW4fnfz9(S5pUHeb#(cjR%l#@We-%N( za!Kj;Mvx}OgQ-SY!K=mY$q5AePBl7Rp5 zEAYd5;CuamAM^lz&;yw1et+NxeSn!B<~`^I%zQ5=_`!X^4~_$7d6>ugAjiE6>5%;E z;kZ-9KZip`NkU#vTHgR-sb|a#*4JlZ2Gg;#F|yJzgW1{X42(b^I*5S*I|~N~3nQ4_ zP*22K-@p=LM0IyQf%E)-N)DW7Q>YP@5=`IPNZ-!r|6&CtGZ;j|h>8tt%)!oXU`)r( zY7C-d2I(8qae(v<=)gv7hDMAakdXm1+yBMtLQrcM#2O}O2D7JP1n31E0D0}GWT56S zeFJ?GK{I<(h$)GznZCWg9WX3p2hoQC<2SdDWFDD4GJItB2>Qq#_-FhG_Nd?y$s;x3 z+3t}M@MQe=iR8bTNAig25$hwCf0i?YS_|vLAXLJfU`8+#BO90%#LU71Vx?nbqhw^H zyxSDi=zlRo-VSQyU&6_vZ^30=8(V1c7NW02zrv8R87PpCWkwA$0#Cap(9z5-~E<7lb-%0irXKumVf4 zae#FI>)jjMp5$+wWDv81I@kcL{_g7U7X~E;WjlRqdz-u68M-hCDKQ8`9L)?NieiGm zy2|&r zdl=wNR;b9%31wq!!r!Ez*En1j+hiQ2CM5qmhAs?UDTH0z16M1>_>I<6EGULbiOQQe zvxAS4qD778C>X-)7uhtyyJzajVi{HmqFT z$SpwIi>bq&)WqwUZc6>ojSRKm^aU zLQV0Dydl?HPhq7hSK+UChFn#=VMwHSGNTh#*V}58amUe#so!ZOc?YblmRIqtvKlfz z?fou4JYGA1Gu7kCsUnFvmP6}s#ptNq?gAd%FeLgTovJ=;kt!aME8zKCP)JL%F ze~3?!RkD~&Utglak1$tC5$49XvBf_%M@)77hQ~0h$YxvqNrwY1Z+0QFgELvMO~sLC zvr<7DO|iCO%8`DgXn!2;{8v||QIr7^<#To08$@zWf4;wH@}EQb53sz8U;muwzk%XE z0vv;&41+Ax&Pv~s!BC$B^siyyF0lO@(Ot}AP;xMU-63XWAeH`IN><+r0>nWEL4AA3 z-x&gSX8M*g$_ygbK)5ioHepaRvlg(nH~Ww8ce8{c_J($5Hb5F8`HKk{M9u8%VM3<* zb^tU5p!dTwfJ6XtBbX@wm|0l=qPl+-@s9Mri1?pN|Bcdr<@-k_AdZ>X-*J!t@V=R$ zfVGJwgoKenz~1mK5pXcF0HN~!nGOI)Kv`fT4ly$^g^{p<*%<^JP5#P4!psZ;5Wn;N z7ceU;%U?!n_cNIp85z_csK6|YK)BSu(*gjsftU-iQU$30P8a+e+vxymk~>}`U`7Dd z0&M;r_1xu~f7{`IXE`B(f4AJ7WLc$^|4X?4g((5e|EDRz;D0qG+rOBS6>zZsFeNj9BmZZn zytf}K=wIyzVEsD-0tFbD9f0p_Y=8m(jsuw!0>1Owzu@8DwtR)$x=PY`iV*qZ*V6@Gfdwr44bE-omDVDxf_ zt4YBscciYYp!&4YYTChKTFVGpIzlw*+H00S-8J&{gAbLwRUbz~((EC(SJz<`#>aP` zB+*lMM;-L)sDuxC660xiFS&U2h+aPW|K;z3GhU6;_`CU;W%})FQ1oS?HuV>$nmbl= zD$GtM7iG7jg~hFld^yB!UBo|)Rnd1H9|MDpxuz>M6FIXy=T0S8V){Jx`)q0%`0JQ= zgbR=EKQCUSDcyZv;|h_zqelB>YCc(gMh+31 zC3`ONfs+hX=8{}lyv0F0fbPRbK{RV2U1JS-F=Ds9YMC&CWJ?p6cvL;~3wv40>N@i# z>iB}MYZA#tPvA$~Fztax8BVL+AbKl<&(d;%au#>I(R;GaI~(=anmIGphzyCT0;LS8 ztW-;#M|9g?QTiba5oIWqP_8c!o;Jzl|1`JD($Ff4%qLt8mtBb^PDCza)ZM zw@c|VmQ91%nKz+p612m%X5ad$JLVD>CL5Gn7Euw zs!86%>L+12EdDRAf2rPlp|db>QWgUx9-MP~Qyq-svdf~e%lO2%O?LK9V^z4+-fh$W zbKj%_Btv)t?P>?K>SeobweaO7afA9>iLWE2k){BsRDXu2SYcjWi!p|*5(|v zstP$(O9!((KEUSA+LyntY)ih(`=cTsFJk7>LI63!dkQSPcMw}jlV3cH5tfZ0C;be7 z&Nk;&$%(FROLG?s6NA|e(x&5Y9qnY}ZpF|Bv#DwQJ=3IdtP7bd8a|q4Dwz+|Tc|3e znLp0$d)@VPz^vcH8gOO2{K30pv8Pyk9F9Fy{e06WBPmGt73XmT!<-d0i_SIF@kliV zF+<4b-eABnQV2>sc+1Xy%QKlH|yJ5zF0T;tE0t(@L3 zW;YG8ng19<2UvWF9y>yf>%^9OPXPq9^b#0~MDDTR#S3_mi~cGtdMjgP(OjmIuu9#j z4$ zMsWq|q-Lzm)bC@LvF--dKzEGqboU2GJnWo05p$*+?;UN92`9qowUQjAQ{FY;9U3bP z+$voTvZ4u*7Ymr?r0`uibM^AK1j^Pzxp65_MJXz}&%6U{xbIhL`Zs=RyEM<~owh`N zt=5n3oWR}<5Ux!ej%sX$=)BzQQWQ}zCk5E?r-pRGZ!#FkswZLBr;3GAaNm^uIP?5- z6aV~VW70DRo1oU(VmJLA)L1P6DPL?tOWC!*MkLmocGk@kbJ!%36%?+TE|P5(u`TDd zYdL!siFe*RQvTXfKOA|}XLYB%2<`M^Bn{m2leOP;{&FH3cWUK@H0e%@-S*6Qv^Lkq z5}bK-<|6WzMe!oNd)r5JGqp220NWSv7+wsz=?+6!X-AD0#LBM|q!xh|GOB$#PI2_W z9ASdUY@MYmNPCRGT~2?==}4c#nCv!bRb;E-bp>XL&Jto}QOBByVav=QxNeqh8lGU_ zt+Bm3??==HO~qlN8O44*4Vy$2%87Z-nkq?sT6W^Zl~hTSBsY&2Uy}`+h#y+Sq`DDS z<(?7QpHN1R5VQ(PAk>^KRRkrSrr15bXmA@z3LdeeYY088l*lB#G|%U-z(jJk=!L*R zk-8vij;3vNAHX`5?~@C*NVTp%JI%JKJ}zhddpM3mcdE?4k7)oQI}LW>X5uE$|JZPe z{AG;Kho5GW1_3YEqluZ6nGqcf73)#;e$H$Td`sQNS_#PvB9Pgve3k_$+&`5&`tZ1e zdMK$8CaD+A%s9wu`a-t?zBho%%IL-QbQIa5Y^ZW?at-bEmYnR@LYOP97SFEbFG0^c z{j&~^SX^N(Rv8VqUtsUXpg8*Tw{zM3(nT-o{gOIVrBx8%H0Awt1g6ZlFHaO8phP{o zece8B{EDycYnPX+DnY;q_ZUBN$YE5MnXplf^MEMk-6-$ zSPbtbooq>(K!@B_P&Drn%pY9uFQ*p~5kQg~{oS=VjXeW|@PoN&R$8qwrCAPRtKpq$ zgXbFXdg{?CuLxwQdv>x|DKmv#u6{Qj297lEZ&GIA$xYc*n}wTv|F{k2w$Fc zKpZJY=94;NfxldjXFhb=Tx;@vdvkQ%?8?Taj2%DX__Z&-PKS$wl8%F1bQKj4& zW+f?KNouS8^6bo0;z>B4*UQztD6eUuxv0ZQh31tKVVJ20=2P>}7@b`y)!I7Z2nVrv z*0J~J`>cjm_DnTTLUe4qNxoP$YdQ+gZhy_6nw@fCq328|Ez^?18~639kO-^sips*{ ze0w}VOoV*0dkdJzC-u?`;QojKzD$4_A~Vq+?})5$bDpsLa-4%}z%U_0FbmdP!pA{P zacoqk(5}!gZN~Wa#H##d$jYokGz)wrcfRY^xT5(&>y;56Vc7Euoo$Eo>KMCMH!{c{ zrCziepbO%xJz>A9n$}D9)>=|oO={3GITru5`3-RWMk(WN!Qszd5JYQZW#v9hHZV3+ z(H)=F$;1_sn>p!@g;%jrsdAO1zmFT~h$78<;g`F${>n=vz{JoqgWFsszqXdr??E-g=;gR5QI$z!P^orkccRF8fX1#p|G8Jdg zBiq@qxnovaI4_B;?Yh8moM(YixqJOzUdo%50Hv0>`DS>dauVN^W1eso`L; zp(!K6gl~JsYvi6xrdw1O|HMI%hWr;WTRb9iNwY zCE_~ccoE27cbPI9oHB6V!jdJ^niusr4$Y@HEu`H_OJf%xVyKw8l0+X7l#w+Tql9g5 zFW|_fun6krX?uy?t2^VyfVg4rm_}j-1_FNt#Vm4FR2zbjq1*&=#*1o2kzN5N|iy2y@c` z$DnMmO*0SGfAbnlm!6vb<1(UGX zegNlrYtfytfnS5PuofKw&iussFv;GVB@}HU5wy~@P+Ufe()vF!el+w1Gm8{(aZ|Qg zJm~}e*jxRHm*W!WRYghE76xLyO26xIp9zpHSkbaY@)9*QNX zQP%;wLLy8x`OWXXC?%)2(&{*LIxq9hs8B9y^VA2I7)!0w|F}Y{+4`c3l}WUMqBh{C zwX=Ybk(I>Z)D>&}%>4J>c=puQ*oRj6BZqOJ5f-W$H&x)M^J{Y;NIu^X3@}pV+pn$T zHKn`SU=qFU-MTRlHpI?e7}D)6(vb8ed|q7x@{HvSB5(dEc=P+JJ4e-eMqHmzht*o^ zHpm6uofmqFCFNq%U-T8*(NMs+*4q8fS9V{sGL;<({GkEr&Q7+VlVt_uvN<3ZTwd{C zEL<@ZRI1_n)pzsCYq}>>=j~=)vruO)dYUwYSt>%U8_CrM8WYY*Z_+IQIa7kiG_8?o zyf$(-N*2^7*IY*8J0h5$AnF6z$`B`aVZvx_P&J8B@r_l(`%dDY@c~Td?$(0Ynv|T^ zzD!wh8g!>0(Ck5>uK}qh*b&KbhN_=-eZ8Q3Tz}50mSJZntNGrME^Ap;)V$Ay?@{S~o)rMfN6M1D+8;^iL8`(^rL}U4)c6SU0Qe*E$9^wnF?CUvcAJI*EQ>i*?jNJ7~R5;eyr& zaZ`#U*^u_F!GHuU-jWPqG;b&weRb^S)zrlIJFYZOBZkdB-{?P|Qixa>j3rJm^N&rW z@}lQV$>bL=EGeW95vsJA*?$uML487CbR3B8aiUAcEQBwAqmrF&q6GUaLub6oF4nCFg( zn#fpo#x6mXJ~2CM>6qmkFi4`Rp-QkkrS2@A)(A0o0P!fyibls2b9Ax=LoKT!G9H`w zPe3^Ot|U;?d`Oy9G_SsxwVeHmb$TLsc(!c*HG3hm)ia8a<>S!#e075ln^ob(^x+Ao zm9}1seOxi;_5txsfy8q7y2-(+-|HQTXF(2{N zxcw64K!MDdUB|~jDyX^L_IOI3KnI~f{*0#g0!O&U-R}YpJF2)b8>e7>@~+ld&)MtzDqdBW|j*3?K=9+sf<(vR>QL< zlYKGGWNr3l`te=bDJ(LU3Z5#$VPlM>)9%w3-%sx4d>QPiuaxC#FGTJgLYzL4WB~G7 zekOFhXd*5aF)W2wrBMl~@0F$}V72!@0+L7gEPIfLKHq+suZPk}9$joTMbE9OItU4wdkH18*Rmd>Y)wU7bbl0!G-5jw~>K*@qR-(|}Klca``*rH?TujlDJ zUXi9KUU4~fm9t^8lXv6u%?j*XY!0xi+te9XHpqPFz$@Y3pu5b>p8RXYcJY*-%7L+$^Diqcb}18! zv=hTlx=C3jerI|)&neNWrI6%xt^pmLA1k!Un-1K;5zO-!+SZvljs9?c$2+8hoXEYD zv~YMo#`fb%Z(|~W9;?!*IaOuvcxe4ff^DA|HgbUr%T5@=$jt5eW{=|4$%|;YUWp&- zy3WCFUQ&25CrzhM^vry6RQvoU3nD4Mg{x)qw3)pu-4R4^I`EiIn_>=Am6LcY<>K5y z%5#0N6-ups4F~Lyhb-zqIuABuJ$++Q?xX2+j8Tx;)xv#SSvW#gy_efH8r#u|b-}PK zs=ulOd-E(fZ1O}BLnI^khV3%nDTzsfg7$2Zwr4n)hjdx=`6h0s6o<*T>^OB9`=vuF z3`G*lU2Pgcdb)LSi(U< zAHB++iS-E4ZKpy%!H~aX)#(66Uyyl;h0QldpgT^MS?^#MPsM|p6)_-pJ6C!dtV#}7 zCHcpz)ZlphTP>G0=dJenh`3U~HDNqV&{6Ma9yer*PjaR;P^b?zF-h{2q$_xxI-;KW zvJ}AejZ*w3Xsa_jSw#To!vMGd;Ou>~KcrIZ^Z^AuVlY^VN*RbgItF6mHedP{CnRuX&cOomoNa+X*DrEl zYxvfff%k|T-yZ?}i!-!vP#%0F_X&kyx#6g#Lf#Ig6Bji({{RO$CF+k7&Y5Zg z&?@!+5hmxdYdNgypy(8#^#hjN!2wauQfLKI;~W;GNa`YR%a3LBS?WNOdyXUH65w(>QypP#N%G4(mC4Q{IJcN_)cNiHS^ zZsCmBCUzX@%Jb^E49ww?1&ZRMw|6f7Zg%^Ir9t@Uk**^(9;wIC<#VZ|>yGuECdq{8 z6r{9wDn)$G4Gv%VU05{g_i#rvG^15+LbRSaTv@b@*bM^5X(3I`f*KWK=$&#p>o7XE zG=QivZLQsQAi4vk{@38Oq-8EUzC3dEx?;!!kXm!V}e>}lst-RrKi#QqUpKrG(KAM;AfRQAXogmd!65oe`zNIvgVXFnfuWTAk@D!u-1Td9GW}@+w85 zt^2Rv?ILvxzymEJRKHTI<%~UtLClWc>m>r_pMxJdarR!gn_usF6;rO78jR1)fT<~a zW_1WS;${r(yzKM2l0jza)s%+>b0UArN>>s>;~!m>isQ8Q_CJr9knWEW$w~iwyz04P zK*NT}es-N&s(SH3E8cMdzpWG%&oS#Ax$z~=5yICDTDjK+*R!5L#mD9LO`AHVK}_u= zgbry^>6O)Ip zLi21$a#Q3r%FU~Hd8-#DGjUihnX<^v=`vHo?5^EMGXBgh$voP!#5?nYc$02R^iz)c zJkcP>P|5i5OGpGss^8`D_H?G71FUkKQ~;}#88;Pxx^4xUYx>Kiz}gaKTCpY@DEV@8TlDr?O_uSeeSOAD4ZvfL-XhsRO!|N`lzpz-aLbDyS(+l z{B%07H0#he9!ifCV5TL>M2%h=d2MFA96!jgKsfEL5GK{pN33IUZ>eqmN<_zzBV#RvjVB>EcyhjhXud$HGi3grZ$u$ z_amlx(}`qBLj>-!jk(vSw=k86k#{q?5PCPv2?go?yOs_wq?G8ePB@t#JdhsZ9yW_T18_R7<9Eh-#5=Z{uIT(y5-BwAM3Ik`vd{ zc6+_oHU!jlRGu$mqr!}(Ly(e8!@}TUU3*OpbvKvrt_)P}C-}qbImr>sO%@oK_|L)X zsMQC=yP}WdHF|3N#T`z>UH*` zK?mwqB#~OIHq?2Ztf`DBhaGB*fhTVAY6Ktz>l%sgsYSe95s~j9uc=>4cLIRK^NdMr z{pqIzM*;P1nXj*+lbmysiZ1zn)*rv9&QJ9#Ub_g0HSvPkrlaG<4p2CrLbN2!+3H4q z8D|u5nh{ixfhhFpxhzxM>KdhJ`PdUT@)l(LVoC8QE`FHZ=s2Ywy#(YrdUG>4ZtdqF zEzY0*vHalUcaI{66$-04dcLC%0S%B?jB@cxZR*$Ts`Yo}17DZkS>hyaXT{W~u=8dQ z&bE}a*ITfcoB^q15aj}uGtqdOb0&1N= zk<(%X8Rs%qJfMUzZCgDAphdrlDZstd@my~AD9}piiiy=saDbYpk%MY}lYs+49KaTY zRrB;_-KklOZZ#tdtryqoN?Cru%MrplGd-C6Em$RPT00C^{axxB@D9f$)2mt>=t%EE zM>>lRtkYkv)%Oj1F-yij0WJVo|E|H)duhJ*+Ns~exa0C7&FBUw1RLcPt&eS=GQF2} zuXciK)|F+nOCO6Mm-qwTjj&(Y64!GgH9S}Fy;b-4&2IgZW@g*f7@boPE97^WN{b)kD~cb%g;gB5HmkpN0qW>R%t z*X;p4S6*_t00uKxZ^bu=I+S7jm16}GP|}a9TJIQ~cjtC+X*=#jZ35lZbsie1@w(^_ z!09<~!i|%?*|Vb!e+oCRzFG4PYKU97?Ec;`N##y-JApP~q4^6dh~2AeS(F)g35CRH z2GNvwJF15VZjrm?IS+ih_N$aNH#L@!qj2;fxR*xPRUO*1W3KG6b~H4|$IfuO4kYQ} zIV4)XBlD7%Aw=g>E3?hKeQIvrcKuu1>(73c^W?xiNm8T*kC9;q4{>SVTr5R2g)0I* z1eEwB&PAObpp*r=0OgVKCte0R$?@~9`J*ci?TUUtdy3ZV{gd5eS+8C03qPK{_#0Er zk(Pn7ZONLno=y!C>?!G)zGumc(^|l^@jJ4x|Z;^b-8;-b_bG0ET#-sSsRKo;ALt^kxfVM5>0Pzh;_2^55olW|et8JV217gXgvG=b$D!}9 zEr}tPPk>%NTAld6vhzi7y| zO@Yu4Twc*Nn|91Vjf)9$n^aMs9Y&;G?yzMTaf-`9E8vJ1*zc&&c`JmbO!mS&mcIqR zPTXPX6OU=91!{EV!A`YFZLZ5cQvYU);>{944-inaBxNEhq~g_YcQ)K^fpS^`XOG@g3Xj_YNR2{|2I8-JrEb8H&%xo}y0y@Z}SoXbU zi#|M@s}>$k;;u(e$VZc>Ai|c(g@Ty@PiX2Oh=r4!lfxCC2T&H2W;p|@r88mU#5dia zWQYN651^z%KBEFRNPtX4H1q2ne1y__@a3+QdN(C?g*9?3%)Ovkl7&%VC&%J-@r@gei7;NsjKeS zu#k!An(97Qay)=23*mU7CysQjnNnWPUGY`xjxd|>{kX9Kicc>(({XkyYj8u|Tj(dC zYd2M#^PW7h1}2y9d9KSlI0*3{naQLA@7RWNPCW7s%qyR{L1en?EuvsjdV1*Op$C}- zGF;bnzZy8RuhaVd)5B{I!^XL^iR$y+M;mN*XWtz`pSxeX=@6hH<{f&3JM_8lZq1v6 zzsE!$ixz8>ucbOw&x*T97;0T*RP0`RjjiqX?S&bLn0${|`x=8zT@1kPsuj!Md2|!m zn*(d*27AJOELs^X{wl7de!p?XLz~t@5^l*44iR`Sz2)+O`%=BvYpLQ1m+`c>1vN!U zHL`1kivz?-&90{(KpxB|cgc#g0Ht59_avzUi6gTMCTSMMJCb}k-bSYiysJ4(mJNPq z_I~SnGD$DY^O3=NdcPBZKk>`> z$A7ULN1(sdsQ|io7v*}pM=4}mv!^t*@j=!?*jqBY^Ki=k5n}xpQ*@*K3|pHF#^3ZZ zSW5=>(tu!RbvY@#?lkQuEimimlr?_ISFRWmG-fM_W((YFU``tCrdS@XtVtqTY&ZzO zf9>k)Ui0*lqHUy1hwSsMSs%=h7r6UXs|f@N!S&Lm$MJfDz8Wt2>k)Qc_I$?+PcOc% zlsC#xv=h}@ukKg5W=g$S@ACPaxgK6!9LaNC$K+-t==df*UIe&9(>!WD7<)UXH-pvY zHgx+gY;R=Q7`PB&yH}kbu-KS6GOb28RXh0M!-ifKuh}q@G@2^xG7dY~ zfrZO*ajp6A9aIS!ZfzRgH9`94a4GnX6T>H=`?ybOuEXibGgjRcL%&q~n9x3f;S*jM z7)i{y-M+Lb z!BDf7tt9%oP_o1HgMuJ3Ts^73WFRp=>+{$p}Q#SHbzSdT*ootK2>f1mMe#K&v>32kR^2alf0IxFML`A(o zRn~V4pcI&fnD%L&}q^2(pqz2HOmgkoGqt-%FOl5*KVEha_ z>4LBNLS&P%t5P@9iib;p_m65619iZAG=653q^z^st;El2O6w!H66DV$kR*`S>k{Bv zgX*|WW@^Uzf9f|CWKkiBfBvwhp3z5W@;le*x2>p%0vhI!t?`ZyRHLD6reUcf*`D#^ zBbtuKsXKk0c4jE6+?1;v+_QDbIz?u?CmrqKRe0a@A@3#|iiR2>z^+AGe4+OJxpeBnq)2 zk-Y9nLw|zQfAmIZhS0t+r!0iv}|~ zd~)9jYI&-sF3{f(E;CGKOt@%_Rz0&*=U|~7Qxs+#;%`Ah@jN3ymj_v!JyF*uC31Vs z=kC0PF7lCbI0imB3XhMD$+~;Akn4w5V!BpBEHgCISTYWIg^yd{2X;$v0x_R^xqCaa zOM@+V*2dqhh>Sf0M*z@92$A7AnpI=;FfSg{X}SI05F?K#Pj}8cI_mKYP5UTD4&hQS zl%e(S&^+2;Go|0+=gl$xv=NZNBO-d8DKq=;q@U9Fq!kF9T0_&Gd!1jw#AKZly_UI- z0s~tQE}M{A3EaH)6Rb7}RelfhIlYp5UU=wrW}K00kSdzo8NvP9Jf#w2>IdYrR#<=5 z_porbE$`}@?to`H>h_A0={b5xJ|sv!wV5_mAg)|#NFd>=JPw~Dw397{S}}HN4m~H( zJv$7ijF8FWE!lD)+1n)Q*YU@`9>C>;HNSl!lg`Aat={O;y#fohT=c0y=Kep9&w^Pa zz00H|{~U<*1QWALC2{62v$#9Dr)Ez&s2pTfUhAm~Znz92^4C!oOn&YQEg8s9!g^1( zF7jyv4=qqxG>|%l8$-qi-VI$US>8JZiJ}~i_a#QzZp_+OMgG6e<>1UMD;ikEBauN_Ys2+W1z1$+|dD_3ctTy(fUfcroq41sum&78zfwNuLJN&@xsM~7=tx@!L= z%%OXpyac$MCG{KCYR(*)C+rc--n3C78*@sgXK&WyUlhNu$k_0UMiXK2I$tpn){(sA zYMu{+l~-{MpPwd3uL<%;4-5=6$SL`hbu|v92n=O6@)e zt`_<{VWLcsr8@9EuON`EGS6ExAzOh=UzqC7y6!P+TRye3vqSTsB^AY&cFio8O2_!s z=Ji~HAex$+P2xZ~KbIJPecNX&2F-r)r6(lc^1$chgYPeY$#q^y8|*W;6-d|DUlX=c zm^-8kAIUtIWH`v}c9H^Cm>M{-O(>dFaszkM=^=B^4A5m#BWwp;$gE}sog_%Y+*G1Q z!U*achPJSp*u0M1v^vLvpte3y$zG$8m+|%+u94CmKBwRgn)WP^$G(?`8qsd4)M%PY zXR6o^zgu<=j&5Z)mF2)!K*=OXwCyU~a^_PZ*~LEtunwKfR0(7>?G-EVbSYt*c1K)S zD{p5tF~C zIZ%8&?BzUPc55#+`*WaOXN`u3udcl)f9mLyYe|waNF6(+QQw(S+@GN07!3&4OK<(U z7F(z*C3*A=vCX^lIPm9-kWu+MXkLRTdACdHHz~Dlcqj>d#uQq6Da`xGnTS0g)6$(| zl^fBz1{6c+n;+Iy%X!tHyR#XuZyM!{mgOYg37Gk2Zop%SZsxwQeSs;3F=NDzBr=tb zSZcCcS;WnI=anSWf$}Z=<9^|zG$o$J6~P~l`7%Z6zU*#;JkQ3Igb^ExeFEk8tBE{G zr{BKY4YB|NapUT{sDmKAl1OgSijLVWoze=i!OpOE?~Vcm?*apy3#~6j7udYJs)0jj zDw9OD?`p$YDCtG>8$pF{&`Kkd$Z@&PS|P3mWpq9E!8#>r6D(fPflruX#OuMSGQwPD1Wf3n!r781*Kuh6i+7UQ*nF|0f-SnkLNuc#v%E zF&ba!sk&G*zZK@Yv3w4PU-MAwZCcG4aV#F#Zk=Us!={0CY(uQ3ZRsG)?e9_{r%!%H zFhSJ}^b?=M%=QC2k@;Kb;>HyB)rzU@@9i~c` ze`<7Xx*Jq{B_6%TCs2M`oPPGR#-mrW|E=5(RF+5T`22o{t|;HXFj;3C+^&+?aYF+0 zHRiGUvH-W0(@cDoNun`dKOgpW)S6Si=#UBB&23Knc|F>I;b>lK@FbRs^E_dVa{uHH zp*!=D<@`Ls>X|Os*;XjkA5lGS^}6Jb_IX2>MZP@;qJ77-GNHR@m^A7GKEx7AdQpuIthra?Rk@xHuhGbrLb5YqsES zs`KKG)e_HVA-g^eGah0NFgf&gH7c&boi^AaJg-01MYJ~e6;_~h!=vW<)Amox zpA%6=V@|K+B~h#H!GQFB^!_z`v{kPi9S7|;NyNVQrL6Pb4DU4f#*{Bi{fn0W9J(}F z_c%7J523o5)gekFR5FM-Sf#H&HGo9$rJLUz0Vrs5TS1J)U0*s64vQN&4d`e^5o3zg z@)vFZM)B3tsQWc$mWc5T00eyv2Vkznb0-}PHL|@Q{Hb;>2}f^!ovZQw$-*5rK7D0- z*+=DYRnovEpq5;1M$GtgQFXV@r-}NbcO>g86X-~=02Ar4!|EIeWQ3_q=iGU?kGl+UIExvDkb_#_S?&mwrE%2QkU1O?cHExD@Ac=|@ zu9=YLxOY#cRVL;%Y?UVijyuG9174=7CFhb;50f89^rB5_Ek66b70Bb*bJBrFUKn0z za%Smr!?U_&wPD&dh}g92HP53qspxauP4~G>E=?xDnY*_oQu2?p^z>yjr@V(XgIhJ5 z-B~ICnlKtya-1azG9!Ct7Q~X2*a#?Z%@DUMmiPx^M34jI$S}oPqXZrmwZ8xOK1GEY z&Em;#_Y0i1d1yYq-EsI}M3VAP>5lOB$|q= z3S_MSA_Q_(K9Zfm@#Gwi1k?VPPO(ggs`a8+{HAS3L>{D4s0 zRx+UqL#@q*Cx#L98ZA(x=%jRKNQP@L_xU8jU6^jNG3cTT% z@`u2vH&0N!zh)|nlczT$w!meb4_1^7k$l}OmRH(1*WeqU-+9%FKSh)~qBAZ{cs_DM zpYdt{cS!yjcL^z-t=6s%VU^RKcQL{OYH!V=B=b+`tH2oAz8WdE$<(K${5GAlmGHkX zT0T6pxiCTOPls`6gbbdS$>#GQ``7_C3!!QR@xylNY022CYC~zDM`;j?%OL;Fypu`* z>Fjya&Y{wNS8H_O;G~y&qVi_BfcN|L7GHZ@t5?<1Og@5~ zzmR6&hO3X>D>8FY{z&j#OixEm%oz;9nVQmJq44`uMj}_D26u{MER|yN_zJzf)?jDr z(16;hQFwg>-S?IQYii}gPx{rJmapc3WyHS;_j26$_T1Kzw==dFioO|-%cg5Z$j^W3 z6(HTgcjL?B?C;%x!*ftn*2?D;f6+Y4s|XKMi)VR0bTBb^krQ1%!gBh|VWCj`92jfk>hjSPd@!0#OSAfJiIKkH{J^$sy=)`Sv&83e98Dv%e@!S1{ z+4}cZC{gWsbcE4P_9(A*&%3vv88JN3A>W(HI1U2_$9NtK0-I}h`zYQSTdtsD(+6Mt zv9gPED620kVKNB524ixROVeIbjuKp9`vE0!KPu#dlfW)!Qq}SY_~*SzQTfkr3UT9A zNF1KzZwLqgrP5~ohiWR5E%es7a81>O-vF52v-)LWQr0n}7vU70r6hk-Kd)$^hqiVL z)K}obdW-VszT=cn)}OE;8Tl(W>69{LZ&cyr#XQy1M3f7~nzE1PKKOvfY(W3qPZyPb zzE;P7-=8@8)_ns3D<&i{d+^FlmI47wHw9lpRg;ICVaA_U-C37?D(2f^#=-BAl3yRa z^(Zv8))49@sMKwRwdAEdV=>DI)4zE?Yv62rFTe#400;e|3t^J;AW!Ji-1WF?NvG@9nHBRK4So_E^!A!A7l!gf;P_9}KBbQcwXd9{^1$?Ve)`Sb)qXcu0b_tr{Jsf%)-kS19;YL$n|?zATg*#U z`(*l7HV_^JsrEOWM3qoMXlYY|Z{5faJ`P&0n(fwROKOxOE|w<(U-lH&^%4w@{R+a0 zq86xlg^mLUeRc}~XF^`3GQOUxmsmLQGJ+5-BiZTIufxT`3`M;xVo;v|(Ktu#D+N@f ze-nrZCGY7^gk}^d*Y^x3bYUFM>BNlb%%hPs?a|o5P=f(`%&e(`l?UJxYaL zrytqqrr-ZR+5*%$gHR}&nn#w~np7AeYtB03E0vXj&!<6pwfvWP}T--E7mVLjS8KhMTXtC)@O6@8&(I#Z2&LDf_pxC9mBs$57!m2ls=Pj2vZ&$%X z2TbvK`G`ZWZBBj`&!6K=7>~JTu^@A{6$&|#*)T9Jpz@nH$lZ9&3NlqQBzCBuYBW_S z37V6r1KxCS;zZ?-x}30jyVVK3B=Chhgl&Xw=sl(qXQfA!4{PFB$E(1$d4PMo>A!(S*oe&HPj{1sR_JQ6zVAp@{+Bs4clHO&WI zXoadvO3Ct@7wKo!OQG9Z9R_0YBKcdxJmslTC3R~Ul#}%(8Ou1I5nkuVH>p#;p{kXQ zT2ng?Lwjh$!QKqeTbQoSic{Az?>QJ-I4pi}^4e*C!zB-=t9+O#=^C^EhC?)75|x5| zOP*iS4(a3ldBGR{TFHa7d$7hzgHp{&IL9x2_{U1zdf#mq+weNQ?41+QzL0EQl3)C< zRP}Kgp&JB_UBUW9Huu>&7XV;DKI+)8Kc<&NdK5`a@;jIa3tlsS5CYVWXG-(^iA!uqD(#>edGvGq(r@Lzh35Ij|>+CPJqB)&*PxN}HvH`431 zEq_H8_VN+kZ!VrAy;55NW+5$mwZRS#tFMigaU${mZ6;Q=Fs4+J1p(e|YxN(`^Y(_U z?xqlQ9RYaJ^dvQEyuO;CdU&9NoH^!U>koDLiXdp`bzIhfzwzM6_AQP-*$7^=D*2M3S=02an!wtKXAE~3BH>J)@Qu0w{lCT zJB`g~Illdlmp;nu1(Ij0j~it9<2j5W3n0)6*&GF83OqP(A)RXe^(V?I z&xtszcgtvP&WvFE@A|Uc2-#KiK9JGS0}QV_L#?<1ZkbJE-2Gv4&9T3{FZ%teAS|4q zbxXlM(*6(3MRTgp)xibqL5d!oA|$czgrx3*G=r1AU^Kb_j7>!2PD#^CAtnLgX2=yq z+#>mZ4E6ih1^98s7*rBWk%Z@!reokYndAR@9BYe8PlY*TxBU1V))Bhlxn)Ku$OewC z?t!>91B=efkAeJUAHDrYp_%PJGkv2IRxcP0%l>d2t2#baTyb;(a0L7s`^=rnd&h9p zxanyq%M0@?iuI8iA~6dw%;*y{iEdy}_W7k@u1!_krJkMqyxkgp@-^o@KC72Ixm?|i zw6!DU9G%=$AH5%Xml;yo=)`mXitFZ%7<{-XO~5;A{wh&^R6{AXJ7F2eRV{6y*CsGO zkv}&|w_Xh8y2ZKsVD$426uN<5Tmax_p`b-_vFg8@l4MdIN?zD>>r@2P100zr5^v6{ z&4QO;Vr0hV36*YxHf*xO>K16a(YO&LMa3of8CA_XmJ80=EFq&Lj1x(VpNc3dn|FLz zWjVMFG`XOMamfsf8C+=wo;zWK=VElzh*csEY!UwtAnMZ^+ z!iswb<2p&w-f~B~4K4%()k57jOXDv7J#jS2-`e^!0qD>_i$?nX1!0763M-a~NU(nY zY1zgsO?M>d^=TWr?vIQU_tm&9u%+*Qtk(|!bB1><(ALE|K=_uYH zC+ixQhf(k9+~g?3G&4#K$J@ZPa(Xwx?-xxADVq9$E%l`0IB}-I&r`_^V7N%N-+>dX zK4XUxeg6Yn{hUr!qodKvx+Dl)3%0SX4w`{UUw@SA^&DGpVXhqb#oO({rB;D}f{e+U zK`Zxc3;@n&1KCtxxKYvlCaCktAy^LUF;ySGbj~ElptEmhkU?6*Q=z?lHRC=WKj|ZW z>6{$VDz;=^VdR?78VJ~6S_Du-=oy{6tSw&kr#m67*B^&ylmWBfqARQ2P20)u{_Kv)V5q=1Ql` z;h02$`_l%C5WI^=83a_)`IY~fuFE<9hzW?sw$2{KtQ|A|vut8`= z&bmX5AYr$uGOh+HX`ktc^vSy47N3H5P2J(9QM|- zSM2I1k|LmSiA~6bhZWOJ{N4)S)D{xbI;`$wEtEbO@6;94e!=F`*Duo9c`bf^0W6ODuJ3 z#sW?tCOY`XoC)CT@V})%>eaZ*YU28w+-*#a|5!QdX@3)Swl*Z%OWa%KeOOl3fQ5jB z^m%YI%kfwQ(9A?&&5!kb zJn}s@I@GK>l8~z^NU8rN0jXprJqzaw_QrJTwaf!vi8DD>)=)IpO(y)EMsir4D+iw3 zc-(thk{V{?uajP9zK1`RIg98D#T(n(h$*GuaPoLES}y$2^hDGC2S7 z@+83}iAN#_!_4xMNoAl%{h_$R8+T@W5rw2gImT5S=o@AAn8+r#(@`bw5sQ=EC$&<8}=jS}ZKf=eekI zQOHi6c7`-{yahl!$Y@~(_3@)zF>eb0y3-9;flQ8Uh>JOZSu~^J%IP)7qXfzpcp~Z^ z+*QIVOCMPnX8yyn#lEt@RVg5nKMYH7&5qOgD8ob^U zcW&~@ldxoUp~)_wk`(agmLL8VM8!e5b7Kx*6q87mU{H#D%)!0{gbl0z%z|-nikjK! zv>y*_ybmDFDt^C9g9FW*9C-g|WB}L!C7(Dn;JX!%`jxZbN2=D;k2-HNYz}mLqsy1Z z4Q08-=KI;WX}k&&sq*I2f4beg=^CnOX4J`z>_sq+aX85ob&(x2-}XCIO+unv@3}x# zBwCANA*4`FwC@HaCd3vo{^y-cH@hU)3QdD*08&%Y_xg`UwnsN64??~yptKlb6J1Bz z4zbuvvxaA8^DJ=0<0kvT-Q^Sxq?N$%)m=@2^!ngJBBN22&!f_@_a=ZT91T#dUgZ;j z-o(Vk;4-yl_Y_n$-ilxvYFG8^vej{r3-82WZJj@BO$Aj{F7GY8$TAL0W#9& zCC{f8k>63YBsEQ{P|G%q7^g!_IfW=p4cMrTDc$%*V*QDY zU=IFs=6$a>-b5dJ(RmLrfi|S|g?p!v5DM`}xZ^;jgBjwz3WQ|c9^GA?2}dL91Joib z!dQ_>t&_0`XH`kQkupZ>wB`@4lg@HEM_?gD|~O^nL&HKC}i_P#A>}V(%jSAkyOnh@*XGW0_P&iCKYm5r#ATvJ?9KeD^E9 zzen=Q@nl_)hhR)Zb5VAc&+~#D4p8}^phraECEeaMdkUuSO>D` zbs0!@MvoMAuZeH!OkDnGy>22_sJ}kP#PS9&ugvN z-QNsHWAz~?NNkdC5HNm$(F1s8O^r^R!(QqT8sxrrOC zT6o)2yfD^0Ap5;Qs!laXl2IK#>aK8vnqkSE;1XE;E!e>JdeZ(Eg!lfm52J>|D@s9S zTp0dnA&6m}fHxul9MRDt>&UXpz0#h&mwo!A1{I4QFQ?= z#?`75V%{iVL@iu6)TH7D`TD-Q(#XPJw~?XhO%A9EgomgAa3o+Jj$l~iS?WDEC1B+jM7#|N zcBHu_R7H=Hrm*DVS85*KX94E^7s+^Ehv*-KaFoboV!6A)AJQ}k?)K@eu*R4EDnC-! zz{GkHbo&tuLaN+pO;+0ft73NFApR(Ga&gJzg!4ZtyMm^q29;>cu$G*_m&jyl)+2#)SEa!b@@iG3(l`jZY>F)a)BtRk z)Cr$Vyv_kZ&!#K^T;dn4g0%N1P_dZEtIjU2OUVN&hG5nZ4 zzrgzAXP!!5Ranp$ef%Beo$;ih!f#d61}F)5)MbyqC~+z1IEgu~P!$ty8?C5386`(S z)VYo*xPnV1CtDXF%;o+-u1>q`JzBdA zL{UYgT^>-96Ni}y%fy*HM7f$JU$xrUipw|MXF=KZ`glD0^xkLNKb^v5LYe-4?Zf}~ zFkCv-=b1oPAyhorWlYlblw`m00_K&RM4sdDI+Kf#F0+MN&~NfanFwNZr?JrX*16>(To)zoT3WT=Hr zBD8J9Bz3cRy3oz=r5_`hvPME9Wf2Q*A7;zF9)ashW0XR`29Dl4|?q_WnV^y-=E z)Y17+|5-3ijW-{`caFSB7;PvwNOV;-iH>%p7F<9mGve!&tcNS~XSRx-LB7*+lN{kE=ewaa)1LioW8zbx`?{`jVGr46Xo zS(JY$JKO+-^Ft!0Qwk$ktaE_GbZ0w>$r4Qa`~EBPW>~;F3Ur22HZp73Tb&k=OS>(f zl`VU+`UyBKZYZhPSgWAq0={-JGgxdgD8-EqiLrTG{Tn!sy)#MXMn3`fGHcx^{>LWp zr28oj%V!XTNS&7`wZ8N^aQf0Hp^Y)G3jmcm#{+LXU(6<56~H$3RD{()>} zn%crc>J-EKI_(++HWekUOR6%fV^h5qnM(d#ll@^7NH?1%`okp*#ZeVy#0FW4L(9A* zJPFTNKO|C^8q%SIHP<*L^+r-oSFb=tT3EIn5tK!C{GEl43c_;}c!D^phrf-D{Q!(Z zNI&^HGeYpw$(T21F?tNXJD{HgXPvfTcl(+%9p(-w2niz$4x9a3Ug0;|VYv;v98D}3 zb73%RNj9gCuD8I@$->dRvYA}&0aVV!z_r%^MatNB25s1=mv>W90RJt8&r|$WFB3y4 zLb-$YwyL!%2$_*;L9tSLmCF6|qBxv6R_!=CrLn2L^~_K8nV)VqAri`| z9et$sFpNjsYtH{2mb{pRi{UeI5S(6ro#-WraWZAcIkgy!NXE}i4WU?OX+6Lq7v){4 za4o0+mKl&|0Kwv|VVu)5SJ(`5P>M6SyAfc1BVZ|O&QR>msdSeqq*$Rdzz5!kB+Y!w z^n6IE=(M{lds93X98Zegj|8ko@))NZYzp@FSORa*>LQ~byyUeIM9~0JAE-+%@fTrX zoa%w0seF9OR{l6xiqDOu_BrE!mVe!lK8V1H?<9oXFSDk~i(3i}$dTI~j&nDftDKxw zV^?n2-*-fW78uhrM&4UR+sBAGg1%HsuXQS<$F1kd{cP>c}h^2>}+ZyS>!C-$)=z_I-ZhJ&-1rjr^8IkpUu_?{!#%8EH&`lb`(^-`Rq6H zpE3{hfKn_d$_W+J3J*h#Nh)N2^BwPYnq#pmpK0eV-}HqXJ;fMwH~iX+e)v0!J>)zU zp8atT=^vh?SFKHiG-8@kH2>_(qb^gQSBgBC;xA66my&KJsyg9-ql>rik=4|KU7rRXO@rwRVjAA7-qdjsG?~ z=<0qNo<(2QLHIWj#`R~MBI;duAA4J-oA z3poB5Pn{Z|zvG8q{T|fKrDd)DQGrRAkG|{p@0I=T9Y-tPP<6Vo=)tz3kl0_kF2dR` zDFy2kVA2J%YL4oDwVNDT4y;W(nr8fHZH}|Wi)T<5a>kM5z0Y~h386?;T$K|ZTU8%@ z>95oH@W`~lb_9ntC}X`#B+7PRM8F;IXp!opb(#_&&Sd_LK>oYJe(}0?WYs)$JNOyP zvUG<+j_LXFG2U2q^Dx=Ya2co5u_s8Ic7dp#`rI3{@!Ew_;dpx*bLVnF$Nq}rph6G_ zV;;7dR+>EY_cvdN!l0wu9u9Suk~y8&<#_S?*5BM>mnWK;OFB=u;Kt*Q+!HRjo}XiU z&hLNrlXRbpvRb80Z1&Rje8l{y+1Bbem%+ydi9c_(cLOA|HNmABo!`+z*PA3O^|n{h z&R6Wte}0=S5T@OyG-~Dhg9q&FKeq@Cme0N9rU;%%)NE~a3M=vPn6d_$GOExBr<3x@ z%7Gn>ondi|M!(>ROfUxa?R@*%hIFJz_A7SX>8bVQPhDA&ia_6MGl~b!dvzb)x7gHw zCM@xI3_{ez30J&nrO;(z6bYaIEu7>1$V$r@_t+9cA)c};3L}4%9837I0p?DV(jXJ- zIwomnn)iAC>~aw*jRx!1pEICt$QOHGE^P%%IfL|De2FBc$Bd{V<@@8D$b2d%~T zz!Sx7Yoy|kY;J$2XIj}eHfV!A_}@O{Y~RYGE??!-voJ>epeCy&zVAt}6e~_n6|0r^ zr}9_3?SIW7Ot-%hr>Iy{lNxtN=+B`Ji(rF}%T>iV!1qOv3CS(M+LO)ocy1&4elRs% z#Zq)j^Xe_V8D;*gc?%)G#nh4K9YmY8?xNYmstFXK8y*)YKCF;l5Tz29-N)kp8OHzj z_vg&{kq2|q=GEcaBf^^zzFe=cT};-FpIZtUlmi5;Tx0pY3UB_T&uPx!RvSuiIi191 zZdPE|GqFVpu5WxY4gDwnVRBa|#r$ixESn7{%UJovUglH#~^-JZC$*FU;#y!5rpB=|;8O&_UA3*O491H{sLYg4>j8%go zg_0Vkk26kciJO2^WtsK|147KH>5=zG(oa&;+d%7r_+-M+iI!6lC;fdEOYbuJg4ZfV z$b-3AcE{t~tps=kMA&%+TOm~A9Wrmr9@FCJky2=>7f}|fwOA`bt-=}t!sEPd>oHa& zV=^5AJee*l4a)5xC+-4)nNRbE0%0v@uPR039#|Tn)b*O8!z-G5{)Od-cecem_r@sd zYJT!~OZLwGuNoOTOVUXntK_nm?t`=r86ms)q^3BYTR2)kjA1Z3r(v7Phv*z1e;F`w zMwHOGze^mQPj|gDOnQMguJuCSpd>G69r3_2|t|k2YuK027aa^Srj2P;qlXBi+^xyU8P8r@<)(!@KoS zdUZKne;O!HQv8(3~k6m9f&=ZEA@#eEr(y2qW{-RO8D9G;{dXEB5Cs+Vg2TJS}<09P!=KyV_%#f25| zoGnkx-svNLqnghuV$@ABsS?7GF0BCXmL7)=f!Dp{d02iA2M(Kf(o1J&MI^Q5opa`? zpBs3-c*;Y5Jo~52`y{_*Q^TozrJmvozS-M{pQD|i6w3YG6CYEkdd2dO$_=Oe>=w;a z;jl&1?HNJc>x5CCE%x|zIr)btdoY8)UH5yK>b^Fl6Q+n5!LuJ zqo$%%Tt_3R)L)a}KmsO9xMoiTYQPOoWQR%_Y|`i8cQHouBRkX&+HAS)zLWAhXKXH@ z2Eu2>u2Zb}7~F)|mlpBEkjxJ9=&CZMWr2zBy^B@u(k)j_R=W^B5085&d)!)jGi0-* z(V(KR#V0*%%6<>|MVr8Qi>co0AUhT5FvJ*Z3;|v!tq-mLSIN?2-hHG@S&IePQZR~5 zE@1w+t-i;rCj42f(Tef>vPu`9sk>_|B~d1;*qxoKQ0GYJ{kk zGWFY79DHdMbb0kFK)wu(lFGIU1a_1l2lEj3XuhO$Jk{R{wt8$}urbNuCEyc+OcapO z{StD652bIc?nir3wi$y->gr@KFev}LDq439dvPE34fie?7WO}-WUM*L^#4Hj3zKmN zQ`=EJ4N2|Mey@t%$@?b1HI9FOREZs=S3Ql`;OaBkcVV@RBM+o@;og7|7(OHJ?S{MQ+p|7K$7C zilk#5!`a-V!}L8V*<+rNmY2sX9S}1yP9BZ}+&!ify-t)^>wj%H{lJH%*aAV)tI$(S zAa)NNHmz?m#c4YbGud?0+{IePJAhr`af}rD38AHb_;KJGdDi4W9amTRQzU9TFV#wQ zh`%WhN{|HiCAdLbcgsc42&2AK@=t`HG{;ut%op5` z80iPrDqa*)q>gw+jcjAnbQfcGw0}NSr}3`skV80&yUy-7&&x7q!U49tLSL6AY7jvI zgAoKI!oVKdk+2O`f7v#nSy`ew$Y-SxipTgHw^)!Tt41rhp{QrD!4Aqm5$pR1Lxn`+ zPNOSs=#*#M5G3Tji<}n1HY>2KZHn!ZhtW+vYumYCd?T}R@7m(kut$|x5t&xQ^lmoK z<6I4124}*n84>%MS-hQ<{R?5^r9SVB-L6O^ydh|?^ zf=Me}VqsxcN_zZAxFfMe&h3W8(LPm-2G4;~Gn)^-*|sgvvSbtY%Hk6@UlkCI7NB=C z0C&oKWR!FQSgCn~_#dt`ks9r-aZ&h^YX}?7NuavBYMI3&JMhKoxe48gK0ds65V+ugDWqnm}Rv~@t8Gn zq$Iz_cpC`DiaG_$Cs#?&*i12Hz4c~k?-tcR!Q$#MojqFbpYJV3#6)x*TC3!@_HpqR zAgO_X^p4f_r7?f{W5Sb+ECJ)BEML{F{vKhNuDL)ifO=#GsnEm?-D%1LE@|@j?m_s= zF^y`QA8i|O@*r>!d6ZSDXmUDb%UQ-PPx0~mFOO{7b+qo6J8Z(O)0a~k@MOE71`7F$ z*DMz;2&!5k4k(5y0?~p2C=apHEy6n0F5I37{L6+5cIbggBj$~Z0-GCWqYlTc$OE3WVIrbv4NJy~;xF2s7mlMJE3B$;So&Lx zjt31kwFYQ?#f=Q~eX;VA4{yy0N*W(oI%82Q*C83GQZy2!wT=hQ7mj#`Tr!@&vFq0> z+VSk{&@TsA4`9hhD&%9BUFe)a;Rn_VxH?D+-xwn_29e>qIvl4oMh3Do_s>ctLlxkjj1R^lZ0*e;g@uvFL}6^UCy(Zq&dm*5 z%*|L|z{GJ=&vbb~$M%q8FC|SYl{JG!))ZTm`m|FEB%fC=1R5{vV=}5^hdquqV#-K{ zB#B+GzTRqz(IBXtWb$U+09?H9?jjbK3F$?nkUiQ4)z^vEAm{M$wxr!HsX z4|&T-?gD*+xKne%sj?k+4Ec~*R3=&TS}WUyu{zz6E7IGyfDnm9 zPTq>o$T|&~>iOhHBsKDEAP;w9f-Yau<*#`B z6YmbWlDlwWb*lnsuHV8JD%_vc$zRp|)xRM9I+-*e=6}BT!Hf!wA9dNwDg@pJd&b<1 zX!9`zgH66GW~y*&kwovtDT~_sMx`Ts8j^C=zo4lY=KDPmK~$S9_-v~5X3y2XId;Gq?`OctA;WG3*=E0{bQ}MG}5(Ge|Nl@ z1}0kWER)Y=d66+W;`@q&(E|P>zdnOnFZ0QlCx7jtxvn#rnKU;g0rwL^NbkFmi?MFY z8S_56Xwwq$cG52m?So&y*u2ikOTpxnV2kpK&HKaCZ4ij~ogEm=u2;k++o+tjCO@4z<`#jj%T?xx|E zLT-IU21B;mv$oh#wcgQH*c@AgNo$q!u}!4@$^BbDy9^fXWAZV(`Nf3+qt8NsQw?tc zaPGtwdp=Tvd&Y$1X*Iyy7ZRsqF^qoo&Wt4~bpul=7DOZBBlJn7J1nV6C`MOn*~NUX*|sa^0W7_g zF}o5hOu%#nlfZp(r&{*qVp9Lmt)5)_5S@RIb^TXsz@zm8r>0z+PESu(H}}_G5s9gU zwAS}FILfxmJ(G2M9^*zOrBVN&cKk3ce1aCJnVE)^nTXtN~`eR*y?1p)`WyN9E%}J-EiV|)}t{itZ?b_4fF=0Lb1N^ zb!@y9kq_vYoANQn9NVfucE<(-rMQl3+~Equ;34~{iFy8)*S<lUyV}wlu11!rJ-lN9$;WP`*;S@ndOj?c-$ASjSjeSn1-d_5cSBO0UDT(B zJ{jn9Iar)n$W~*`G8)+nWVH-p7)||X-=mz={T$};Qbjf@8A9?nN0zJ?d_8BD+}&~& zu@*kp$Z8WJ+i=UrAP2h{7_2TsZ24{@|L94|YUbXY{sQyv^N3OZIzTuCo&5fNdCCk) zm1qujSlsVZDKU;QE(kq<%_%zZ;1fj>7*76l^Xm>%R?H8+()X1v0zIDf=O#YP9TQUc?b*h^;rb4oWx2}%rKTK0JRg80y%&=B*F`QG>-P>U*M$gFs+#zt~UdJi8) zmDD8rc`&NL!f*3w9w!(PEy~xZP#WYZO+suO6zST_I0Yv8D>3=c&tMqM;u)3q-SBu> zaObz7o5TsdR1fs3x2Uq=u`zQshWd_Nt6?(rwR~x)V#BS>4mxZ!7guTHwTr7lG01HQ z=~*C89)2ITbcMEqiqn0!Lvi)LjaNar?mBYK@T9Qi$sMXjbM=S;n$dEX*ZL<1^U+tC zgndzz{egs;%w&BOA^+;Q!A_<#+-q(`bH&)L zw41h11RQRg#aO&}K(M}JF`&vsB%>L$Q)l=!GD`wz8DI?**X9jPd>^#Ec2sF}=^9F6 zTb}CYWCA_=4Tu9UeH>?@^%JK`0Fx?t`1)#u2oaAXyt{F{>#!Z!BSz}7HF@S=RV}^6 zYI<(T?g}sFI`0(9*Dh^DsO!HuBDA|(`M-UkL&MCLv9}BYxeonc-3D}62-mRpBcP9yoO>%ek59d#TyV)Lnn>bG48PW>BCSfd6o>E2-F-c ziPhnmB@wvPSsUt%8V%&a0A5c6Mt?QGfA#PZ$*vhXPn{lK7?oFSv85dNaWUuQUq)u} zqdm#65VHV)6)lqEB40x)K?IK^_A>TPoBn2K+@xg8uYcopE~-?Sy(jdJ>|eRW3ian?=KkQGdYzxd%vCXZ5s=4-izgDhe)!JRW?88xlS=FqP~ zAto8h`m5fu5coVCY<`lDjv(%4DRDWxAEk-(88}FhCB{h&NT_)Xy7U9r~CuilRuixyALv?mxsWNf#L znQvF9Q2%WpOD*3vmwHb?;6ul2|B+U=o?;JhU(vKuMVF`agnStdvejLaVb%u!G7^v% zqmhqg2iQLxh8UO8R93L0XQ)s#XV&aa2rSl%Z7S;k#DlzF*1r}E#1@tT-P(7j5Ft}r z3Dd3-oA5qj4>~Y6wBHrRGT&ZlCH%+d4)Si6W2sFv?&2j^68AM<9t6l{Rt7sZ*MtlU zt&|%~&VL#F`=xD%%La7E`N~CGv(v+Pw5-P6{?&aib{n)b=_bGf`G(2M@AU*{@q&MN zA*@Wf6kFOVPOr&5b!Wv386DC~FV%R3?C{cNV^stSJKr{WKDQo-M2Gh)wa#sJ$zvs(7{%BOPMR_Ge(NtA7#qi9r}kLYS`E zl49D%frxNs`(mWuP~yjVpJ-#8#=kY=mteVSBVG~My;D;3^4ZbQN&RwMT7AaX$@aCo z|1=Az>2-T?C)CR2j*l?&(U#%xWF_%W?wGi23hPe0(*TaR`ks~#2{(x18we3=33ObZ znEX(YIURo;A&Y(#8iOu=;$$1OEqMnjnKc3|o$zsok8fd_0tw95CmO?Tx18sFg(8Uf&fEYcCiEc3| zY`_#gASg5(TCKZc5?%ixhltSGht%ha=As;6die*#y{}im-~Hv8iW!NE zJBV8Qt&f`OGei}=jgX3>`UZSAg9!BRXnn8= zBU2n3>saNt1aiJN>X!9JVS^!`?qRC2es?aJb7l6iGlN=M2@F-IRsRUp_7I+X4E#z! z{sT3wP*m%pObMC0)eh+-E1JN(?>dO0L(?aT{XH?cUn5)aT~^QJ9KTUK90&TcfA~h= zSG~hJf*qV2gti{?zw=u&@A;#2ixUUGjKDM+J-K~}yr`V1?cf19_PN<+>L{n0w5-!0~FQq07+F2BRFP(Q)-p+~ytg=4n7NG#b<26UN|( z2eh3G0$pBPB{X>?&C9Q-<^HJALc&eNOqI=7MQ zxStCccl1|9w&2@Vq;dT9T;fu_?>c{pOl}-SPh`b4aijdG+(lIQie2|{f66qNB^j$% z0^Cz*!Ft;Lin&QGsL3LeE6zgtt5Qh~aSigjsdi@@(6jaen2gKFW1LoTjUQ*i{``N2D;tb9g5*ALgd( zt^L?me~wtqvQaQ^F{F=L6fb6Mu?MB%PA06BRJ0TJyr1Cz`oIE+Gqbalie6>A6^Nz0 zg%-eH`EGa#w47OJY}so9BsO4{W97%chjqGr2IY3UJpj0v@wDcdv)0f)-7sXP<)qba zFMij6m`H5{5jX~B$3qOQUAe1CxjkJvi*2O>FsIgI>}OQHn{9s*5BkfK&R(l*?*;#j zH2g!z7stAQx`a$kOLpv2yz4z`>Bt28qy&~k)G_|AV$fExu~S0%&PbET<3u{xO9cYb zX%Oof0Af!v-Qj|C)i4>oa(7XpJl=8fE^bph<@8!;;DVlCvQSM`jKkG_Jue_~_rQT|bG`n574ODn@+4bk0 zdhyWaC?qlo%FfExZ zRv^@!=&k1B4T9r)w$U-I<&mirG}c$sOweSN{SgZ-e`OQm^4(xc9!XI##x^%mJ9k1% zy~_wn7g;#Yxa|cYQ;e;Ows(PXg#x+Em#xz~w!WbrCULIExyv5fdE!&CT;d^7zSf1| zVEF^cT04@7u{+K)sI05bs>MfsOT{Nx-LN>4Xtg?;z=*gZdsS`%9!CKJ;Ouh1+0z3_ z7X!010HdL?B54OUUw4BIAaP1nCaxTkTJ>3}S&#Mxx1&2%sc+TMSj~b-j(xU9wunw2 zi$_4tF2u)fEBo4Gc$AOEsUPC>)|U|j)}bAtO_|=Q$LH#&V}@yQ1nkWICn60~xEyjVdW^kzefqnFabt@Vl03+SD5KF$R`n}zGn z8J|6|>Zf|C1))gtUjS_@k&>+g9Q`2749!L~<`@it70 z`OX3IDGjDS>SA!2IEjzx?JsVZBMjDjd^Rso=@VITIkc94i_6Vz`P{~YqM~!2Gz{!- zKB|s(Ou^{Ve#`bhYa@gwr|vHwdDN8&I21_TXKw%rWXDFb(L3o6MdgRy7(%{>5r#9x z@m8mZ3^M7@mC!1Aw*^5Oi=MIbfL)wYW~D!02mId#lH@YaO~l76OAnQ_xq*C_DN7r6 zdLdfv+I;%MZnnlVaS{EB(Ar+f8cuUHbZ}GB3!!$Uu{Hl{k_lzW5Z7z+XV@*9C)~I< z5y+JtcC&)d^Cc%YGGZfeps8&)A(~41EJBw<1h31Zn9SIYEDoW0CvJ8Qu#+?*G@D_? zq{JmrCp(KgyyrBorPoNy3$*MZL1aQe;QTr}{6V?|pa$T~Ln%lZPHV&?orE$3R&p+a z$9;*l`4Xqp{9{$ueD9jXEerC$@7p$t#W3)0cA?Ofd|nt&IY0OLj0MvGJS>7|giSHn zAI)_2VWWKNu0&=g+`)UQu0Dk|-|MMUZ@M5^DTwy5E)kjN`=(5j7I9Tos?WO%-jyat zC;LfZ!(YR{FbpYx4dPB^7s$Qv4^lE)d+c9nmb9WiYAwqqWvuv)@z<4MSt^A&<->N% ze7A7R09+uE%c|G*47dEg)8#>UPz|O`MvI8zbH?bsl_G0u*83uWSk#UX(?k(HUfTF} ztIA7|i?4|b(_W|ZYlZXpK%9wVYj?@r>czc6xkY{5BH_A|)9B<9T*pF}3OexEThVwKdrHTnY5J7dX7}mxGeptkf>Q|$a(*vf4%YQ zm;t??Evek?bcFBEMy0iS(cTc5QLngSdQGG|9|n+5wWjFpW6LJS}3?{wkyy5pUx zdCs6%j5~LiK6|(AJ}w84-Si(vaA+APh0n_Oe=Ng0eA;iK-L%>J*uD~w|3D-!)=?c6GD_ip){YmTZJ7(snNhRLaeGWp~r1?Q_r#VT4co8pKbY zL^weM;YOBRDei8{on-zch4wC`f5Pgc56t%;sN~IsU&?pn%&>r_?k=^se2$J_y;HR2 zBs7Ht;QSQ=%ht(!w|Cl2BOPOPr^g^&ocg<5;igNZHf6E?O2$U19OlK}lY~CQiOsyp z>PH|({o#iEuZqaU^Tvrr%hYuJ@<^o5%vrg(;dKsx$Ws68Ep`#3gJm2z_^I;I)Bmdp~U`%-b)Oah6!7i}U>X*uSU zGiS#)a;PnSz{{D!%mMU1s9LeUhW7`3H0w#piqev~gJ32>>o1{h7T@}|4ty`7J4C<-i6GkK+|k`lutwb=Bjd6lbL}1BlivF zRt2S{cvUO|oYU%u7vb=sP1MB;aC!-S#&mooOvu2>b5Ls|G9CL4ta_zqc1(BnFq;6y z#Y!q;JQ-?G~ZWr?QOE%SMlH;*w1yPw5n;&2VhRF^A=4jwZ)dW z3(G?31Cy-(YsTveY2+LFZw~&%ztF;h#$&t5c$`=B$ZgwR(}-$FCM?8ZXJ6c?6BP^L z8b`q;j6e@MQP^|Gua>6)}2;9MvBu0fc2eTZOa&sE5<@JYnN`RI9{cAd$tuVIn62_Dc1qSV-r^$M z`-&a@vkOF;Y}E$`{SQUd7n62o`xECmNI)vKF8KlK`vE0h0S)%=zpMY|kAa>emd)j8 zy;_1Qb_O1_v3(Y&eJoT$U5v*ZoYlAZ)wI$>rb-zAbP))LsgG%7{0FFrz0s3@jiI!* zN%C3(*Aj{_1KSV2=2l zxTbMyk=7f?vbJK2Z?IdsX)DWND3;(~SF_Egt^I(ls#F*)`j?QDdXA+1R%2q_G>@ zYS`GeZKJVm+qQk5yx;u+IXP$VwP)6>5y(Qk_x606@ifQWAyVFI)kB?tFti?1jpYQ( z_g;KCz)Y-TuIBauMJ_pz6-@z$%M!n;UN*MOY9&T?=Z99MkNKQzY#EbX=DH1julzNh zarLXr7;HJY=O)~@HZmU0s1*;ut2)d%(N<&gqF zG3UcftQMy(eVHhKJ%MA!ECEVD#^b6Psh&Kr_T&-`0CLb(%+s=YklZYK1D$Q5@*sehZbfs0*FSy#5XDaJRcB!L&i}^$%<8$?BoO z*0s6>?7}8emaOSCLmyBclK#}cYu*5;J4^7I;H+tnAYt?-xn_bTRzOX`WU)edc;&2J z>obhvPEO5vGxYJBDS!PrLF^CYqF%M?@!?%o^^)!LL3)+9h*l)e_lOMkvj*fg3h)cU z$o&ebX$zSJYc9N1C-fiaR*_qfx)1;*`SRWP7CX7Jn5d;5&}bgoK%~jHyoz|HmL8KI zG#yCNUpXfFILG}^jfsUOHtY=FLXhddy)Yg+dxRUp6)FCazeh=?`8d1t?iw6*KfmE+ zi|MGrDWR*Bof})q!eo~8I)$nblu&h(`1l;&1*OXa_ValSu6E%1;?n;sR- zO>}|DkfWn-Z4;j353jp2EPVz~G0sK1y_P0JvHPZ`<}xpg##$A{trKvcwYMipTshDk zEpG&w-rE{?ohP^`g?$$sslPWIHLhakrC1uC*uGD7%jsNq3SOhG%U##Gey!gAv4iU$ zZXC6UuXr{7x=HCh4yr-JvdC8uSSXwacj!Z=38geGW=+E+9)HEWhE+>f+-z7(L^h|3 z)21t`sXe&TVZN!ox+k@mm9+)(e{H+F9RlS0=gs<5 zCFUxHzy^Ua+2ixr<3FGj&f9i-8Rja}W z`nz(lH;;>`AAT}}Wb8j$bDnDpwa1EvGoQXofEbaClk=kVcZ#VPx zIzd&~xVW`at*_?1WAC%UuYD-+RmBn0Y(FR11%z9`?Giav>IZshEEkW-HqZlKPXf!N zF{HfAXMLZ#)9em64R~{OyvN1`8Y<;aorL_j_I_XC2ex#%%d#(Jgu2N~6W^J1S36>Wj0rLz|mp)lbzOQlri zX3V=A&TBLlieh!T5KkMvW|i#YWwlQp=l(EzK3Ml!=+q}P7#k~<5RN6)x}d18?f|0p zL}3d%9QW2AP`L25qGVQ`?jzITy~_rFTB#If@lt8iVO@toDy(;FJ=@S0ZW@?LPW~%j zl(Hx&Nm+OhS1i;taL(lXJc^MY9D-g)8|}#_u_V{?VKt_ub9#ba-r=kV6)UHvXgNd& zm zG;-*UqRGpNw^Kq(sUGJyCiWMd9}stRKCtXJigb==1-1XZ5GVme=M6{BBhX)a)D?xY z-lC^TOR?zv2eIE_W{oa_@|GnTIbQ%+si0$LOOn*gQJiX8=LHTF>`MYRLJR_=` z-5Q6nJcN|nb@z?TR7?u}CEfU=GhX|_bXL$VRo>52+eL83Y$3vASJh3$ZS@aGL7zky z6_Cf@PM78N#5c+vVPh@>tly*Is-Ka1YsA7i2?UkbXDz&;CVUJUu@zj+zco51fs*Fg z9Li*V&Q|n&wMRRjRpEN5KPh`l8g4rtiv=uvKpt9C1{_a8!s!fIDo5+DVEi`B>M-Y9dx%xi?3aM+{Jv>H zW#X-~4n5iubD1>?@9|O1MC_ioPnRJ^mK8{HPTuHmDWg*#&oUkQAfyZfCZ(NCI9>PV z162|nJeG{7#W+t2ja-$^>RU%->4n6Z4dUbWDrusx@H6oa6|-xoQmZUoX;3Hvmq!uz z|3CI1iqd6eWE7Pa!~3o@kD~S@M;Jlthhj{E_dS{FN?@>MW49;@w$X;;N~d@Y>jgCb zGYr;E*y*u|JU1-sch&-Awg-HQ71oj`^Y{UQK2O|AvA@EGBi94Wc?VLL_2PEawEr`Nm$ZiAw zVKgG+a4Ca3lemL`n-wc=uqnGaM11xwZ3k6{#<4rE_1%@57J6?J8jVo;Uo3Dr z0mXOIz|AB8$OFtBU8LER@lk^) z8hfO^oFnItR(Dtts^S7{ztQySjdI_x-?QFmNmHZIaSN1~ood!45c~Tbxyd())Kun| zOxV>{0S3EhS386E--PseABWXn*rvgFo@w^$4JwYmmIwcNbM-qZ1Hk%@MAf7@-mSGP z$a+RU<#^tOv~7zSl9<445I7d6K~Db?($NncGJ9%?yMy!Aw<0m>`oR55vJPDF644_U zX(5gA{B07`=;aIO5khiE$Fmd^xw!Z&BU?hbFlkad>fF>ohr{Ne4f~BmMv0c%?r);6mmhiUt=S+SB90-tT{4y( z^hpAL{v{LRY)Cm;i1N}Z+TUHw0yc+no+K1GHwCmS>~s}}btRqpF{wrllB4x|f%R$4 z`xB>?qhz7`=VVP^dO1m9-e8cv)@wrv<6zehSobX=v#a&rS~~y=5%LP@JmXtle<-S* z7>P(MsRHb0$qq)Ya7BXfg*b#b9bSZl^h(C-tjMJc6mQDJ+v%vRoXDj{p3XIZ@+5}& zh4UcZ`=tTZz*}T@>?d~~glCfD5{G+jzd)nLNMf;zC{Jx7Gd=pprR8<%lkd1rBZbUV zfKD)n(FjN*_*m%#re0tlX=l|#5LFiI;;< z6#korImLASEBr=h@bAiye{e}te}4P3qlgJL1>Tgb;;V4`k!-P+aq0b8fG!!@eyK_h zSvWloA6-t;yh<~rz{m?45LUKto1X4@?uy-m;H~bdhi#NnCt@@TI39EV>`sq5$8R`a z)$&*BT2VG-X8W}x6DwJO~rs1w3P1oHH3%ETS=l2CKYmiS$E)82X2=66E^LPUoB#M-DA~2YHK+#7rSjQNcoq`LKiYZ zqqlJ$$_NtRsoiKbU6!%Za`YHc0bKCAb^ZA+NUUz}Az%%gqIDs*{x8x+e+`Uig)hxg zltePb>HCfyy9Si>DbLbZ93}sbGkiEqH`!-63Wot-O(_P&G~tUAlz zuX}>xuM7BR9V~CJ;g%YxvLZSfLTLhj$f}~EB$ESM&?J6Zce3#+T|6rU%lXf2fjP@d(^j>N?FvJo#yQb zbuiRAuwZX1LWz3pNAaHM zT@Egql875PFuCkDi|2z?cIBnIEP5TAPIM=BV#mHAQN3I83%=r3!e4J++=v^^nXfy@ z@Lu|~)=+zgDjm0Bgg^F`_MrEVH;VsSE%O^Y|DOJwGRbgr7?DTxRn+T#M&m9zob_U{DAJUaC3QCDh%YjVo(pP$AtiVeuSmJU8c- z1rzuTMC=q1lb|-hHj`qAw7$gY4CU<|hoHlR9VNcs;XUmj3p4dF?oaFRARy%$54d;ZB28ed<=p-}E4EJ0hb8U;Ck@V%ybz?7s^JsC zeO%2~JdJRhN0UhI9cK~ifE*^bAQj#6&5gq~;<2tygAd9CDEB<2=qMF-yb z4QR=KWnx41$=bkW;&9$)$HPK4it`QrxJYLI5HcN#jj#_F`^QAQ3_w*@BOfh9E)fXl zZXxT?2*UJNLdGoajsK>0ENjg7raev7pZiAR5}chYT0tZZ(6~_6ISv zu~G*L3!lI1<$e3vjJs3Lnx7**+|Vv}WhbU%?pG^uNrTK4sT~3T`NWx1!XrFV0H-A zllh9)&Bb;&q_WrIMy06OlivF-(EX2LAjXbQPUXYwfDS z{9qMM%{mvS7hfFZQ7I}V2{hO3d0Ab-#dJgx^+Hm$1TfZxcpWf(ziR0$y{7xW%|t+r zT+ycI%bH?xkfO&-+DJ?P|dVgjs34(y9$d^$-{~(48mF zx}PfJdEyUC+L68<*+s+gR8RHT#0a17YZmjnmjgHLeZ2Xl#&L{&C(noynmQuh9O9GT zAwP#pYbNEd$?I{yt@WZi^gr^`!U7?Ax)4T#HrR>OehU1CcEWLmrYZa zzbK=&HvyQ8j55i2Bhg#G!ok=&3`GD*M_w$u$rbOilvE5GO=fruU&un@n0I;IMylC$ z*PYi?nihUjK_<{gt-oYNU5T)?a#mk5zSkPD z%_j&jj+!|-ZQU{tr9w%OwL8UxDNZ+Uj@2tw`EYnT3)+==!GDHyh4gHL_B{I3Ke34d zAUF?u0AA)333Ln`#8g-bI)Am*Ig2))6XHPL)P02EJ_81Rc>4o$_X7Ugiwr%|Vb97uWPo#*#cTUq`n zn}rONN$jEM+6i@Fwj_qHiy^}qP#TI{m;xc~-R$~wFG7$ygJVDI{~*9ZOUk7Zi?|Kc zmoGB#D!!aQ8=5uM2|gX*P*RuVzA~RJ++rP-3)5@hXvABRTgrFXWc{Oz36?MUI&Y|2uZ^<8XFO4>@3HU(zBd0YhhwsfY@;w~Zn8;sYn7TyQ_wl9T z8~+8X+j22@aJ*^>KiLQ3;#$@!MJGXUyi&8mMGbRez{b111I!gA@z62@@_PP{`A^IC z96`r^&rnsJ<$rkq^#Lpoe?!!{KOOFkEx2KdN7g8v9F5alK!Bx7fYF|W`dl1|6D51k3aIPMp+?q z6zu`g6eBOf`$(;3gU-fR$^=zBwndJLn9>#6$!vf&_|*{>gO>9?e`k239cND^AC8E< z4b~^B*5)tTz8|2D%mxm2Ts%G~fsj$GcbgW4`|jwl4`MMbZU zLzG8;Yl_#MXnr6%A?Z=)xWHo-`k+`}se~>pXf#BV$M=Mgc@>4ey=(#o#>;A!EUQ=y zy|1%d?5{kIeM%Wx{^ z&x-@kx?ec%g-h#EU_3Y}f2Jj5b2>`tS4GU~bUxK7yQS+YJ4v`C`Qdbb8D3@tH*5R* zvG=QvjKtBQZV2S3@h^{k2=o&gPKUrWI+voc_wJN>)dy|pWH8_htHMfakqb?!$~ z?<+*cwF%710eVF>1(im)2N1i1&CLSh7$?=wsiyf3+{K%#6*zr!O<}7dqRgeelJQWz zr1ol5@jdC@ful+3m!jr8Cevj~M^nKYSTF_*xP^JF=DO(QY8G&~eq&$(1A+3DZ&GpK zN!4)5pcMOa`sdAZ2TVc7EaJ{vv`uH2&jUJg==~vJZJhhInz+V?W6SI1!Lmu0+vSPX zBL)sEMp@$n)8M!I0M+G{e0KHTPEsgZ_%Vp?vdaa6=prtjeo!N+RoM9oUWdG3m)=#( z|1T~97}$7)e7F4gnys{#PQZRe8){S*kRbpSD21o%0D){ISSW%va+5S%1zsrspz{0p z=rRU~Gtt49>Zv)Jkik7$RczKOXXz|(lw4Lv2c_adx^kfWic+%^Shy}Src5j*{#_xR zknJUwDh&l{1N&{&c3aY95tsNeiRU$$TmA`}Vx4OeF*;5;oreNK6m<~0&Mv*g^ zPv3I;U00X7r0}{#%sHN2gQ-D@p10slcqyCO7bTJWqEW?N5W~3v6Ye6fB|JGWtog!yw zImyAu6}e|Ws2eiRyqA2*XQmwcV7YNW1(lqR%BEn<+Xiy^R&dyZs5yR-X3MH z2C>BjLcFcqhSSoT&RKn7h)IPcjpYnOV9^X%x>BJ;`sQq7h0|RJfp!0l^D5nAP5SpB zH;X(I>j*O@+3BlDZ((19u7TSKhLMufxa$KLtc-x%4)5+L{Jtbp9lb@EC!a_Q8@<}2 z6q~lO^)mL?V!ts;_RDCkB!s!XO-adrj}W!nYNcZVnq!6koat zT&7b-%@Zy-t(9j$ZC>a_u=G_p@+i$6mnHY5yYl>Zfsb1%-HSWf>C@%_TyUAX@3>|q zjrY7qdJpT2$^ib&jZqjb@G4kBG4C9fJxIuuh$i-_tMIA>U$z&K@*_Wapl_Ab8=vwM z=a4zItHedC6&^iR@EtyhvLtLd)x~=Xuv|ng8m!GGv0(-xAiXxd^`<1HCn?*`c=%%y z+ARh-1ZzbBnUqHPtmXHT``El`Wnr{E3W;TnhTdtQ!C6t&;kqGR=&e4mFd^e@3CuVA zXA|#lb^M~M76?bDmcBhh?8!u469LMFz6Mko7N}Hv{?Z_QE(c^K^F+|&4PHHROEc3P z`7_vAmocSUDNWneFTOuyzMP*^^D|bKLUjP)2m*0J(rQjxYc_a6b`f-#J_JvLtWK`B zCu#nre5=tz17>AWgpBlwAzZ3vALmoOpVmCBhftT#y|*Ee{1|1;O0EuDT#J)mQCkPw z(xSLyO1s9w{1=G+^HukAu$B7U12XAf1(=Ou;;^#*Z<4!B?Hgob(@+j9+5NWwKy1p37UwlbDKhAgi?ca?U4R%gr-N z&L}yWgBE{=OH5Hj%D!upOHa$}_}}EMCZl&aOV`wxkf>T@5TfPM(Kfw2&Z~IAd3Dva zs6;kMjp)}2(K`Dq(Edq2OZ&)@Ym?Dy19}@c6LnVxFcg6m8gM{{wRtb=LcuOA3s!d< z#6Qt*mCSQT{LX&UX#TKKmHFHcDL@Y>4&&U;y~?oYJ-+GbQg-tz{$q;&qMT=@1)uA{ z#K+LJ;~TfZYUxUEpkXUbhIq6d_l*)S&d$n#rQfMEAMq`1qtn7G%5o-eB$wH2FRC+m91%`*0vsz;YD*XZWN0?*+cV>)RvQ& z@>o(+&GCXi?59!Q?-4JnIEfvBVv12^bT_^fYAcOY^&XBUi6DS54lU!kmZqM9cMJ&x zi4iUnm(HlF?=mU^IR8$RQ#0&D@Znv9iWy$a=35FXct*KK0+DR zhpiNiixN%J#7^1BP=aXxIqkfh}jpqv#Y&ye}xd`z>g#wQM{-^0K$tXSfO$ z9O=gUp=dI5Yay%Ko2&(3VEF#BuycseB`etkb%G9bcM60IE~s z>C7i&RGC+KSl@Lz)TbO7U~4Y|%ZtLJXDcMk|2oP}IgI@`pzxezi8u)`Y8#I3m-Kzh z0wQ8Faz6)O#`7@Bkc_csbv`=Y_O0gpb)rkbAb4uf=l%}o9v}65)HrL|i-QJJ4~a|x z}90qk?vb=x65A%XhBmS;@0|L_4M@aJ}~h-vM;QC z4f;1DW3Efb9X2X3nkx;na^s(fM$?5_5g+=#{v;E3!HQp^Cz$XA^*Xh1YodyO1X7dK3Bi<;5yHe;hlxJu1HR@p=T*$JK|fjNRg;9#&peZOs6A zu46`u-vkl2-w&e|l6sjBdbscdzhsFCj(KwfxfbL^O3&Op`lGZFWE++zX`tXJK+6Lf z&-G~Qx5;Os?uk)HJKkj6 zI2`RI$BCX0(pk!F`shNl`C;`c?KIk!&-t*xvd^~1{~^vzbfHDy4+btX?UCPU9FTgd zaMq6<`7ie~SbCXHQea{_SAFRp28p-?20}J0fhFFic7@#1l;H#?WI0*CsL;1J5hQLp zr1%WLmsqP6S`dG`SNsl}yuXpr&m0<4R%3 zQCi{~R{@D)fFf9NckuX2^=<63*5XQJYqyl!IZWo|b^i(pmVeLytPX&wru+{Vbs(!Z zFQiD65^BfSvC&??;?(>Cmve zfT|A&Cu{uOs+RB>cRhJWllU??I15?!A74Ibs&&J89KnKlb8! zNTDma)4rc$F-Whk70wr`JE=`L83Ar`y4C?GvN0^)I?#3=?1Wch!m`6~f;I+11a zNqJ0iC=YfLA7Rm@^HAHxI9VIk;BdQG zm^aLSXX-|6fKCw{Dlge{yGdmBfST%@v^PcUvqfHS?3}(>0eH)4kyhAXZjrG}P`^lIo0P(gT{-QDe_|+AX!QM5x~$ zHfD6WD7jXcDBAh07yk1;CCOu+B=1kI@mwS_Vn|bs!X2t2fV}zmPkLFMbS@i#oO!}s zX#Eb9w%?5vm(|XbagFjcdGwHgI_<~v(^UZKSQ5*WB?)pPjyd{l8*lW;dd*k@Y0syh zT3-9L2i-%68IQyvYn#mBlHPHbu{9t<x9bX%h-#hu0FaYB z#lvh*2x#x#$f-(znswRIPx>c-y7#V!%j%pO_%fa2w@kk1KKJWA?DpHA(5*x2zgI_ok8`fBW#P zyGzjsNNE>FL>x1~5k=o5$p_c6m?hxOR!-34zH~>c3ST?&pY^PvL_Bym7j3 z;9^nc6fI0-F^(iK56dLc(fF!E99EF}7<7sHEWYap)u1{#xx1?fvsH>=ONJxS36_%Qaz)O)S&5i725YW^%`L z*>5at{_ebW+E0Ar)9B|d1~k%O-y#HhoZ(R5y;ZM1mN?-n z$t%w;7~2@ae-u32a}gyhPA*nGth@JUR|D338WF=y-T=v9TbhZxp-2b;0i=k&`Qd%O z^?c*{oN9&s3cUAlA^ zKf=S?I+UPW>dxz-QNvtHlH3uCe0t=FkV^4)D_(a(bcl~H*2{@pvnp5dgHPIYzH=BF zq|_ApmVMF5%3{BBMR$DejsBR-aGQyHirwp`RjGTk81nuN%)&XwzzQCTlY|@Gs_Ds> z?67>Q;>3o{r2LYuIJ;SNjBjim+x9~H&sjgb>}DgCYDK=MSb4y}vtuDPEwtm;W_=Fs zMUBe#E4=Xv*;aG`;Sc*ql&)2r8rb4t_#Q$`#0-MUJ1 zGTn3#E!B~Jh{xWyeqimCK_D26oS6Nz7=s>L>Ig+Q?%%;>G>FoX;XJ3AosJ=)Ir*-I zC4VT8C>C5!N#`MCq-|vJTy5-cG!R*T2#lgI+wG;3VJ$%CC*9;9N*5ER`ke4ZH~zPC>kFTw6B0UL?gm{@ zh3~+{qwI5^j6AgDM1>?KPJs6!88!Of$2}1KSHi2Q<#GRh*Wen= zNGv{O2t=Vlw?TT>01PFx)#B|!xRJr}#hq&qiLp*H%8pp>fM1jOhfZ~|v8oa|Q58FT z?^Yn}T51~NEK;jKK@?&E!8D{ry~ra>QuIMGI(``=Uq_TNQ)QC(63bTZ!u+N zq9M1Vs{P>yN;C6fVKi21*QK9#>32mS&tQ?;#K+vMI5OpUN-c9uLgW(H z@>OYIw;L8}A8H?+f>lll4Fc`}4VKy#-L}51sR9X+W-u~}6pI%2h;E=@v~2*B%lGIP zeyr%E(rkC)NTs2lRyIF5x&E-oc@oph3ZioRaNw}g{*tw!c{QW9zN0zxvspWsrySd2 zP|32Cm;q&rziY3Ees5#{g_b9g)*>PRd7-WCoitOtt4 za^F^JXR}?v9%m^|ZJ!YPrv0u{5)G?mv);vpl+xu_QTU%Sh324<^1i(`>8iq@vVkqp z*^0Y-D-`15eej`v3N-bsVYs!**jAfQkh%+=fI;`JT^4kw^C;sj^}V6C;yahc_t|6( zy&tZ8ABca76(;|&I~%%|=%Dncdbil#x*Dy#xzR1R;1Z+oeFPLa#O91jFCB)7?lfpw zcUkQnyV;=2UPEnT>_mO~aMb;`Vv6rQHfKX5&&xKQwiW zzw^|^CWLOq%(vU+dzr(nO{f$YcX|4oLl2x{pnG6~&&Xb=iC*y$xk@odTk^ynN#h2# zvKR;!@#JUonM_8^{rFcY&V8&3ofDm6*rf=Hq?HXHX^}gXvyC2VrX8K34DNofWj@ZW z(J!0eXVe1Ly8E))VujAOY2+hHf?~hMomh9FxmsyKWPh$vSl;)P|B3e>2%|(ztIV?V;xa$AWjjgw&*St_x|DbM$>}EvsZl+}?H*UDo=LsA} zmp_Cyljr^Crc1Fz7AM|mu-Ag7F7;Vm_{4mI!yMRRi8_lnW6^VClPkr-k=)iW;>OKr zoLT(?cbz(aZ<&g)MsquemC<&X4=}M#0$}jeH>Icf^2yg z&JZcG@z0XyukbrwRLl#g{R8i>;86RP13rsVG^3b_l`BAFs8{p5up)WnmpqbncgrS7 zsvQn;Mn#!U`3M{pF;syk47}H^gP)@Uy;G9JE^nRb*#$5hwsBBt6!3H3;t06%*&oUb z_P;xVGV})N5U12hrB$hXi$rE85X&Ca6?RM=BMi|qT&s@jDDKSh*FPwI20b!dbetq( zv+{Bf#*-lOr2YANVtZ}Bv)Fu*dw~UL9;gHMMcEyz#lA=?7^{>gx9O~#T3tcq18mlfl^+e>Ar05?&YM+tkY#5KV?rkBQirE+VrXmGS9Y*)mvby{k@RG}F z?%uF=dixyOkDXGPTUS(SZelXbs8EOLvm1Hyrsl+Sep$a*ve-vr8!P^_ zF40?D<2R1X{#qiD#aZJ3QS`AYLANXG4SR7+k{9b2hZwK&)j83Oi{RL;vi)j+rNg(Mx+}ivy;tMFTGEHQiLy0 z?`)Wjq3Q}xA627*;1eaqve??@Hgn7H5L1P3o}a3wPWSg zd(=}mmQoN_u$&#$eY+%j2r5o0^u9OC_t4lZc*FLIx!Gny7ft+1__j{NIO^+HL`t@9 z0Ofwam78sx!_K970@sJYie;q*Nok_}_x==?$7d_~m=ygSR9(%hujPMwIC1w!dakAl zOmk-;?%s$v?olFnGDkMg0%os5hlgve9+G>7WFYSjJ{t=%-{H!`qJO119oLjzEKk@* z59Wd#vc9g`#91=QTIxAkYH^qaRuv)MSzp82zqbZPqsKw2A_RT~Nc;%0 z6Eb?fRD!Nt#WDUudNAq`#8Sg=z5%mBJD7A|kHfB(G>4)vuMnLaq zSoriXue>3{M|Suxf1{dQr`_MG>4&0`N(gjhysVGYIyeTdaad$rra2gSdJWog-d7z> za@W5cWyY6hssU>p*Y?Gt2N~Q|op+dML{u6*2Kh02)ZPXaJV9V0rjLQdu0U=S zLFg~lly-73HIoj{)ypR5-`a-kl*xVtFs7yEi(2I(W9wf@8=Kb!q)r8SJVfIkzY!(68QcG0=fI85xDIRVtcF6cg@s`$^k^C&JzaLA1k5b5 z4Mukwq@*aBu&G4|XxltIB>vthfPy+co5LaY;FGy~@ zN}`jYV09SZE~Hg$z&xV!VKyni-;7n?Ktj;|()JIySJ3Nma z_O7~|32}1h)Z|mA|FH;V;e~88DH*+6WG_3qV(XlRc$K6COA6Ol$_c8ua9w*fx|S|- zmBW&Q__uUun#&9Xa)aFDcC%;bTrQqdAqaZTa10)4wHSqGP=ng4J(1BnX&04Z@!Yr8 z1EF*FzYRC*0&^oy_WZxAxNw;b_hCS*b@}#$mDKU(qSG#n*Erp$xg`9G_Sfk?aOglm zEI$diVm?v_|9GtyrJm|K=!bJ`?K4>NYrH97&Unip9ShjTg=Md-bv!!s22*R96*$7- zgaM{vBf%9NN0E1Fa8OsB#UeRk9QJg1;E7n=1i5k-`j1jx|?DV`4Lm<6X^_fOVAa-5hEl{mj*M~Qht@D{`_AO$4 z(gMY+FI)i;L|CDRRF6vOlMJ{dSE>1{tfr(X(++Nnyrj%jOO12-su$7kQA;XwQko4m zTWeKu2I58ZEmic=BE{F|?CncLMsosvPMj1ogN-)`X$A-2rhOkgdbT;YpeFOi#jd@& zoOq5tK?g)c_#ZI4ZY2xw%{;V;P&DwKu{YyXPe z=kj%PIgf1XKnjl`w~kRLYb=4pC6(LT$>^|lheFOEG>w=xQd^vJ(q#GUo(|8rgprC3 z^RXd~q5(D+9GSg}txgct%{kUUv1OQ{MmENDm1}D518(GTz2+=una$#hGFmvA5$y9@lffsETQLDMdRL&YTuCA);P* zGca06j_UE3=yyw5nnbja+4k+x?~p?G`kfJrbm@weOGeyX41Tfh0xq9J^x=YtHiZVa zijG;8FSS9%?%JM+Ymu(2I{bVlmCZHZ#hc=~nd2c{y(A<^LhwHt2L}Z*!ckK>9Wfrn zp;W>7t27aI`cF6d)E%hFG35|IRuM`mHj=mkUS$k;TZv$?VbI1{Qg|Kf9aP&%&l&(` znO?#m*e^Qqow5`el;u_JaiU8iB!$P4RyL1RWx1tT3lq1(o-D_!eK6fjeM>hNWlbrN-)*kzUj? z41VIxItu-8gi9q>YZ4tt5AX&jjA2|TB(J|06KCD~eu$rBuAL2qgHQxWD6grDzHA## z^02>fnC0AK&%WS|H=D!T?tH=-I2X_?E~-{F$9OJB z!;SigWMrJ24=z&8BvG3+X&DJu6-R`V3AdG!>ztz-r(wWoZ;?KqMoMU;#h>*8BF>{Q zp>dvJG(JXIQAN7~~Qf2;JGy#&m!*r?zWT#%=GJ{qIu*lYo$! z6W04Udi=_9h{Da6$;^n;wn^gP86`Ce9!>!At(z8&k`Kz6_@#pSBYSM+t!riy%E5Gr z@nBuQ+!Wt(=6}beyAH2F!ngw=87%tRl$!oB;xGa?#Q&kx5FPj4eA_F3PH_xudfURr z3pOstW9cD-9?3+0$0-YW3)2q8!da=A?J0!%Kla|TEv}|%8zmtLga`>PAwX~s4ud7Q z4i3SCyIXJz?ydtt2e-jpg1b8eXK;7fi(J?H-1~msFZ%~bDzJ+a{2Pqo+Q-oHW-K(VpDma#t3ISugCD%?srcH=vXmr-{`8v<&+oUYduep z$(m<*lN_Qu`&Vb*d0CV`$}3f&FKQMHrC7_$5WQvD{+&IVY5yXkP=5$H?WKt#(vu9M zTmDE=o%tZ%?|LQ%lLV$>^6}-B2Aj*&jcuP3s?Pr%>Z1}V6_DO&u2yN7p!}XngC;W-9vqjekFAkaWF(`v^Y*{oO@-ZWHJkM z*J<0EXG%(KONu+9^H*k9rNh(H&%<|t2vm(pv5_l`ewu(;JCO2}hiOJOd8i;?E5rUa z|E-K=_RP46)o(^QjA&lX@0I^Ni=ry{ zooOhNymCp+ERmsS3Tlw-5+d#U+>EBht(g!=RIGS=emBXe(l^C*&ypI1buWVRgZV*Q(uEiqu5le z<&7zm3X%Qtc9m*0R&|mjR`mVKG&oO6S6D5t()F3pD-n4Yo9$h@@7Z7jQq?OyHMu4> ziV0i?Y?CU3{5Qp-#`(UF%)BOV_-!O}<*JBegivyb;43q~CHjp9etyypO*h%Eo1&Lt zaAx90(bn_+d}7$w#&ev?`-`N8%Z61)C_+arM;pkZs~vW~NJ1J1>(?tk0BI2OJ~{-;(t_D`@T+NqDrFYr0hv!0QqFXr}`zd?nZe+8CONIJHR&ddp8>=V5 zX&@lvC~ennMy1_quQJ+gaEPM#G{{k0 zCJRIdznHUoz`TDQ+e43kBlgVSRIMt%0rU;46&Mxc74D-gte$G%cgBxVv(8*#b4Dnt z)}!9&hPOQrv`L61-PqaHo{b-D6}z8RxWSeTs1qGatqx*aiB?&5I{atv3lcRL%>n>@ z*s|NLv5C3;9qEp58r_qsI7zHK(Mm599EI6kT2taULdDmUkr&>;Vz8EsJj#3?6T(0# zQY0T=jaDGt+4**T))tS)#3K*O;+?&wBEecAUAYBc&&KGN%0cNEb4mGDj6Xbth6f>u z=n!CS%x~44{(g&!RvCi|`#9aJ`tm{0+jFIG6bowl$pI*)0Hkdo8oKMe4)~exz!%>~ z5oVLczbCKMq!?VmD5Q9`p-U72r0ZuIkWEVIW0-PE;(>&iqGQB;aL2D|*nvv5Uj7GN zq}Ii>*4LY4;@@bzU_ST?$fFey?r-T(=EZCGrU3BolPNNqAy@rpSIT$Z4ab&K(@v3? zmTM@0%c*pz(tl>Dz<-*xz5>VBE0E5w(#v(gG_oO_eri(Qa8Wjrcu_CKrFX*kj|@)< zY(7006@A1oj!dJrVo%p=hScKuF5;V|)PCJy?SDWddxphbj;sEDe9&ft+;~qbt3Fr* z3e{>Lb}#E@OX@>^`^SVAcW7yIa-VK;pNb!Ii-=%(TSdfo4~?_)2xVtaA>(QH!MNoB z8d)?rygY2htxl5LCD}}?jO{E3SK$NY^r+#p>?7*AfIWfV;lTr;stwYbm(z9HxA+zOk7ZPb+KqA8+7?`hV(pF+-9mlIm2M zOks9C?oI*J0m8wvKi=ZG;g_}ozlpV2w&v!vmN7t`F= zsS>yRFjq&D8m0AzYQ6@>#dYpO} z$a(^SVsxyRSAAk3o~6~T_FL=c4r@SXz5P*niLUP<&b1uepy)u&>Re>iXDxrN#|pxp z-F4j&PymXt?5AQM*lc3fx}8XJ>q@$)FZxr~*eyT!8Xp<8XyEk;*z8aotHMxf!Ba?=TjS_p4QL1EFqqPNN>i>*9MYnrgwj~(|dq{MA{Sk zYAeeSx7XnmuN9LdRj9lQp7kC|0siW+Ojm)QV`{8xFqGcd=F)r4_iXElr!AELqa5^s zGXu>foCIBx1uz7tirdhVczZhAV9H(HOXKSP*gZ>jSvR$uHZeLrG`)P=TmJX+kXw-| zz!m_830_TmXprryE8e6Ha-Xo;Enk+2z5kMEF+{dk6`XWt7z^Y^>3NCbecPE{{Y4(> zgIhEFGU=Zs+rI}@89?i2UV@&nMfl$1BV%IdJFgUlC6`Kon-ydTb{(YzI!o9?=BnRAou&3yYZ{2o$-Qa z2N?4V+n9*BsP%pYNch~NrPwt-r4tRGhTKd$jf)>~z*RRcyH!$0be<))ITA!9>abxv36V7b zlq==< z&VRZRq|nKq3Cl-xdjAW@xK{gqA4evu5V@YDk!XrhyI1}O8*Y17w-)%a-SQmE*l{h_ z&HICU;=^!`?F-|I9h^P^^XJaU`4`8kWJ{krj7T(=A1hfen7>GB5<9SS-VQ*n>zqJ5 zQmXGwN`?@=>>J$bz5KR*vGl!WsMbR_!Mq|W`u_28*y`U66Yn82b*=i3W@7U zM2Q@23rS_n@wo_X@3bar6RQp0(jv}mv>yL&&ZK-vRrH)##RA5!mLG63(Hhd|;@;;r zg%1XZHyR&zfVKBa?+dB7c(z}@?H9RTzdc}(vE6@8Sk>`pb_}|oWFFpXFe=~g<-1AA zy|h%^%e}{|?Q#(>4aWcQJbbS)Z|ntzaBwmc5jCT5JNHTKh?dZ^+_7bO5?Kh|YR&UA z2XSSWARjXxGH>tm;AGlwF2YXdrsy;5(2FgcPM}mzq=cdt zC<2ZeQZCLV#vT5aodA2bq}FR-#T8#1Jc0PQ9VeyN`J5`xS_xZeikO~mENkw(tc;De zo!U@4LRtpZ`!^xFeLYws%FNds&tsQrGp&_b42qJK70-uGzcB!*I2LNNAhrLssZrJ; zt9x{#d%Y7yAgub^0M{P(D*$47@=) zI6$SBJx!Tx!x(U>XeX@ouPXctPN7YobME_6+@@w5d%{H^V0E;O5N|6+?Xukb_JZ{< zn-m`U80V_hgDb<=(=xHG{P(h(9)bmDw+#VAwE0{ z<-fB{Lysv^v1*Wqw9(61Bmvn9%jRD*iJ1!rlOGF*3F>t?oCc7`;$kc%vE9o7)B7>T z@9p1sqrotNGHXhWZJ|`mJL825!*ha;Zk(m}y}cwK+C$#R$#5t?Fu(4BZW_^C{0^HR zXq<@h?Ee;D_}aM{aiDNu6hL;h&2!YV5%9?z7EB)3;xttxuDdGSXI7h`Mpgi3wdj#C zZ~V2GSOC{YJZe5l31UDQU4J=X6jb?9glbf+=%5D+2yOr*TPmm*mGy;xDK5L%L_|79 zderCgr##1lkN#<4OG8YcM8^b!C^b6fpv4#`Ce6=hn@zc6fGfFQL9bwe;CAQ@bmq?7p*a4vHz!}2jB80Rnu<&xGOJ%q3LD;&)Q2InGFa$&ABu)P$pSA0i+t(* zSUfsL@}2cKZIHY$zzb|{-PX0ZV6PXe66Sjg(E(A2H2z8lt4Ro76DRw zUs#^MFo!z6d5hZa+#|2|Ugi9CCA&~ngt_3^E3_gRzS1|uW5=Z>&LVwVT;;4p@I2P7 zj29vcrZxikZ;SO4F2)fB$QBgP`Zz8ixb!iOtPi->N6I@up&(UnvyF!_?V-7*J=R%^ zWI<*t7}U51M}6gJbqoBqK=E%Ba8(+pMd_X1K>D5gEN0VDBc{K}G?dj(>W7pXN$u$~ zeKt9)^e||TA_=hSY?efgHj|vz(Wsa&bH&Lgv0R)^$KvDW`>UvohIIYoX)Q&5_T*r}V zfZ{KW9+E(g!zT6}uQQOf^is#UX1oo_?_2A)$Sed!0sAa!r|n!Pv5(Ce@|%Np7T`QSd)l$(WFb9hqqYh|AN*lj0}^-HYWzdPs$aLQKpqPlO& zy_-U+Kr3Qrh#DS}OETd+em;V|XUu;tnBk7)DZ=tTk@E_SwHp`9Bk=aS0!Z*o;`r1) zbMmA00?G?)x9*ptt-BS$Am6}6KLt$bZjLkG<)X>zm@nqSl?Kdgeo(Hz8RyRe*mwjJ zgPA#Op_VrotVDS*UKKISi?r*ut>#Z~3y0K)McSCRQesojK@c|kO1=JhBQe_OK^*aP zRS-`vONuFOD{DL32XjGgE#n{sA86|Pj?W)-olekoc{RwDaJ~uTvYJTe_7~3IC_Qu_ zCoB=0sVfoM)C}=D0>3tc;hygMjwc9n-bMJjH z?XT;4v>;OeUflc> zrQ&}0;xXCi@cfyT%tMlc4xG65KvxHs`Hm~t!%;TkopCj7B%B1pQ>M4nZIq(2cDFQR z15-|9pL{c&>Fzffn&zntM|--M-D}<5+pR{Sx?2&p1e8`*sCvgA<1BIie6H^Ko5@OO zY^DLSpH5}GLThiW>4}HwpDeICbLq^Ye-K61o};Ph!zaXfx8+mqwi<+2f79!(dV+mh zfwf7aSf9S@(OL-Zbztwgnd-%ueoN$2byJj8_+hw_^Cy|RN&1gEbt+f1$(gA%RcvF@ z>(^=9=9tf>vQ{{4N`TtvAC$5$1n*eb29xB=YA?(cXbLQD7>G_D*w8GN;5(haxd(uN zkC;?`MqO3Mt8-IQqKG5qZWcLX`~tTrpy@W?se-Ap7fQ)o2G6l(6X9PpjT)lye726= zUGSbh=NI~h1!@e$gof)T%rO-0xdSMmhlb3@di;)DwX^%ORc^>q_N7ptJNRpV`f67~b$6F-Tj`dw5~xFwlWxCRz(8ahDjq1ix-t_#}=XF0H|!zt(c6ESxSXZ*b_I zYj+wG(T9`80!8_YME{r)x5!gWPL12|?>nA>G^{Qw2#ko^afcb-xEDH!4K6UUU{U=I zpbDcK(JXW>!ddryzp>wx zO<1snSCPpp-bM8{8Sdqw(n4@6k5bC(Rv%!F6z)Wlj7T+0)0i!*Em;s>Oo<%s*z}T zm5B^I=7QrO*5zr(xRtt?@AxqyOp5sxrPRg~76!oKq9mSbMDEFM?pV;;{7tR(#N2Gq z`8c9hl3$wpDJ`NY3|r?ihDI*WNL);=9e^*qOLaGYl|q(h9~@#;D2 z-e8ur7_O6aa*}c#c`Utb8r|HMiR*###ZMhtyB7!uj7CcKHbdq&t3Nkp_##KAPKAbQ zRML!N=3cee_GA)OT-PMg;odMLQ$Bo#Sz25Q69oxx0)2SH!M8?dZx2t;l3i?l_uXGd z(U6|tPt4J8)mwsw9@-Rmx6q-xAOlB8Jug!)dd4Ahls_$4y;(k4-@Q}nnhbw*D+<4f zmx~8gf_=YNvgP3^Y1+M)BcX==SPyX@AZ?{gSEt5PDl_tN?{jIf6CQyxQW#A2+YF@e0V+3 z=sKB@Jm8(Dsa!y4bh=!2ljGJ=m7C~~4BW!3V~2H>UP7zEzK6aMHs<16&LNw_XmQkM zT+hoUhqC<&BccJ{H&+?FX*Ci}8|`{@%@Wj& zCVj8xaiBca0ID2z1Fo|E(_V3$)k8*|?n2C+sLowPn|Lg@`D=lXHdOM%Nq-es4k^K$ zAS1>Oo+>SM@X+OU8)W~WKUQ^dtaQ+m??l(m;~$Rt*0`=_wg}hsT;F`RcjhkeXsbGo zd5QoLRXqlEM{9~azdiannViAiUA(5)lH<(pUB&H(Z@z|kltVgN;B8?cHEKwF{+Y4i z0jFPA863ZWJ-lQB-lsMeXZAan3b*)owi0()bQL!h;@OTTZg1+Ms-zaVjBJIdn1%-yz)EWY2ZWxh>?0kvjTuxiiFP zGhx<)yhjPB2BA&t(|fXPv4Lo`LizTp8uUBgVwK)7T@Z@IodEcuBgfBj2bKH#3uaVT z<5bn&iPd+u`4jzg2g}HgvA9JG9y2jf@9(=_-sX)*T|;KuwbX>^I6CR8u39Q@!i9af zvQirMYl??pT~A_@!U}f$bRYCjuA}S3$O4&~*ZHVk6JFQrb5P5NE!-q>vT_|VrRzo} zk&WqzVdfh_c2NQHA_a=yspU|{-wD^B@h`SA4BQD6hc2Yk?UTOOU+bV#O|HwMxcHMc zfZ^p$S1R^0=+jP1#7;|Ef2A7pvHB6p`U#Ie6&ABkMh2r{Mq56qc-!KTo*dKdz|8y) zGU+mE9uZ-CgL79XuQ~zMbD_yqWwU~dZMg~tocEiPd4QbWa9fQ&*}pAH4P#*>Gh*B< zQDAQGp88nA?y{V=j~gtIls(}+K`&`f=Qbec$WWid|{P4NV2 zcGLHS!02GMi;bqcvPkzLBMF*9@hY%{sCcy8!zzXsqM=x3&gNjQ6n9?q08+W;<&U&@ zL2}hwo7s9T6<0K_*!4C(4X(v0C|r~D7dcc+>!D@XL2xrQ8VCELq7)KAI^!|(n^)m; z%WEU%;DLMroG)>`zvmYFGMVH$_v?qu-Z=b`uw5;d>^r zSHZ`n))^TNYMo^?N z0aMqG%22N`r^%B3N#2Nvl!w3)kKtD#oSY$vEXjA-VKX@tHJhYym07F@Lm4dYr)qsY zghi=|TK&J)6HTk+mG6Qc^saA_9+H=wKPLxgi&Xkuk}Q3jN*kA=RPx(#^7HN`HE8u{ z?y>0aFP!Ty zDfo>gd%2{|)UKd$%@j=%2ScQov$V!$8UcYmezuH(6!Z&ftK2W1qs)oJTlq_U8*6v# z6>oB>)#84@lkC=H@m*q{^b}MTC%^4&m*hWxy;siXSVJKVr@H})Wa}xrGkTEx*EgxP z!LxF3oHTdY5zY)0c~(jn!pqSLXG;TqG^W4gkznoJ7A?2&EkJyRx-A#?c83N-Ax^UI z3B;960du>jGQZdp7Rh89^cIv#(1yr*y_mVAdXi=vd;ctUZJ{}lfm`SL3%uZh9=!#m zRe_2j1-DF4!)Bce4C=_(!#*3|Wc*0P_3L`EqIrk*O|QYernk00{w-c`D^RX-Q8lKF(f^H}slyxX*pn4GP-lVM!7R21vj-~(268a=&|?|6ZHg9?dt|LS_zB;<_!wgU zXS`lksw#CT`a2wOj-J+;t2Ki+#$Uv)wKsr$-CBZxnYREU(7`;J}iu-{zLW0vlUOJVDsu!!mk}qXo|LMEJ5ks&2c=o9?MS3Xckl#$RbL+S(sLJP4N;mKj>i z%!=VUSKQC+*wv?U;bpy|RchIvwEH6gGd+I=#!ga*YIrEGJt`{nl}bvKh=wr78iVxt zqXhBRmXZ4~I*w?R4m6~w{_NFcUCfxV9CuIzEL{@{v>aV6PujOHHt-d!6D$Cp8Tz1| zDG@0Kw2>Khn{(QtvGwDfk6s6KOVrlAmQnri{Sl)A{%K8d=ltSKac5LA8iSLqR)IWD zydy@|?R@N10^@BjTDqAzuJLK{rSi>L41Z!QxG~Nq<6-wh1%nIgUB_e#A$epNYj3?l z9{5i0WdFt8{cqGsbwgK5f_Fa$@jPx_Oj}srHSodBw))co2xX z!w>2fZNaEr*J= zdmNl`K&ADbnT+}#08P>${j}1vrnuZ=U&YLzlsJ;)GLEZQW=@f2Skpt=ZO^MVSQ9-U zCqbe>Nri?_9o%B?t>_%b1Umu=ix#V^sJK%uN2>OC8iz2By^xBpA*ze@ga)bt>h0jY zS}pa3Om+huhweH9Q!b!M-x0e`o@AWehra!rs~)#Q(|(+@#GR_=1>+58#0}(w@=nyo zguEHid^3yp(_fmMwZJ^p5TtuOIV9ERpLp0UG#d>}a7X_l!b)I`K5TK)8XkbEPd7PH zVxh&egX)ey$WRQR61AUtQ-7W+%@)F&;6EPt_PvM=D4wF^LZ%3Io3j1yEtYM&71mU+ z>`!LqgC87VF7{=?nW+yQmA}N=4cXgF*OL}2&)uWooec|z-*XyLZcdow zdqgj~61mt)4>mDK8s2Lk)Njs@L!yme&spT_D!|BA8?_evo0u$l(x$F0looboS7tG~ zUh9`8%11JMC0jTvE1TL$N|y$ec>IF$C6#|+Jse)=aTRYS#Icdm3}BQ4$oV0eNb9sJ z&^|Nba(#NYText4dG6N7HM6*?q2Ut-2-HrgN&bT)+C-G3Zpva&M8{Dhj+Z2TxswIQ9^A2<6V$x}G(6`RB6caO(rjo&9M&LFQR zT)Jv+Fm9ttGmrcVMH8Oa<*F@7q`<=b_U-5qWc40+c%tp4m8I~cPo zcYH88{}{?=8+ygUQu39~sN@leeX7}@thbnM+*D$AR3)qlG8H+uovKfKDGy0~DX-C= z4`(B$h>{TWyZxcE`+DBSdpp|r-SNn)iw|Vk@(zBy8q8hA-}~ak-abuIO&WJfkufvT14I+AxvkDnIj44q zR+>>q_^Htw@4Zra?S@4HH6C6dvW3ANoh@pNqNyW^U_g<{(SMa{ZTLL247O zls#q(k8^sMbTK#a23s%CR?w5t$dzWykO@QIXCW=&sk`;aam>>F(k;7(IVfPbMXOb>{4sp zt{C+{&*J5I%=VCY69( z;%Dk@^;)+5RxdA<#srrsvM8g;7|cca+y;a>gEYdosLcO((M*45NK=Q!0;Z5{J>_VjyBiqW&q2%DE(Ew{#2{llV<0!JsjWk zy5G5*#dhQB_cSo~suLFDA8I+*Bo@A-d4VaMt~+5NTIq)c+h^1Dy$K~8=aAUIG3nHh zz51bDgk)Xx?<6#hT#w#)uyvE3s6I27T;h+^z9GFB=R>f|7hF933lGK4rvkzu3W>&`?Au54=h9JIrB>~x@mf$Y(+$>9Xy)5T* z#)8>ds(A8j6gSyN9-EI-j!aPv)9Orue=ay-6-n)#fT2?f2_hpEt3L)?n-knR72J*$ zH-D}66P36m%fa7zm~*-?Sm9-rR&GQ<7FjcF6jxiVPwz1m$jNd)>!AQt zJcVJCmO7?zmCPaerF1n{#}+v?^xuz48S_ez%J!NOE9)I_xHq9 z{4T+I!vz7T!?M=C`N4Wehb^zSYPq=W{&FswEN-~F?#y`fs(glRbIrzN9$YPIEQ_Yf zP$tW8YR}MeADb4<`Ir}&`HNoeVhZEuwT#kn+rFb-c#3-0s>>-;V!+eX3m62WI?UZr zN<6meL;pTuqY&5n9FSe;u5Cz51tQ@qE}x+xw`;_*y)L^WaSO!lSpiU**Ze)P;c9Uq zi^e<HQlP3n)#1KXI?fd}>=f}7}gD-lFbdF&=&9sM{oRZhdVs~)8 zSUJl?0}qC~6`dyf@&VGEKqWdxXx^MKpsbCI`!pW0VHK@)ftzl$8Yn6Qcmrwkik1er}1&{5;ZiN<+)r%k~Ng`OM?nr#*SXD zglcf|vJZES0{d0RcHwPN0_@2+;P3jIRCi-2hs?@HH@b#|#?5*T!^X^Fb8Z$|r|?#0 z!}JcC&6LPj6QzOaa1QL0oZKUs{t(7i=QxL>IJ^acH7el?;ZWmN*%F+|+r&Fc1leXBR{z!H%rs4<|)L*7N*YiutxB$NJe z>jPM@-6mF(7b*{Kn-V3@7BNEPOp|_bJvJCb5M&AzZ8)3H^#{8EC{k-YxY6%|JT^B$ zA5zSiUaJP<>b207c2in^YCFB||Z<;;&o;0p`ws-hKS! zD_4F2>!MnKk?eJMO81iXwDKi4QXDXcXEhbAXW&21Rwk89!*@NfCB}0BVO0}0H&0BW z<8trlE&Rf|mQ+icn3;+*e*`U=Z5T7&{FS*`WjoMRSp8tMd%jI9G#s{C2js9{_zCa1 z2mv{rWvjt86M=#W7iJ*UOW6oW^SG94=~5}@V&D!)_Og2n?aea+b9yEyRB-Em?{=hi z){eD&22qQ*xyPPbwxpe3h&>mBLVV8mlh0Q$@yY}%ZqRjy=t}IaVYYWKA%dUs=ZdpE zLEPTGSz}-QbzdmM?b_ylH@OTJyO*oVu}H8)$2KVG&Ia|x-B3CF_^EGkSJUScJGrU1YBG3IId z1GaQR^0-U_d*~Aob_d$=L({uUD~rDNWK2qvxVG5$ELr(6FN0i7TGiFEji!b&!l8UqKx9MJ`)1+Qe&xwF{iQwI1(VTUcH_; zuZEN6nxEp(8H1}M8ny$eH-n3|a`9PA^YZ{~MEFsar3d%o3w7SA@aUd#^(MQGdwZQO5f51jcw(GjxV0yF4^*+~)e+q^?uof{Va*!8F5He^W zV3l0ZMMU6T%=yej9oxm)_JSxBcn@JHrzd7WJ!-s$=43BC^oEyRKas@x9&DE_KX>}m z$AeAYpDS!T$W-3O%qHFC(R#mgTZvtH7EAinawj;04kE7C-&-Kb_{bU&mXI>&k!sIr zKf6Z{hY*Pff6Qefd_sB*X#sQewpPyd(zLI`qZXjhtp3#FSc@y3!mj!8%kSJ#9f8}9 zCQ}4cMLaPBRq@i>)N}H_``C|P{{MfE68Lf%=u33^)s{a(+)s2X$ya>q+FD|3`x>q8 zBLn|d(i4O)2&dO6Nl7NK8H4^56>dihW0e^zl3;4;d@Kg6tk~H2cqekOp~L$4G?l6va&mG_M(V{0?4`H2uF}$d z)CKv^N{FFF8Rb#;iL~EphV|H9Dem>i=L{D-v(Ka!FVIk2zmpTdii^X-#FR?-Ow7Q* zKt&~%+x8S8M7pP^hraqIn@mEfNVT%6sK|a#^;|h^a&(qj^yJ{>Tw~SwxuTTRX_#an zh?w~67B#PwgM&kRZ|oicL7P%kJ?x9+{#z)s&0=e|9K3J_mgwyQnn8oGdMv`)QzHY> zDUP+Q^4TaYV<`1|~ zf@X?xiON`ovpoju_UD_oaxmAcQ}`Inz7DxTfFi!nRJrh%JBlX#{@~M_K`{2{Z4_Ev zE*d(zZ;?~eoYaWIVejEmlA7w4a;$iVX(ff^FApEti|r$Ib17TqWCc?b6O>o4;Msmd zD2NCl=$y5+1xZP>vvcsXIL#l=J&sVzMv|Fs7G;$*QzIjZ?d(Owq@|(z9p^6Wb6fm6 zBJ#?`s@YRJ&kLP;yq`1PTK|EEZXZ~2{Gh3nBrhl^fre9f6LRo{y5*%;l~%Bjk@;4G z5D|V?{rvf}4VPJo2iG95OgK^RYd<-=-5t6xtB$ zoB{<%vSo&1V+j67@U_y9I zwHygRbCIWN(MHiR0)i|Z9ZzF%6EC^pR(N)0RVAHfxnN7Og(yJZ70KC~Hw|>>zIMIR z5Ft_MVyvYlLnmS`0)pVczk<7Ts$=-bG^vOj*kUqZRcSU)58yhB6RuRy8 zdN*yB{DH9YLQ2M`#UNCKciAE2V?UB#W0qD{R==tgpDkM(Y*9VpCpVEvdQOtWn9=6d!)hl27Zr zmC%CuJ=~1|RTCj6Cr*HYOm))kHYgy{qU_4btgN3qN#S#L;_d)7R`lG%&-VjR!#@h;#KuTwGETUNK=APBpxusL{>Iyh!lVaz(>p z1Q;>Zw4-k7@U>tW0BV>a!1kpOtiTh~_a=iC=F$*P%R5)#QE zduq3D$bEY@K~D@|iDSI}31GNOs4xkXCj^%`N8Z6}cs}LB6V$J?Sf(s^5HP#xx!Jbl zR$5y^mvS6KymXueas zy3&f6O(N`O@Mk2Ug)1-^fzCFpIJUm=yXI+6 zmZ+6^KUkX~cy_a!P8I^vYZPZMRwe7kOTWiJ5i(X$2{^!u0TX~JhIqmg?Zj7BRshMq zI-->UtzYLg43AMwW!5v2aKl-m&U2ust9_=XdCCl~ccl;0%)a+Bz&`V$9R11BFSHyt zq~Dk(X8CzoO_&nZ?A zEPFJ+BUr%6E4v!sc}z@F660D%xLdD0X}wPXckI_Vqy4r*YCVB2T!9u#Sy9o~#(3l+ zE;inFRxC??@wXmm!oa}KJ-O2a_R{SGfWpY==`B>GqyoNzr+YY_C^D#Ft$d|ZuZh?M zF1iO{I(@`@sUMHzyi}5#`=`PmFra}wc1ekexV<})(T1{Au#Qis*1*<@`z8fVC&WO- z$Gi$?v{U8K6%K$`l;;PHef$KlK|W@z>U^D_lOyx-6F-0g5B=@%c7H{H1+bk#ZpJ-m zV3z>9Ui_>v&o=-hY#|&qF7@FDy?L}t^D{_TqsA?dkns;HoMrkeF~m=l7K=fpob=#O1(c+`QwZa z0hBs1Z&BU1ZsWfF;o%vSbs71;7!%R}WmlD#l^I;w+^g*cVFDgu+%{h=!11JU44GBD zA!U;Lx1D%VaZ@TiTk0$DLe)1~xxARMm7m!EJ&Z0$f2sAkaSVvRIrd;-i^1$$EfXha z;#iV@DmX9gRyp~);eI{dus@~8*t+f2e@PGEyi+c7OoSqGXSo&FATps>9KcRYO6oOs zYXjno{}QyXdB2U^$)>Hw$(9tARL{9{H!9|%R|732qip_no{9k>_{YRe>U|*Ad^EXJs zkFFfhaw#$7DZ-@y=#7JnD3rhQJS;HSTP>Y%xn`@|Q(8c#%m=Y}|MybuRnrxbf`TEvwygjv;0E@@f4%HJ3-IhP4(#(2bt~CZsQfM_8Q{|Y zBa~u%2vJauf*}OlWt-_dPtN+l9{{4!YrU#U2S zNgriM==}WzJjUdkiXxfWldiXLmP0YY|JfiB;P$yKI~hePnWEehElo8p!@-obHN8}t zT?S`hkw>c<7~~9-eW3X-9S3#V^$xm*=K_F!c5&oub6#FvI^~vK?d42{T?oH4)NFgB zR<;}3CdcSoykz?YBm_){Ly|R?AO<}RJ>72(P?RP9PD8^09cQ<^cfB5%ueF7Mp@0cD zzkzO|>43760Gsgh!5bSBQ(sRHS)*ao$1Vu48lQy$BM}Ww$uc1@IlI7&52)$(9@(9n!#c;8GHrznfzqEVk4;6^vy z@zg=#OPTz9{Z<%^cF)e$b$-R@zhBXT%~X{yn%pigYunqiE{R>C#`ndB zT54??$J)>4oehKOd>q8N$bP=F-@wMwIr_my51rtsu6`35dIb&=77*}Z+hXD3k|K@P zwW#i3ghEtN_r{rwpcV4`$g-PVx2|yxL5P?llo%!e`lX{AQ06ydQ*(55r2H^gzKkKl z9f|YtV-?3ez;MqZ_~!ch7!ok_ z%D0`HXAOeXyrbVtOlZlLz0hZ#S9tr(#>sx&%&7EIcoiTl;%img_3d^1pcu7` zSE`o!^qxbo<+HtU=kf~q4}==swl0FF(_!J^YD-=JY8Pa0Z?EFs+N7NPi@f|#w^P4% z?F|yr0vt5oeBG4kkQWlVI&Yr;i-v{f9`^j(yIM{X8G<{?*;p?0(Sy z^^6%?^3=|NyTsU#%df@PBA)=UUe!JSXFtSERE)8sK5i}?c|{EZZmvG}=xb@`mi~*2 zi<5+h|NT%_HVlTY*n+*gB$EA-ju02OM|b0&wXdQy_OGBao^J2__!N0DJGPR9X98kl zi(5+hXAPGZ=0KX9hQTk11$}$-^L;WiOGt8aRidP&@spFU27k;NfBXee{SQf$E4c-8 z$5&1KMWJ5gi@< zIC6V^!|Tl+m$Hic;YIe6lH}wh+CG!yfvT#eRBMaXP$p-$Jv$IxaOo%aX1-PZjH6KJ z_iyL+&Rr?I-|_R34GawAb`3GmAM%7ChQ(W>LMAE?_lhRvt}k&UNZ*}guC4|K26lFK zx^8aa$Hd0&5q=;|O=S|zVMACwpHzu}|3A#VWl$YW7cG2%1P?AjgS)%C%fa2T zD}B}d!Y4`PR?dM3KPxk{k)wyIzNsk>+T`k>l9Vdu%AMyK2u2v-Wm{TWDkd&oR9NWh z>ejo>V2P!C8ddYX9FgDTyBQ&_X8hgL7N#=TwuG^_5rpE2W6Q|s=xs)r7zZwbg&tEv zR`G6Su7a8_rqz49*XIYXyKABZ*{!ZEttS`$y#cMN>uJ%^`Dt6Y*mbG-g#(a;jg755 zs)9&ZSlAt~ONM&?J?ir83`DZ+alZs0De^3xKj=;^E-r5JpE-`x(^%WuoL`)uKfilE z_grpn{IhUbuh&NI?#*gpn!cW%-1%IaEhY$ir#CmX{7CYWOgCPRjCgqt-Veafrn zyZDH(a(vQNU!UG7l*7Wp*4NkB(rEQs&aKTs9pK)pGHfH_ZeWm8*48#Pu-%?pQUY_? zbrJ#!_>WIcM%>C_2m_FWp#Pu#g~o-Bj!sKU`yBc8f9)hi2r~M-y4t>W@b>O#Z|B*Z z{&&1K7xbP%VBXkyj+JTW+MUdKZ5Nb4)cYju>FFsdD%!a#A>nc6>IsVEow=YOA;ks< zsxJ3n=rTUfDf^b)@nR@c&#sPYXdHir z2!(-%-_V$Rhw>Tp6|Jg5jEWo>95kqYj&zA!zIpBg`hL8yUR>{SbAg^C*rK(y736Mh z?d%k+HrF>d`FXM-#RKu>NKl4J5TL0(eszt0?sUoTR#j9b)!{X`AD>*{V`HCOTzqKj z^ah_lKXb0Zi=y?j-e;nVGcMrWKUyu`!eM@3MTnUl9%yQ5-Qqo_PtVReVZ#$az4`cp z25M(soaf5QiaZC%2bY$W-Qr<_o|tpMw2qdJR*+9YJddt-@-!_?pP_mGRu(8bJ~_GT z>o7JpQCVJ&i}xc)C?~!RA0ICvAz`2U>z;6T#<#%U&$nAdDN!RMqs}}d@TKGHYeuQ# z@pGH2Giy)J)zf>+z@G+S{px>8$0jD|XlZi*((L{V&81zw4NXml+%KFE&+=Y2J(O2E zcejgGz8~*y=I$Ju4xrZ@YXl;jcZNw$9F)hOIN8}3*JczyI-M4l&Utxx`LgI^WLnp4 zsq>`DMV#8>P~$^K%gX*tS`=|)=MDU@wXo38)kz?vc0)uYFDepUo>4d6%HXQ*ih2#R z%lVs=<0T%1BqTA>&Hi>XB1MBA6u;x?<89PC_riRBex6m19XffPAln!VdnA zq@R!Wh~hdK2}vIh=USkCRZ>Fr@kvK7s+^gc%I0u^Q^Dse7cDP2{=MM*uBvd&eJ(2t zdLcg?LU0|Oy=YuDPwn@p##&!IXy0XJSy@?IU~kc}RxQNM$mh@y`|rnP*=`>};kW7) zHV(7=8ix_(gdE*J$~IS`yn>)LsW2Q5nrjns&{-^n3A>*;$`o;;SfoD(??9TwYj6{F?|iKR@ry<$lCey#|`q*VhhSoLlQ6nZfcL;d{;N7c_dN z$Tv$%O9u<8S7$W$bbg26fd(M3RaZ8e&65qEwObiWouAbBrb(6}1bAy9=vAp;;M@bfPD*NSUCT~-gxf&y-B?>Y zJ33QFWJYJI#Y{}oba^n5+K*~R;;XK$y)lJ$FVsZ`aKByurA!;_!lu;7<`N zf*Bm3Lw6Wo>tl6W8;%Rhm`I|4`23%+3y|ABJIkKn<>Q=QkQTo$N~1cVyq||txXd~# zBDSwuY^!NWnM%@1LWYBWzB+;qO3n!Dp?y-SSUqy; zDp8I~V`F3C%C)1TqxRonwAogLB7E)bw}LJ&E_bPl)lCXyvG~}#sLSMs+_od%+tUo{E1>HK zFZ&j;lYK%WF72PJh>VH%CqjBz>dBR7GLR?ex?Fkl3i)UyXMYi-?@i6vSl`Y96=>lO zoDJ2MB-^?j%F0;R;lUgF!NWlr7-uH#%?O5OXVE44{8?ySq{Pgu>6Lj2O%&Iv=T08$ zFodEKux3&C)X>ndjfeD;NUoJ9M}imu2J4eLq(2QW_S2N0TD4t73MM`_BuHZ9Q2MHy zj)GqnbrPOFGEYnNz}!5f`L(l#{H~EJor)JDS{~aTg{XeHa7HwJm$ikI5>pQ?nhTB z>`Y8IrW^=C!Z)BfjVI~no~VZo>}y|Gsk!?XQRP>4>D|HHOPkRdZ}I9A7l#<5{jgkW zeGKwWJR%;^580_{dVHkvUZ6imGyD4RNCrro_+91rV zUY+a@sJHaB__FGediLtFyyLtl`4Dzd`+)_|2=oLMEt*h-Y0X0ceHn zVMWa>jas~>hK~1~9@xUd!i>-N*1)q^FMM2F7S9SbV?OHAdSz3%p0*9EPEb$<8 z;hqY69vetchvu0}PDWlZt=JzKKD-2ceSIysMvAFoU(3-wL(Agf;Zer&eSJLEjQVs}=l%l3)^|V z;{U*62!AXoEF}9Kz3(Ydpd^6zUxE9}q^BKrUio`xJyi+oF|pgbqYZ*U}lujFgN-p2_am0pZr)g=; zq$mGvImN+QXCKvdX_x!2q9x$+qt z%rX-*+`zWINL7_DqWqX`+-#C7SDdXr%*ExvLE)IxZ>hh<8L)1gM%)#prLE9`#^A5P zDLVLH;%|cvbBYEaissMJ3M9DD#v)79=gB*s2o;7tDu(_gcmSh&TNX>UgU+7SDjlwu9FCcDY?fT6-weW zh&ooexlut>i;_|m!W;7RY$D41s&qqoR6p>yU_a{uQ=?M57f4Wg_Y|D2&yHR@CWNAse^7a&w^*z9JI zH4c^16~VwRew4?k!GJ4lLHqP#tPrQN_Q(gRtgI}1t*{6WXn&UQgw_l?I=UW)7~Hig zu>IFSL`ZP3WxE|&Zqa8>4zAe#QznTL#(xYkCDWA&ovo9DgMxxW%52MsN z5X3|){Vee5G8QPYZhkm$tlIZjEmiAk;d!NdIu%^hfxvyj-|I^R^} zI}8HKm-}rX5}Z%f*j4k=`42I2A1xXzmRts%J$FBMAO{RE;3I`AyYNJMx>I}v7$Zs{ z-F*D+JN^Fd35u{l2@}_?NS84+8LeVs(VR0aS^jl7Q<+E7mBVDEkXg#0GPJt8kMH1Q zc33Dk2NSjh`}?&${QpM1gyx5O#3zJnUSWo*Y0-+RhML;SN73NmfX>)g(3EU<^roE( z>=$iY*R?`PH{_`%q@_0#9WOoaGfoUYcV5~b830o2lGykd{M`agGml)md}=FbWBab| zG>CwarGExi1WV&WGSOObd18Zx)?>1B$-;EI=xok?#w}*q!gB4{EDN2~rih_wxPqxf1fUW{WNjAxoppLZ#Y zx#ux{&#wXwU)vkbm7*y@hIrCy*x{fhypV#+UYO?)g&+g z{LdiGVXyqkeMyfAlcyNPqOjY!eKzh;y1c1fb^;OSCW2Jywmj4=Lubw&reYWzH&EaM zSv2$k5BM}&kvT`wA(`O)WSq7tq54GPN#gB{`Fksli^XE!t3_TRk}*MUWMC}DE= zDcTX6-{Z4{*MWpHoOYr`{|4#xwriV-d9kUVWu+l(aN# z=FeyXW=ckGqxTd}>I@qvMso(ycJ4BV&U-no;*hJRf`WsiBN1(=(t#%(h@gOK&{>*0 zSJv9r+oYyC7iu$&VZ={;JZL5PuV0^v%gf_KT32w>dwbJ^Hs{xAx$gzOMtr-q^RcUc zd4h&w);%vuKC1`0!tyGk5GS2uwuPDvV8*v^CzvNczISUhFNjja?~fFuD1dH#(+*~C z%6nWZHfz+{50oBTvS?V3oFq_>?*vNmCm)3>~+91N&f3KDT3m>b+ zR~NmVwg_E(_;yOE;sr=HZcFBqz1YR^M~7}#4kIoD;Tz&8lb+gi@$50n2g8ZUF;~+7 zu&S~uZ9F`>+=as!OD4f}D=NGD9BqT50|FYXt1L@hM+Xl!NMVc6^V{(T=G-}2A-S+O z{_ZvWpPlbl;*vuahkQF{h+qCGEQ-b)I5;MCcE{6Y6D~N5J3G0~mluVnzq};9y?INS z)6pYE&gSO_e$ZiaiwYTs+^h50@*vBoYirJ6PtA;n{p>$pPNC!mQJTD7nNBvYCJY>e zW8XBqf4Zn-%^q-|gWM=GFIIHOteKhFyc{kbUIMK+2q4TLBr7z{qIhR>2#H;DAO_Cu z=Ji|(4n00nY;)qy!8SHE#h?!t75V@Diwh|YO-Rxu0|buf1~5d|g@wVJBB{00yYp^# zNf+T;BK054g5Z&REty3~z%_oM(DV|ej4lZhkpa)Vs9(ILmTw^_YmpQr^OeLvgo5z- zqu^-kizyU22$Vzp7EA%<+;rNWtesKXbJI?{p(YmXzPxDG9Ol;5DPaR0az_+#K#^&n z_JQTL-@SKPxw6zB@b~w3rXHxT!||a-CPr4$R+rvhW7f-DAc*}HuAL%AX5_9IGy`Ep0fG?1?CR#CQwEcJgp#GQFT1>uBhxz-;b267mJB z+#C<{@>M#*DiUIasTbJr^+))PNkEmYnY(ZY0ws*rbz4ood_X??p$$*PgbYh2tcm4V z+S*QZ23A~wMmnmxn)nwK9&S+aP+mS?^oWtPUs9(YA(EhHQ-g?hOm_FUp`$O8iK3#W zR>Hd3zW2B$CGMB2!Nf<@Trp(0xE|tO0fi4z$sokU2UiTv*3_7P5$%H3)Yx>0i6P(c zzU~7)X<=!bnUbQRuFk>1Gp9MQ2{Hv*cVAev%scly+-&Xp^B(k`}OH|HlkR9`N`-NOUX+oLLpu<=;l`dJ%?@6>)_kl-@kvy$7Mmnq2b*|9w^8c*_8i|Ma_)*PrH%HS?Y4^ znVFesY;0U^Y1uur_>kA=7cyJ`{yjJ#>xA6{yM_X9)&l`e-$@yeGZ384NK5NICExJ4 zlH1kCLl9^99v=h_)oK4nr?P9)(t)s~I{ei}MyngVumv`0f%^^YP8 zC#Qw$-+%0T;BPZSqTI_XI-(daj~@Esxkj(fh=_=J5dMgWsHmVl!my-Mz)Oimq+Q$C zU^6%M&&}pbs3@`D5vGBJ1C5lxo(NB#;u!DMRgmEMMDG9-P(nu@Xk9eLnf|L)H}zMO zn`^r+eW`RGC>ohQyv@-RFKwXGx-8RCwW^SsUY{p@ly3YcgujDg#Z~+s6&ZyLb`B&( z35KW)WJmbk6EU3mj^gc{MFJ%#n9Ih-u9ihlDB7>zOYA2oCbRX6#V=*~0yBPHf+l7X`$)C3!P&(*?avMh5(+8`hAI9EM&^`u zPu1Cj1JnL)=4vG#F})!=hw+}u3o>6?nZTyqc9N7(O3kIgBZq%eo7`uDY|PabsMW3cibK$%QI?{ zy|*{q`Q^{o%}+G;0+5Lp=ntj3CqgS##?fBf*vOP2YhdeM)k=WjqvS@ni{Dj=RVqnr zb)m}(Iq&W5wMRtu#M(}Q<#AD1t9*a=KKA)0O7wI!sn^A0dN--7UU{xNJstfc9Y&jCuRBPeSWwq%r!T)0p=LhWCp}yQJ+ZiNI z6I3}KC`_^p=Yq&^DP|lqWBnph4~T=Kr6m=X&_StvAJIGowblk!#qy)xWWB(v+NsXB zwvmY5Q$$^62Vz}JAnyVH=d(Dk?LSs3uC}%ol=x9{Y@ytYB>IGdCS6B^_l5%U8gU>3 z0F>_z!sZ2pjOaI0Q&XS>dIF?qeG?8MpAcp_M-i|{7bCB<+T-%Oe4J-qH&XJ=KHw?z;E8WCt{9~k*v+{W$5YATGz(S%)IN`rsBv!+gwNSy?J!& zcOuTo(UHItH#fJiMo?5!en<#Rl`b%vlYVsg=Rb=4ce(=22L13D@atODaS9e%a@QrM z6qD5A!vh;=`zXU)-Dhcmqmv6UM|o)EAz#G)+r`oC?VN>v2G;r6*}NzBg}H^DeS|1K zj7fgtki#&Wf4)^lzyhQ}rKN%JfYyHZB|{L+pu@6tBmXZO3;$Ov`j*dQiSbY=)(--I z2{M#4DHj_X8w8~YZ5OT#=$3|xZ~WJuwn-PrNCqvTxG6eciwMp?O+>A%yuIJW`yQD8 zRkG#;p_Sz`ru2x6!tUEhifw-zZ@Si&Rz2tzP%gI$d}?aixvS>@x5~B%?P)!^faez^ zCh>F>kQJh2+8Bi#vNI&Db8_+*(06vO z2U*?!1Ub;P^fJQPOa49E|Amgsxb+E<)M*d#4c5fg*vZ+^#K7jiuXcu(-(VS;2^a|e z`^LjVul&>AgkD|F(9*=nnO@1o(D~ork~RirCiH3+#?IyhoD6LA5+)XA=FS9c>}>SH zcGh-|O7;duCiEgEt`17R^olUermj(Uk5YP)*I6KLkfM|0YdplbbTW10e zdP!Sn6GwYHYXfH!0#gHPClh)x3v19Jda-|pL`;nAj7{ieOl-|Sw`68yXJ+H$`v&{} zwcI`ZEW-@t*kxT6S83yj`c*wS2s zK_U49*LSd*vfOdDKE83DNtX2ZO5D@}B39PZnvCQ){#9l8|M{_ZEq!CM-|7~GsO4zI z2SnGV1VWqO>L3CnmSsqPeb-x=z6bclkE0QVI1q#Yw&Vp+zz8S-vCsfXuxJrLH654@ z#=KJ2sI}zW-KEl)==(jOJ7su@`)Noiz|~y&kVOjxu0Ok2iO@*@6#{?)^Ct4;!~=Vt zb3Cyx)tT5a@+2Iv)o$*JT;qOL#Z|N%`-Hk4Y+cMn4B%kQFh`u%b`h&1b>JU%Cy)F0 zpM76CiGMNbSiC6j_-B%|Qe;>_wi95nmz9tJICxWSL>O!fm6AK}sB)NR6Z%%1;^~5X zleQwuitLQB$xG-gS~l_t_GkC8Hb_>7j6EPwlL&k!YLXcu<+4FDWa zr^2B<@~t42`YtO=f-H-Aj=KAc<1=oko@An(=m^1@beSkjJ!c271zK%I+B2Q?w)+$2 z-I#?nL4+kTO@RHVOVSrSB~hd)t{9V3!Yw7A@4_;p6~gZ}IuSw6EymY_uzuX!QV?Z2 ziga1I zgfjN2J?+X{?4FGPux0FS_d{Au0bCd5dTHcG%y{QFvAX@uNtC`Cu}7%7uD=!s4%#i> zV?Eg3x%9UIpuv%brg58R7E^ z&00N?0T6I3q!VH!uCq@!MNNpZ6zz(hZ1NwtMTcF)?8~qna0<^IZfvnGfRcT>bfgzQ z3TaBP{R)U>)Ls0UpS-tsw*-e*DfCFYbEw9QqP*V=gZ^BY$G zkFnZ?3ebH_Nn^sMW-<|n{|1>;(Z|V}!Z*{R_eGG+nt2K+`Q*&@wP^ni+tZI`y#NQq z;$GlAxX8zNVJActYaHhPLO1nFl_;jr5L{25cYZNtjD_oq)QJ^9*g2u9DqB5MfIayN zko5lr^<0t^8qIhvvMdojBK}bVV%4fF4+xnEE*=Ch=_wZwVI^r+H5Y0F65j&$1xpglzyN~`BIcX> z@n#y`NKr4aw+lpV(S)#n3Ij;Pr5jXrXBk-r>v%@$0DeYSg)e#L2{$wrL4&8#;=#Fp z$qK19zy7#UNNojeO`4$VRi*unNAAn`Ew?#1Kr+j&WZcf$6aA+p-A6ewzMaaGrPbAa zddWKi%34Jc54o^ietf~W#`P)*7v!Aja z8F61_4u?u~Xx?K?U(}^!&jH;E#-7qzXF}Iuct+@ZH(BqJ)CAk1;%O((lnMx0AGz61 zPRnEy#7^>q;pSoIR8W8g5&6@%=%|m=cc#wvSZmRRFrwVKs(4OgPbzH^4^_X|yWdmV z+vY6em|%ZC1egdjszpE9-yc`Me0^aGXsllh8M0_=MW!|$>$eP5Y|{}TFTp8Y6H4Of zNlbtMSSjA~+SEHwn=|9&#v_L|7%CZf?mc~8i(^|JL`4=O(m(+sjk2qC)RK1{W1i<< zy&@}PIp@gT7$aus7TcAp&%pqaP>6qB?QsTK^TmZLl&W@Z&l=8Io3uR%?hd}mmsq!eFVo^kTOvfac8wmB(JZD1 z?PC+P)Mza6KCTP8U3S(K*N49n8`jLv5s^xCy892}h_r6li9`Kaw9PV_TyVnn*H zc=iM(PPjSE)e7jdAqx6m$TSrX+%*TL?+W8E=2G|V#teo@DLL~$c2T$-)1|is2``bc zt$$7f^tFo7y~i3PO?=~*z{yw|vWGC7w{N_%A8_2dX7)=N*6!QtF_dSf@+236`F4r! z?YwC8p8bn9w)4uQzQuucJHVrBU0{ajr5=XXS1dc{qj=+&`4)~U6`WUdYSHtDnSn4_ zr+E7Y82@b*`95X{37?$mHTUWxWj6}fL zpCd?n>lFUj7@YW|Ii+aHuLQ;F)Txw9Qeb{0zr_=d)lhKkeO+^9gz56s#Jm^wxJzkw zXXlx<3SBBQ+2d-?Lqu85r%iVkv)VsZiG-MdvPx>NaTMS@$tuAa1QmO97ggm|-Ru|u z5=Nd=#0>gyCGOe@_RP;8zNJ-rX{~YaY}{Tx$h}kT-*dZfT@!mrY@FF6oraaOT?Y;F z#AudW=$P=a!i^nv=#+Zvt@0GoiVh4DB<4-0<4k(BdZoTaTVI#g-g)q>PVv$&S9O)l zZ^_JVQz+HUT?SCl_?j{EltoRF#~TL@lWO7sl}E!{@|dsM`IA}>L)Nte?aW~m zp-J3k7E_zjNQLSoUiCyi9jMuVSqpC%%Ph4QwPOVAmxKR!7tM^$w+r`d8Co$(n)q@x zqc?7>EZN21)tK_t5(W_6M=55_x`B-IMDyMtD5+IDlQ`uXwkmr%@fLMrC642Ap`%?} z7}0OWx0p6bBtXU!LbflwZ9`r1mxmiATZG)!FS=%m(H7(ys3}YelxAC~zo@OrL%n zXFXgt>Vz1UxPsZfqb<#Wnbh$IL{@7BnrKBBXt5&~;bBY+aoXEX84?8|_(w$7ng`R2 zrW${1jSdM1aW1r`oBbA+UF24<4kCS4*jSM27~%%1K=VxqJ$w-z!r3IaqX|a)NGxa1 z1gWE}q#q&Aiv+fjuTSh$iClX03qb0jOi1ax^quOqw?&L8nQSXC68FO90FY&IKUBbP z_mjM2sDLkVnbqSWE#)a{i*7 zDhD%;n)Y7QAxv`{U~P1;64+K zKWk{(w)?3Q!g!@fYU`)L(nXU&Du$b-wiN230wj0*`M1h#pp@7=eAd=9F)@Mz(_A1D z4u96u1Bl2@zUakFfeBDiA)m4gWlMHmQir|*sIIli*(zf=76J&8VOeVTpG=nu2T?TI8M=EdT~uW9 ze5>gumirz(pI|ARCH3MeXFu&xmIBqo-v}DeC zr`sPw94)Xi=5O0CbZ6lD5zDns;z%xc1qIxn3xGa+Qc5mGWtuF1#FvNoB@%SRhDOq$ z0It4x;+&}S%IyC6L7j*6LD3t5iv^6J!kCD2d18VBCK-58hLO)uX%w1nqPh7TC%6u+ zVH=l97qFD6mu&)Z)_5Fsu2?oLkw09k<#ucrg2s&_0G{1E>q)|0VA5(Sp9>%`4cU<$ zo*?<4lfMwCq`K4gn1~L}J{;r1UNXuLiwi6nFd3h1(j#TZWfwKgKKE2R{M%k^`g;T* zK-BAkjmwRZR;X6Lh4FhAJdgq_=b&%dm3qpfKMMAx6`~JwVDpCC|6_e58SB~}YR1T) zommH1l0)jDIMH4P=I21tc7d0FO=((-a@fBhU|{SyWpn49%HZ?s#3q!+=@GBLQ=%%; zXi41N`TgFE7NT%^iskblfqK*%m8;9?r&G(U*!PgTmi6BWbe~p|v8M=1TM+5#JY|b? z$&9v7*&jNqzHQPodl*bJkkMGPOkT;V;Z)bzgv2>8Gq5XW(7fk1)u)%V^RQEn75Ul* zVEHu67?G(bIz)U_CsIGfT62G(%m%OzX%-u|ly0(FZ{*l9zm_3@0mD~+gq+J@LzFFoRGk(i?QQ38{d@K6%rcRFE#{YqoRil2%p|-5Ue{~9+Qy#?YpqkEGR_$ zu{3(t5Px^xrysufa_lZNZXZP%66PX8yZ%~C&izNF@Rx9V@3|7Mmh5Mi`op5hz>G?; z+5F)j9}a3jb$n!bVq->(J=SqLlV@0HsU1zN#^fuz(#h-(Z~V&rV_JiY(jsPTw6L!5 zmYVuZ{?8xNBdT_u&CJ)J%7Bbml=LtrmHR4!^GOf@rFtLRrMU;3iC=5M+p0>_0l(X)^**BC34ZV#=`wVknriAdk_jl|e<_S3l0WkVZ$I$1JUCR2iA!c=D9T)(L-1}bgC}N^K(#W$(Y$?N^7Dnys zL*b*%U(wDwh4@P!bTrh8E8mB)XFUIOx-4j-M)8L(&`O-Y91GkCVNs?^=~49pRi_1z zF1x4Ds?o8$y&VteRUTGf#yd|J%#M;N;UKXifxa;;11_eVW>RiaD_DO%rAfL%tQ|{} zd<4aWw3!l)+MY}D+oh?_3Cf};Y?0Vy+`&X6Oflr&R_2v*xY^2eSjo3*hC_D=a1Yr$`c9&FLswUOgd+vef&J%)MWlktaT=?iPWpv)AB$N!IwI zB5(W6-MTtlu4ha0`JWfS+|%?cu#<9Rr5FZPv!BPK)RmA~Y^$$0fF-y^=9v~nnYp^r zLDwkxlG|g?$B))V&SM7xU9wO+1A_{wb7ZQ!pCRR9>9}wXoxuWLOIpt1Ts=K60KbZ1 zXKCMk@byw+X6x5NON_#qMrj4TbH?S6r`24lmIQ4=86N&K=Gv-g1yca7-(c4@i&0%r z5AS=*WG)q$Uj^l-iX6hOPtq~+`$4BT@^%~K{589xHmOhS)3&puv}OFygzCRYbk#VBH>1>=%4@ zQ4MMAHY?r-z`n%*;ZGy-+vIZUYB_19xP+sV(KlV%l2f_k;g^lCp7I;Z$(7q*h@JBw z+8{nnPcp{a#=irwVkQjPAVsD`;xf}cP>uwA+$x`I$rp8^s~S6 z#UJ;yq=t;JV*Sb+S;=z*=##hqE(~LA^mUT?W(haZ+7&+5?|@Z!?3+!iL z5&nV^{M?$Ct;Y4@A;QTlT6b_iy>z7%jP64$z}3M7R3qy0!!c^>zNJQ#g(4<$wMy}P z6P5j46IlrZNEY2sq1t7oMM-^Y?|*ZF>(5 zATj^7$0NdU-4(uouH@pc=inK&ycnu&P3()CJJBKoRX-f87do?eaj2$}ZWZxtKwt2} zcj8rN$yA)MCX<~F!7wbZ^!WMJ4X1dhBh#*JT6n(rPwRysh0N5iS2Aqgi`Ilc(XY!q{l?womhC#g#$!m`E~yOmwd0z#mHJ zq~&ZT{YB`RAN62mGh8Ka?%_xNhW2CH2|h{Mzpn3zP8sD|Q4XIi*WU~A#lkZ>eV#5a zH%w+yfK|c=6txphyzVliC>n}s%JB5f-|^<2i6&ch7;qsO8u*COI+dA-=5Y_Y)XkI| zse(h---~0%WK_bkuq&=w8s#fE*s?->#!_ki98%$8F7VF}(B0uU8VjCg_Z)ojfsbzm*r4UOqD3+p^ z#h4OZy%6@kn@pJTF!t7;tB6o3337hYH=a1#c%{wS`I&p1uqdy%>&6yN2Sb8m8LSK4 zG>5!j!qgB09a9%2@tCw_!wnH(;X2`ibE{F}^!8=JRd^*V1iWU+mYC0b^T^DESQHYE z45q$r2|gl_4wtrCPQ^c8CQ&>j;;34`9-rcl)-esP4oNeBpSPsw1=016Tt2WxUp6(LhMMAh|6wDO^N08==r$k^IN5PomYiz)e5*I#$^b~ zWc!a#f>U>FLX1B8Gnxc`SV1bX8RLN>G1FAdF9Gt8mU2@T?;ibmabRIc zt-snRO{0Y)sXxTQ%2eZbP0h11zl%r@nD|oVj8=+%z-d!DVB=F>j-yL(DnIL>TJFe5Wfw}=-~xfa2@nG+*`~lZSl{|6IV20yP;}ab%~hcs}XQW zO@aa0Sti1`!=`)|Nhuo1i0dx9=j}{-2u6|6W`>nxMGnhfS0Aw3p9I{sc~+y0kW0Dj zVd&w|vpyKEQ#OB?%!rdjGf~v*F*U;;4!UG2)81h@h52$@@ak#{w&Hb8O8oW7JDAX` zv3>neO0wfWEzA`{ml!_uz`m$=5$WZu8ihVzu*Zj^I?2RgN#CvQ>Lxaey1o9>_L|~} zLfR%wb)s;CABx#1t<6*&*Ni*G*W%XqnI!8WSEwH4po z$W3X1-FE7zbfloBx^v@Lz-W}^gcAipgrVtF8=OQ^8txDK^l;xcs8Ye zNs^clS_A!Uk3aH=KJ(=foydkqwbNGv<cCZ%2yp8&y<~?u!%KvGN3xvw_)Q}uT5tR{at$NHvKi15?(vplh;@(fVUTF0e z&btRb>-RSYLhD3NTa-i{2`Tw>(0(5$w29e8TO_9Uj+^?a$B<|*4357=0;>PF7~AA! zuzxf!Y>6Mk6Hm+__~q_8lm1#6TRaI>x2BQYV~bg@3CXPUntupWU7P)^3YPYAfkc?b zwb?spf7J$!KPw@oZ^dg}!@szwIz`6`hr;*|L$!EyaLRNs!wP!!;wpTDk=U>~PPl2Odec`e=B9fUC z`RU11_m228o=+6$@NiC6?D zQsXDb@{Kdd1Cett7DILqreEJA3$t6VC4!n0m|j@`e$UtSK+v|U-l!jWZw`O%4=6+OV^O-iia8xy}oJgz(kCJ|zOq7$6Jf+ZGR zIA{3Aj7B_0(`#Z>>O#o-m;2*Vd_R=KpMEMrx&KLHM^MaHg5!7YYt5v!sClAHp|-+X z{cOiqA>Wdj04F`k3x^&3w@0nsTV3&ID1UVE*{S~NGHatz6-7ZF6;au5exSWUqcCIH zZn=pAosl!;^(xD``V1-R`4dIZ589lr3Ri7A4~uEyvZeAAH_n)Uo;VxQF6m#TUec!@ zdXu0M2t+Ew6$kXsc1~W!%E_~|v{2nyoEa}$dPw(R56UPU?*SwX%pFrFKc=QaSx`iM*oe-`pE~s)ofulhaK7cQPQDO zxNQ{o=kz%trkIOHFj2O z*5UV4lsoH7=J^%=SAM}Z!n`FzguqQ=7XQ!kf(eqWtF&f`epR$og37%&A(Vcm1<(7W z9;8qX&b)^5Wyokl%I^cwLSsC=^?9h1J`p~xN)s@$o=hyfm(n;#f~-ROzsQ5fcU&SY zX^q*0s%n50MX4G)>|v(iA1!u=4?AH~=dPi4*ly}U(1J}W`ki+D}p#&zOk7D!Y z@qYh7dDK577}zkNyWsgl;IYSik~dC{o5LVC z&rT#fE%%Eui6?wK!Z|O1oRBAm@hsieZ~=VI>n8u>mtBZX6e>KZXrWshy7FN!lmyI^ zoCmoDNlnuteEC>nJmjZM+Dwk2-!xl%DLthL>d*a2{rhsSpxdLr6D{7Qhl#ghfq9l% z<74)WL~TCSiu=X$P6vOH%at-*NX)sYL*j4LISuV7d(C#W@;(RBW>hQ!Hss7W0APSf z>W1_$!B5T>%XdEeGoxg;^4WBWK{N~2g#)-wZ0>b4@uU$vgg0+1rejP}d`o;3Q+EaN z)2`RII5MakbL+lZE|JLz0SwF!@A+aVEtM3e>1$=AHh~7Si`pn?DY=P$q2CCkbMTUq z8mSA{jIwD`J$}@mFNm79)xh;iJy7qB-ZRAOJfsZ+X27HE_WglPkW1W?j|WvvAm2kkH5N7%9Ha z+rbRAN2lIy$g6=4>C*g_6@1;UTQ{Cs;?t_%eRbt4jbV zV@DLsSqH!Eif%QQayTp$jF<&<*6+Y}h^ze_#*St>jx=>rel-c}J&DGrUi;{A0E?L} z{k;$Hi(|0){z;D4==LT|2N@JG3?lz*r|i@1lF=K+!Md-D6)b=eyPi({MD7;J#(5{- z2k~A9n*Y2H{w!loEVb+_!2>Ks!`G!!$p0E$_AJ9%-5uYR&&S5xUg&+r5W1vF0Tzj` zY-j0dOgp0h;%|9{l2lpPF;19$qsE~!)0)tyoMQ5bpkE?dNKapd6K9r;cC_P$JFRSi z5rA$A@@f>R@bd1xSA#>((&F5nMYq^)_|g3ErCqo3VF{}u`C{QFGk{q>WlXKFaeBr6 z+M8yXc*jg9x)ZcX^}YJ{=`xo%R^(CSi7+d3qa|#xa!F`~n8afg9XDy2C;Wl{m^o{& zB9afSEjms!mR~`$hfd{qHOLZwjY%q5f0<0U#%bmbs>Dm~%X~5DVo|%EkQS_u&*(dE ze-hChhtLw~7HSV5P2I?sd>;bFf6%enu26U&{-DuHC6moge=XUAyxy-1;HSt!>WzGy zQ@wEweJj$F`c4UGG!iko5j6hRG1&`bf$!j7Ym(3YPU?nAJk0)i%HasS8m6YdEOvf9 zrQiyr!PzWR=>L_{VVqut95?U>_mNm=RpW3Sfe=r1skYItF76uHg<2vgi)lf+W#OJS zce`1XV|egOfGwl$X9!_6ziY!UI|Qvb)!@%Gr29Sol)r2aPu_f}nBhouN;H*+Y6`ZI z-vF>jfj!l|*4Peb1!FS-q(&nONeSahW4c>V;U`^lDj2P2s(4cuYAW+6 zPIp(FM6Y5--OP(z=d0n0UcA26813I?RO8?;U|3eGez8;hQJh5}g`-cG@eFy_1cy)* z7NlmqXbuixAN#DG(*)gNWNx3Br%+JI(x{?R5otM{%GP_28@d(9sH zS7^EK@9}SJo5XE`FgR;nvu+KIo~EoN0y=JdcWid;5&t-^p`n&6Q`eC6N#Yz0pi43; zyGwHfvXDWzN&24WlNKDc)dKWmeOOEYWJ8NcPx`|Dq3xWSb77(^{3iK=6WivAZQHhO z+qP}nwoh!^w(Vq6^9$x??z^k2tNWt&>b=%;(gr!fn;#47umnQv%+#ED_{@@mzu~4eYw#Au<-lrF}cg`28r)kBC7q z?U*Pw9$OK55WDo630aw251cGHnxU$!CHl|(FXs2rcY=w#@yrRnKQiqJap(I>nBURH zzw^7cdpvt^zwQuLe&;mfuNXP0Y@azdE;6(qjz4oa8|FjM>fy6!$0c4RKSh@G2hd1z zuEUA!1zyOK$@|_>gVm0-vyKauExsmB=PibQ&FnA2b6Z! zVgXOXw5jFaiwmEh@6HOkW58A-8I4Wk!s9|_uk7{B+)rbR4%6U4EOqlRGT4Rf;B}D{ zb};&?&qrcp^~E9z&wkqa+%<+`f2ITRvTOBA$9kU=p69rrjEMgYJayY>Ss3)+_XO2n zz`&LsMKyJu^EniAY0nFxt%8B>2!&Tu`^c1D9Oj`Csq+!z24HAw9I^E(G6~r6&cl)I z!RI)O@s)mm#=l4+pHrqzRz5dnKCT5dfF+l|-C0V0H!_PGP-$u55TGx?N>aiS<28IO z|Bba-z$6u07jg+%(hx^BV#s0+pol$5e2|uQV5rfRMjqkV5v9*wTG_Hm@|lVi_uMVC zxIrBSpWGMUa9S4jY2%J|cVK&u*pb#z9Tijcf!-w>JaUs)JGod~qwH6xe3;Lam#M%;Q2Hb)SGADSY=HG2T%=Bjb24@nh{&zJ~W_W-Z4l7~_Md%Wh z-gnhhgD*01>gTvbv0Bt0lOGg{0x9kqtx>c1@8icTcQY(MuFl$Brm64w?-pko#m1Ih zTqozhV*@PSW<*iDvz1SC4EAOPX=kx7!e>(hmRE^0beB*f5 zUyN=>T15uz(R#yTIZ?F|I4Q3lLo#k+q|M^uDWdMTa2{M`6Wi>cZDJUoJb#%T?jF94 zveO8|C0Fdy_1l>@=ifXBSDNzwY^eA{Hh&Cr;6J~Z6ydBZ z$fgGdETUdn*LPdlO{3)*;{GdVKG6UcL?628Xt;MGD}`;Evbh=OfNLI8nL{7OcrolR zjGfoT7zm;T=kzTlu`b@rpTXee*b}!AiJ?M(z%d80P($5~Fcd1dfUZBMeo@SuIWcW^ z(Obm*hx=cXdiw>Qxy&dNk zF^-8d*8M)J?N#Bzzn0p71=zVY1xRZPePMs2uw&0``?CR`V=>8< z5wB&QD#kSzImU|D+EfoR&tg9*YgS>%nX~yguPWr#)TDVAN^3sVAHZTF^C(dc@9a*TH@z^#d zYu}PQV!DxzT~oEBahJ1)U;5uJ4cTrUT^Rh%?M&Iz=9$yfB^Mb}`)4N;8l*3JIm{ti z@HWSkod04dpDi5!T~g;MIG!bO?=3E;!$4&+j)NvJpH)mlUzu*}nYBGq_k=XFXvE=7 z3IEB_={L=^b4W}Yn->my5At+pH)VWy{XIH@LUlMMz5C7+POy}Kc=9~h=xK);tdg30 zavj7AXGE<$VK7FmM>24SFAvSOz&W>bPASqgSGGU)kPMTPWyM2k?=Jwota-P2WD1W$ zQ;ko%Zg^OMtd28ej)sq|ZRaM)_Hx3>4HWMORNv@XYu|LI_FI?#V68MbTOzqHz>Dna z0vFP2+``_WYn8oB*p=@GZ7}R*(@M6?dnCnkJTcDf{_{UEv`ZtyE-7ub>bL$}%l-_y z3kr|Z0OX-V%bZ;N@$YnEh(tT*+f?Rk#;Q`I2rBDx{eCK^d#k zdQKQ7e`uJOxx}u|lWGbASJ+SWJWHMh-^nr??6hEgE+aI|ZuMH)rQ{WTo25~J$U@Pt z1{sX0{Mx85#&UaqiE4a*rCj#91sHUgmik;(yxSEe=d8bnn&9BzG4*7L8`s7(2$;~Y z7XarNfh2eFRjeKy%pCppI-v>y!Sx8n|4O=Et7{n2em?%YnH8x6hd9!?KY13=(&u9q zO1-MhaN!?0q|)5f!3OZa{tHf z)K=&dC6>ahR)R#F$+IZ4a%MFq4=h}QG(MYIqdOi^%sq@9R7QaLpKOIqMB;(6I)1AP!b@e|u8GUlO zK}%o+hL(`Fm>#Z@+H6a3V$ow4QanZb$o6xRREfq2UT?x+S$JnNml!<3B;)LI^Igjy zzivu!VnG-0Du3RV1JuSypX6aXR_TS49W$oBoF|F4Hbdofcwq-{3n-Ne(N|3)TC|wX*Mw5z0+qV++|TetP9AqnUpjQP7Bf6u zZdL}x3H8Klh-sEBF1==42`8E$Fj``o1$zR{LTr6_|CsHwAe=N>3vT4Pw5mL3N9|Qs zOa6GUV#a0A@+ran3_o+$GTu;vwiBT6o<|v6q7X^1fjMPy5sR#7XC!@rm#1P93?I1G zZcmndWa@V;6O&@eBIW|pgVPY#dMcC!Su&FL7RtQ)$|fTCV3EOvH_Mb*N0DCVN?wP_ zZZzE4HvExaY7UF2fEp7Pknx}A%FCh;4|v_xbXI@ZnZw%{XIGPuIpp{v)p@C5Dc5e< zYhyBMo1Fq%0?A6QTE7)eU6WCdlKT_8jaZ}G_L7_eU)vExEVeu-w)y9<=Q*?f`AkM2 z9J=1;%Fig=GjvW6%rN9RLD%K)LkLS}dP56(mX%g!jMq&(o!^8~0e|gT9~o{4r=S>L zDkH>DcTbD$Q`?QjKF)Hd)yf;DDw^us8&UG0u?S5ffhw#(jjdkdz}wuS4@u9q>o}*) zg#_X!sqSPftMNz2oY(Y8fP0+f@~6yPSx1b8CIKr(9BfRo^Um-RxAW&B$J$FK>3_Tn z-1lhZK3_+SlMir`eV+Cbd8fSve{*Q5GEeb-$((nB%M6%XNfT8VA;NQiT9MqfN=We^ z1#HNGIoCW6sWaVSeU4cfN3(6P$iHbU({fgTN(WqPUlVtdoae4y9%FJh*<&oD&<<_s zL9`#tp6@{xUlCE48N~0Ad@R0>rhR5|KV?jLmBRj|Eqe4dQ*J zTdgRw)OvkuIAOZSU&+NCbWyfqH#B7<*^s>lZ$qmuDn1WOi5Vn&w$|Qg5+h|>C%H(Q zl?*NYmjH9!IGFn-2>#SQ5t%bCGZ9|UxStba%ve1XL~Nl@n$Rd`pGo_TFTV@VY)min zd2|3?KBe(p|NP`V9@hAc9QH>%iR_DaJ-4ua$r6;<+CwCbow~egYSfYM3#=8%u!i`U z1D|IGYjRb~uW>h%_t$9b<LUiFe~f-(b|iD4rTj1F#&1$ zGN_aQaWSP~;8(*PGTti-kBzX}`-!Q=i6*Co1{8K~Xg;}?l zhyZaB_9t#p&`@!GY#|%DI)0ek-83B}^&>X$y3UKmX><8hY~LG`7BuUgkJ^Tl{$}|x zz3BqUX!{2E00jaQ{*}>M>=r(^SmLNxsYYtHu-xjrRu)}a?zk{}DqZfbMiSj>V{M7h z0KX20Lf{u#{@C)op=l?+N$4c5y8Zf$*K)Y&_H=dM>3YJ!504qZOYynf6^NL?{OrLc zlOP<47Nn>y!A7>V>b{po`aXh`e^#Ul*ShLV@yW_ds$_{9|HQuA3@Uwjn!|*xRJ*xg zM(B-5Nb}b2PAYc*BVvtVakte$qzxa0n^iedmkd&i8}ueuwZ>1rqq(+}ir+kNwk&mA zqU!35SLT;TWH$|^YpzSTG7_a@PtRpb6y?wn-tKx&8}x0tvF-<~8aP?KpSK|Swh*?; zAOcC`L(SV<3b8P|Z!L$v)l|NW0U2418im~UQTPLkGnG^s^|Xqp3HN3Rc zLF9%^vY4%nuWptKbwi_!({(YpBzufli5N%2&ulNX`Le3O+!DkWJg8)pg)SeIi9b>! zX1H(|sv6g%3fO%zg@dgS`oWw1Lolho0MDfcOyj^&BsJKYe&5;{!q zugG$+<<~0beP!XMr+y7v(Fwvo_!Crb4ul>{Qxjw+WY#b=h8SG82-Ru@ zX%l~VaD(uRQ;oX`p2TI`o~P&PNdTK6z4aF@HRg$h)vQCD!3nc2^JF$amkK&Csz;4&!yMeqO%`WWG?802MaR{=-~faMK}FR?_KH};@QO^i z1#pb03m2H6q4LQCx-dh*EMBjzR(YNz45Pes&a%7+$TL(OKFHrAIve`l!~xnL zMTGIBgQmh!w(Bqq>BOiB)0MmKE!$Fx1^$C_#&`1I3UD@f;nNM9O}#Kg_(p0l0^0lpA8((qMeIB8_t&RR)9d4hY>bF z33o91IKYb0oatpR7(>_79hKRCkY}ekaACA0Ji|;smZyPj(lkSBP%+Asd|H((P;%*) zWth%AfZP$|zv(dwrtTJ~zw&a^{hzZmvw}DZe8%^1%sF>CQ!SAK;&v z6Rfg-WcJ7-`~-?qSC=W4y$M7Jrn@>?&Og8njA1T~m%} zF*jrGiT^x^Q|%dh!}HPF4Th5AZ&Xwe*XomElrN=W&`GArI+%yC{fC;$t^H1NsROVW zqeU2MoaBBNuze)2ah)%60bL;C|15MPzLBo*A@ot1Qm7a31Br7i{%bO`M*5;%)O~!> zRj$?j7~BHwLmejV|1u6vN0NzNudGn5;ukopd+T!t^FW1TaTm9WId@;9&H&Orz32UY zK6VVAn|Pb(0UbHzr;)KaB25<7?cJ+(2^$;jG1TiKbJS5x`zgM-!}rZTn0~j$m~Cb7 zFM~WULl*XyI<%Wx1H4E0I$^9sAN5W#9kV53D(Z;5LskX0HGss0V-BE^cJsK^0%@Ci3wN1t||6Se1gxI zzAI16#-$Q?8K%z_ePjEkU%VU0N3y)n{T4t;1AW?YdAFI|N3JA`V^5Dx$kg{{4VsPv z!mvC&{*X|cAb+0oX`qdcgcz8e8=w;@1INQ^H4)@kPO_ZG?CySMVDwEeGY zN>|*Ni4{=CC)t$ldlj>CG%;G7KAD?6W1maoA-wFe%3nUuNpg#LKT;gc)FpKt-scA^d%3I=s10?!yoZCH@a+TXnrz>?%l{EaJ_w(_rG9J7vb9SVW!K7)^L4der z*ZkXg8{a9r7%KNC13!E)cq3G!Tt^(gP_bw#0STl^Q`J^R>aMQ$rka6VgNy(fK_>IG zTpwfktM0{h$FR8au z61!?qx_)4=;N@GCy?jnt1k$8y9lboZ#-b9T@N10mVp+|wG_tnG%qN@RK%D}P2uz18 z1^U^XIfYcy*5Zsah@a}g7uNSoX2n(FVAas5;1I{FExwrDqEYY@>5HF+==3ZHQcPMK*G(+JIUyrK9@+O#BA=k81u4n98(OgpfyKUK ziU-qjBOhF~j)U2CSUN?gy9-YX%MC~quv?8Mo|#5p&)@5k=G8*>N-#EPHt|jHr7GgM z&>?V!`f)?^X>fZeTOJVw$%fdo#PQ?KIG4x1Y1pCopF$7?TyZQ9sgq)DMf%1*hvNRz z+U!?t{2!{wolEOy-}v*V3FIa^_wRaeU#r9P)I0AX z(@VVlp}VUCEdO4gk)iUj0L$>pot%FWzc|t?f4#-!#O8rNpAhNO^S!JOmO<5)Cx+c3 zba6TM4ACz!v)#LuV~?lEmNV-(SonDZ7#hKx)Z{zzb~v6PD5B$UakrFQZE1tA)-oL> z9^U>+`hIh?I4BH-IjXq)7gyvPXj2Lf3eJ3%0L7zNwXFf083{STTVr>I(4E>59n3E- zhV2#qs94{CL(`tldQs3SoJP6V&B*Lhgfn_!LwpGPBH$lq1(cGpNv4J1#(}p3#2uOr zbNuj~Vw>N^1U)mp`){T{ZB;1y5G%rOEAbNL@$*5-Vi!mAW*t(9Ql+9ZvoMm5OW6kU zNfq^%7}Ij1tpy~Z(z;%6p$JAScaSR>}e4e zZNjejXRARC*OrntmE?3FyGImywO%C$Nn$s3k{K7*__ebGQ?Oc#-Sy`LPDi=b!P zq#C3i5mjp&APX(yF#cyG6m3%rt5Mp@+BLN$CEa$}Ct8j-rc$b;f^)pY(3QG+jAO#= zy9`wT4JuPw6S$MdZS>wi1Iku1C}xyFhnFXNh^8>b?p=(-hm;R;0j<@KO!IqXN{jFW zrYOJZplLPZ8jNUN9`-C@r}pydwAiauF8IPP8&xD)W`}dR*)`EO@?PuO6e8NR^bfwG zHuX1DglX`?cmtNO&CU7r8_$R_H)$lDKEwNycR)E*7rjdbZ`@v4>~?MjW}NPGSDu}6 zj8#PTu|>kx2|>x(Jx{!t0oTIh^ck>#s$|v3ADZPR(JjTK^tK#XP5Vz?DR|DIwfa|Q zbQ#uM=bp0hIm$nNUO)RqW9?Hkb^vZRpbJE{j2c3uSyA zCU2t3kX^96@+xa2!NoJ9nlQ@#M~Ec&?HM;lpS&d6rYqTWTkx!}RIe+}oXB_cU);+C;d{N;3s2KG7yFfqOCtBJNMMpMVLAPlnxPWb~t z*S9`bbEdDYuu%nr))T2aM$kj;pKL0u5<;hicn4PP5KftR#fiNox>G0%e$Yf7j&Joy zU2rMDkbw`-d?C57&yLngGB%+;x9xuMR*7~r<>aYHk>aU>7{@081zW`quH03jI*d3Uw{<6 z}r$sETcw&hFV2O|?M^v8A}O*P}cVf9Ps=9?=- zPRr>Ren?qK2#mchU!_!=?sw0fj2QjoF`BHKS3{e7kzT~LmxnCEph%>{4Z*IkLjY6)@807om{mZU& z$VX+Cf}pQNOKp|_;369mPWN^qBGS}9AO?%FlW+m^KTNYGSu$e7f9@RkgTgDn+A7L5 z82{DV+xWy;$;&24-lC{C+6@Ud3-vBmC}T1x5{5q*&3j3740h+Oz^o>}8`=;p_6rm& zuSTC=OYMT6N$P8oovoHF>s%7kh>p7DSmQwhmJhfe!cvQwEbT5XYI-i+sbJa{^E-?k z^%U>*4;y&#oFT&jy!kfV z)jS^zUNKnK8wCUaHu8B7y*cWLx#XfmCa5p9J#|#~eiHavi3YX1Et=$t7wp2$#<_mMcuC<1MPRIz zNK9HqQ%uup%d}j946uu-)z-vRmqgOcQVl%tK{!J5-_2Hs&s)G5lE}Ck*ctbduD;G3 zIkQ)AYIkjAaMXa1Dt~UtYVTi#Y0fYXhvj$kisCRVzF*c9=Dr9yg^_(Oq=`opmE^vs z8darT(YD>Gy0=L}?%;!dbNUH59#m7IwrKOvrJnuHX$5pc{^q0kUA z1bXj@4B^j-;WQk5TzNUuM~;r00c~v>YaPdew@_msM0b4~RL)7wUC9YtUw0IBYiRQ%qiot3eL!cN++I+8?~0_z_eQ5SeyL zaG7_7<3loO-*-dFw(ERsE2x%8Kk_wSx8SJo&UVM9@Ms}V2MXfQ;`V z-u9N$AE5lRit9ctXMs0~v^CmEd9GdXl*pEd)>#<^42H1iI2h}`SY)qrn2()$&N+xL z6Ay)p1sk7RsDl&FvX1di0Cp~er_^B_!y+3=bZHn%IEbih3sXC!JrGiV4!7_3=hOgL zMI{qdzUrhMtI8aRI#P5w6R*_jzuuL9+sSi+?43IXSE96?@B};!ywXUI9s^!&;)=+i zhF}Z`?G;S9z8vFC)pWphUXtGT5qF$q^&pjO*~Os5G85`$V)29|OHA!vZeFS!^9hE! z%58T)&?C3}GRz+&Y;174;T*De)mrz-;>tJSeT*Bn83iuDg0P8rSGY6>c?hG6W)jXh zxS#WN&HvHQOB0)JFBRu57m`l)PeYIpLn~>=gubG2IRB$9 z%H@YkConH3?j?*ZFD<7I9$R?>X-D$9>?=PkUgoLqy7s3;6Da*@S?dMieIu9>X9AsT zJixky)LSNC2|EGGzI4lq*f;+-!|QjZC`o+WRvT$ zCuu)}E&IUIK4lQJ=ZG@()bv2!l*>@R-Ky&FljXsl63Fks;*!BkNmgwOXN1{Ja$4pH z?%|+0YOQ3NIE=W){w{7vIlC* zCet3(JfPDC=rtkh)#ClWaJ#H^hL(!G)YGEsYECerG@@VxMP3UWpHabc27I9RWsTvF z=E6ea8}Emim)`lOzys~ilg+gIU-40Bf9esJAcK>h;b043xMl-lHNV;3%Q@{m)5}vc zUMh0`k-5{Y_y64Cic1a=S%p<6D9Tbd+b=_hQN~dYdc4YhSCsxZ3xRt+vu#IN0Uot- zi(!R@Nv2|*Uznw{DkD-&bt^J;>s1RXOY9pW-XlcGu=H=bL>t1o77QysZ%<4WVl`Q= zLbG2*SNu%WnW9yrjoDVx9%3G&rHbe|M}U9zt_5Q3HPDh*i=e*M_*qrMeeGrRX!?8U zIkW^|K*{m0!DK~sQg->_QKhq%+1C9PzoZr0zT^F3?r+x9SMlgI@;5KX9yqMO(GuX- z$5tKf;P#BQOlS?zI2*8CqJNy&*CP2ulfltxsmwUNiWt1wqO8WN2A3#3Py=;O=n#?J zE$*FInIy14knyz_sFmhLRH{ohUKS1)PS|7>E_3;|&+8K&g;T{8vBWpBwGK%X-_Ix# z9?R#*X?=#zCgAwd#*MPctWGc_H}JLN$D~nK0x1y;@!9YHFiVO@q}7JWY?7)ZG&jZd zlGJ0&;qo3i12KRavxu#a6n<~AIK-LBOf)c~s30y;$6T?x4Qa2JPPY&*i@b(;=+BWp zO#DXfZIV;%0eh!Nv^_B@VGej=C z4AOpN+z?R$X5EmvgN96`MpW1ft6#Wvah$#PmQ9j{iJCaIx9u;q^73%Km|4pU{5wHVi~N$ZTCpTu^-P` z>3Oz%B}6~8h}5mFjSr3m#C543&567rHaV-~Gntube~&v1%yT`^-$jL0g|r-Xai>=c zX58_n{^7_8Fi#tvpbj|Fq^?-D0eoKH$ajiwOp-Lr*{`EJD#}E2RHQK%A8C&=Xk;`}j->3XCY6 z#OjKR^M7VFQ_|sMkLef;8oMozNFKPaseal=X9hV26A&tZ5)nEWNdxeFLkJ#~L=L!I zAqTlivbDL?|6qp5MMYhixV)#n4ik#rX<~2`X}3_K4f;HgYx9| zMpxE4hwmgcI{9{@O4f9H~S!iz;sUXsa_W- zw5TZG#eDgIEQuxBi}`(K2MsltN7b3T)~}EAN##1+Qi)|y*{j48##p9h@L^E<9MTE* z9Tf&Krc+B4e2L96~SLuxYSEMgWOTH69Gj*Tm|s>f7S9Bi-PTt9DpL?Ku~e7 zC9>+QTS+rN%ZB8ebyG;F{*}(kC^5ZO2rxighmr?b*nW4;IamTY&@)hu(hg_bdP-g$ zdtM@p5h~M%ljC3*xj9meG~6C7Msn9GI-7!BK{Ha* zw4anP|7FPesY0KeZL}7C_#&TeaujSG*Dk=1s4>v?btTI(f&jo$s;*@n-4+vB(;`v% z>#di_Gt9{};{9 zT=M8*)V4~}^X|IzFT#XHnQ8ay*T}Q@9>#LfT<)9lCt?pFXhn2XzrKA?ppTdorO#(s z;4+~>BKkjhtyLNJUl_co>{qkX_-`N8C-0c`xd{ef9xK;C^h;DT0?$`?Vohf-n-f>K zJ|Hv04~5>0)6%tT`G=+&ePMg$*e{noGfM4W4Ccw*!)GEQR4usUTAc?@Y>RO{6ajkajSDQH~|r~oEDrA*3(t@N(gmOt|`cn3MVh&X1 z6~UGD{k;MvmV|dz@lU|g5GrJrk3nse>=@P4H9AzmbuJiSp4{CRZT);=`L&Sjj8nRzX#0&pu#hB<%`l++kFcHEQT6i@4jNUPhAkA^D=Jh9RRXSE*vfes;#U zh7-9~bNx6#<*X{+_w@qK>r4`br0Mnhqo;G6=+pf(`$|U2d6P!ezr1Hdsp_|Bat1894N7f~FKz!Yu3V=!MB$9tY_(E8;wO)E(ri%d@Fi(h zC(CJ%Gs;iqoO;Jqiw7Q3ji;sKvylg-oCcL7&G$K&m5o6dJ#CTQ2vG*F7|G zG5Q*NJH0W2I!_CVa&vtrd+vz zCA>T;u%Abg%ZT*%qm0M(@C`%PqoOJ}#-QNla~J28xhBFqp;j7i+F4m=AHatpD3x*_ zzn#k**q1PyZC^F|&*V4yXnukuk}0=sx4~`?e*oECHPIF+1sc2D@DUy)enosFS^R2Z zRWF`P3_X2#YOEKucgKWkmC1lB8|%DV7T6DTm`(#z;A`seZ--{Cj1MUp_+3K_HXi#D z#s}-Nf4ZgJZ=r7z{8?l!VT;F@iag3DFZT22{ z#v!xij#?}>)YM1|MqkjKF8#PP*K0Uj|6*4}ro>9#U-$B_^GE1uszCu!nwm`&7~^%f z-|v`gjS6BWPH?lF9;W^Xn9*u;;Qs8$iZlY$fvJA&n})K957>@LaP}+lrKs6Y+3VkZ z9?GeB@DwJto`QtRoU%3N?ornGuhMQ?R&~JgYy@@9ocd1x_3H9JN>`@{r?msHN~5M; z=D16$H5&s1P%*Hn5$G0!(o4SN4aK>Pr)*kHr{X1B#%$%4$o3ivOEV&$CGF1JxVhZs znn;5eZS|nx&wC58zb1diHn9h(L@2`lf~8paA)A!s2Q8VFjyLp+A?lVpR#NvxRonG_ z65=RHYj5U1k#~arxISC@ zx;TM8TW0E%6385t8Ohe{Rw%HOIDmr?W=7#PueFZbz^K-5G9W^mK?Ycje8JVXUv&v> zPnNl?nC)~(PjD1>DKcr;@OSjx%8n&<3Wb(A`*gs$uaB1?5#PO{+WT491cC#s402vW zd)KqqHM^PvA=uWCu?!*0N46?jRs>;vjWav~h;63dVqfD#O0}~6q@rD_+T6P}DvLES z5boy|kPo;FrN+Qb1*mjT#{<_pRO9AwD zdlaqoC9~OYE)M@{Rr8QXQq0YbXsjOM4}fJ^(E3j8h;1(EM!6CyE2MCgaMT_(gnuU` zl5Ld60Puwu-+py-+{w_2HX*f5em%v1dZxBSx(cUaw496b)NdYH%hXL>8{13codmBU z^W>Dg%g^i-Ddo$U&;TlVy~fp!Fl(JT(?i)GQA)|oM6XNMuLeu9^~@svq>>2V^DZ)j znkp=<+4X`Tf&pi$sT`<5MBnA_FHY>y0uNxHxR~VeL-3Y{ww`-$dyMPl9;YGjiG7j0daPc~G+H8mc3!>t z?76F`YvQ&qTo&7HuDxPAQ=^=XKch>4i&@S{?7NnV+rSAPHPob+qfjO`AnJR8IOT7{ zX3G+s2j<2h)Hy{DCo~C|hk9QX?Q2lakXtv3Th7PU&0i$7opTPGd+=XiP7C4n{T$V) zQ`%tqkwKpGr$zInpO(Cp84cp73u^*)YEy*@A#~|KfqZ1mEZ9r8#?}qSjL(Gbz%wB? zf}CQQvwV;vjWDVJeke{vn(ud8PdiCQSo&po`&DEK&$8ZqI1SBmOKvedq0ntd`ik!B zM~E|(0nSg5m0KqOKLqbWH~sq7ak1?^RYI5{e0JyP@J&kTj^LsxECU2Wpl z&wVZHW1=zMf7RyOjN;5H8qP@fldm`)LimNdtg1H@zWMDzM`tGEnvs-mflImH)GoGIyl(x1tIb#S)YBM>o5 zOXCXe*m#?dFF;n2RzT*n&vq(D+1S(lJ^^AA3X<=|5*(;1v+}|&EIR{2S@4Mt)Ge2N zi^3VHBN~4QPzGKNdEGKg?78i&U+@Aol&J}yCHr08I6yr>)k}mSjejgLDM@dl3#T3- zOWwlfXL_kl1~nS?UX6@JE326R_|#(xonMm|9maF0Rm9V|Q*5G&gO}M8IUgwAveUj^ zF3vX%cUPLNHOhQ-syXK0=QM8>%~so&d2VkjNKzcl0Sf9lQL|Gh(&@|N#zPoK2fgns zEus2MfFj&AOc{1lx}OgnQvDPU%AG< zu~rN#;VGVu4c(h=t(lMaaH%bK^op>6BH9cc`WQ+QtgYySPt7!Wx{Ij8u#a&z>z3M3 zY7V=nB~g?6n&WfL&hjply`LyZNL`=?2qSniJ`xaEb#ot185KnNq`Q`a6~ni|z^ZPv z6}iNQ=Z(WCSbze|!fnTCax#wioJrt`Eo?iUwFV)t5|akle3o7@p;W`W&L_IIm1?CV zh@Vo?s&@$0Xy;|K)UsD{&pC_zLeEy{&Cu}KNVjVgPF!t@&h5bjBR_utx^;ujkrja9 z2z&J#7{edGe#j?|s+Fo$a*jPhYPP(bdeYd-h2f3oczf;2F|_6Bz;lnULd12PxY)tv z)RzATk8VE26X3U)-;Ez~0v;2+!g}Cq4N*g_AIFB~H9!7nH1fmpGhe9_+_hhfIS&&? zr+ZO*LY;n33VP)wS$r34jDrUdGu*TxME1Q)1xs$4MtiJAA5y8$S|ZHFLkc#4l|*d{ z>B(E~CNSSw-B)Ov_Z;KK-i=tosK<(YvER512f{zX@E7p?NRldoRx-P+o6N_cq}lAc zuwiFDanB^ZHkx3z9F)Athx@KL{I`qFG@ByuJH`HNMNMtyHGw8|P`j|&t-hK>Rhwp1 za6csjGT~%L22ph5ShyMQDxg-*-;1Cv@*ZmrX%g1|F}f!>r^Lar0YkgB-8{0=GsY2< z)I|zGJGp3v+{!$v@vH*-sRvzI9>Uok{u2V^m;c)jD?nNLaro734YZw=`Auj1br%YO zbElZFX$~8~_OVh0bo}UwyeppV8RW1P-0P2guLS^*3j_bz%1ezv)yZxIKv&bHPAnXRHgW8qr5aiXK{jATBg5r z2p?S`m!rrm{|c}sz0=vI1wc`0I8?wIYzQjDCD zDM-vp;;g4poRdj%`Bk#rHeI1OF?RJJNB=^IAkYR=5Bi+u6wK+h_XgRVBy%rw8`ly_ z=^KuaW14pCh*+P>@0l_cVpN&}#AK1tw0gtjk1K_mRO2Xp$+`!;)1WF10rd#9+ z$R8}L%)r_GO+2qs1DZhqo%#75CZ}DiCvHtDah2_hvp?w-ae;4(#55@6?bG=dDU5E> z@PX5>T*d7z22>VVYz&ZccmOh|nfHPV9J;sXA zt^MR}X0WW-8}$QKA9)3#t_Iw;?2j^JO4BmywrdL`=+7OyQsNi^@m1ufOEj01{++px zE_cE&qAN3x7E5>!$!$1nR_iHODw~QT#OP1;_hiNYI@o%_5g1WwT3VwL-z+XGtw5q7 z?o|{LzrXycqbcsEmgey}&F(_SegMG;wAk2LR*e6Y9&w1Sw|o0(5l zhIPI>XR^EEzi#x9rhT^}C;Pf`wB;DYIIH z;I@(vx~S;4nAS}@TL3;062MeRNuRU1EOYolN?c%$N#hZ_Ibj$CH6w2xjv%7+tUL0l zNDp-@cSD@^^?v{chTK*}*fPeipbRfpv=GlKgJTC2z0krnBb5@31L9WhAdnzZ$Q@Vt zDs%t2op)gEPUB4J8y`(uNZWMe9K`g(1LaZ}xw9SEPijD(5Nix(3_MkHWwLXlB;l2^^!J55 z!e)~UEdF^o0OTR}$}ZkLkBb5h5G{a?zI0Nwi(L1qdOH+CO!aWMX1W}fkD2J`Yar$T zcg+Wv2^DM6%%cMVgg_AVrH1EHtKAxiXHE59ki<{4OcW41C=cR@61I#dX#(Lh608pR zR?@j|{nyb(5ic}-;U_G8_oT4RH#_SL;yBU$I^E}+q#!XLK(JiMONW(I5vQd3wKfDwdDL?p^9VyRx<++bWc8j zPgatHjk}7&qh%=}3`9pyIzV>m3bj2Sv?Fc12 zQe{sGY6sg_TY~(sK>z-uwLRkT6Rt`XO7+@4X9NQL8}tzW5Ae^&j~JNG13-)bmBjRI?#qV|xh**NOZe;R64^!{`DdMO}p5*ftI-$q9&;<&#TG)aern^B2ANh2{CdD^YQQ7Lxqmsef z=uJJ;drNy(_EsLbej4dX#2rk@iu8S{vYEniUwrPS#}(p1aWwKpCV#HFJqD_fj84ni zjptP0V?6Qo?CeS@n;|ia6;EvPcH&mfhmxA7Yzn+&Z6oAml5P!SFHH?iopsnpa8x*c z6F$pPiD;Y2y_d&Y`H8|^qL1}_$V@qo-IAP{ZO=OC5c(3`$txYZA*I%O$c4H|s9k)()r9@Sj&~c&tDy4_peq&QsL`PuER7 zjOtc+Sq)Oh_OH}hf1ir!711LLFHw^pPDCyv}pva^UX77rh3_VTuT5PadH zN`#F7VRhCe)7Igrv9o>t+$fTXXQN-2u$t4A%?PXqRo|Yg01+EX(e~hwni|$Pss#|s zY5QMl*bKc?X~8h|7IfwUu-a^f`Q?ZzCq9Ey+U-_l z{%A{Of9d?5c$8V$x~!3UyP#kp2cj`)!rlVXGm!}ax7voz_KYjd$|`?)#nIZDPwCG! z&??)oE)i6{H&jKweogN@4(BZgmI_*iovABj(F~@QbA0PTiB}%&3v)9HeKvU^OxVlR zZED~b%!lQ8d}0I6$zZ82ae)?ULgby#-6jSZ!OQ1}3@P+ymd)I;Z1RFbt9tkhncvk@ zlGe@-OQYTW^6|mJdN6VI^Cm8k`#1haQ)f2453jzJ!+$VAW|~~(K_YL?fZeV(!(0{? zJkEQmSFgII8#|&_AjJ^d9m(_Z?r+TVtS1s|*duVY_g*$=vS!Cb!w-zX^RixD;Ey!>q9EI7Dq@mh+_j4kxh z*@-K$_t%Kd8z++%F;s9F%dR|Sjuzw|dDNiVnW25Djdrv4Yh^*@r~5l4O77tw&B+K= z!bdm8J9Vg<&?LKHRCM13RPqYblsTDbqWkq4 z!`r$J*#K`xzShe`MsAGMY+2w`XqR!r+%?q;gf@`Gd~3C(@y;~{)!HuE++tdHNt_&Y zoK5y>wbjC%kBJ?hyXP_@gY`I+f)&ksdx2%9jQ1H9_>5)WwL@gZyY6#4&_Ny^VqI|K z#0O!#1v%hDh@VWRW(BLh05intS1#H~5Jr3Yn#fYVmPLKbEPE8pK)2Au%s?X0Dl6`A z)B5B$s*4meKzUF#c}(u*Endm0wg$? z{l^-QqW7+D&W7$qED61>wQ9t#S=+nkW-lF7oyiV9E7wi&NqZxV%CkOZ0n&eI$L}*L zz>lOXsjcErcl#b^a}eRQd|Hz^9i2fmvI{64*^~EKQt&YK{Mj%DIs$Yug$qv0UGXSS z63?#k0Ot$xOL7a#3p*2kb$uR5&iNk09ng*A@1eX9eO@3$9G{$teU9`N1?E#;h!4Xx z5yJX}0=4kGaHLuuAvs@$5Mjc4(saA9ym0$njR}DNH~Ylxa<%W_4>_>9d2;q+CM^v) zLo>c?-IV(D**uGov%fa+v9}Fn^F=Dy<+zE@f6yT_%L|HtojWDuTBN&H-hxssZ0-2^ zpr63Vcypt(T3A-}QTn@voz5Gt6~5V7O)lau zI4fVmVaj>naEC~vSuV{D>>VUzq#r2$K@Ogp<@LtB%0mQ zS005RqdC*h6+NbRHK9_QQ!V844KBd$V~&mP;x334k(C^x&Q@(VHGkq$DbMQh#Dk3W z7Ro}^W!Hws6B4nRtR5dsaMhL4*&Qpz(xzWi!iN%JdU0#J(%iwN?7ngjT-HY;QQxF73KxOn(tZyxgA@I^Iz4H z1Xx(++%X(b{V>SSRigkd4gyJlNy{<~`?X+@pqFugd?Wkn=eotg3 z(V^ktixGsj0@*4@?;#5U*P*UI5n~?D#s!x7g4B+};Lz=4U1ymscv)cO+b-CGP z47#=V;M`gJeU&fG#({MFFCIOpL$!F`{eT|j%zJOYGy_BFYsMutwlZjOUg|YP;=;lf z(8(p>y>(Y;8;2ru794fm!~zo2wD4!wBhmIW+$)(;NGQYQgM^-c7KmTCJIN&`HB3)j z(77e%fVt@Ao#vL${Emx`nT___)V)swT9fVQ5tcTDtVv7HHILcn+`qcm#!QT=T@mne30L;4BSSC~p;%O%C^JT((BrWIM-aW_%TZ9JU3C(bX2 zUX3m{5t>}&F&^*S!kyBk?vflPz%Cs>d=9{CLR z;8I}=-BNK0Gp}?D3S8bN=}IV3+*__8pa$M~*tyn2Pxq3oF_w`p(D zEVtiL>JusYev~O|cgyZ2%ICwMzPvGGyRhL@EI_qEUpv&%-`;x}bJmM%uIO7T^Mq_7 znC5L3L;fv%tbKkbVj5f5Ja5{`=J}c;8jN=zm?yLs_>Hw0)q<97nD_UGJte9ly1vR^ z%aM||AyIza=(r{XL8?&VwoyWsrV7*J=BdijEAi>8ZhpCbY5hDzNA^I>+AutqR;Nhx zt51}IXsJw3{RE>B;m5Qs;%{0FN4!3v=SJvbKn~GH1{_U(y+}8EKaO24Qxa;={*)|U zXk_&93-K1~#!_u<(6*FSP%I5YTBa-xO4a5*_U`89O-@i{gn4$azM~;QZh79)qFUC$ zn-;Fth4+-h7UTqXD8VhOFRe8Fbx>Ok>trt9etF0ykAI6x)tM!rHTsDtcE773UDGs2 zG(5hRnZVq?(c@>vzo$ma`R!*lerYa&>ek zD%YzQR!m;xFRpk#!}jid)H)C3V~_-yR1w`L50sD_aV*+ zd0M!l%_dwhCFu~;+Is1MWVdi3a%6CqZkUQVQNN-4Msk0g217uw#7!g|7Z!X%TC1qJ zyw^EXv8`AACMg}j1cY7{K^FyVDr)BT;#0KLP!5{AQqOsS>Y~=?NQ#oi1(Ftp3A|#5 z`G|``-=1ZtAhENP8ps(V-d_-|+nW)WJqVLor`?!y6LVh7?QZ2tv@fc;jaD7twX8`) z@uroFENZN;L|m4CNaN06?cA*hTk_O%_R@pTm_a4%O~?f*w^^E0rQ)S`DXZAVZ}~FZ zp-MlKV*h4XB7wm@;1VU$UQhMq1Znp-L{pwj>mfCjQ?6e9V2}e{s^RMR1M)FhZ6?mr zAPeMY>7(THmRY#fA~)?PuAO&fEqgj*5l`~oa;{BhFh(|6eJN_oM#7OgrNRz9q3!kf z;YvpEM4PzJecSzsPI?(FL7NJbx%LTn2Rzx8E5MtdP-gP142P~pG2C@9WcIpn{WCrH zvyZ9WkCS8z9f)oF#iY`u{c{Qi&R|w0?IA0;(=nWnryiu5sws%#^5Opw#} zo>C$Lxh`t|s9kY-;!R=QC;*cpmXY}0H5_r`(d5?{>j?R9nt9v{4UU|QO;BHD&It^O z(~&Fll3;eQXpdnaoTQP+lxlb&h%wp~sDFXbU-D6R775{7r~_t`=5meyph`T~`m!<) z4R1LGvgX3K_;+E}W+tnG=2^oay^hwiJ~{)_y64uAw;Wlj6ZZVj34li-O zTS){Uq^`;XR6>ak{~`vuwxC*UQU($}tk2b)nbUJ_uiYta=-g%b=WeVu3>swhl6OR* zl5_Td3{vJOX-Y@J&bf-6ib}euKJc>je5?54jSoq(DQFz`bZ5mjI#STZIUHL0rdRBL>V_-W&B3~jS zk(l6Wder(ghKiISrc*EX2H}luDJJy!Mea0*wNS8QR)Y0 zKl^r$=^=CRkvM}&7F?>PS5}6NXB>UTJJhZyvgz5A1zn;^*z?%KYaU188@~GKYXD(O z>jYB1OU^zTLy&i#IbkKzyVwOrdive!@)04V)kpcffMT5A;BX2a?MuJWhvs9zX0^>- zGC@@}XPq@4u$Y{ z6X}XaGB?T3B`vvxp-X$e?RKpQzS`O{>|9+lJGW8>tM|-qy+4l_`hLhMB zVmunvaXmnlONucrsYr^ctKVu8q^UPldE+?~dC;bOI_KoA%M#RYUu;Re zh~&y!Yh*#_Q5#X>u+eAgm~#;C&BMy|JD9&z}x|OP;xVU=_!{UR1;Y;!Lao^@G z(in!jaltVY0*ae!()(y8eTG>a;=6Xs{9zRNl``gpA1CiUaWT0RhE~Otu9bdxY?5Kh z`rO`IF|EqW$#-rI;*8x%*h6kI>DQKpJSYzCh#E?ncqB{NRJnnMpWV^AgTxyX>>!h# zJ5ib|M`St{<4siP)jL1LfiLLMFJ7E2$1B*y@ z1E66US(XbQ#~^~|rkE!g(>W7?y^S9^?6~-NWtH433&xF>S|R=7uGTTnDRMV$)FmqO z5QSg*n|MO%d|v0^jfnkcW~KB3A&)Bi35Tt~(G8TIn0V~2<{4XPkH^~S8A#qSeR@?Z z-)-z8ZNZyh4!(7pYz6F+X_|!5hg)VKy&PQlu zH+R0O^0O;ts;*q`EKgLA2y&C;^tKms3NaR}x|LZKD|O zZ<13g4w0o*r?v$)qvL8pi8gN3u?y( zANf@l8a5Vt%uq<%Q50>!L(C6N@ zFmG)9{PbPLn(n%%vx}i$$NMpr@+TjLpKW><*ME4oha>zpusPBozmM&Cyh^KMotut5 z=y2=pP^b4KiBspdfhGni3J<*XGtVOrzRu3MCoB44I%_Fn05Y;S*pIPLTC^+aAN{GM`<}1Z|E%Wv6d;mYU#d2vCym80MXq$lFxcNREcfH z_DP+~qyMXzC@4GSJ$ZBLS#9;Bk8Ok&G<*+v4Fx_Fzs}X0sOHR$cCxB3pOLFI$G0&F zZhPN)l^o?=20HfOtK7-dbY^#@r4Lt<9}I(2l1sV$$0};`2%QH?TGSWEO5E}uu)CI) zoTbtDCi=~K{P=ctxkX+l5pNO0nDx0k zy>S8gARFrI8V>(r0n(E55>}0UkEDh# zP&_cOVNv+_($-*=+~K^TL+>F;a@Gr*mYPb;I4`UX?B#c*Mucvc*0BUf^QGF&axVE; z(^HhMPGsu@)m{?FG|%2K(9wFUZ*7n${TbJmisWsD1KKd_8Q)&#rT%gg9mzL}(^EGE z__*0tYmh~@d_iN~1g{c;Xc%4=Fa#i{JgnZJ4Zs*~H zIcvyVXgNdvHB{}ap(Bf>VX1PH?(NG$26BYS?R{eAc&#hyy{*mVe1|FM_F`XIFQS4V zK)Q99v6;cXy_#)i^seheIL(3)`EKX-7yl+ApA~wM*L-wA!R8~S7)~(<2cf-%sk06h z*r@JPRr{}T@6nw7^FKqJBa|nD^8`PBeK{xamhn@sFIPBzTe*UP|7j;Oq_r>oJ-txmQr#CUNR1RmQv!P;exG@QsSlIJ^myp$S!GT>kRx+5=6rd`}X)?WPf}&M(*rl zN{H92D@ut1CssBvbOM$rIXk)-Im7Q5IN9;5(t-E9!*~Ka^9gr;{)%;OZpi5utUpzi zlOGqxtJm7_PRPFhVEyj67VTvMK`es#t17rbVpz1{%7)}!zTT4>d=9c*GpI2wZ5s_M z9(Ja#4C~)m()n@L9G6nJX$2d&IPRWD2~gD_4fLHsCsq%SW18AxB_eI>yUao1|Dxq4 zX;bFDiA&EX+q(3{mRg}~kE&pd(Kj~yx4p<;UT9f2zShM!%Gq%DOKPp!q*Tj(zqK9i z9SpC%MfJpebv7U0OlV0~#+P!GHylgnnrQ@QqB7#p(Qyr$Fx!3ZtC}~F`ttj~xI{IV z6HBI*)ES8lOqXUy&Mch?O`b-JY8U%=$F?(Uz)W0C7mc&SEXo*ca34w-upDSCE{P?C|;Vorf$@(J$xD zWg;gyapGRt_+VxqP3(d_rdcbhXs~b@Eyq3VMpXK%dEv)T#J9~=&I-wqDZGp((Eel} zJjS_Ots&_dySfoU;`1ofwCXCFsf6&kCb@@rrAfF#Ep%^*#qj+rFGFRoKM#6fG1nqr z7PKQJ4h@SmKPL@Be!Dig#wFx<^-=BqYJ6qAjWiMQ@Ic&e*7TKArfh*lz!LnYN+Z$rY`1{@H-44w|bXcrJ3l*d? zl~dEE_}i6lN>DM~%gD_AIA*iCszQ4wv_J8Jvs{N-!Bf`5W(A5d-CJUw)33i2dOIRn z&yttFTJbnaLESFPku$!ZZWo^JAiLdmV5oI)%_QMf_?P*BgMrRs)}#fA)$}7$=Nb!V zmx2A!=v(Wa6U!k>AHI$+zTOf*(+0=i@KRjxHv!-`+H^0saJG7d3D)Irb;)B90aY)~up- z*2Z$m>=L#{cE%RAX6$Mfwj#Dp7XSKwyj0x8$;i>d-r3HP283`x7LHENV&(>pG!QVm ztO4RP2+Ro_Z|rRDM8gH;LO8GQEdTh}KT-O}$zg=U$UH@wq_u&W)A4n#I~!PA7>U@L zS)0&su!}eu9lKLr4k+M&;GbE+AP#mh1AA!`3o~g zU@qWK$9JZNSjoWwyvYLo84TrMmo+gsW(Exe0(deL8&%+F*m6;r%VY(Pra8VA4VZ(2 zK*J>C3$NK7IJr5*1zv+ zJot8NH2s?{>zZnynO>{bmSx`N%9C)5}h8unJ`23_Q`!(p+bn#F+};(ScV zR4*~ul$_q!!91hxUg)){x=zRfyqyE{;d#B6{hwYuB9^%!BP+A_P%Hq=RBkn}pCFlI zsj>gr6HJV=H_P#rAtRRfzM_=zQ(|Y%QZAoiD|Sbx&>*R$2?tTdpc6_{Ue;-2xFT=t zMAON3i}ERY^y778Vj{77hiB*23!GC5yjmm4c02}=cXV^6ZCMnkQ0S0UcfEt;=B5NAk+X3J(-7B# zySc&vJ!*sI-#X$p+n?+X2T^Kd^Ve>Yw&QIVMRO}Wd?NI56pcM?>T1Yp+rvT@l2U9X z7VoYrSMQEt>87$<4q_?yWI;>=6@y)PWAaFNEaVx_T(_Y}cn%>p%%~H=7BEYptC8=| ztDewxxN?i0ZQ97bgTSiT#xd^UVQwN%wA$4|o=%Y443U3`;)sc_idEOPaL$OJqEYU` zx!kB*RXN5u4H~0Y4ij~r86K8RjA2cT==JGcpSsD3BFZ`lnK9)w_VZH1_2Q(r|iR^Dz4vTw;Ex%XEqJP)ofw`irP5v~WRo zq?^+WwF2*kyf@G-d7Ge$cYutTKK zFm@u%2SAbuDq0DFjJy1es3td8yzFqzlF8WL-VB?55;|YVayP5?{XpEXmy1G@JQ&^f z-b~O`xe0Hb({(v}izE!({vll9bxF2a3Qw;jOrKBN6m3w8HXGc9LMM^Hv1xug;cR)b zfUoKbxNeiA_c9h2Av0L-BLinuD3CGf3fh}BsjULGBqz!yQ}7!owjz?-Zb&*Cb?DwD zW^f=i8F)zUZ!3M)V41m)F~OzWKA!MmNLlKL^A3$D&t}kAJUT7zR`SiO_&7V^XKQ2) zkZr-&GGbK+gxvBTjy$GzeUOFGkP%zo78jg_D@(1qWwf$AKy>9k=k}NtK{QnYKg)BY zRe?gQJ`LHt=WoRvmKN%qD{q<$ef24-5*4fye!p>WhL!@uFNdh&U?G15F^JA3B+XSA#Lp)Pbxde^`Ptjnp$PkjKnE7xPQt~11Uj6503A^=aY+e@t6~N=h5+P&A)a5x zJ7CapNM)D&3Elz!fp@qO!S@dw06X?i1pf%{{D{K;0DAzG@(1hzgTIG8+~2_-E&$p5 z0ed)kz<&jM;8=%?<9n^hrW;Nz}i3J zI!sXiKjR-T1f~JM2miow+LKHecIFfP_zV2Q%>zrIotzy_3~bI}-A;L`F>X7~gXhIP zsys)BaM^O5*I=l=-b&8+CjLBT{vdv zrPfzGwFKU=6<>8;cZe`uYh-fX^|(f>jvf9fmr#V5MEXWyurSeGurCpg8A*k59nU$tX%R!rlCh^s-?Z1wSn8u?~D|hO{RIPE@TU-b|w$) ztZF$s@Jbz#sv3T?c#S85rHkFo(mh$+cjsEQx-4U<8xgU(qd!UI8%#7x5u)q9#EKyX znprO|k%EiFIL6TKPslS)LLM9mhvL5JAWrxKPQ}^e#T$7`kIH&^A?l2#{?n*7e>|!s z^%QL1OaiBlue7AD^jNYg6yk%s?AsKY_FaMRDNsT^+IdX~IZtvP=3yeZF`3iG`hH4RrUytgtNed~v|m*I+E zrr&2tb;h5EjWz&jidPh6M|&2Bp|p_V-k|2LGli_TVK1uxC+I8V=$|a;c%k$#fy_b3v7HbTiX^hY>>r-A& zWggOvMtTmwZAHn zb&P<}Z+YF;Ep|kHk=hZ4k8U@b>ChGotyCn*{6tSwbfG z%kYS4v}rK?cC+tu+}#rPDhXhiE4$=TLQRmV`nZCD`boX=ec~t@wekx6p)kxh*C3J^ z2I@RsRP9fo0oWWlRB`6*!}wL|5yp9a9rX@oR=%pwbRxFiJ_$Bj$-CaAMObvNuM#E)7T zuQpi=HYT9VK{b=;jXp3?jz@&~-_IkV!|!|-rWtxr_^Kf-CAo#8q!=n`Q9Vp*`He;S zBMj(_0l6u>4+6=VxZ;N|0U;S3qvs zCqo<5<@C{%;Y(5p$SM6$PSvONBb$IUBx)bK} zWg=JXd&>-pn^$X~JddkY0|dx*!#X^&2hj@Sd)~e=t=CjrnoJ208oqh4B8(kWTsF}qUmbx}_t>S$e(!u)g{CcAx(F8Bvip!#6 zwkzhSJo6Pd;fTnivcPW1M7pjIpddv5mA?AP~>Fns0S}+ z<|hu~;?wu?h(5T2t;|&KuJBOO%uW6VRu$y-9X4NrY?H_Ia+%vQ)#U^qWz2HkJmgc= zu4XJ8958w6OkQgBvQoTVCWqUf`PpE{gf(r+ge;AvlxC~Fonz25TXvZTx8kM0e9qto zxaOgh*MH&JN4ECGJF4iZi4*+q5-&N`7K5hol`gNz zDCwE{$Z(k&(GMg{yb?BQdwLt$Nfn*Ew4A^~maRIG^`J8GL4sBlhQ9jn_2oBVqE&ZG z5{zdw)s!b=(QiTW>m`jf#0EO#Ktn;ON;;28Vg!B8$7-xbLo)&jzgdmyDqUCpjE1A~ z_-kC0(?j#T?5?ZYaVR@2O(C&plJsobBJbuqs)Cy&Ec0-yxAUtK7pi!!EUxqwZ_d2q z;3a1A6%6pbH}TLqp`~qCD5l-6^~Z?tpDeUPX?Tw>_g|J*L~L#CoSn32z_21c4Fq-y z8VIbqP6L6JR%ke32#SUi1~h0m;Y&E-OE}@jaUPeH6ltI^j7bB9^$=*F@B^Um1EBDg zP*{PE1`0nl7yJM&_!YR|$8o_A;DR3j$E`GQ7zY%yU>5?A-tqOg;VZcjE8*wlfuD>A zzLE#Nga^Ka2Tmssd?hdZ>b$T60ND5+yAI&r*>!*wjsAtTj?es`u@(db{Wq*tu6@I4 zmW!a_iOdnQ6q8CoFp&DosH_-o7vM>bQQeR!Kp_6hhD)ht7u) z&U9}{(pz|U_?*I`o}k?)JG0GmwYR-HdK#;ruh{_Z+^lpt=*ruc52j2 z)2n}H{rQPq=V+Gaw;62L^&$Fw!bc=~>}NZ$OsC(Vk-a?FAk&JFx-dN3|Ap@bCHL#+ zAII0Fg=_C&nsQclX?FOV4M+Cj7o5M3X~D6QyuLJynf$G%sPSTCLxAmF^2mVsN0NPR zpE=BJs?HFeZNG2g%lA}EE`N0Z@A9TL*(lW+naVK-gUb6$Lx+#Q;!YE(wS2ghv~9)s zaBd(qBNgYK7H=VSzE0!a8lQ><^}`-F2JaW)rJt7#a$6p&tbAc>#!~7e)xEu^irk#k zY5GacO#pYOduz0YYEj%;;hbfqzdb>m<_#Z{5D(H8-TN|acQNpX?_!#3j8fmjq;>Y= zv|*+#>A1^%R-uumwP~*?qoO>CCo~2bIecn*6JYMGYFeK+Auf8uY z%pW$F3)S5Ye7}=SyKGoKT1dU0Jy1Jj=_IQBf$U*l8bt|r!J1y&ymHG_PnS3e!M6wP z5v6+e3jGhagFcZGiF(l*<+1C#TuGoo&*odQ?L(m`)oD!6QhHN6OWekhI>SHE=ci>~ zvt+y5c*x6av!U@gsSkKU)}-Rx3wGA3OwZV)RPUzcgKrzP#p(`Ql*9p{_U6mJ`wAX1 zy1ll!W|kWY&xdSMo>)5a3dF_^4a&wPJ63C?TNa|+Is3R@ArQKpVAV@Nmrjr6Un(T0 zkWD1pd}bI!+s9iD;=J}TeNtiLgGGh))`RN05KIZk(+Fjy&&y=@EEt5cHorXaOsnb8 z+eNbfvg?zmg1&u!@$CnBvZl17YkRlBvAgeX9vu@Wsq#?sGS1j(l7z-$$#?Ke9V0viw!X*}Yfj>FExM2VcN) zsu98uBS&(y>4=hpRIS~0Zu*Cfh@UO_;<$};Sold7Bg26=WBr<_7^x91C)dDj-!DSv z$kXx3wd;M)gpc_za2c-GNf_&%XZ4F}86()ZDW+K4J|_9{e3Om@x!ogbd*zwErO697 z%KWT%ZJwGCb2jzF>o1PBaLO$|UUHbZmLMk)t*Px}bw`+y^XUHcDv%q2Yds{t;!y~m zm)M#W`--gY+!TUm;zOm*+;X<)GB){AR<3W%rKVveQA}MN7M)Sdi{|dHbaMrD8X~`0 zIN(;A;RrUge4IZ+7|EzjZTZ~i$k|bAJ-AUZucOTu4OL%^Tt;iVXn~_G=UPunp0#^C z-J}N9*6Wvjey>GzbFK!pENd))?WssFd)i-jETChg#eYUA4Y8#7T;m#9s+TStHCpR1C5yly5jwvA|FzWRzKRU+6E0pml_*uS4@m!i|+?^2Cm;j5q+K?M2 zO)DBps5vEyv4d+M4}&Tt;XOm-2;-eFPf?8f+sw~L=Zi)sr{~!du-iMmvyZg9`n+=` z@CB6TE`EHDjPZWNF19RynP87I+0IasD!C}x=gX(~q^V&YHNomuhDNzSydDvQ9A7Eo z4#hzHw;~Qxp}O{q*O59YanM_*#m27o#U)tL+Pp&}EeTEg#Qc_L!(RyV@>on6UnkR$ z!5ky5xwNj?eUB9A(r3+`T=<$oUi8kk^J~tZOYz@CY;N1D5Ti=CL`U&T&RaSy} z=y-)PE4in8=qIG=_4l1|6m}o{9Lg!1=`ix~&&PRYgyo}17=_)#J~xAOi;jp_T8{NS z>ZhB{hBq7=0#xv*w&a4hAEJ3;4dwPR8G(kd+-<18E?NwI?(4==hsfiwq0qYMT{)rtk1gXc+0l$P-Lue zJR&WM=%CEO9FQ=+@xWns>e2dV|Gp$XO-#v!DcLNfkaN_k8oEJ*(_@c|;`1rdz3X$c zUKC^skvi+yzAgS3!1qvW9y!r}V}+;^7$U68}T)~ zYmQjLR5AO=3PD#tR-YG=zt~yxiVR!uBdg}fdHfsI+>bJ{9sAJv1L&kt){tdo&dQfe ztO%TYMp>8{$7Wpm!V62EYT%Cl)er4SH!=uiJHC>{o_%lAY9}cEQJI7p-_Z3YnK`a- z))X}zIzJ8cTn43fR-7)8w)Yv~nq(Cu;iLGnX3Zk$v4t*X>n32X;|@oDUg{z4-mqoE zQ<~MlElXUhXO6|sIhc*|`!1$EXTI&saOMoMquzys@lEKZY{dyD55=Ijc0_yH8x-vF zC90saqH!BB;lwJhZ=Z+I&r`AEl%fl7aZ-X6>E~H&D^dem1XaEc`wp%tJ;lBinPsBt zjo*PUVM(`ELcJr^Q;!ku&MM(MdafpWW9nv0KQ_R$ChxeiPYa zJ5(~YF6Yl{-WbNix-NBb;PI#qGkcWT$8WE0%Az~RJ-vo6_%Mh|JJaS_}%{$X+W{ z#Pnrkl-8f8(chMRp_DCUeKcZgBhwxgoeh3en!*^uj;|m&RO;wA&Grl_f~Ai+kYUy| z<=z!n@y~AQ3V~;=@sgnDC}K@MGEa3nJP_H@SGwYmXM$%={fSKg9e|DR$OI* z>aq#LY+GDw>ei(*ediU&a~zxc+h1R9w{jXmGhvGbizQu|JIrng2InLwrp=pWP$x}^fuy^6v>wUfRYC(g* zXw|`1(Rr<;I_4cR)pWyHB=uNI3GXaz!(~VD5|8$|!Q19eZDRMD1zh9MsHetyY%+p& zY{V9Ef}*g}77FMEXvR{IqciLyGo$?~>$g9L^^oGBHDJ3bW?fze^_zwT6Zzv(Xri|J z^R)G`8L1OZ%viJ#;yiWT?HHW*Dc4CGSu$es&v1z>ub&=(gs&0xQzM8SkWP#D9Q8)_`UUwb_jywm+sPx4J9E>6NEaB{jEFVV{V^gtNd4H* zEfst8l1jsOw|%#Uzh+#k2%1zbp2QJ}d7OcB(~q-^THN}89w%P+@MdyrF81{si>V3B zock2t0^7@441Lt-uu$s1b&eF5h#c%uIh$Z}4aiIvvnOOc^E?a^dX&R4GR4=?_AGFH z$+GsPyXP8TszAkro9%A>xWV>5mR&G8849oG2erVO$*qQJr;(Cdq*hP%Z`W@kd9LHr z9N>tA4(NZnU)Dz2CnK&kINbkon)ez~o6mTNTFGqzFU^(bI;4_kmwNIR1y&7GSXcgES9Zu{5qUu+>K9A((P!cnj&BPNFJq z?G2Mki!JZf-1w_e(~Q{fGL}4E6Ia>E5g?`Eo2hCwwUbFJ#LiPyk&y-`J5PKFMTv`e zVhL>@vf~&`tnw-;jXT0Xry#le<1F?#bvj8+PR2H5Eo`lTAu%IoEg;)th8b~2p5o3i7Ek2c{LgipzAM5!+G2j01g3`A9pR(H8hWNMd)!l1}y!4 zC<{2xsgnJlMl=4llKp=-o^X;s^TN|wUU*^&;(%wzAP#uGdEBEo&R0ReARGKAJbwkj zXXAk1!w(0+CnRV<@U{-{6R{p%mf3J5F*zHK@oH!l70{r zK_?>Z2O$!E5Ip1mmDHOTmcaj}F%O^Z;)&jLnx z7BCng6NG00Ll81Vcos0ivw#tv1&r`4V1y?DBRmNh;Yq*87! zws4(_(=aXh|CB8-h5T)7IWx{n)t={X z>4D$^rUCy?`uD#eyx$tNxlg%q7}!5y+z*6zQX1mqU;}bP;6VvCAT@--MEO(U!Sa&7 z79QvKmIoA@{wd4*4jBMOs4j142^7G9^44`5;Q2`~kOd$A2ePXF&O-mQ2!Cts1H^qQ z3c~WVzYyUG8UXXM0gB5FW&;vFC`_KeCPBz?@c>wQ8VsBYF0d5w7f1kcvjN#E2v9oc zF*Uz-eVDEN>H4R9H!L;%h3j)bk8l3i1#ti*z^M5}8jj0hf1=@(8-%6B|Ct6(UdVBg z6$}Nj0sb2bqv)qJz!Mq-AmThOuKbCHQ-v^CN`6Aa-|Ytfg|UBRNdW4G*N}d@CH-D! zcsh!F4*`DQ40$-%z(9SJlLy2G1TrX;4JeAj<@r+y!fG}rz7ST5`I7`s)uv#@lz&`; zK=gvpKmeNnTp#e66ZnkCo`7emA@E|~>9q9UTqgu51RnqY{jL)NFG2n;=)!#}^1w<~ zC*1ylzyVw31-QY<#mfeat%10?*#LgP#Q!sa!^`O>0_XaX$%0SSTVMyAknne#?03b; zlV>@^axo9BpMhEa2kSM&_@dwKJ&BhFYmy3UsY)?z}dra{cl7(`!0k9ej=3)a% z>~J~%nrI=%X#C%88GH&`!KNoqMEe&sa6;LRkq0N34d4eSjG|wp;kXO;CmK$H16Vuf zFK7U9aI*mgTTTe)F*pEmu>plHP8dNyB>|R&oM<@oxC8Mg5>9zlSSRU(guh$Ef2P6z z3Rd5_vA<8R0iSW~NqM@O`ZQKi8_??HGYD^E(yj6j+9J zaR0LcL3n{_0KhFl0kF&kOpqVT^4FvX{ZaK0e2NBGZ|E;*Km=Y0P&Nd}1)9ukz=SxQ zqF09w2V{|u+-XEeauY$yKthqV*%DaZ*s;Dm<%8%z4_=JPv84FK5peYFDCzC2;q z51bz&Nq`)eN`NeZi-!#`2MA1nKNZ>OkO~2)|97>4oC1xoae@;X{%=Hf8aMI)SP|yI zf4d~%De&)#q^FJV`}V8QK;};!u)*-^*z7;m4^LpYk?1y z{Vp!@oc1}#s{K#-oF8PT{~fPRnkEp$6buADUkBLje=OEQ-~%+jjf_aDvg0n2>b;N z2w8x6LB}8zm~ms{0-Pp{qF>_!nCAxo5OOMwferlq0u9_i1qx{8L%?i6ZV9L8XEY$D z9gg|HLBn<2dH53>PGND_`V$iV-VpSIkOFl1A@Iqx|IWbwvl+wZ-hPuApBCDG01hXL z!vz$VfOZE$XfP4}RA_(XzdsY&DHIADWBI=m8h``fkfdoKh0LXJZVsKoygc0;p65!n_M5u=zw=96Ar)oW%r@$+0PX2_3zc~U*goee z+zgw2Iw9d76W|Z<3edZUz@MQ(gg&5S3xSWo|8~FdTWcSjr;YL#Aq)U|0nIw`3orry zRHSfn{{nY%o=QVt<7@wvNdE}Be{_9-Q2ckzYynjwSZw<}umyOW=lcpIYzveV#{JwJ zLA*fm{12ZFljQ$GcBj%e*!bHokiY}f&VhL!;DJJ*fCi)I7bpPA?68MRfTgEh`YAvH z+xq1%NI+z)Y;MzbZ1@NSB zf__E=`~j_#>OJ^a)PG{bDHO~5eWUyDc8tF=B?2@(V9l4`K12sW%vSs^n>vM)V0=Fj z<=@W6?`nkKB?ds~gr!h_c&OhUQQ(he{Wg$rDx$z!)fBLsw;-RNDPn7~T zPgOE8vole-{@-n$3V(j_XPc*jxW3yw_1I1nzjvdsWB)|(Pc~2e6NP`hc`DF50g_Ic5B<%QAnNt#wL7ZASi>zKjuD(9C9Xja{$#PskP=~V8%t~=PRVrm(yAF zijNxR3SGyOm;XSJ z{OAh@g;&bE_q~iy_FsBBA8r*BPkeRf0``{k{(8O6(}n3I?%FydPziqgxi2W_Qph3ufV`F~auS;$*<~PEPaO zLFpS+n%NdjlA&Hz6qpAQNKcVpbNlV-2-cY8mDrj}U$K@SAXk!8yfnYNx0IGAxj!QQ z6r@9lACPlacy|&b^`f~IPSN4POn2>SHtq7fVY#N~T7--pN$3#St$eYoCO4J`(^S{e z7fLhH=Goo}UmzF!ptQY`#*;Mn`daP;GO$%urqc0NRiqqvf&Em*ZcDzYf4jvvg2c<^%cqA| zNV^8(6;XzCDKPug26>E-zMWx3Y49=2uNtk@i8h-1dfv`@};%& zpG9#Qk}0@3x^UXk18qMWH<5x=w=+dmN8mxsfVen0{1(R=^ddMtOe- z(ySS$*nbO3q>OT@z@m%X2dvzo2kDlH;V^}8} zZL|^I%gQw0hpS{RpM9V)e5+3s&zYDZeHwda1G6!QPpBnzG9dHo#u;iazoSW$ z6_De|rJNX0)Yb^-RU1PgPSlPC<9#}^9K$Y)l*;b7nJS5~Ho9WuF}?K``fT2&=K(26 zbmt%AliU=|$?6J`$&Svnp(j#&&XwBJ;CrhlE~w8K?JVm+`=*UziLoOd?*sbfA%h3H zH%5?_u5?O=@P}ODd&20Zc`f96P21(kNIj%;;oi3~E;h^t2DbLNQS#q+)>0XT;-PVW z@=ZgF;px5A9An`A`FV7f7RIF_VIe|+%Ynujuj_TK=kr3Aml4m5>^h5j2X}1vqiBwbDM7nbv+AN+Uczb~eGRR_X&;)&u+lbPB^ zt~f=I4Px`@U!fu&T^L9lmAmuNUH3{RLwjZ5<41hQPH;G>#VvC7J&HxiaB+)kDto z7oH@JC9~ZL-h#%Yjn&GDjZM>@qz?zZ%AZp)3m3gS&`fZK7Hdt8U?oR6SHq!>Sqv3b zi}@kxA^9#@3tmd|JRBaG*4t9A8E0yzh}~mFm13NYzUPchQ#&^0Tzflw{}4TnaO{G3 z^!*?;%ivmU$T{+=(AOQJ{Tpsc)q}|RJ~ByPDCUvG~eJTf6jYM(`954 z)lO=_?J^F_94j&(nnNak8o>gGV5w$Gc3q7#eU&g$_oPXXYN!2e_h@4QUt7`V5`ryN zS4-C8Us{iOVJ~O{JV=#OXOKeZJuoJugEOnNP9U=t^4#$0tcCPZlk4^o!3>4 zef?oy8%3dYcpk8ZnC-!#^_!0gNdd~Ov^@G=yaqeMGHhfBr+(cn*0yN6fbLr;OAPHF ztvNUwT*Y27UErZ+&dcg!3%ti{)xr=SYthE+8h!kYpUugL^V0?o@-=)^{G)u- zWuM-VJ*klMy!vYVotz3XzL{?AQr5Wa;D|=|xcfe4@lQ@ zWea5da%MR>y2_85%Lg=L)2{M+ol=O)r|y<^A>kXy_U5O**f=IfmCv4AEABI5N5QSv zh}?oHLGxIdV`6NH>mpt~F(l#absSyihkoaI(H862u$5Rhd}N2ZBvJKPnC~{y%gUrY zsdAPQPxCw7BQSYBf!{}lURFXy+2&0DvqbSU!D3vO-aO%V2jagT%6-^z*pE~;?%uOo zUAOEel3P$Sc@tV`U2dfF-T2ajNjGnX>y$^F@_dbDMpgEwoM`TPPbsx&Gq+NWs4xKCH78qj0dy2e|F9p`-%XH9fmD3Z=J?ZT6b z+EnhZ-(_&B+`2?tGJJQy%8`}$=A#8k{EC{G6!H_w7V84_Y3Sb-UYzwfA(_{_KqN)* zo~RekI7^;$@fKM^ST0S9WUZr%NmI+E0>NlEsZOg@O!uDjiNiT(i!2IEeZJg4J2>OF zlxCg1N*-^Wbi6~onJ*-OvdJ~PvsN*Wtp&Icov-n=@d8SE-(Q(J{IFN%Tq=sEyU!3$ z-{J&!y;gsDRGQEV`*$ILmD#YDOm_39ge3VgzK~%`*P6>sb&A<++9n5Y;CSd( zraBIvEbKhu8ut3WI=T1??u$d=;~R?UIA6|w6L^2)oSJmFGbLoA#l{USNh2;!k7xw1 zL4~;eZkTPc-W5qR5ux-m*GF%Oe~&NL^Ev)bU6&&ygtFuIgMr1Dg6oy8Z*afU;;Q7; zt+#0D`IzNhXXEhYqr^r%u4Z0X`jnniMi)(cn(%V*cUj8pN>y`ZN)BYKz?xtOe8*13 znvaLCkl%SFu67t2NHH9FoJ=y5TQCEqu4@V zv5d?@>_F8IXReyIyobmxL0f)M)p+o$#Oj6emD?K5b=!~`$^ z3s2_%%Ro!cG$S%VDKk;7=GF3iuONIRO5IWzv8t?%j-m z(>?81orM>4hqz!|O#enHfU*8^{6ME4&epx(mb^K)vQL%_XW2%Ob92G)Z2!AM1DZzs zFf-ftL)+IL5ih7g1NW}~vqJ-(aBeu?*?&8<9~T`yU+_m47S6A>ZxKL7)qSN$0Z>U> zi=yx|MJVZSAJNZYVBn0ldsv`H#0~4X1J&yP^1%JU0m8>7_RGV9+Hr8AOvC~1V&wp& z2N)sWv~sx3|K8By!40&f4B&5|*vMa_^zE8 zqSIaUh@g`IU{S!l8NeV3MMnWs$ADIUt4AmxYztc~bS4}4UQly)+j|ZB1VV~`r^X$J zcmC#_0DPUvzCApk)-ar_7IBC>TuFo~0dNwmKQG7*aJ#_t`iGk2hGGeS(IhyCfKh<{ zfe^sl7l4KXNSUBSGrTa7elNw(=^-F_2b{o50nn-I`oau*>G zP6D7vfYNsXKORW`cwr*_UW%XZHgG?8gu(G{dv&0yMM&|t@8?fj;*bGmO}XK;T_8l` z7Ovi(#-?cMWC(zep+MwKLp=2 zqw;5GzQ6tyG;IWDiAR|G4mW?Z5X#HN1La!-NZr{1G7(2{D}6UV{}K%Rhj3_8CCJmzqj{!|Jmx7#mLfa>aShWuU5Vp9qp0EPuk9H3GF zZ$3<>Ka>KpW0paCM{Aa}5Agf;u3J%6$Q07070_cB2BQ`!5ewPO(({H8N1WRrrYIgKh z@NvK&74?6}pAU-6wgzuM+39vLG2nK<`OEg(b8S{L_Q!Jp5N}YX1>)b|#l(PCHxPwF zTit-;f@%5>b;}EF!v5kiz&Qbk?S2;_089bkw}A0>0K&!tlj!$Cz-y1Y8W=b(g&{u? zX8yPR)(>Cx+e{Po974$e;pATXZdChOn}u+JJ;q*y@te;fH-ON9^0V@CvjaW`c=vzL z8OF7V%iYu`&rYlZV18}*1|x(%cQ7i15CB92O414}qXNk5;3ECrs^FE-O)0pc>t%j1 zZE$1&Ln$Mq_=i>ngmyfL@&2tjC$P1J2VU0z5+dOBhi`Y;ck6-|%=XJ=fop3p*fHWr zcTp^W#DNA|ygckc3m#~30BZidVqqEfuCX&8IDm&isu5EBZN>f!bM{+P`?{bS!nMt> zLxUs48SS8{&G-cvRRIWY0k&Eo#{tG40ImI@o^~W3;REM5AgcCVWZ(gcUQi$yFLag* zCea@Xv13u1A3Q^`7wtLXAh>~|7nB|pU}FJ}0w&ULrGU|xZ&`^4B-gEkOX1}w>CV;|>!-8&Z>IjE=CZkuiU-cb102cTLHxe*7(uweam63R}zX$>L+zgoG{vQYdWXRC5VR#h?F4AwM*aUX( zmdAkkB7u&{KeVi$UjDy%>%Dz4d~M*qnF}~50I{I&VlF^t0t5w{l`;rSZ-1z%9diSI zu+ahqwVys<2Prn)A+RA42IPj9cOWpCelGI+wS5J5DEsClfbxJVU;tuy8l$cJFy0Ui4@_hb^kyL zE`WI%j%MHnLQtqke<%bul%saLl?j0BOncFYBaVU-C<*+i@c;`s0g-+$1^Ct7Y0O6e z90kDu>4>BF+qS+ns@?4chSK4~ev^H-?fsnFf`FF|0KwVr&TI3<1@%*Ff1O6+A z%+yx0yJVQ~hk3gg6hF<))w&A^+{MNiTh@}Av_5>RZ{$>s!14TfC3Jk>o5cjTIPBR{9t?4RwQsAemFY3| zq%J!x{D2;d#^gL%rfaFZq5u8yvh&35muRb%;%sILFR*A99*yq~ePsdgPCQZVqjTcP z>EvU;tbU2*-b32@I`^s{QTIA_P-d@FRk!m%d>VPXQe?pNAUewJyO_qqkH1O9n>*7j zw)1!kvC&pG6IfFrLmiL4gH<@B_#~6 zMuu`V)3c;iD~T);K50G4(^enbFLjMJ_`t;KRog`r+b4Bp`h%t8`5qCGuaCYOAC*sv z8ss?gicsUkSk&dHCAWsh)OSDR-9-7Ix5}N0UCP_AcIR$U!HFo-2eSvT&Sxv6xS^HQ zR3k6Em^CPAz@FZ4`(9rAV0QLg0aw8I?XH`Cw2)J8>YPfxPJS?PdMBB#+e#i?YVs_q z+7>yDwp^O6{sLaRVAe$VQL$3O5W_nX=RTlV*%=={HFT|B`_Md#4(a?MlV}D$VQT&= zdxxc$iM>l?vRa9a`I+NPcB2nNV-}<@d*bz3tp%wMx>K%xnZd@PO0Bv;ceS7hEj4DP zulpw7V_IbWq3UtjmAsE)vm?BrcXT-xUUCnPa(U#;*pNR})-C0cEWMEz?4#6R$cQq% z@ySN_l%};RBo}`*A2KLnA3n;2 zj3u6neZ=zA!z{TY%dKuDpYS-m7=ph@lJoTB0mr;3 zqX){B$oH^an=u8dmas?h--L*w+c%%n$SlyX)74e>>9?XMalPWj`zH3yq1u;KnX$D# zDksp*o}T7>>Wi#epGJTCR`7d+SDo($<&*<^ZE9}R;XQ~bStZi@uJf5;Zn$}RQYcE? zb@g7%*xfVshO`$nR!^!>G+nEcyhSKIu*8#AWJkc78At~S>kB&+%@r~9%;r#2I^%&W zjA{byfdnh8M2C2TgNZmG!+ly31X$ zzaiyqx;PVehLLzC#i>)F^05tTcA^gjPpD%|D=SsHE9O=p55~-Y=QaqxGl{W2pUyz$ zkCek{a|%CvR1V#1Cif#Aen@)=5mHT0ST&J)+{%U>lsIPiM676yeCt%IVo3LTZdy__B01X z1Uu`#3-H~l0wx@!&oFr}$gw1zTSx~g6{M8 zt_jKe8_@A35Pe)0E3;#u#Kdg=WOPRJq96-uXaK>v5AN3tuM}@AVf&3r1-PG&Do&pz zl_7PnC#&otK6h^U>$`#Pw`R>XANk6-q`4M}K?-mkR9#rn>$#?8k6e&N9Bn-f5uC z-fr&FqJdpu5|Uux?1YA^z+@PaEMx_PEoz{ZGF0`w9TG|1<&RM}=tl~vy$PLU8zjq4 zyQGmM9iZU5q4_Gzw20LCvL#D@CS#??Bh(33tr_|QDw!9xAGoR7kigUIC3XOF9sCrubqnW{(xV~ zmM=w*0eP&eO`1Q?m8ipbpr!HQ%QKQQX51}{m%Y1>4_}d*LwEH>Asvp2o}6yNS}|If zCDqmv8MFUnZJ5p=fXK1%VLXe&{K=#2y&n=c4_jrdCTx#ayBggT2pH=k~m* zp@!+%=Cq#Y`psNiDY)0jbduRNDqrNFzwADBDG@n4j?k6Ll#w@ZRO+IAptn#f{v{;? z6Rk1PbJu76DlWeD8a7U}%{byNcaXuO9c_Gk(&6}r+s0KAX=WiXFN5xYG6=?;HEhO1UzkPuw}eevvKs9pof^8tYk)j~$Hj=$VNQ zV~jW~MN;9_LyidoPtQ8heI%r4s+C5|6fO)S46Ly? z6nX#TBh3K*WblHB{kzGaTbauC#$xNmN<;KBCL4=c2JcLC1&LIYPJNfs6^?#>m%Kn`!+~W}vrVP>Exo%L=vX zBr5KX>qLxbqLgeIo+U9i-SC zbcc}-0KFez%6$*=0XT3Rk(chACx8o#|8U#OzUKz89>ji?f5E%lfF(&=4CHU;>s!k} zKbxB%FUxgob4pjd+f!*Nn`mM~5nE;Ua z!*-E4*W%AqcL4!#;sHihp*6cd#U2oDkOL*?&udJ%IZa z41hP{0qYjG1OvM$aT65@M@{Sji-eP}Ae6`p-8=n@DS^wCuuuHophN(G18eQsDsM0O_Vt$7z6$Cd2{^7-t2viUR2d{s)TLyt(grOz& z05EP7VkgQGL2zOTS}ybZqd)){;c$sP0E}QMb`D>`x#eCtolLQe6hfCB{3a+w|6D8ie=;gs+S+a7RAur_yufddq4 z;7ho50OR*kAV7ednD|{mfM6-Opo}KJI&-i`0&QK{MT$)nAUwO<0|f}(ar={A47Mle zS24ETu&od5-}Xp9O?cnUc7Jm?a74Km>-OgB4~Io}lE1%xdwzuT`+j@ior?XcfNWFM z9$*55#cYC4;9h@s@X0n+aYI>af4M(kJ^=`b_;?44*}TMX6az4j3qWCLb_Z6#C@Oxs zTL=`L^s5x001*C>e`PRR(!!syAYeP&I(b0Xi3iU0z3+Afbr$;;(t|hILVzuXyQYQ+ zg(5&IywGv)-9akA6gbEQ>{K4*;sV8n@Q?f}js8?4s7jYq?cSoUY6N4LCocq-=fWkot)YSf<&;k6_Fc``vL~mCZ3It9pgvfMY%Xxnl0~8Lz zKY}=ozx|+X^-n*No*n!Xl#vRSW$w3sg7uj9&p&}(J&3t?2m9VMtRFZO80i&UzrVF_ zIFHW{jqU{fpO!Jj|ZJqJEBy3?rC`>LqGyZKYp7cyUy*B>L z;UeTv#o$qQhxZM%1A7r5FsF;$X!?hEc+?6Fce=S8*59R+mTTVr z7(YJxu4e25uil!W-s|^x>FK@kg=W1&a=Z;*Vb@t(u-Bg{9lj%0{_2QqDu0Y@>Mh44 z%?I}z*|WoBDAvYFTk?$bZ@zRaL>I&aaCuZydfQSXd6*9!SFV3T>jwYRnwg(#k9K zehdH{Vlm702iRgC+pJZD_PrzQxJU44z)$;t>J#xwlSpEk8uh@C`Y%W(-JVz(nr%el z!XJdBB<_Y_I`>kc<8&xvS|?v;zxSX(BHRBe#C;7=k=N$W5&Q0aq zG}0dML1{NkZu5yZINbhBR?J_k+Xva=o?=>C1K~qn(}L+X<&3T`j@QUM)-a`=?qMIM zu5dvYi%>t4@U`Zai-46_eB>Z&_s4NvFLfb(_HGQ5`&18$m}B2-t86S4#VQkFx@0yS zJ36m6&0;2I$av!R=ZIM*A{7sIrp^zX!t??`_0zbemNzQ3Y~RnxyJDqktM`)h2d~SC zAH7I3VN^6wzQ)3(o7S#0-io87H)<{U{wR9&S)ugTN+Y=C@V3#(XzV)%tR~zp%sA zxUXDm{dK~C8q$mwdy&^GK^#d-DQ1O>nloI%i0Z{@=8)wuO5YB_i<%fG&Pq>c-;ZaA z*x(GKOtz(ZU(=GjwA#+g;9cik;7nl668(wkweVY9NKG=kpWuDDJ_%%GSEV6_d*#=C z7bH8_v_?LlJ9(59`N&`Ycp$2eo;i>x(>Qjn&DHSm0}FXqVzsPF-!PtCBF&KH8_&m#G(xfw ze_2k}MGQr)B0#y=J9K=^sCwKr)H>%ncvQJ^*=8N`xlUp!)W_Ow>ZaNpI^g`n;iCk2#ebN%%nj297k@!qK>CNBlk-ls6$~xwH{15V_T^)eksh6 zKKcGQj>g5@F9m*<6~3lt+^x8@tGi3y`hK@2vw2~2;!WwWNO+*GqH0 zslM@hbT2vPSW9<@bXBHkzk`u5W`M;-{;twQ*ITR?&uMt4BcUAoppg)KGp7Mk4LKk# zcSHv# zV0`UO`6hD&&GFpmG`hpfqd9EeXh$squDx1v`s-0&@B=mdPp3E7<8JbS$VU7pp#} znOvb*J9>g94on;$wWOGpz*#SHPiZ$tuV3|VYlGh-bUq2nCPn2VO7%wNuWMy6bAI`@%FWr<0j zJlc}=%)G$(t82Um(UMzMRIilf|MZU?97oy==WhH%X+LbmJjD$TcF3PJ+4CmVg;d?9-MZM-c z6z!6WCi#rx7*~r%CKA!w`sMeJMLrz)_Nm?2e@LId{G)18#iF&M^_tDFCHuzlh1v7f z7?@EXxxGm5qIR~MqQ#6v3#A9`Idj-3Y)0(#ANotA>42Qiir9yihAC1 z7Tna=>*guN_cIROR{L~iW>pB!!yfZ9yVs|#E(g)M^UMczRFEyB@Vh1*A4wSAAzGey zz*iGhJvb7X&ukOe5O|;$_p5DTi*hN`1BYfT@|t%0GbuT897aLxVq^7~oyk-e+6l-* zUmU3%L3VahM612W>~}^bmYKqis>&<;`zlJ3NT74v^-mSoR+Ot3m1M2`oG3Z@@a}&( zcZ=@z^%3Fwx5$L*$s|APGcB2&SDo+REq<>kVMF70#J<1sSjM1&mC4u4!GjDJ=PYX4 zVuJY|Giqt#G~cCH6N*LhE;3G=ydxfvr{k;cAVTy(Svlx~*;%Z2daB)mdFT4n21x0@ zRd6%;&Y_sKvJ?4pzlbGy#2bfHTZ?Z{gjGSq4k3PdmMZfFyT(E|4=wI6q3)1ps6Kwu z1e0r|35WXoB7OYSX{rzEVZk?86tg*{iY`}UW!y%aWs)f=cq_wbs^K-Q?W2D1dNP;q zwBGSE7L(Fx6UQ=?&Y?aDK2)P~9uOnXs<8Pi)_Emc$rn1^$)(>-gRIw1 z%=5N2#5{QCjk95&f>dAr#-cGBv+Dg2L3s+wb=K4rlBtYz0;v?uD5K|9qZyG#B};Ew z4X|`HuH4R9Q8{}9m!E~8e>rI_xWrY$PM(oi-TC2Dou(-Qk}vD)y<_^KNnUlP#-C^g zn2%p-vA;)7*D`yB4T%7$i5OXxtOu#J^~e$P9vVYuEfQf&{YS?3Rp>?JoZr9Z3Vnai zzcDxARDCS7|3b$nf-Y*`C2Cx5_GnD}=x*hMo%&2FUe}mJry8uS;_clf2R`bHk*lj# zHpJz3`IX4)eSiAoJB!Lnjm%8@l{4XAcvnbf-Oh)^w_j5AqgJuNvidkiiX_2}go$>@ z%;u`H8Y)Gnu1>|1_43kyuxgi5`HU+X#FbBv$=paB(HS8RzoV+q{*g-3P5D5b!V zToh6{r|MNS%IkWDR^NmeKYQZjCP;XcQQjcScGEdOZ+%4#<5OSlEAz_OH`0ymW9umf zaZ{c;Y9l(!eU)+b zM!4OSZDqS+2wU)_adro-wb}zQ19Ql%F|=w)Udipbr+Gi7xc2MD9DZ(eSh$+pSaLSr zuH_m^5Ty{x+w)e{`~z2TBa4}E3CeqQDe1%RxqZVBQ0DrE^Qj{fyMaS8>Dc+4xNJs$ z+wX!&!~I#w-J;fy6edZrVjdHtwL7|h!^<>kmkeLdQ@1et_JMw6LB+2E$;mswa*m0D zJ8n41p>xXRQ#*c@KdQTp5RSh;5zCu6o?$Q2lBC|jMM;!zMOS9nX`|a+>v;o8Zu^s+ zitrCjS-bl2bG7b=@A{YBgI?R#alZL*nWDujE*}W zBX2mYX>UL7Qs1$%X76{4JifSbL<`%pq{Y`!GNNykD=+q1H?t2?_1A#UjIK?iEJFV8 zq_9c8x=`iCD%AA9n=Wio2lswk4bpC^qWX zgcCFs27wXfB1#;;uQS5neDJRD?r=VUPX|_!*qlt`g<@KMl>uBf11-?_+x4m)%f`U0 z%vJ*7AFWd0>wk?*cyl&&pW3E8;7UAz$orFO1_F)-aO(q;$h*MNwyPCdE%{Zg;OZH` zf&E7^Y_2i{WB^)hyW`Oy+m?Yqt@l?k!1bknx((!iU`Fu!wqIrhF8>3+&L638bBiIo z%eMO#L&zp8?QYbMz{-!UGgt7BAe_|Sb`bvq6+$oT{xy<7BR2r)^6o0!Y$PENW$=-k z-63V$R0zzw?sN;cS<=?R<__=7=5q%JAkhGm4(vdOes@e6&_;!w8{(;fHW+?&2HQ?! z>#O^>Eon<@1bFSaVbtm>z>@;h?f=SVS{@jLV*d;YTp$N+D%nAU!0umm0OkQl9|5g) zK41i9cRblP1@b`KXTK_Nn-oxYhj0bENC8Jg0dqU-z#7b5*X(VR0_6~vCy2VjgJ{3D3N_^s<2$97A9Dc)@w`AFgiMgqXs)R^bo8?_VCU%inR*fP0%1djwJlaMUI?5?I^;JQBNOBO&13 zEj!P^bAz+ z1)M&?IEQwLHxaf0$Iw9p*a1(tJBALH{r|)<@Ir~Xe$mOc;d{_plfNAz{kX)y-G-v2 zV4)81@4xc}JHnNLtR1)`(4CLKuPOti!o1K8qyIHz`oUfX-+T@n)813`F!>>pm%m}nK*a64AJD3py zr_TB@>kMTr{8bEaLV;p5BDzXtlNI9S*@**XAUhQ|jNpwh#BA+YpYtMbYYCZ-O+sW2f<Zz%fT}S7>;!%caM7V+1LL!%5aszoja@i8C{Qp-5A`an&BZ2@k z-7^m1g#nWGD-Pk}1&5`eO*07hs$gMb3Ai$2Cv6%;90K2{%FoRXR6KTHsk-eta_1?wEgiA5I*or2zzG{$G(H|H!~wRCjugC-hG$tHthpV zgq@xQaMldnIksD#h!6u#)BxQi2BdVm6Ey%hblABeUZ$Nxa&Te*`v~GR{<;xvF|eOT zxbM~j3-k8PdcY|Rh!y?!xE%;T7tHZ(!R=^k+maU&c=8W_uatdwDq}nmH3T{LR{n`m zzTnO;_a^+T8jmJuEatvze?N4WbXNLtRL1?=r>8I)->AGAS;qPBlCsQQYhXwr>%#Q( zXhNuGY5w%(D{d#GxstC#%sH+bR|%#_8}D_`p+=6xT{ zMEizDt69ZbT1+#>FSc@+i!%cl#?lfmBhXoOAXHg}#y9%`w_v!f%T zJVN-gE{9rK`5Q&fh`!bOr^!akqUON%Ti;e+0$X{84cp$@p&iC-@H6!hexfbu*bpTj z(d1}q;&M=+q%-VLiJp|o7rf76)hDvn>RypXM=LQm-WQe-B1J8Hs$ZIEZ?Wohz_|I| zVkqD3is5=q*U!n5^OuOP7Ki5hULvhIgg3-&pyAj%;pyD@>8viRzIIHiQtg4x6h2u@ zM#IO?7;+Ts@hp4D&NkEItyRyox^k4G4IdJ1tT`}Ig|&qP_9{H=IWk&!`-35&n-p7 z$7m6Hg7M+ar`qmmjE~v|`OjG@TuQk?+lKP4Z!WPOPyI2`?8dj|KHGxj;8 zuHNUrUN?oAeOn`{HHkd;*`vF_PM&)Kb!D6=nsO@_sES`N)rw22M#mPTFcqyy$Xw8` zoIRUNgI*^5!lo6OJa4c<|Cp+yO9M-ocw!2b1xYm(mcm%iE>{dU7G108RrrPB)Q(n0h6?+fa8l zsEW{2udVIa{nf~Eo0+6UF;|NVFh5I+p@l>s&pj7NI`F))@~XGsY}kuxQKt1V%C)5x z`^AG_8XXid!X(ceQ|nAhNkx6ieKW6k;2H^aku1yc=gS+{%q1ie>I9a}WjYw>Vu~0& z*<3K{)i2H;5o_vBmbI}tCf?00gp6v1ZpR|{>h#%R8c#gdO1!k$^vc632F`@e)RI1f zX~T3X^9AZ(9VLR;P*pVFlqVmQC=+(Kj)l?@`?yJIIUoz-iXC^CPMemnKC3 zx;+V3ww3iuB6s4GG@M;Rmi7lFh;9Vi=Qa(~9iAUSYoI1I%zbdDS+?&(d=+)j7=67) zw@W)(k56Cyr_edWO3AUAq!(j8*p0#l{FYy0{atm{g1@Rbh8W3<@^b1>L?qDBmaC?s`Ol7Tn=`M-z7KSKykJ>17sEoF(382owS3(=cfqf z%|EqFq1yH;30j$kOsqzv3|E^zv36;?=NG0(!Fu*fyZAYjheYBvB_cEhc>{5QVFh2w zFNnO1RtlRg>D0g4=w~KwM{Gho+9>L+dR}DeA+1jvgxYQy?Ko~F^@#qPG3t2%i7qVy zRDml0ur}G7ssZ;i>^iiY1v2@m#4Fz-d1TOf4$*fneC3y`G`SL(k?p9=DOyf%?$a%1 zsD66F<#><7-NLME3tR_2dP)RkF5XSfaPCmG^gH<-6Vf$vV+cuk2~%U3ig2pv3yH{k zxma&CVJjYSvMEQbK3`75?lXoP^Ozc)7wfZ_K1#nd_7{^aKuvyW(2-iz_&!b6_ZWBf zOw_ey6r|4{rmYa|vh1d+&Qa=@!)M1DlPPWz){|bf4-nL(xN7i$SXTTKkEst|C>AjoZ2lH#Uzxs!iK10I;h05>SE1d|(2bw@ z;StptlAF?Vx9BWnCz+?%$h56ouiXd;OqP2_yLz)85`@&|anXF>?RS0kgP6Wns7b`6 z&J_U^(OpMJ@N==x2~Y4{N4-7rI$25ZsuscfF08@pNldy03h6yerc$Y^L094_6yoyN zl8W;mq7^@&IOh_tfOgOyMW4Ee1G031fT06R_?YNrPyTXCkNQj_NTT{>M^E|58&)FX zcWB?)q-X0?l^GTU1=@eTdH+S|$A`2j(T&_EzDDR`q?_Z?&{i5B)ko>VK1i73`{)RS zTD=-uLtN^~)nik9nTc-~x|e{gO`@t7vjdwDT2ufHhMnZ7bG?UdG$m+d#p?o*t;S=yy4 zd2OIw-Q0L+@>PSeR{MJqU6BW-AN_RR^_~jIJm^kK+WIsKdpa z73bS}q=UvA~Rh^L#i2{Oer?|$Of%Ff7;`oj3*!?N*Fq`XX*4t>rtN-v60O=5{>b&SuR z*2)`9QBr;4NpUZ@ho=(4^CYQv#F0go<`MtXf(7|gPV0S##qi(u^vJ$C%;ocl+tq<^ zsqDo=BIfF81uf2L3<0jZfiR8NNnDN^z(%al{8uODbu7bzN<3on<0O;tF48o-U=B&k zOzI`lXn7IPY13f(A?l;nGJetrS0%533+yE;w|b0HIVQYAbJ#6u56*|yCS_EHoTU_u z6`dgDj^5 zTdHTamiz2C@5R0>TD{mhN$q8oFneZUFe=gChkT8;NYSuu?08ct!h*ork;Nk$XibvG= zRPl;ujgnkgL`f*s$u;DN_tDd8iS7;Q3CEj4rZxO-Vk%-I28Tfql z3`2aaT7}uO7H|rF>b^q_}$Ts_GDQ7 zr}!b+vG0QlvU3aol*&OgN+7p|v+f`VF@^dypNMd>_3QX% zNFY7nWivEkkk*?`Wu~Di%t=E_kxWU?COUl~oHr};u9`rXs9Hr^+$WYv-v(nT<%U%L z(J##L#JaBpA0A8MH8*6r_i1Cilc{~t$WiBcg2ypD4W&yS*Kob%t);z>%E%TlYVL2o64FY z{gq3c#rTXbiKGMiaKl_;6`q+T_LGf`>n>hBo;=4Bn~q*zO?Oats_7jwA`SJRLT1U}6axL?Tix^G%rIdP2U0C)+4`ItV}fwJ7UQ z%ejYTS0dyOrE;5cJQa{|!+uU=RoGH48&!9R=sFd9{Re6ctj;Qk_ z5mV0#Ny_LNP6}_#Mx7-tCAJj)V4vuB)7-CK<~2#a-!Y6TJQ4#kI_pHwyXnXdE7k1b zZW*1YF3v?u4y~*fPK@6w5zAiqH2sLG`0W)enY;H^xVMQAdfYYmfh{|z-Epn zqYB6>8FA)n3>r;7ad&~Pp&!2e0G*T%jTP+$!wGSRuT7A zztk$xwC8zU>GNeXm)g_stwntEl$O#T`+ij_2Kj}H(_%XMoZI26m&637jvW4?$E@%` z96gBC2%E?>$U{1a5^#Ri45T}O4X%&YDYb=)Pu%LgqQ;MLN8`-V2z5Pl7T;`u4~NJche#i#_#mM~V04+d1iD<;J;)UmaOUO) z`lGg^1(9vC!w1#mnA#|WL%A;bb*>X&mK}X=xEbd0vaf_S-b$sWg(aVX2 ze-SxE z{kkm&>2cMChQMbs?Qhx7R(3O1y3vQkr>fJAwq{*3R_!k~dVGo3qOoC7e!NKV6O*^H zFk$8UBImlWuCy0vhRvVy`YB9Z=&a1Y(*n({j3Q-=oDsfE$^=F4@Onqb^Zk@QiZ?pe zIIq}b^xTUxxWYQk@TjHQkvpFaNkRK?&KXCsFuS@V?XJlgmyv2^X^RD?h&k^o1`?-y zwU@G7@_AyA9@Hv`)HZf*fP(T+j!;Bb-gDq@A|xX`5hjXjC7Qvh^Zp=rTEWMWDB{b>fh<#Q!N(esIMl2mxFM!Dxw2%8;4Te2{_rU-ofa&A;6<${padt z^BGfYs}M?No2z7|OnKDaOW$ehUf;2zeLQ?j&d}eOf4--jr(;4sCS|hG^wYIdOL)UQ zY}RV_?{ydMspe>mK9Eeid>N9z&a1{=X?K%s;8P@eU__ef^B^|vuixGL>+dtXLsc$) zLPDXK3{-CH`5W=_ds7}_+J)g$D%L&Gs|;(%TND1;&nhO{Inax7SkvhW`zu@KlPQN@ zb6FUcT(4L+65xL=f;HU!{>Hf21+9g9dT(FdV6ASSJth+V>bd=GHX4Pm#~+7ByNy#F zC^AY_tUx}`s^UmEoN8}FL40TuSN`7N=Lzu-S6;AtN5)B;+ElNxrjg4IAlF8Cov1V& zP^g=^aMpFwTp>XH46^LSR}xP&KQoC?OFs9-w-81z61n@Z=)&JH3;;i+Rb zI?f>~jC?I-%(KN)<|oYZe4aI=_X{x3D#g^2wb@$SxgGzoXY!4$zox=>hD^LkNP6RH z-EH#%_mt5V>Sx^fEiJ82hjXipRGD&y=!(`b~^HemS z;mV7*1(Ho-to}4OtD;}TLXuuM##*wy?RooIcpc9H`Pjs7BA%__m0cnpemEc4&xog4 zM>CxKIlkx7WT3id;dAfBC=Lno`}}3QuE0nS&M)F!FY-|OBDvqt*m-(9>Iu}6RaS*C z2K(GxOD+!4C%&#v+)I@xmN_S;Xl8kNVJ$LLue@;DrgQEfm6L(XMpAUNQ=3GU#b z!4i=_B95puZrVCUlvBDAADPYZ)F2-})^@&&lPi1uomKWj0r&>D({R z_gGjLNnoapRXwdt>5~p=&kXYE!ngJo{E6nB8`jP=iKCCS%WhwsdFz9W zJBvC)BWH6@yDaVU%$kLdb#v}oXhDL$-59H<+mh3Ieu!wlbY6-jX^nrwV=T5z!H#nM z!OtSM`LDOs&rA^JiuXR8Ir8QJ?y~@-S47J_fo16TZ){*ll@!R+nB40{Bb4aDS1CN< zU(4_{oEGI75bcmuuw(?Q52WZS9LR{Kz|rZy8A4v-rT-RZw4tB9-rOo@vhHO6GxW={ zJ~Aj4mVQ0DSM%jFa0I$6U+I|D@LWpsIfYc0j)k%n)kVlx zUH(2LeEv@2J&h$hzB?Wya(D33-#jDN+6WqQ7DU#-mRs$Q4;_p!t|(t$4GG2`oI0LP zt$CKh`fSe&CjZNa-_f}G9(cg{W^mjkm!&e$%q@8=o%9UX@VkMwx*@#IVS>bUii$Bb zAkfTn_m*-7Eg{OpYPrsc;(q5Kqo2b>S z(jEw`o z?nnfBLpa$lkvJZN*SlRT{jf;nWIB^wky7!xf?LFc)L14#f%@?JWSnOtrByc5WqPJ^ zKj#5sDbi0z)}PDSnI_^}ngyRuLgMQ%P@QLxnme-WYGEIPf5A_(pZ-KIa;D@U`8>x zE@Ic97oC~4krXNuVQu~kA^f)h~h)~Q6am82Lw(hDZ+3fr7CON49_nQaF*QQ zv`l+D$@$ph;sw;e6P}(A9pYF-VzVzkbM)%FgL#4UX2XP`&KZ8Ki0;ZzyL+u^VADE6shFnKGJ(7fIEg$lI(7?i2I>@$f1~x zt(^X$3yEr|;gQui)<;pgLGNEoGFOq@7{=tw6+dr_Khh|8Olb9M#OtS#JoI=cgbFIB z4v=z61|G#d^94y6DV{E#CAFl$2aB??a`t?{AkBlvt7B}rzP*v<4v`Qip440CMMRt} z7@yZ3_U>mt(R8t?pNE0>eb8Y8t@d}qXTlsW)(A`*NGYDnsnb>*#$ps}amLCZ&EtE5 z(;Ua>y{4}asCwJ#z>%7~#m32;rkj~+DG!xgR7h6tzN-`Ty@Dc& zC$&%Rh8J6Px>MS58r9Xow3tpu6U(TP;}%!2)*M(aH$BkLI7@o(zMH)Q8&$=5>5y2q zc2dfwq=!kT)r@00Qg6I6pz)q)KB!`ONRBL@;L3AF+INBur%xbb7%;D-PGxA<4{08& z3_rBwH+f0PIP;>o{3BJiE`RM|-j|`HYgMC{g9G(hKCCQ%T0u2e%u_le>yoJ|NfhMw z2KlM_!T-bETSeEAWb3+OvY45fnVFd^MvE+F28+pJmc`6yF|)|R(8`L z1bV{A^YCca-$XeU0haTW)bS06zI>Plb9; zAz2IIG&F|7X9)X}J6aP4p>Yvx56bTg$oR2m5TY211gqo3xQBxR4X9``F2rbCyg4yf zkvn{9G)`1g6bhD`n8M);@a9!R-7qAsV2CLb>5il;<`~%*r*6Q3wi%nw=8HwDix2@`mSV8%YLG#e@d&@i@ji^;f3m9eEnvmr z@2$07Y%f>bx_+(mD;a(ViVk8Emrw4P@V>Y}P&8zEN30k{*y^?+S>_=3YYO4y7X zsz`Zytr;5qJ4uDB$`n8vG(sGB*c8$R^@t`Jl10$+NE@aV9xtOF6u&?l2~Mj+zp>3% zQkCxa$O8wjy^1t!B4*2&=h4UV(14s8mMCId@GiLN08mr$Ry14YP#S^v$v{IGJJBzC zZLwif)n`0lp>Yx<6w`?CCRxq%Dk(9kC1Gc5-j3T21Av{_GA0?O7Nq;1Hs`s82}-^$ z(9{wtixWAbXNaWlz5_G1kf?K@GA2sMmrMvk9#N_vJ$NfT>n487{TIjm-f%`T@v;?` z@m#6q7XpG<6^u0^Km$Zo2kkV8z86uYj892dB8YNyF}a2I?CxNT#9~xfJE6p=_K7er zg;*Sv>eJy(-lGbYWy0pz8xrqAU*X4_TpBlkcizD{Cc5(96y*x}uret+6eoCw^_2#wRav zLu^cf9inv8vd2VlA8T4XqK6pBP2VZMJ|e-vfP&x&eB24=6OaKHlhysxXcba zIZ35NfmwH7j(CB?hx4GW08r)LRdL#n%Kl9Kaee0Dkv1lpvwytF{fMPt@SJFi@GaVBj2H>zDnmDW^M3#M z@P7ZAB@!_|?{m3TL?nCvH-yMHX-Y;>`gBoMIC*##9L(5-USaEqNM6|Nje`Bd`mG#Q z`QeN{v6PEa__(yZag)3x5R`L&Bho>&Y$YKFO^ziHggj}puZtkUY?OM-)L0v3PZ=|4 z>Efhps@7NxUMK#@(!}o5vWBS`UmM*t2Of?J^rL2)XU}Sko*AY-`-~w|kZ?u6((jpn9-LDf)Xc0T;k;WdfO0g`&k`z8I>m~UO)C5>#)3l< zXh_9jMtiQKR4J37LuQ5GV=dn^M0~k9$fO`vE`fmnrA(5n*S!y=Wd*QV|Gjq|JONzg z%l!)1y?}Y1@ToQF*{+^@nG`1#TIglxS-ei-jy_z)wke7##Z=2w@fvQZM`5NdnH#=7 zpzgQwlWSn(m_SCj>kz@tnLb}Fo(4zA+pLL3W9eK953|kVDC^F8)(TQ&#wSS*yvEZ9pp*2*}9RaG`ERqHaqnp6l0r# zaP_+kpFwdOps!4M8}X7mVNi|UqrEMohKef3UyK{aW7XJboqXeqdTVf z8t(kwtbePsxh{Q42vsg%SX`IBuxmXXqb4+OHhXI5prLSPB&~_cNKh%Y&Vj-}W`{rV z&Ls9uDQ_W#kl%U`y`jS61!KI9q~A@RbQ)lPU%M(Hyp4)1Ci5En? z58UZF&JUYa$TB`CU7fM0Tf7{!IomkXUGfy=ut){)7U!je$IBE(6%mZ95g|SyY4Wck z*yfPmZ}x>ZoDupcecBHoyePjU9U3}hZ#*Ssi7kdP-Xlpp)krEA#j6;fhb;~7IbuMy z#JllKj)e6RmN@jdlI+?UmJd4tLd=k(l@xP8vv?p6XS)JTIPNOUm_<4SVl-aSce8A% zH$#yc0c}cHGNM*Q0IYWz_$Z6kkKB^j!I@xA*$LC_J40K25>M5mg`32a>kq{K!s%XR zLGSl$&hG*{rI&8CFfI#TBCp`10^j5SJfNb4Uu8i;SqLtZ*o4h8Snxy;2*sLV3JUY3 zsxS~03m~(ALRU~iQi?8XzGVlU4T$(*XP{Qhq$V7^pe>%${qth0 zdTv~J*!Iw3xli&aCO@+K@kBN4$?ASC80yNEl8jwH)cm*tAz-+u6W$6<9 zU=foTil&?am(GBs!)dML#DFsYk$_7eB@MgIYt|C1i(AV+q-t1QX8h zGa|7N$!G@Rug^yPvFXdsYMiwji-0I98U9-R#aB}@S=^0aC^ixxw1Eu zq<{Xvm8WN%1(7m5(Y2l$JZmt39GKm6$roFURVByTA2|B2YHsZ*!OrrMEUg0 z?ziNI-^lot^WU@WJb;~iSM2}<%AYK{o-v5kBkqI-=5^OPOAm|xL?3AM8Ev0L)+VdD z5k1Ph^HsEV4%qeEkj+#IyI#^Q+p_xcA{|zYpBLoZ{d6TU2Ywu*nh&YosyL4i`8o4dMkmAY=j z4Gd!po78VDpAMC8nGiPn(5Bf(F%-bWUWL|gxGn~{ z@uyp9&dPNWWYqcE7vdFu1=JorJ91RfkjOK|plOg!;C2hen{z^H7T|hf%dJ4-$3JD* zq;aV^>fPeRH7y)CEVfJ$JNpjBxdAkwGhP{}mnaJdKnxQ|1rFew#l|BZie2#N(@)1D z*m+1id1x9T!J9$Uyv(?#JCs&vLoBJ7kgI8yE0wLy<~$cI?v%))py1+kco)S)Ewb16 zwC;(DcHPYrsn2+2Le4J2z0&5meCv}c&w){oEvpW16U7dlR~TRw34SO zhDxh8$#F1w$)1Sk>z1li=sBItI@WxD4n0MUQa!wuA0_kla@KjBED3IG%r`Cz((15h zZ}kouFO809c5)(#o1BLU@OfU5Pdo6m$*UI$*;L<{BGlxrh}Ha<0d_7JPOO-QokgjX;ZVP=bR71aSce@qc*|K_ ziL*0xXcDLJ9+6-biELtT^QlusjxFJ%ilXiAQ=P`lCzSCCpklbI54f!NiQ}hZC7J6= zH+P=3rvmQ?Zj!}Dw8|5487j)d8f3haGv2#^YHEiNq3hd2=aBtu8`~rz(euSe;wW<^c&>y?2H*VDh*lOvC)0WIX z3INL$)lVzkQ5tjz5dh^U9L+t_@us#y?zb`?wLX6Eia;5{Yf=1s5hlmc2{{cX{5nwX z22X%XIf!ia@HKf@IhIB11%2rqB3yh1r~MFdsHD1e@Mi~swNu1tW38b~GEtMkukm2b zJy0$m{H@X))k$oCAWGdFJ#8BcrVc-G_~=v0xbuJaVn=aPnW+dJM?Mtlgg=qm%0I7Z zgXAtUmWk{sE!gR0qM?|*t6Cf)c51ZM`Z};{iFdVKr#SZPfIJswL=XLk?>tRqk zY0o#pW#W6mL>cR&4&oUJ7z+zjraZ@l2RZh46WqjQNco^q@>tYD#Pnat+Uh@9 zcyI`UOIo?{`I-Y5+ukiQ!Wlz4Qcdw@@?a#~0tytaf4f+vTe;FuonwtM7;Ac``Cg1nDRmxk%uAStd~LCQ-8W(?)=1?Ks41LEH}4(LvE_o$`|W^ zgm?C=`57USO2WCX4YBNORmxrJyY#}IV^dY)xiaHs)dTm}3Xn`mjFkGWlJc9QJP1Rn z6gnjKYL+fTY6TWarP~pZ+Zn)979hx-@-=GtBC&d?vRG|aB#Gm64wI4U&Bm zj(8JD`p<%ne-@Ns_)(PoZ%7gApRxX*rc1vE@w0II2NLuLT4(2I^z+-FOqVFzAC#S- zkg&Lj2$iC>zO{q(AFQ3Ksg;10z3D&MyB}No0}sPz{LKVM)YQ)2LC8eU?gtqrt@qn! z21W+DpNNX;spZFckKbX5;S;+sIpMO-n|4;Js8$Ben6u?teAfTIsbgXzfzljk)gl9hX10){Lab# z6+Qf$ozAcH@b9)ozc!11P6xly!~byH`4c_-^Q+$z^JjYak9dB+LHsX3#J?%Y{%hC# zrs(5k224@nR*ZSMhX~6qP^w**Ui+CJ4&D*c^iE()+ZD4NJwO z-=D1|t<)mvThr6SYLO`FwhUBrag7Zh53@gkJ6|0Qd2kz_$-*wYKG}DZR`O zuHeu>&ryfEqMDMfe^+Ee5?LlbE}vg%d^U9sdI`xWZQt9=xP#lh^6*gBs-%0$xx77l znRv1M>Xj^4UhweTlzN&$*EV`l1}EaFu>5lQ&fVFe|23!(;WZI*fbiow%Rp|x!x0(l z%8D!7;>rRaD)q)!Mhl0=W}**TJs_bhY{TdSB7>rA=OXNmYSO!?-K%G(9vGDyYZli_ zr=u0;EMBLl+!1?VozBJ-)Ea$SJ`loPOx=v>CasJ$N9^?=1B&JPjcsp(qnsOE)MyB) zZ-b)&;>fCzauq|B=f}A*TBKuN(uP6UE-wxoG11a9B0g9=Pd}XS$-f5oefF6{X2#J8 z*LOy0F3Z%g9nL{sRj%w#9@4mbS&1`#&3D&sv~=u(Jr8wb7bHe3tONS+Ws{;bi=o4S zxxM4m937B^N;MJQSiKHRG4cZ6N&L#(=55%z0o=9;wuZ9IO&GlwQt2Hm*6}ICH-o7b zx?#mlxyBQAGjM9v31nv;;A&Q%c)+e{EdAL#qA>Y^Cecbo7lvl#_7U18t6sL^iz&2d#uFW0$;+Vt-jzYRr;H zO9q-iOw@WbI78wMMPA1=y>)5z_o&`FNN*UBxP~g6m9~#(&;BwK?G&=kmW#w%qIA*Y zrT-HSm{FBRt{w>62fK-R)-@hKifSHjP#EK!i$ouJ2fNGSR>qRV{S|`{d>C|M&YO=< z1%>luL3ojB*TR;{G_lO^OFl;QinjB9H>S85(09}8QL>~IQ9O`c>N=HrH}!W_?|ds< zCP9;;K*S;YF^~~);9Zci{8GN7QPbjJ>vkWW+9Xx$v3^lK;^_?iCNF$i_Iy;Ig@Jqy z3G*9xI-8pqA0;9@lXo55qgfl!p_o2-$%x*$9Jg zXox?$G8n?`#cC2jBJ1f68rKlm&R`d;e5h}ou%*w63^Xs&m&m@MV57qxdElRA^gS%G z|BQpc8hLWWU;|vOnnee^yVZZP-Y7ae9jKBomCM~lpdaJD5K8KE zaw=90eug$eacl6oA*%OM4hWEcCP4cx6Stlg{dq6jTd|r&g4}uKyO9qEy zfEQu+Mbj4;UvxoV;h1hRR)p>SFLC&TxhI7;jVIv@{^Ljx)EU+~&n4sZ%tUsWb-)BL zq3%N+L}+CXu@mhjLBuH{96IqUt?m(L7sW6OM(^N?lUke^T+!TP^T;rWgA`hyJ1sN z-jV2lSUn(c#)gK@WLzD5t{rb2Ukl0cRIJ=>nlMU+Al@>u&TpyV7Ar)3!sX^63FqR=s&mv1^Yu~ zKt3D!DN%TlsYONf?Z!2XkVBUrJeK>>i9c(^?4k%2&eVcFD5*iu}C67+f6xoG2E z>vy_hYSascV)2Q}V8}Q9H*@oD%b<#qu(Tf&3?8n5y7jomVJUm>QBFJ6AmEat`zt0IVrx4PZ3qa!cNK;EV^lj3mAt$!mw4DF0sX6g6o-$4x zLKD1QdmeZ<#&8G>+v5linh(c|{C%;+aCJkDVR9-#sS{Ojf3jF4A3s9B+}mK^BCI&x z!%gHCQ2VQ`*76)*1d)O`!8o5nos}qjRX~kT6%`mBC?a4gr`|TFz8R8@IqZL;GgG%i%` znSab`lQf|+t+ToH>eC{0jMvZA^XkK0wCEG1qJRk}pBlMG6RNyppg@?Rr&E30DL*u2V67|B**QTz)%uq4N7q zRd2hrZN%xhj8p`M(IKcH_>AL0s~J8<$0XXhe0F^py#iU6Pk;R!_v`)u4bxqDpzmy=^&V%6brOMB799011j}Ik_*cY^798FT|e@9if!a>B4JNnnq-GAyph`-VB~1A1T2Q`S^uzYATzhnwMqc+^pnDUehA5@g?Uai z>4r7M-l%(g**+sS41xJ*g7s7~R+Z2(xr_sTARLVO%$blyF{xfuZ!PpjP<%AeNg&H? zNiKO5(Wc&Bqa;YG_dAQRn>;}u)Rz@769m44o6+iY{PjrJPo44q{=mz?#Qsxb;g1L2 zl_Z%rmrD4+Gq7hompCYYR6Y?v5uZhgYKkb4#fEvIaX$m91%S_S%G>%+XU>5!mJ5uQ z-}J&)P6U*D5OhavaA8H@cu{w{R}3{`HgUmLi$e{*GA@i@4JPEh_Ye zulz!qOw626rMEa!Zs&s}6tI~>o7t4WVC1&rZ-1?nkHMgOD3aTg%IUJfBg}yuH(&%)qERfXE zz|oRRt%>6iHA(0Jm3!2Zd6)UTu`RyMxN zg6!af7EmlXwKX=5ZAo`m|)U$WWv^? zL7I99M|r;CT}|TM`H1SmlJ&dYG&RAuv{`}SQiXn>%K%p9&dNgZT&O^*+VNIjhHgh= zn1_cLz3f!;Rq+7)%TGX)1Wfs+r@~mp?q4J%*+ zjD6iyDsVDTvL0yu^tpqDTKsh~1-hL6E1eix0kNA=T?mcebY;C?ynwxc9_(z8sj;`Y zf${p|;q|1hg|wi^aa3#3J$}}q%hXJ{xo%MF2@UJ8I3=R9#WSP;gWe>G15OBj+Gyyx zKR8^aV*LKD+|jXezl;33Hmsacl-yNcR1zg(tVNyLrtHQ?#HvC!XF)+6t;PC}4N$y~ zSHkonI}r{VNM^P@+;+&2TAEI_4xbJYh+sh%EL3k&C@VkOc|sFp6~*+yPgY{^hKR8k zEd@j|dW)&|d;~G=5<{U!EuZEUj-$3Uxg)w^<1RlQt$mNKv2EkEO-=;AF@g}*9ZQVv zGbU6qEl#5yVqJ#&y@o$rHGKsU8v=_T?Mp;uSXn7xM@?S20#WE%rW z6e%6AdgsMO#Dz&GA$J#0XrjE88twA~HWsFR;yMHR`OI7dR%8c6;q|GBD|l}-IFZe} zYA>W%ZoemHs&2OmC!a+1&8*R5c2m*Tfdpj~__M$93Z{=hPI%*0PaEpB8O2jP+cA-_0tGhi` z^G(p>t-b-rb`tp0y|`sP9vwgk&dg0A_!n1@wF@?TJLYBps}1+JPaU+pohB40-D;Yr z3wXjRev4awaBMZCmo!mf+dFj=ff61;tOcMNl*J18_%)tHE`y;Jr->X-wg<)YCWaoM zy^wHc9c(7uifArh-AEh7TIUiIG-%XlmAIV+4#vFQ7SUX>#+4JXXk{ zu}*L+6iPI1`d-)K^KD5Zc#6mB>A_!khMt^QFkN0?DaPt_K#8E|4E0<@>2%pj}E&`O;c`* z6W+68`um5AxYCaBiqCm7lJfH@9}=Y^BO>78vS4aBqa2npQNDW`9}~CcF4VyjPdYri z(yoQ|Il1>$dtAc9+1uGokhRjWC3BB9r+H(V_8Zg_CFRGUi^$+Z`pMCLh6O4XVw7r1 z3xZpL<>*d|PmjcN?{me4>l+AOz6D#jE@yK|BW)eJw~gt2AeM4xqr2k>X(PQLPx|yI zEGzr8jiv!}K1MGKl)Zt0X*Kfv zw;!PcjxPf(`eoiy<4v%a7}#2K8k4;J%!x()9)ISNFno=Y5&$T5t|*d_5Jh5U^QIO= zs<0Ay8!nK>9AmCDov+FDORz40(+_p|GEA$zUW_PZQN7A zH!2}~nUvG#VVRQ~MW9JMi#39#6e4~Qxj>B66cuLe=;4JFU@P{f^Rxb-$O2`AYW;de zG#KN;;}H6VfKXVDpsW=ms8Q-|*uIRtr5Hu@0SNDkN;*MUu)44dO;+B>eU$8lFd`*J zC`c!ww_f^aOPUUS&3L{{;hmbWLP7H-e+5S+$cHA$V4X3s>VTM|L0==inSR7x42q=5 zS`TI{%7xdIEE4J1EF63%@cC9RYYR{KGWdqudb-sU%cly>Nr{BL1^cV=YmT8ywqr>c z1qKX-Z)OUgyd;ox1#QFzw*Z4w+pJSZ0>dlIN;|JHvnpr6m#uCp%ia~j1*9}*afhrI zP3u3j_2ntLiibiAF)UEVcxPg2(6TNyU1MsIihV$(Ooa}t$9;ctp%ix;qk2}k1gaFc!H4|^59TQmTNdLrL`|thbBjZ@aPTQ`&G0Z~eNcvS`9-k~H6e3b$ z=c8jZKr8DW;~5dG;E!&>4Rl=~<-1(hUou)yt=qF~jhMDSGK(P?tO8Ej`;@)_`?1km z+N@)p!IL+%U!%eJHAs1Xr7PLsLLl_B`DAir7(1*RzK%dSHMc zMxd)#bH+co)5|L#hMYRPAOh3XU|r%`ojez(5%5G&t0vv+bskCb-W4Y&-a*(yJ$Ll5 zy+^PMK@(2pI!Ag>z}*x+I^)l_q!=vfn6^!! zecW&m({Vlf9WO?s9}={h_UQCUFPJM+_*AjjC8`^7kPxMzK@u{i2|ImfmIy=(8!C7b z%SJ892?&}HUTUv~BLRJ5UUevI%WNvtRNP>5Rmp+2T3p$^K_RQP5rXE>9`7`a1>3n< z9ekmNjT(pzyW$AQX=jF2Hx4@=`Hk%Bmuo`QuBSRE=%sV?Q$p!ec^{W-bTSHVIJ7Qy zoQF~^2KC2n^Rrr~@CAPUT8#}8BZx>7;)_H`n6X1#?S$-r^&PPx7V&RRkP3kn0;_9A zwb@mxJ4Y6U*>gfyhT=dieNz+wG3X@KP%5{g2jAr6Gvw+_DXr1v$oMmq^}%=FjVOb&=-6N+cZ?Uu{iu#Q#WRlb+NzH(OH*cJ-s-A=H*d}W*q9nNMq9D%xnn!0zAEu zOY}EA^RKf`zt0K$qI}2rLjsxupXE*S?oFkek(HVDO`476O$nEg>20?0UwlXe-lQLY zj=u{SIG9>n(Y>crR+RYhM{eTaU}MioN9SN|ZDCJqYUJ>d*4oaP&cwmef==JShJl#^ z|4nb}y@Q>jfx~a|lMJkXmQehmp!d&v$X`Xu%1-+xiu_xoOmA*LZvp@BMr!xbfRTZL zebRz=r4xnD_T zT9Xs4?OFY)>igrF6?Q)XM3j(BOmUcTKUmWQKpXFRreF8Y;jW!io1{(~pCs&RYVr1= ztuvVvl{$%PGgnNJ5~*^!N|H4C^N>+?6}7TRRqENP)@x;REVhA!>X;lK4tBXp_0`dX zh@I29?Zx@S`qo|0Lub&!%*cy{V|4=``=`gME-~x9>d=SFy^Pqziinu+gmci}OVH)2 zggcEDZ1u1D;09h!M~5Ptxxg#b6>}Tq2l|FqaKIeYyJEERDHTErN~L*M1w_a>ne!*Z zC0375Q)OZf_O`d0SJDg$ck!CJUY?l|rnTe8O_BNLlBD_sJpwu`;lcBkYy-EroW`vjKP*U5Hk9H<^y;Dh92IwQHsKQ%?QF_Q7WY> zWKOkqJ;IUr@99MvTPZEG_Hhu0D))y@h=mCNFhKcQL4{6U{v2xBT(G$Tj-)V{BRyu4 zt}h554Qp`>rZXWKF!=>hKd^f``{LE8K%_ps#Ma~0<&Yli=+|m22b4`7ebZ;@q6F3W zW|*`)Y(CRm@bsW0xOIC4ImYg>lTybt+<*vT1UO3*;9E4tp5#V<1k@`Q(c3ENs@`Rs zJ~ws8LAWp&I(*;{z1nO1 z>reou?%iA*_ujxyFB5bXF&0}BgD%O+N3u%MTd6A8oSk!EQX-rx1UM}n(Zk9Z`m7o^ zIH~B@40{B!WLHBE4jFO@S5H?5ZK=a}^#e@+$Q59$lSl9C-n6ii#IuIsUu&^a64x(t z))EJOfnnoh_(~~AE52bXGH(K?n&@6uiA!xjy7%%TY1DD;xl(6&k{yohdw{Q5-gAECa}c8g($GXL!`aKr4LTsEG20wEftr*}4!Fhu%M3owDJ)4IR!HYdRbh>t8o`+TXg!z0QudJ?G8 zJYTcv{qE~x3uSYG&}mF3pya`0q-Zey&4WXzSkoWIg^Za;e|iTf%Y?&7i|C!+G}M6= zruaMSqWPd5ppJavX;%;{5CLIvX4U&}2*~K7xSC?V32@I>lU6Jzz@G(k zlI*ro$fYfs8jLfQ3-_sk@tf*@QA>4FF092&<;cf0bKfk;Jj854jzdM{qj828L2mnM zLtHGQm&6XGKS^ko@-C`w@Qn#o?w3(&YYj#_WkHWAXtbsiUe*cQF@+H&o65QsWU2_V zQ_~Evrr!*B+A}WLZs!|5ndYAP2+aGMZWgs>?EBfmSGM|Edx0gnyW+jkr^T1L_n~zK za31MPoShSMW^8a;rv7+)QeIED;oGG4m-OvkHKO~hpt-pPLnVHp-yVn(h{l@g{O6t4 z19h8M$C+Tv`Zwu=#vc}w+PJz&{Ot^u=ot4^FwDO9(kB|5*mlu_f44&j;!qV~8$Q6y zrr$Gz=)k5^?8T%{d=O+uoXM}0#t!gn1XIA;?J)kRj1yErx5m6@dGJ;eZb8@om3!ow zxGyOzXZh_C*=|aL72z0J{uZxiq|DndGIX26U%$SMQZRrQEMa>2@pC!C$W1{I&t<%< zjvs5}eo4mwC1s;n`p!n&PV76hiY;!wxoB3m$$<+VPR47)2b^GB6&m9kWTA(otCa9N zdlzhfpXif46bDCKv-XRxF}iz&K4;8!{GeXzTO<;9QQkE{^{SyHuIq6Y_&tkK>^1nn zQ4{I62|EcG-P;#m0Ota9QfDiHOo5ZpwFsnHFM-CH@whEmij_kx%gXZ*9@)%o0SwF! zf?sPJ_{j%NOwPy!vs#Jv;ZBSsKBoX?@eFYzhoYSdF{qzfPSVcHV#Bwx%0&9#QQ9Zu{7o%C z*3oKEOl{Il3#;e4$|GUrk*9N2$Rm1vJLHkUWm{Ij$J}pHrPuo|tml73fnCq+J}MM@ z00I6eSg>?!b4tSP2N@Gzbb3Hj@&))@3c+lx_apO=ZMQl*1VB#g1uxMd`=F5b0p1>gkae8!V0xqi=ZEL zAy%4&+76DZE_$#T z;Qa~d#Vi*FTJ*MV0f3gUz5wX6L>hGn^^QRPlC=SWjkT4xHmrTTEzHdtd@N*z>f8!O zco~G@3B20b;GHW4?bEq9R`v1V3hpVfPp6uNCL8py7X~~@Crt1<=muENIn=VtD5#YO z47uL>3MJGJpSxr!IPDZ!Qx)y@7f&&v z8t@=ELHS%iffV+Xd@c?#3qc3y=pd~imyv2jZ2f!%EBJoP0BVs*RL2;{XOmJ3@^ruL zl5pNGn?s)3t>~yAxni$ZIUunDz`0U`GN&_|k*i+KHqX-EJ(3aMZw4tZRr(O9#B@9I zGjPrRXfU`n9|BhK5qvfGQ_DFuZ4}O${??8DRyUM34PxPh?Bzg4g6^IhuoQNC#)9Q~ zuq0lS&Wd+G&R|svrbbiObq5l+#w;XgPEl1^kzDK+(fDM^dukZ7#VJ={h_fwMzvz+e zY-#1nBrGC_^o_t}df`1Frp>S4x|>3*{AXEuP~na+fdEF7HCXS&??Z!FBup+U^U*6^ z$eHY913%QXixKKHpygl~J#t6UZsGI96o4LBns7eapDWpKJ>K0XU)a)O4O6@v<{9&0Sw?nCPdP$naAuE zX*1_@R9lMBYA5)ipAPG9q(Aaqw zp?U2dKh|oBiAKgK1M_614G&}vVww8jg0`&4q5{>+PKykd3$aVvTRk)-9WWowm-LF> zvp1o|0lh@nbJKHrK$?=315-Uaxi4 zck$6gFqQ;N*4oQzAs&H?3A*1qQgheEu)x;h8y$5$FN0JdQw~#F0FPKfqNn53wHN7E z5u`gWNXwSo`l3~>n@+2e?8rPFM6yj}AOelJZw>Ny@BG8W;!3#FlY^1BD?U&wnO@$l z<@XBYpt%pI9=(ne1R={&qqM^6)Gr^a2lOb&uL7KV5-U>$(_!i6wxA@eI;d}xn=SI z{U~|lb{t)bs$4W8M2Es5FZ^w?)Yj2|&+ulN*qgTs>7yn%aTs>XGC&o3X46G~ZcKjJouR)WGnCkT)7E*RU-ut?9 zC)kcaFoO)`hB&%1iB51|+y=FWQCBMDR6es5|0H1s4&gDV5X0ClDQYiQ1Gf9@Ed?&> z!04-Bd_g$9Lp2f`fEmhMzsf0&^faJ)-k!*5p6UmnL?(JMFo{0Svc_bNvm?I!Pdtmv zmL$oV&-@G0?OgQ_rk?~2O-)8*1yZ0yPu7;O%~c)a;~`oF4iT5#(qh-ss{0}snDpX% z8k~5l7BHDR=8T3_32i|o1ej72f&HJ5-(sa%ba4C0Vx%u=D*75@C4<*po8E|Ba~4Gu(2Xv! z*B6DNmdGJ-OXT0(rTWGcVbct^_pn&E#C5dq9VI0rCUv~r0Llbt_e~rlpKifb#<~wQ z7ihYB5&*ixP#!htA2kYYW1vDneEs@u)Rf6>S$_|hwRH|9fToW0;Ol&OdhoZ|;!x11 z2twpzE?>Y--ZmpY-%kXA^XBpZ&^ZE~ZRdBiH^EcZm7toKd^tBX)%& zv&%ssPlU-9UptC#_{itc2H6&EZ69r0H8F6+mTkrm$7=H)z4<^g{H>iZXwa-ws0H<3 zAdk;C^)NQkz)min?OKFLJ1PeEOMy=KkT__+e<_0$eK;1&xkn?jPm8cciy9F16-gOJ zIU&{L+X4^ByUj$UMKVZFpPqHnOVl4OS?fS>YwEtg8uBP|4&K;eyK)cFNSp=gCRg7A zMNAp73D<^GRnQeZiU&NMw1Bv09K*S$U*NRzWqEozBuaHYN|!J|7%eL~0Ii5!cmZv) z5VgJE&!ZCP8NfBO^`bCkc8(3ipIkzB-#2CEejc{+FN*bygk4OC^ zdx(?Sw<=o@rB7n8nYaencgUaJ!ce%UhvM0I-(C%ajC&_7uFsiURv_LD(lA*&hz{Mt zjaoH&5#H_JshY5Qf*;-6Uv7ZVzka%`-`>_e<$usfU;{WM`esm^E5#>TSZjW2NV4j^ zy(!CDIDCUE^w@;N2jNb$JBLCop67{bD16hp;$w?VbP<7g9-PXq zY9DoWR!Z19DckL&;U!l@48Gj7-SI@AqAbH(r?JYfamBYy3@npcBePOJ(A^_1 zL>1+0_y(?_^3rj`IrFw)$<>NVv-t@$&L}?o#_3|ds=(2KYti{-@U4p{&x)~Km0A-L zbv4QfLx&6x+Wyq*Jo@>^(Q{f_P#jHj8}$q-wVHgAk&1~nQ9#r$fQ@rfUXxqLA%1xX z7Wh=pL}KPOkgIE9cS{>{+*uZkD{ENlOR)%i1S@J1*}yzx^)dY1Zcer10ERR0M# z_~G&XR-ykZZtxGUjz5)`|6J3*1Ff%bT{z|<3t998wurXGKUojU3<~J~mhVjkyiIJU^9iNTu-wexs zLP3AN27aBu|K~CPaV`EC>w5#Oe!UJP->%E|M#k1g$`XHEm;VhM`^^IRugN3^2DV?& zq@SG0pTqriR_mYP{!NO04evjvh=Kl%Hu@t+e@`W`F#SR$F|x4z4|2r9^lOgZW`=%4 zkvQH=KmXlRj{V;~TXxOzXRjtWo3y-<`#zPb;MAc zLxGGWub*Zu`RP+RjrUyEq8LBiaqUIL84VSuDLM1weCFm}%a|5zr!5J3^3eJ^Rl>yj z_##?;V8$Nol3-}TiA^kc+2dPJc6b0ZhEAm}mMIN8z4;R)e8E|PFT+sXo_Mfr zVARhkE1sCJp1{mr(BMG_>i8XL81S45++ZldamL9;+%hp>`(JHTKn9>ApxDh$2r?<^2X;v6&no{hQU1Lqi!d8T$+)l$edP)QgKASN z-L>eJ^+FwkBmfr0;$b<*I2SZCfPXnmw)4q^Oy^NkKEK91>7@5p;g)=dA>0-Jmb!Ma zLcl4JeF*qsfWE!6)&aQOaD%Xy?oM}hORsw3v;<{kUPslu(5li^g?P?f#LpX&HMx)1 z+;m+a{#*(^VZ_{n^@9sC?4cC#j!~-$Z&h*PibX+HxPD%5RdE=Jy&V;bDd#T;3EY=) zT<`ptqR~hII$3hHr0Y&*=sFm=0ISwFj-8%a;7z^U?8;Zx55=BOqlm=+4|8uB7T2Ip&z7YRN=FEatm0&b4qjEUg`}$8KBD`2L4#1?M~pRTWG0PKLm17iAjDwaVaBJ3ITH_Rr5didN48`g)9BtZjvEZiaf z+=^WaQTRjbJTk`#^};$}L>3O5BUsx&2^UyfqThOQ->Jl_db#6oa6Z7xdoB*~M`fE} z%I5EpDrT#0r5sH<`!4-x8XKV*L7|2jxsi$>nv)AbAoeZ;bBVQ7V#AKG#HOFUNJm zKdE@enL>L0vpB!fC=7Sls`g_| z`+iGtRGgD&X53@vH&Q>3aCGI2Ovf(qVRj^tNp}5MsJ70h#OpaiG5nnCnwwpzuZAbl z(qb$2rIxBBeX;hK4CU71-~4{X&$nuLld0jjmb-HrG}63pQdKvBx%|yUTQ}6J&dXe1 zTWo3|p}S}B$?%ja|4n5k?j@cXQ^tq-i2YD+Y)n{M2Z;#{aekfmh_2&GFDt>0P^NEd z8e%K8>gmqo2`;*B-kGN~kYR6TGlbF{gk618y&!$N5oOgE(EYjScV10lxmVa-6aVyR z{3%EJ8t2<0zHZcF#{huN?<0HD8ULN*P+aUwwj9R9_cfzapC)xBN9x~U-@+FNv4^`K z?5qU=Oo;x6t76wV}rgqrbj-SlX|t!eGC{XB&nC72Zi;q1#03v`2c8 z!e_*WHl$~`DaPser>!Mnu{{zdd584O`fBbl4pQcPOVO zI>7-3bgfO zt&dNKsRZzDn*$#&t2W53aXAnAer83ocgeCnl+k_r!)rxP8sa=oK<-{&|C3vy`4gLH zcUWpE@1FTsJ+FNCwehz?O5z3#M8=u>F3`PrmXe?Hz^uUFS_S@={Ek>UCz&?rZqNC0g zo-3ZRcZUnkJt^nN3hv^V;5bCUZ+zTxkCEMyOwa0No@o^8T10H|lkc)3&9$fHBce33 zv}wfIWEAnTB+LuwM@sM9#r>Qw|rI7}soFNn==cNBaw8p-!D_Sy|D*R)kY zen3Zi0ny3-U?$2&qaaAP0f#i$PXAa$?UI`WBg5%zVW$u``H#sB>Q{%C zb849jNQ&CZsdtSWPWt;?0E-#NFynb$x8wLZ0{sIq%hWyj{eh{UKXAtG}i$H#m&yfJ= zb(aa&4#pDA4hFL8LlOwu!<4=E5T3F$u#45A>j@}ZA@ko4%Go@|S}jEXgozWVDpXoXBe$XQwbk|YPjxWbbU=^? zRg&C^WtmmQ9&pP*k|XiFl1O(A5&y@S+1yoXGq|s&)aaThVHR7~tp4Dj`D)8oZkEXE zqB$L9%WincLy*NoQhY1*=F5*{9c*IYH5mlG=$r6?$!k+~xg`miX`*iZ@+NJtmwI4TccFTM8{cjGu!NmAm5RT__ZRtXq00JMvnQEHJVm`e88n>>Fss9eHsW`p9y z5@E_Z!lp%(z@Cf)I*0IpCTht+voqEDLzJvYd1r?)10AVD{)zp~8q49~tz&gosd!s_a? z^o6gsNJndlh43^MEC8v)HCy{hdo<**qp`~7rt~pr08^=Cy4+I+jThxU-^f^-VlaB} zzTcXN;UraF9>^I3c))>#HliI&&*(%Fz_In=#whIu1K*a(c0K}@#!p)R7f?04nasc(dFt+%$v{u_z@#PHem1l}{j`%Q@6eCb+*5DjM|Rh#ntzB6yuQ?(A9gOA6b#Y<5|*<}7N zpNqBS%UV0z0MBdkzqZOFuFBckoP1c{+j7V8GLKehv1Ap>Dx%2dr!zXZFfwu(Yf2Lp zXrx;1f-f{m9o(po1(lNqvYfPZJeVi$c*ZxIS$62`40Qg-``3zR&2C=iyz3t%Jr8Kv z_iuOfT#n&=>Y3KTfXnf_`uhUZ_;=fzBNk|Aoe!YSFoA#LCfUQN z*1C&o90K3cYJHH)GQsU|;|zUu$5-;yAA1h>yG$SNOG{Ay=^|phYu@H3Gm)uosH{l^f3xBB{w0ChlLL@}_OGLxL)i{0LO1lq6 zRt=SdHmwQ~6D@mACraT`#q8tpgAtyv|LN#5lrDSf5K1HC{y-om9N%^eKEp=BSedDF zbGOCr6pXhSE^+Qnv8$b;D)v%9 zPNJTpqop!hGDBmfgKp+c+*YLT+FZ6k2(I@2rx|F$U8wf^8L?6;&r~Bv8?oeEG8QjS z%csv}oY$#e2VRt~(Mk|lg24HXbMAWg_}_67-irfCPn+FNhyKoe!VPb^U@oIkjr3bc0El?G6YkCVaYoe zY0p~S)`}(D^%7g$5Bk1qxPqWEx?OB|kv7yxQ9L`r%C&D}2g>m!>Nj{n5Y8Hrt{o}4 zMT77H{J(ht4Gi50d66W*x@ziA;nvYpMuA<=lSR9OZiqvrQ{K{gl#|+oVqjQSy8xTk z3a*oHmVGW+hqHDCLzAlMXauC%=sBQ!(a-Gew?nUJnU7=yt0Zj*5ee`b<)3392clMO zJc@1?kP9HFGQ3brIN?N$9*S|aQ74XA>saf|o?PxU7(KjQ=uoPaH)+^0-0~VDudZ37 zio6T?%UOYr^^vf5LNZBX!#ci3pdib zpoQZF_gLElrdb(Fm77?GNi)w}HsLY;i5^z4-i`77N|nIs7-ylsdkWwr9?@dp7b7Ob zY9Ib-H7Z~d^Z!0RXqg}Ms>S}!XnzG=G$3IxVr)$M9{c3oAwiq=%OM}fKP*84q>BO6 z+Se2u%gNoaH&9s|VxvV!G&D41oKZpa+kXvYGmyg0z}z@tH>KhvW8m5lMXP3&WN3SP zoAr6~AH#U<29x(7ic31lzvo$9H`vl@sbi?j!6?qZy~XHTlgpRP6^-3vquM2*9CN_ zkT4PvIBw<@=F%bk>nA;=2dy7p#RKJ_ z1~mD@R)8+2e_B9IEpN%HOI+4hloU5n)CMo333D?L!c&lx;12g!!dQl?!q?`>R2bN? z32B1eH%2|{z4&4(>%Gj= z2T?mI?n)T29^~hc9%=ulM^v;yt?`yg7xcsaR_CiIUY%CHI@n#MWctVQks(4W7zo5b zI%tTDg_@`RmxlG(QdIhIXLO@tu%CgXZu!QsvHMi4Bvk(w)pw8c;!TJh{@EQ}#N>rM zQRJ=XLB9o|@(`GiBGvt+)E^eejtd&67MsUiXNG%ZGZ3W>y9psr-O7JkI-#?4XKwba zwid-%RdMz#?nEBCAd{y3Vb$9)3XL(q!t8&IB=Bum4tgmv+K&?VdxgG(ePnC)=XpX; z16xSw{V$uQ`Ej4y`E>Hd+_Ul9uufeftyAVj6ITBa{p{E!>B1Jv;*rLmzyY} z-b)QGMnhyX0mD(&T_@rp=zQc{Y{!sW=@CodAAZo~aI6o|IXou7uAZi!lEr#a08w6v z(%o$`|G#a-Ay@sz`imO)!2ww&edq>-N*{wd=xt9$klY?yK_ov&70M1mj8!UsNic{u z83~EZ*B^O4&VuK4zL_avr_^bB2iC*=w@D7yW$ql|vBZusCH3F+qLP%065x4@0Emh0YGOZVeB9;>_F09vg599z`4xfOBf>@$_@3L z@h0b9Yeh}o32S3R9_3b!zN4KCkU~L3r~%ONxP)2kX4ZG$MEg{&a#Uk!dM^<2d2wrE z5c8foS%zj#NfFr#boBCT&ivI##YN0D5{MRv2{Zl;TLU!Foj}#xn@g;Ws7%G z4(J)@CryM4uFKk&O1GUW_sJg5Qv4D-IJ^YRTYrB332FV)1*y73VBZJ#m^_{4Td7s{ z!c*-Fp^dTwr@T(Su-|9Fd6>h@F-7jLmJJli)w{DR^Rl=DZ`;!qR$2ulgV#M{f#h0K zpXR0|OIP-!pHEEY+tNoOaZ}>=cQFdx3TrA87CMsS-JD~e9X>UV^_?nNuJLj1$(jHj zT4MlE*!;2Nl>)jT4*?n1T*=RZJGO;q7f%=SB%DCX%O&-dK#7)xC2rgP{Fx-cnoGTL zJ;OxltVv!NVdE0M1a<>Xg7>Kzi`PssL>msl9G|u6xPBG^THy4unt(2yWr}+?)FTkm zBJt8wbfl&BJZ>59X$*_JjSTp6OmP)xzg)WB#qy3__l8UK;!3-nzsXGc} z&U8?HVcLZE*|I4KX#wBt;EBNHV;IS9!Zs$n4JpL$F6PTAI(Ngs@mqHBwCmZC>d7-t zN6u#H33LRcbQ4K{TCPfz_Q%T-K8uo2f3w&rAmtsDo=8)hsVL67unk&pdKs-OQ&SXI z!7k|chmbG!w>jd+r-t1`jcq3i)k}rDc-;vMuq^^G@?} zKc@3_ln!po!~Br|kRm0e-m;du5R8YBSz+qXW!VcZvhYwSfAg(>-U&YA zs3$fvM1`bgTsH1?M3aLxUH5fx@8{#nWhUOF{kcordhG*To0l#{-oEqgiefH`4JEDS z5*B|G_B%+;!!7A;&SX_;fiu`tpvqc+CoY5 zWT`lYB;a7H^^AS|@Nrv24V)+2@_}IT>wp-PN_Ab41Vd~uX2b$QqxzG(KFnW)BZI1T z`ujM1ny%*OG{AauE0%8+ll{BG+FDLci4?xivdfsAfd)sewp?XnKpX=R_Lu0A$sCc! z75g?BpxDfkQU@lUU2a2Rjab91z7brEv?EHJK^; z!skw$rG%{>C%b5JLKC@M#Sbbo_J~PLA(@Gk2_&MY zqf1jjjvOEws(CO0sh>|bgE-bzpFtppK%FeZcVmy?4PCXAuP%fn8f5pOR|cVFkCiC@ z;_*#KHq!3J#Pg^^`m7nVj^G}9Mz#$$#l&A@C3a2(D zKA*`NrY6_GiTbAV&;>1mEZ6g0{eaezJOHO>W6_Dv>Y6rFVtnu6N4wNczd_`L^VD%Q zL|B);icby>waut5McA-bJo2}LEHUU4Z@_2wn}PL#%TP=k3-%jJNoO4KUdqLiO%^WO z=hPjmpfM4T@O50+RV7KgtzS{s^mqDgmJ(`9ZLMW$jN=C!=f5rZ&UVdJP82usGS8O} z<=8*;9CI8^b)_$t4Ia29Yq$-fjPAc;8TkXgO#VkA0wqb#fVhHH)<;|hh~$H4e<;-n z)}6plK9#%JktR2l*D--AvMXZ|V`-zSM1EX3h+B_O%c@7{VqUVixCv$oQ=Djgx91(( zB9f;5tyvE2zUs;qR6u*>*hJT;xn<_3%?tt@ymOIOMU;UU@@D0`0P?_46 zR{3|VREnF**~9+9osp7|8vV;qkR}O4fI^ss)@^qA^pKJ~rEVIvr3{P}zhBeB40LL6 zK9+Ul1I~tL?knwUFdwPJ_S?Bk?d2Qr!C!O70?N%i_l+eEQ@J=wT7mOiINI!Ot<~D1 z{Z@t7=H3|x zB(qokd#Kj=T=)_l?e6zi{)c>gp8nF_x-Wt24(|8n0zAzUMGH6p)%aRGWUg~?n-4WLv7(x! zVYaJ@udZo<6HLUbv3#prk}NLlx%2g_#7oceZz;=hF)TGK)>;67$6xXi%n>hWlChIO zXa@tS_5B5iJlEUSY7EmVBCk+PtEcEd=}HwRMNKYsEhO+kAkzYeya`(buIlYL27)Rx z@Yrfz-+g}m=g zq2tDIddiyJ3SZ?1$*UhkR16M^9Y!vS{mpj12g*jy#HFE%p{%gs!zka~a*cF3+8rJr z#~SQnMqNnlU_SaiUmaiy^chFzE6E;3nhZtsMCSZ9cXjb-Ih(q$HRxmeGXk9+(px5( zwT>E0EB5pYq~YSp;+HM%JBEB&1-C z`CQcM!Qv;(`4jv>U}cyohFp0R<(`tXMR}2@;8$77bI0sp1kVskN7(f3t=+FlhmHwA zNgN&qcS^ywgI366bQtOLN`VX4`fU=ZE{kLL2MKVW+uY&+TSP+r@i|_2C(y%`^TJ$O zA<aS-%ichdp5Ugz31kVfVyrB4j-=Tm%FYyQk#Sx2Zbh(zN!oV8bL|yy85O^+ zZ~X1X9{)q|hZ2UPx91`4sxwTFwq4W~yeAfI<(F1zA3<2haZ#IPahFj!7pgFinK^H$ z)M&{29{XZkHMvJ=figZ5m!-yW^WuD6(eC z4yiZCPp&}-b_TL`WWz@M?cAPW&$mPz;@ag`-#5aLtpuFCWaxBg1OXG>I5r_0TBj#*#*4@9Jw0iI#H(wD$g~*@<`fJ#Evv_?rPXZ zJw;%su&lC`k1$wB(Gn+pQHGY2|9&(kQ5w?WnHD>PYrj$17#46TopnoBQ*-_N zVj&FDotHe;11V0U+p3X!VmRg{p%|iF*;8r8+wc zXPbsvga1+)twE7V2fFc0Jp!i!Vz>9O`t-YBYQn+U%KMay+mxb;Q#skGFZy@hR7q-+ zl-`!#mreKaMv9VeNs68n=K6bN0|ITS(g1wU);TxH8XHs$-Lh%PO%qzxJWFQI&^VLiGSL3YPqV>VkXuA?Ro>p=Lxqk~D6PBPT) z+`x|C8Qdx^vn_jRItQg|wmbb)-X!?8@6#Qu?&4B3d@Quj56@vawv_HB_OZ@ z{CP)fyf3^!r^^5|QMM^mbWI zDIA0+gAK?9lAZKr6CBEWo*(*)UP#&PXCHLkYwUUbawEUqQ~9p5x{mBHJ++L>-6~`e z`$;FvzKW83r+x(oGPz6p=uVq__hDw`7bPFP2WLiaD^C5i+})Xp(USnyjIk~?GQ}OK z(7B6k5wj>wVYgY>r`hVNGNN(N1d{2|VcJ`7Rv?%4_Ua=kP)zaT(%O#|H3iZ+i#aSZ zR=F3Wg{RtRjgGREsZkV^HSXbbjoGUN=ebQ;`tT8b-o+d62fK0u<@S#k&-gsw5~@xV*~ z9*zfWM}XYk%!DV&s4Ei|0Wsfqr@*a8^TB6`X4Gkk{^za4^PISdR^ zwyQV?9tsV25(mlssFM}dcGR}K<=AgpQMf2y+o!EC~QC7dNdy4 zCILW&2O=V$^Ht6d(I7y&frRS6NcN$g4iLj=K064A_n(HCr9M0rbXUDteC zSl4RpO9Oo|YbO~G(%_p1T*$kVaQEyb6&p7$9+&8203xLp8gVRI!jQYIY=4)@jxbYw zfRJQ=5`rB+I*0~{rzL6ZPC=WWPd4Br%Ynrv;Vpqkv8~NI zEa1@JcqEC2af8PPjw9)j|GwRSgnE;c9C|-#&!EK?8^5};wR27AxL=a+Dd!JF2RM@G z2fQEgvau9DR&<8EX_W6w4C__H@LVg}-qVQaOzxI0ML`FOI{#GRhpe(8g~{f{5?uJ^f3|{QLx}J2%i+Bp#f2e(er|O!PI> z8BQcIng~7GrCdB-XbuRK} zXfh7I+obwY!#yq8m)vAsIoohRM?5YOyqdZfX4TP|0s>mkGaU?v9T&T(<&B9ga6rqVEu z_ode(Dr6ZRVy&a^HhZ*M0vsPwB%TQ+D0bGr+dyZ?$`HDe`JQ_lt|tqXCo>l96jCa* zBfFC<55BP#TK%}tR`ks}iTk(F@rqbTdlnnjd^@*2jiOyEk( z-5H<#2r_sQ&oe#=h-2 z-SH_RaU=rB+WMThb3frwuod`$fBwqYEPk3E!=ItQWiBKiOp+Z zSiM<=EmvWZ$_}#Lby5B+!7JZfPYGPNm^ruax_bvwXJrMJwW!1aqP~JUdC%*XKOU!1 zAI?d%s0+u*4_Vi|XTJ3DpY)4o# z>k4gUs>&-vF{k}=oOmK$;&_?UAf#A1=oV)cEDsP_^eU; z6R^gB0MIS_kq~;zHqUR1ZqSZOzk?=+ug!~zY;);e3QZsZW8$UfuoG#y7b!o|+9>d` zQ?gxt&n@`Kz4`vQo(AV0Nmelrj5vSIed3&PQUO^$hg=VE<>3M5&Hd>&W^0zab5qmK z3}XgzFV=GXkmixJVzoHm>KnQKdP8b9HD8ivb&t@|5QJ6`3YsZ5Q@Ja@NSnR8TyCco z-_XyWo!RNyYN<;dju<x&8@P7MobhR9J-IiP4!@|r{>FKEIZSs|1?;8oJXDGs$GXN^vWQ#%N?l2JWqBB_ zoj7!g^|W(fNv3M6wQBKfjB;{bI?DBIw6Z*YXlF(zKGs+U25Y<(SIQ3qK!5%C6Hbgc zfZf4{XchhE7kJ+o04|AF=FZZD0!5pW+f_--{)QXcD97X=!TZvw2v5{q_+5CYq+Zl! z+p&&vkglGa<_5uPQw2ca>)vgvP{k2Jx=P6a;diI)+AXYfw|Jo|raX=gcaVpaj`pHe zV(vFn6Sy4ec2jQV@7`SNN-I@XQkHX{Yk`ghZp8r6Lzn6Z^`qW!{=67j1}9sgfsQ>X zEU5Hi;sl(ZC{Rp9$ndpAm?StPoBDa`8@UP zjw(8%yNB#)nWVXVDSn!C?GB4fD}~il6rX_8$gm3h6vz27k%|JdS?DCGHibxfvvd>`OTjw6M3VI-UL|~E>h~8QU*qy?gB-&MLxSRe`jEJV)TsT zcr`^VjXkngF*?<Omh6l+RsJA!!$4(VBViQW=d* zXb(Eg`-%6nS5V3h#WBx{S%B9Ksw(Pmq#0l$I7`{Rvdn6}G!LX~w9wEc)eIJfcYuK! z6?LH7j`hPc){yqdGxf6V`=*^=Rz>ao=q2MBr<^nh`&ZBGp_-w6 zJx2aA>!(~|v+oB(*&lVB$M1*!FgIKuEnRRk!5_m}TI z@KVoCO3(yDJhF9@KFFr z{DAvcbU4O}%9}YHMG#b@uiUb6u(^F(SUob60v^7!Maw9KAf>dv@H=q{ zT3O+{2M!?wIz+^TcS=fW0?EhDhhp@81*usyGxM;q&NI^_no`usWUdt=c=W}WvLU`e zH$yfkV<>^-w+;3+)XNC69N4KF2zSTGz3<+>I1$o*^Spivm8$yZTk66su6`{r3+m6V$VWGXy=aOQ0ni!O@emOpvJqzN=9 zuy8p_G2!5zTLU-s9Cok3ZzxDTglC})=0OSTfD=Igr_@fj}(zF|@_$3?NL z=4gMp=>d8d9=67w9|)z}@#zzPSUAeor%yumB|69I5g_hoPw0B>8T7IF4M*U!*j|F! zZiP|{oi%7h_e&@Zk}HMbHM9gue$2v`w~(<|LrDMcraC>CK8~Wli&gU5R3vuj-!`G8 zL6NnR(uonB_)Lt~f)&amJz)8$3Jo5dT_S)mR>J#> zgMK~8u{KH2D?a>AkZc5%ghHOw8Oi{(oE(t@#KurO+GNC5g{$|7cv9c9&!(tgHAeqU zjH_>&^~}ecZ2T0Od=b6~P-{Mj9Wy^P&glc+u=@@@y!5ZJ2Ou-VqrT$U7c)XQ9{0=s z$j^aE)ADK-Ip+B|m%2K1#E5=;bbcT|5Y>?XuTcaRgO4W=CDuLnyEwAIe?|}`chYUW z-088Sd)qKtU#uS^?74z96ebop$V-}Yf*%K{X<(vy!BzaOINusT|a`gEjEg0;>Mb^m}~ zJ-uYHm4w3A!O-#j`U=p&G~-0iI%=q%7ZB-Y3X@sWGC_gi+(zwen7oX5Wqt z|Jfkpj|2gk?R!Yn;~Vn>rBHSQi(kV~SL5SojyyRBnmB_{k%*E15HhMs`h_8wA{&#Y zHlcr6{hb4hL(e%RK>j)`LcH0QvWT`?b6>zxePv5C>=;q{h6C5_HE;zs63_2%N32CoqBv9n&2>q@M&J4tT@Q z4TItx)kyYpzkI?MqzVQN)`pteayYvDDs3^>KtY=Yh73|5KgRUFQKlZKT6M-6mK`pa z%=pZw~j<(uxO9np5crQq%|)cQ+O6_qFfLf^ah7=E~LC=#;#VtmfM=mwWIOdF7w=E!?@ zn*p%sPPDMKQJwMTJrHgI;8q(VeR=*UyPyx z&~ZD-=yjd>^6bGBwMyCe@CU8q_DN^eeMjeU|KYj?;-gMI1PJRKY6A(Qx88xzbDR;Z zb({0DE)2N*L3H$$qoefF6O4@IbY**i`XD1GFEfyrZ_h5@&a7b~@ul=hH6m!W2%yp} zEI4tcmzSY_cG!K1Uns4#!pvMUd)7>e)eNrNynbL_xs|KBF2hqqHSv9crA^fG`yaT$klS%nT!6xL`KYTk`yo2O{&&MV&k1c#&r!CIjcO4nz zUmlk4I=o*->^Tl58a!@S(VlIh!VmiSo^Ebl)?zvyqtJ*jux4+M>Vld=A$ETv3Ukbk27T-z zKt*4!WG$YCEa5Q@5tps3OC9FT=)0x7#6uLVLK${o({BjeIVpn&DA|rPJP_Z{iUJJ+PnR7LH&>I9CEq4+AI|SPR7_O10kKIFU-@Gbtp$_QxONag3Wty z0xv=ngK16@MAEn)lhu=cjZ^gkt;(rhGjN18r^1J7_h(fbXnlv(;@yBNRFyA!s!+HN z8#ep>H!o*5RJ^x|I!_Y@jkRjI&o@zzzi|p#=Q6fPI^1u9yxpSpen#j#&Cqh6?z~(z z0vWu|b6-5E$*D0R$%_smvlVJJR!(=(BPYHz(1yJiHrfa1VRX-xw9fKKKCz16I(V~`?AUQe1z`*}(MsRd|eOGCv>0nYdS5-Ylhq$nDB`nVB zNQ&(XOR^UAm_r7S>i*7PQB+na+&wF-E^a;8z$2TwQ zMXVf@rQLTU27I?c-u2>t%JxJs)4d;cyr0`nJYRYc7B73;G_p?b6y^=n6~m|CPA@5>=2##g+ud`R&_r!(;eG zF0|v3;0X{Z^%GlFdvnrd^w*nh`Kuw5?m)-ykX}DjzT5{5ZoMM{iO|irXdGHRiTKPbMA|DpDv#oa#50K3>Y2m(`5mS zA?ALa+`fAaK*nakJ(tqTS&jnvl5wpjpUm_95pqN>j(^gftsrEn;YwyIPd-Uf3|x@axX*)G4v_5A~n+ zt7ccWq8WlBM9*K@q`$bnRCJ~lbW2zZelSdVIu9-R2_fnq5t9hRk1gq@SDj7~oFtoI}d<)>y z-aQa{;;XVV!tNO`R=DuMr#F$mynJuUsXr*6d|2y13@RNo7DyxU%Rr9@GpofE7 zg)q}9b@K4=2R8HV=yyF^_l3S~H9J$h?SUibw+XmK3v+SgZSS<8IXSaaH*UiD6voj)11s6vE$`-)SL%!l?iTXI+J3H4bR75J z4St`HAB{A}`I&NDTS2cjwqf;WFL@{I%{nYhT+`05@cCKL@nMvv2KCbvis~9wW$DLP zqK|s_?!GhgiXFcNFFa=CL$1-j>F7SEu_MY?Vm$%Z!PyWy0pO^wa;XAx)ThAsXrAF< zb)NQ`ni@zoh!va!M zdOmPPs?5AEm&VGRlpqIdD*!*9A3k(1Y1m@IG}2uS%+*`h~$^F zXs3;Y#A*+@kZMsJ5ImdLXIBUZV@)_-kKY#dyQb0N&{7^gxfFUXmiE4`>2(nIexj>` z%AQQVbDiJ#ROdGE>k|F4y_zKp;By6u5><>>0_R#W)f-OAy|4=B?MSgrb|G)~TFwGo zAGtnOzl*GKHEb_bxHb!LF*0sIjk%|C43ZPYyx(fikkd!!!%tQ$uAeEJ^cwr>3Yy#C z?%Ta2O{_$Y(mDFJIX(VucLb>=a-ls3VB(>{!aTG27^}~}CAiD%=DeZ3?_(-uaB^4E zfL#ip^0+IqY#?rFDRM65wLzJH?Rn?Qq(_OK7uV@B-IS+slHlc#Xj1Tv^9`X)S zNbJ!*nv;_ih~O^8QWLONF%FqNsuDmK2_DRu%O2BUW?A;aZr)#!DgZEo z8v>iFpd)=@@wvW1?RF_ff?3glhCj{Oy8v6#vy*_&nRdFFm!^8ij1~pPZU}{iD$30q zPXi6RftHFGcmpq-1qcLbaIec*`3-nyWO}l1=U3i`YZ|pm8FBoP={<(8vDE*0UQPy? zq(fQ2I>1!1Fd-X2x4;`zd!j=$s8Y^1rfP1kFJ?H?tpxy;T=I)r`#MSrS>~vN{`4vt zd0TJoFfaMfb{l306#yQ;m?~Ia=w$ig&qh+N&~!ZJan*X9NXrs^GqBIu3+L|K7V=blvjVZ;*wl0FcIb#HkRxr?P$@8H2tU>E2L~(}U6-0>5rboV{i2 z;f?#nW@Ag3jVi4)zD`V*!-fC}K_yK3b5$OYGys?0+@qyT*`p`UzQ2XO*a>sZs5&U! z9}rbW2$0H*28qD zMR$vIcXxNkB2~J(yBnk%zKc8F?|I(6|L)`1e__oSW6qItjPq)+K9B=Ty|x?oMcYJQ zl~unCEogOFb&?Xh!sZxi`C^T&>DHO}fX$~e$+{=t#?YF!t(Zw(zQ=VpXdLE0>!Zl* zzO8hzH%`xI;?qYI;z%K?LZq<3AVD<1P(x!@Ymu6qwI{-e@ESg|2=&IX%E}1N(tCHrp24O0)O3qb}W#X z?=nHIAOFgmR(y>3BT8MFgq<32)2)kiBFrwnN}QkBi!spra)2O4h|++uF6}jN|Ji}j zD>8taLkMVB81pv-2*H$ssaYdIE*;4MaUhECuTVxf?^R#MsRghLgA%E+fHBz%(6H(RUJ2(PJ1WA!IMLV{ z%CgBB+PPhSjld_wR1Uiq)?v6Q;0RfSK>{8TU|NS^zzo_`o4CU0V z2sfW?u-3-N%)1?)`HwM znG4#VfL~!VrP5^f3*MRvFG}CQU?N2&e4qWGEhb7TSO4%r_zC;PKW7I#{1v|L38qkK zS*v&`8}jy+8|=W)TYpt|DHsA*?+>%GBG1Q1*hBtVm)(WVYcoD5u9cGbANvX;%qs(T zCiBWOf6Gh=&S$rIF4~j^46CB3E+X_&J%cGBt#XEf>{1o0Jv3AA@<3Wc6>D);mBh*i zh&*vWg{ms~#wZYyD2k>=ihYjIA?)`L(Z?jh77DN+$4vBBXuG9@Z<-G6%#GcQ#jw>T zKl&`-9!FEa?X?NGz%a>t^ty1 z&)8^)Qma5riex+N2CZZD344WFN!40Xu$C%Sl?tJzEqz~XG@H5?kV5I4U zaB(o-X15TAFw`Tb<)&RLwFm&VUz{8_Guk0h_ zhJ%^l-~6#sHo}`roYyfSv5%b2PJn?W__Uk9%5~WF%g2Y8PcxBdvNP!#6txiz9q&S> z&H`|^U3ARUYD-ET799CoIo^kyNx)&E;`E84|v^}V<9c?Vzas+b33S+o} zc4lT=P36PptXuzBJdU+>^4lzBTl=RcS3?kwdVixT5P%Zv3gBzK>S2J%%yrA{)*Z4W z$`V1!W?X43t4;Ck=@)|ocQ>}&Rofp%C<4u|i!9Le%*9H_9D6U4;57x^_Jj4x1%q;K zp`R;-Su?U@9Na&UqwFZdy~jeZlOG%H??OwEdjjq%N7-<~wv+45QD z%%=CC&q~8m!!+JTI8y&IT$(M3RzZQIN+EH%vIRn|fMJ&wj@malE>?Ib(#i&WoW{-N z8#i0oLzZ&CPrhXQ`^HL?53N(~^#sp3ujgx_^afQ>=f;re;)|inr>%$}h-S+;P?XT% zSaT`0wq(s!a1pS0OBX|ejL|*VL!u=ULV4?FclM5+?g&W3!osjrf*V(-W*JLD!z@p7 zr9uKkxa~E@5!-Qy%&^s_LpN&|EBRtAc-JN6q>ZJtdF8fAmh%+MCAg};l%@O$HGTlX z2S45Z{{D>(79h*?^7&u|tzt#{`Jxd%==pR+;uFRx>CI%tXNQX!4^E+$t2<^p%7~QV zI^j&7K&7j5ce}P*2am)S6*+T-Xx_d&;CPm&K(5iy{X$sNhb(=W@$!`Pw8UV1=CZvm zUE#c+1Z7PX0(6>yGK3R6K$(~4p`Q*q7plmML9@-G?JV`mJ3B(W(rvVD$`^6*#}l!8 zcOESCn%_U6jOw#w2Iy~-tD-PX?@q*!OntSF=z`u6dkr$FRr-6knc4_4V!~{EuvF(5!3cudTEa1 z(LSdkAM|ZW+XXOxlzt?Sa2)d2UMf|k6T|3ch%uXY3+r%el$A8tiX(UtXl%SE_0^kf zJDZl6Iv3_wd1xrY>zyvp^Q-flca#_urToq9Dp(7u$b_=l(JM;RAN!i4On=m^?tl2l zqUxYk90|+5MveKgg!EggTxxY6rE+`|Vuu+N&t^FMIOgxmA*4pYpWh}2W49g(yz2o< z(~xuz#e4DH&u0124vQ{G2k|FhR^u9d0oi|jK}j5`#f-58>`u7;hJ!)&+dYk7>EEic zwF8H!X?_rDw~jFPq1ZzlUy?0C3}y+qov!-lgdP&Qs2k&DfY%46kpYA)2W_WoFhMOG zd~mxci3GbIS9!|6Zl4aCzc?86w4pOrREN-o%FBMrx7I}Nsy4-%WdS-v3(zY(?Djj- zz0=><)n9}fuJOe1IW+~e$;I_k@vkvec4sD7QdZedQKS@!cW~gGN%Exz8q*F2!~#E? zdh>jF)?{{t?O4dZhj6{`9AdRp-}(W&{hhA-5G`_k|0CZN;xE;B%u&o@m4?^mRX z!UujMMzcQIdZ!wPuRuKVw^J;6?|C^yRTL`7H$HqvZ^?x0dX!RaJ9LybJKJPCEOFej z=-yP{vkKK@?$YaI%Nhb5fyD7me;5^-JTlwm@@$^fuZytr@t#O;V2IP%Agpp3Twaw=f z#FaB3)D0$so?9YaxgTcD%N)^J^4Rf#`Z9C`Li_~%28anRzo6h*!m1^bfB!eMB?dtm z&R;I>I7K)tQxGq`7eFJ9g=QTTyeFc%KEQvxRrilieS#uZ}Z`v?q1U5*`jH zTPYN=SswKT86)qe_;4$aySxENtc(#Wn*hzE!Llhq&evz zo<*G*=BjOxBy&LIk*B#BhuvB)b|~RGKTZ6MGx(Gzv?d_B3qQ=%NiL5c~yeRgA{%ndJ;fS}DQxpQfMvu_Z~zxOjf80o!@z=z)9ZrZAk;bh4jM$BsTRKKD?RG1tD~e?UHMjUAs zQ}SQ|(TxY-&;u_7rXn=F7crA>YexSnz@qEt=^DSjxQN20hIGG|ti)B8@eo_i5HYm7 zuR3XwfsPvTv@-%r3-DWnDkCKGR3y*`;7A`snXFBbz7J>)pOTe zdE2YZwp666xTXGrAJW4F^c;y^G2g9q2p#{iDkj5fRhZ6%1fDZoZ@r;e_KNreR7VWo zUmUb!c@OMAU57uFl&zDu!jg5L*6p=DhVv}DVd7(pz2Hz4*`H9}7q_^x_#f*~he%Vn0(a8qleCj&=5 z-(^umL!Ynfe`FdY;X?nA38SF#~gcbYr=Ial7JIO7LUA+akBSRbr_1 zT(O>o_0UkhMsE(anGQ74oVgb}ZC0gLR^fcF%DR*l{Bpm&Xj@y!PLrOR;oPKA_xpm3 zLIlW_ce87nvVN>#A;)Vw{lPA?JUa6Lw_&GQnIUzyzJ8 z_@>FMQ&uZ)Fh=pHY;DhE8A4fR+sD6}*`8&U_2&8|4S@`qeIMSv>!+G<-!I}QPdY}DZbCWB<(R0|UY+9sA%W3X7sOC?dZSGqi zdrwDY@A&5Z_7He{-jR9K>TXx2+9^C;UJQO@X~G8s-F(NE96u_fvgzC&v9dE_9Bqgw zeqFCQDkl)IVT-k;$=1CK{4&X&Y4Rx|a^qyu^MT36#{mVYOrJb?e~0h3`t3Vqt*$0d zdwVjXcMXr;Ij*e334Ng&Yn5npEjryQ5g;#zzm(}4TY<$Yx#hOeFBzjf>G?M8huQUU2T=edF(ciABq4E(;5!&n;`1 ze&$dvVEhqpP8E6&D!AjeFJsMCz8|UQOIB?@Ta|F%uaO9zNLSpulZBmLuBOZVOkU-j znd$PAqqeEam4!C%#Dw?3zFL>$Va@euf{10Qj#id!p={0n4b)oaZy|VkXhJw+lfOB8 zGTOix91L%~Kg5b7P!EQrs7&KMmKhq_Jp5o`>Rhe2q}$_eF45mex9~VjT?nvpy?$U@ zyq|V8zvF^B?FAAs2OZe?qiJiMpVTQgUE`CbCvUo4ot?o=Wa&i&ZXwqn7LLk8tye3< zuep2q#Gh`m)34=N&gSaD>78xSpvrI4tv5VRC#h9cr^D$_cTbHLdv2@!mbgr(l?)h~ zCx0-v{d_oWuSEL`a16+o9AR>*=t1WF^NX8PKYK3n0@OhNV(gJ;$vy@Qdcqd zc-T%a+qDYKk1=aP%cP$;4Es6%X+uZJR3=gi z(0`dA#Mga@;>^`!+Ud%VTQV2Hin|nDBFnf?g5hVo$TT5)miHpKpGFj^rO1OIpmT6o zjJ`2D_p~~yDyVPLb?YSYUxHG*6H+ zPs;S=+f$~I)`F~@`|7#DikgEOm0=F#fkL<56XwwdqvX!bs`XUGRyOOsy2RmX^(z{F zu&`{zr>nJr>18U4;w2WlKH8NZYNpFI?+uKIHK8^n3`As9%-2PI$bGtHE+qLzKW53( zuW!x^`@v-<99`x-!k994HnyEc@9D@_NOMq2u5h+XB8Zk!IZ0!k+UT`s8=&`yTv(g`S+|)TSUyM7@1};VO7DFyj{=oyIeVG=%^0{?Ji#e6>eu z)K{(PDKVe~ZNNrksO3d6|Ns5XDR$nQhXc7ac;>8 znk)_ce+vT)(1G^sT#Lpx&m`v$I}zKUx|OubwzW8`N1TvMb{{b~w7Gls;tSk2*=}{V zJsfk?@lE8Os|@2wo09%$q)2TXirTb;z448e+F|`;sd8GI+Vkfe`2aIM{`dIFW~Jjo z*aFNsEU@Va%AQbIpM?m@#21Fk#Y@tgHtQ`3p7N7R%?!Lx3({8_Hc?!j*GJ|GE?VD` z&a@wQ)1O>ABW~q$`XBJgnI! z+6Y8MBDH^r0IjHa0qD5@5*Ga%X55TtxoQit(WT9OcmE46zV+$q__z^$ql(u3K{ApWUz_MV@zVxrqolu)dH<+)n*ZoQnM}~>f#)h?T6LLiV%hsE>{nhET>+%=G7~* z`|rR<;RtdQvFN_l>nZ;uelR%z5(wad=D^QiUZqPfVWiup^o0&f6}*P%c&y*y6@uQK z9UP}ljoD7L6`1Z}*(h&P>9tktiyJM-cZkp+GC}{WTXd)Lh{K{_51omTEj0IXgz0aT z?X$29QKt0~u8jeG?2B&zU-May{a*?>^ZoM`k1aR5>Ou<(-UXI5YI~GFj_q7xcaHNIMp_@77(rttd$D}4`QVHDQBliaQn zfEWSh`o)Crb|$Q39-*zU*VTD%MQ1cT;v_{7FCVdau|VNRqD4VpxdF0ri#bPN*v*}5WzT0IxPiq&G(paM|B&NGUkjMI*0KkV+o5tcR4;D`KUDp zxeMy@^TW zd&OK2#^uDtEnlWY;=g-yJ`4UUu>QRmZHdmmv1QxNFPh4`RMw65Hh7Kg)d|kao_S2S zed82G9GrK6zJsAy#p#nbY>o!8)8>?riAMq#B|`>4*C!+u0g-~Rc$>d?I#t2x}1AL^GgV3vii@}JOhhO;co=sbfPk3Rq0PKI&@jT zdyHh)TH4UEM5F0-xBcYMU%%FHc=VeU6lIL;Ud3#7{RlL;W#IiqOa^AcF-LQ6C>7@S z4GC&`&x91tqiC%AH%K|&$xdcp5Ybu#$(#qHj?urX!19&_25$T29cp2h6S(;}b$#B* zladwWecKyCyo7}G@090ONSk6d0@J?KGiPQWMc)RI)mivEJYe%MzpI4v(pX;?nG;6C zvmZFV=cL_V^w|VJl%LHaOpb^erm~`^+3PoTIdeP{YkiVtkMq#gk0Hv)FzhlB(oU}; zB`n8iZLaW8059!1dv|Sc(3bZ^uA7QJqnx0ar?v!2F6Cf z4Skq23fP6Nsyax%lPtKL{W`jp;#l9e3G1nnj*iBs!kR4ou#OTyMt#l|z~FNgSju(l zq#+3W=?SF%soKfox4?OX%W;B$gu&&liLYbV{Y@7H*nt?(#$76?fd+Q(VVHq96ciqkJ6m#2!(l+-8*TpK&kA-4L&$tbeVrGeqtnY{UTa0=69X=}u-wZ7kqVS8tTB@8_ zPoZXz|6_;YO=cI)NZptPybH1531Vz77JH~9yd(V&@&(hFt;mK!@4gJa7Ng#PlX?F) z!@YhCE;I~m=oQ1lY@|oLS_|@p;h8%|q*89C0q_^e0f6-9BoFUPfQdrh@;#Hb7G30cMyxi}I_|4gY z>;GN=<+>Y{(#6t7U@;-RdcOBJ3>NeSI?CTS82<(Ih1b3O&(%*2(eqMzSRefl3mpKU zPxOCo_}~AJjuXlt zX38c>PK}BlD?UI$4K<*e&_x)ZA+cOW%H-_9dh6g0A_Bt<@qv=Z8^A3Fxw0eQ<4Y*cRSs|>B^>RF(iLnmRfQ7og*lL z3(Sgy56%$WfaA15P66Fl-TvO=YZyoOkbc$Vb9xj^NeRZ$Q!nU`bjF>{b!SYklcs2E zj+{A}a!CbQE_v^EK9t*LD{oc9{+Jg862*X^iwi=25?!G{NAV9$C<3Pw7#j_X!e=TGKI|kq> zu#*0}zetb>MCxWmpgze0x|%XVdIo>jK?uf2{AXb~(x?_FhL7boT&-wy$MIk}w^m#H zZz3!x0itHKRuTPDO_xb~)_ImkqVyygCiH~~z6~r6S_CL!jId@m?Q5+pFKq2X7DUY) zb;fEMI>+4zzyKfv>5Wfv)|saGF&kZ6+k4G@df?*!TvK=}So+V^WgYhRpm@{`z##g9 z9YSypaqP$B>Y|{uKSFNv41h5F$7+n_rlQOBT4SI3-g`S+We?;d095+L*hv}7e|rn| z#15c1h`Tv=bBP2+z!8FfG5}Hv4&6F0*uxS-D#GsWRA%CQ83~eOjGMO&q~IQ0#?3Ek zF4C(f!2lGJ3BlhaS&c6QW#*^VCIjH0OK$J$2ffBnpadjml>RK?(ieoSid$$zX#cSQ z37ny08UrP_oXvXjYTUvf64`Js&XP)7P(@vZ0=^@&s& zTO7U`MfVd>SuVjAC5qQoPx0^HB&(;x)=|p;D!uWkVik$a^^ASWUyeM{T%p8J4y% z`D%B^>+C*6h<&<|UEn}EQH*-+!0XnN+!V?}bG?uSnC@5DTYla~qw{1gUMQ{7S06XJ z9!ox-hf&E-#y_;Z|D4H|V`sZAS*G355A-93; zlL7QBkbrrSc@LoGm-isD2Q7Fpq61jqt9%e%@+U&@C6$d&7$G?0y$}S($|(Yb($@xP zgrJ3tY(NMEo~HybsQ*8ha$$U}JCkmaXn&~BLp-eZc&kh1n~=!2*{?UX%|7y6KNhY# z(ilt5DGuE9#o9@>iF!kwvcT2)85u5jz%KFR3J#|Z`c0w4cNCGKfE5FB^*&*kyE*T} zhN-vnrYtmQJmSD|S__#5RHm5R-BG@OCf{pv4@ z(d(X)a!Y8ViNM|M_hMV9?as{-ISP8V&{)eMs0s)I)UCzufVlOjb0g9|X50nOf{OQ9ou;)NM=FC^%|o+O%h7+Cv!FijF)~ z9H%?n^!dNK^dIc?^f>n}hEkz^+SX=4YUrr3Hhq((rU=>UGT_QA;u|&bA>8v(P9$h8 zA^cpulGA#PN#eIMF{SM*=OWh)q_95p7De3;)e6gX^1#BWkY zd6=iTI8pQRf;yX)sk+OLv>UP?M_NNyH+9}r(dbGlv@2#$roF7(emEIo%s|kxm^zu< zZ!+qZ3YySxR^#MBP-NMXQG5u6MiJydWDVcO)NdJ_Xd679U+v0TNMJ-Rml|rg4K=7N zmvhI>tIJ3(FO1M#Ql#x3BpjrtG+OYsy!gRx{cy;ohdlU7oK!LK@T^mCpT<8g?}mqQ zZ2sOi+iZLs?lM>X$^e}WQ!4CzSd&N{F$QwOYX!@#F3XTo%NKjNxy>huZ66q4Vz{qv zxgO-STJ4uJdftjq0*`p@J_{M?;1=z=_tMF)YicisIT~Wkx4LwOon*wDK*gS-#B&3o zN4>rsA(snNg`}&+U`H^y^;S5Y1WgsAHdhUm>?qA*Pv{Z;(DlOY?OdO!i1W7lab4Vr z0nak3Vz9VFw~Z42Vs=8=P$$onl5*3YOHHT@jtHHNPaahEK%HT*-{OQealiaXX09(3 z<8%EuO(j>h2jtuy(rk)z-oL+pkY45JT79}!BI&-rV5;06;HuKnn8s^C1m+i*7w=M5 zo}N}V#By_^Tnx?^NpfWl`JvqIvVGfSmtxkQoF`OlR(uwmNuZc-@Pu&C-@e`DJRXI0 zV)2>c&?vNC2|0BFZO>`ax3Y;f_RBzM^}ya~K+M;O6UvlH=-!`+?J>Lkcgv_l|Oc(9Eg@VVehBmHl75Ca=3u;7{P*9jV; z=y#RY7o@!p6D4Y@lb*|Y*J&bXP2Y5N%Mn3Ik@YE2B&G z^k#c9xT+lL1Wtu$qf}}d0TR0bMJfA`qc#)DJFIU!pGm=tU*AAD6e*Zqtub@^3H60I zc9ncn(*3M({86~LQ?GCT7Z;k(I;j@o$whT3GuL!TCzPSCf$>Y@2oq(Jrj~Om$ZUQ6 zT?2z&fuqXT8)~R%5wq&~K%pgvAVptA4K@uC)P&Yb$V#-)!XM ztjSn!NII=G=dM@eo3&twAzbOYYv<@*?qT(gIs1mJhV$Hl@r0VgM8>@DQ!-A%7e~uH z8zRcm{3>@w!nQVZyF!USa{bMOO?uFphI$W)jWrE79&2OCnuN$@fzV$>MJL}g2ef~< zJ0~n;yze;}xhl=qcbb+RCBQCiG=XQTp$Oc`S)2<+Ef2!(y)!kj=^BIHbAjY(*x1mE zKPksbmRFDO59+ZOfc;#JOQo#NB$EkAvJsT-PI>L~S;3@TKGko&n)wT`5)thOKWZsr zwP;^l4tK?dAk`p0K-4kk8(3NBKh&Pk=p3pN>?^O3|6|*~oRzrV^^6SIE*JI38328T zt*A7vt_nv2R4#8898bqwxfngfz za4FhBx?o#@P}Sv{3KkwZ1jJIgQ7U)75UGJc5oUHS6CEY$79&SK9M~1w8|{%L9EkV&H10+lI~F*xO6VGA)kHYeDvuBtQnCY2MlH@@AR-T)&(mWP<>7LX)k0Z&v>F9hv-)JJs5Iq)h_3d83tGv- z^tA;$?#`dA1h=2%xU7WCZd>|dFu)S;Qz8;V(TDA>4Shc=Q^-m9XkcfdkTrySS8?Nl zE>LOelxc66KInY?&Lv-HeXV|~B)tCKgBKTw44yqMKBnRMsf4>pi$`PUzJebgCT@Gm z&Xc~j1R70Zb9qO7OB7QXcTbi>ET-%aP`WEnO0LLjVzKm`L|{HJr6y88Bvku67oVz+ zZqhUfa#2{eD$0hdLonBY18a?;745*Mzp7Jv1J+`}T>jdbGx4P+@zYQ4qLZo@0GWV; zUNaCX@}g8a-O|F%=~wF%dxq3_^8kIx4W|74tW#&@5Mhp#X8lf@<#^Y?r0JGs_T$v; zTa1>dJMY0d+0x;cd(5G}WEcGs*-0MNMI-M_>yRy3PAtY8OJ#Do(aeiViPR7^SsZS4 z-j=gX&Is4Z=rLJgJt=OLw|j89LCp6O=gI&r^JyaC=lq;>ia@t!=VZcW7vJEtL|xg^ zl^KI|af7kC-Y1#-Z}>7}bj};|1>15SLpcMi68EiD!RF2fGNjx)-TMqFwIyHPqqT{h zYfujDy!&!!I{1Cxqq3|n$hZ>OgdX0wu@k*bx6L_dIk7Fta-lzCqfmRj3sk$y(h}AM zc+e|<@WJ>oo{^yQe#8dPaANRju^+!}(^&AEx<#qB1uqe*zYVpQ%%c$Sp@gt%ky-~m z(XYJ=_%g0SDpozY+u%+SIzIyNN@VbVg#+RQ;7@E9 zdZW%ixY{BK_tH^8{_4Pal=;Dv`Z`qoe&V{s+UZ5(4M@wvoO5)%**6J*Bo@=G2Qz{68SXu-%H7))BC z+D#Xi;lI;=dAxttKJaI#myInt(K*G&izhdT)C44R-zp8e=;fut_evZbq4%aL8t)~H z4mLhdT>VfOFPPioatFc5^F?ug#_tCYk@7eQ^(T>^^KUZ}f7Mz8SSbO6Xx`%h-igw4 zMW2k9sZ?UwH|XC$a*-2!24FqHgpn;3u!JfC|r_KtUwSs|*lIGBGbO zpaJ+T41h#aK>)MpHADPdYya!@^K<}03?k5O+d&Es^pm`OuI2WsZfqo|x7GjoRN-)} z`*MkN$8LSIKOPy6v(fX3&+6oScbwPLHks>xrX4axI@`{<6oq^V@LDc3#zcHe2D{Xm z?42W_?9wfj;Rjw&Dn(^lek_kRxzr)*^odUrpxE1`9jK)a&ZjQy5*J2 z(AEtl5>;IGD=F;5pvC3?CAPQ}^x|nXBPg8@JQb`n89MxjPq5EnB=qNVdE_gs07y;s z<_Yj6^Y2Z)`4>F^fwe%dkewbZQ1RSYDfY~-?_mUqu|$c&G(L>;$BIB}+tgd2!zUCG zChL1scDp1|u)b)4&SO7F4}aw%ETm_ee{^s>O;sfs^LeedSJ0HmVBM%9y_2?Z z_;p2S;$v}P5`bJ*0Q8X9>HT$dFlKLp>;zW#)^`&QPBL`7o_YuI;*wys4h_b*3qHrF zKI!_G#X|xB{7WpkSH2HnF&ZavtRL$5;)O;;V#%Z7Z>h7m2}AMX3Y!y#c$6}P4aZMh zst#CUOOU$Zr7((^0&h2LZdTvlOi~WUnvW);(nR@CL>@Y=Gx};=eH^wYNW@Ai#FiP5 zQ>%S5j@~PnqpvBLq+^tHZxibh{t>UD@H!@w?F zBSV|BnrXA6=5Yl+8(V!$lNQ#|cxcXA;3c=MMLJPtm)A~LVNh?F zES9~UFmY{)>sK%&u+%84;msNI`%R!>3{~|3XH-~!=Xz*+!{tQRA{IXXGo~O)C`OY2QOF&kfErOWXMG5>@X>UI9!UD zbJd1}tB}ua{FwDxL4Dk}o&s6l&Re_eLRk-5ZESh2(JvcipB$zXdczE)WkmAy#ADmg z`^(4O8$W!<&?HyroZMsokyfz((sPx2G2pkDrP!9M>jf6VMF2!_E#K6nQRVkUgz>G# zVn)cY!V2@OMco`JlYp^wfs8UTTL2dlr$rD&MaoYatwpC&&^-O`FI5y}8i|XWdmpPX zCyS!yHGW&)1*(u_fkbp)bys%~jAnqmf%b;~E0Q~1eK3nEQ5R!~kGVFJODYhjIzmFt zhSx;B<}9)GQYYu{I>HK-0?Bq8tsLVhi&WBP^(pld0?`awf7 z+miA*6A5P`DceR5`ZL2CzV2cA53Q!tDB}jL;!=!gNNgWVMQII1|5)pw2<>7VBhC}A zq|-bxtFs!mpWJ+Z5-YB#mdzH_6b!MS9@8HK z(Jz=OR<&E*1{^y?pBhh=QOn&Y6aEmf?Y$cbT>*4H%(I>kjRoui(2HmGW~ZyMa@2mk zFopX<$05&+th9M%<71MXsnLhU9&UQ$gqy?7V&&ll+w<}BgXpzcWm}RbTl@9dkdt54 zSajPRx5;;na~um-+RcKGsyTa6ix%z&H@i|oifKb!SyE5mc3sPO!6PVU*`Uv13m+t? zjp|YUhJ;O(}<9vf`LB@ za%3p|n8Nb@emUHWqVT%}`GGyEHlc^!&8Do!z%BR@s)wP4Tg~G^XvVVa>XhsRtzJ1T zI6Mg+?T|XCWGKJdZQ%%S^t1^4o?Q5yc#0nkXW(FyPn?d=&+}UFLyL5uY(t!J0%@aWK-XPCtQ!@_J5-E)aq2hAMz z8}w}Xa74#6W@71x%~QqacrP$)XCAt#96B^P_QiF&*oPN96^d96O1WP0E81)-8agjP%wz$hUnHV-N>kNL_NJ&begb<37TCpf}Uy6{b@~cT$-8t*i@?teiDzE z4V-Of;7H?!K4m;`;-)RWjZ**Cg zglej$|Dt@4k-`F%hC&9%w>pV-@dMWN9IgKV`=gB=>@w+6AiNvE2{))0QKCm1dtD^vF>`JDioqD&}Xw;`MU^h@%MBagOiAD5Q&Y;xyD!3 z52y1rttN?QnGt%NDcPhUjjC&jEJJog{d}O><%2qqM)({yS{rI!W2aV}TVCPv@5q5F zIEik{kmXO8B#xDmZ`PDb|6){VImjA!Mz49+cYDY|cEhOLJ{vtBdbM)1?%Vy&R+_s6 z#1xKG_XZh{yN!cE(LIhxY0>rm&+NK?IG3Ej%~IXvkg8^JN$TF85EI777hw6xDs{c zL&|SiVx5=b%^Q6B)oPJm{-eS?q$rzNBhIu>YulLPwGQYOgjz1KDYg|Xe?im^mJ2(# z-i%VA_T#i&c=`7sWf>+;-U97kGx|$>$7v^p^R)=3IXd7T1<%+lqNi2-Y+Q!Pe z#>Q5M;+)Yy>Ay#V_`~Mslt81Q4@h=ItbpRPgMILVWZ;d2PQC^%fJ_01V;m!%(M=>M z8TLrhXI1BI|Lm1y5Ii@* zj{ty-xA0nv4L{Pwsjk<}ZPlrtbb^fM{oyp0(lT}baBezH+N8~dHWUswQap+NE~L~m z{gs}!Sr!K|myS`W`FN%C_)(vLTD2MZY?T2kY+C9B{j!*%e|9?1=5{duiSwJ;dpaLo z_N41o{(gk|$+XNJNS!m+afF zVRtptZvWVX7_{h8NsY3u-EJ$pT_SVqv4>xl) zpX?>meP#`2(4LM=ZcKNDjjLjQS84Rf=hmu34AYcNkB}aTUDx%iW}Qt6&jmyeObBh5 zRjKEjsfD&Q`ezZW&$=OcyeiD$%2uhO`s#~kMbB|QU%5t|he459;bPrJP=-EFN9}>2 zq}6R*^Pa6N?_|BORhqy)L5lb^%gm0!35`)*uGlGG38V;qDQf(^phoXj@b?~$GZ0k) zLFY)QBw#=?Ks$gZ^zaG_DQL3Sk|?`&NiNM99T`Xiz7VAl!1*dDvu1FPBx#-U5KI1* zQBkNuS4Wu2(&$V3&z-#M&|-Gn^PxZi`0p|YPUS=Ld<;?7Op45{zz2XEt+Qr$cgK9m z#DlsFe{i~*->~Y9cu)s=eqX6jHMHs5aIL&AJ=T;^)m;3!LUSNHzEpE!Se9EcE~`Ph z=q-;d;nGJT9AsSQI523O{~N`3FZ_+Y@C3@%^R9vGhYC8aw&1o&XmkX;g@gE1%vD)HIRM5 z>heUFsq48C?~7ArAMb-7o31>kLj&Nx+PAoU{X$o#C(OXjl$U03k?hcYB87tc?sB#t z8*fRmtPYX@K~+@G%CXl~S1mpi_BK{;gh*^Q9F<#fx2q`~H^D@XtPb{VrZt;nTuJ6e z<~Mu-`xx-V9u$A$9OOG@Ch85G+_PHlS6ki@{{DkS2VQ!`x8og%7};)%ZR%RXKG)9d zeR_d&(Z?yvJDGi6u*^`meYW=2E=q&=$1bYQKA%FuI$dVZ{A9%Q9bv^g1^rnk_xetq zwx{b|OFAt#6;7oxP&yj@a`d_vkgmdSjV zIQSV#KK_Gi<-13t^L$Z`Eg<%M6!r_Q0t>(Rr0C85nA zo+uRABF&mkX~?3#9qcH=UPVhmr&FO!CDUWLE+6<2VP`b-yW#q=yGtdn>^xiPm!+1c zof{4ls>#r$kIn`;ER2h0&(QQcM*zJ zbV1F?+FaHy{Q}96ss|onqnGEK+0MUTeA*GF2L#|>5hw=f2ez_ zusEV+4KxV}K7`;f5Zo;U8JrN@-8Hxl?v~&Z+}%C6dxE<=0|Xe{-QiBM&)(}qAymn{ld-BZ_*GB-tDX?YfhxAOdYU8WA16`I zs17zMs2cC>bgDsuwhw{piWv`|Uir9GLw%X`+E;y!$C=VN4eW4W}evDD5@}8 z`NgoXukroFvwhv5{b9R$04?G;kiNHQ6E6^$LC1eE#ua~eG~ije-#e==_$BC4`{U%q z!{;NSy7BKjUG0w8FbzPR(88{%BA{P{cZ#J#cjm6MsnX7OSg-!HNoFh@P_74+ph*ZM zS#{1iF-v&x%(&36hH95TyhvyuBIivnH<4^5EDZuU<3rWEOJ9 zF!08a@nh4!59OZa2eEn$Mc&p+uVgcsUdRbQRZ1W39 zn^{I@SIvp->QToW(eO%c8-mkcl=`#@CIRgcpH zA(@j{cQr5D^BV~DG&<+lp_o~oMscMcwNOCn(b26nmd2aT zfLOs67LcJ()=<(nF(ZJVkh8bmQX;wq%`JGu)8oU`O2@xk9Az<8Y2nJ(uJ>912s`Fl zD$n&g;7o>hB$QmO^Itut4JN#z-*Tin-u_;7-4sRrR3}`Q;ZOa%d&I&P5lJ!aqNQ9A zz~h3engi5he}^OjA3_4mceKW${cJ#{#h53o~AzUx7Ucz$Mt=!VS z{1ora1fXx&H*a|j%WSGW-;VldFjNIbj%NL_>3|Ek=5O9G&oVJ2ET z9>1qW(&4J=JHAfFlk?>-M{GC!JK<1UpP0Z8`ZUD$l%^=mDg>!Kj#{fbz4?kx4vFS1 zuyx>#>_kVW<=8kXNj`K%VV)8Q5c?PpWs&Q!lC`ldgnVo`BXo1+OW)=V+AzLy6-m)Z zm|tQ%v5&7ed~!j#aTHo_7E!SYNtg}!VOk;g{p5$l;i$>OzE_JJC{zWjIOr#vb`vR@ zhBMr|wxM)ixue9_mOgG!}YjBz&g6$9=p;YC(JGSP3|$e3TJ{g`538OIW=_+zo&w|2gC8F zYD5VRGQunGV+;!Xuf_z~5FVsMrUT-S*ryj_)Md zsvc}o35x7T#KJKy?M4r-G5Rj$98L0A1k6$v@`tkMo6ekz@CTr!3ob@yqsw|QT>Zl0 zWXTc+Gws0@W77<{pVI76Zr`D+x=$+g!mg)ZBL`7vHiMq^Y%_zSyuozd@n;7$uUd9k z@eGTYjx~8iTuvmP@)O!IFWsoBE3FVmH_zzS;EK1n=Q~&Bhl;PGVm-Ja{VGre)Yj7` zgh-!zK5OGKu<&!(!W7Rvbfy${%*R3UGT>QEXFB}*aq#AxQ;i9TR_*cUZyFSG@`2*D zuKN42*X(qe;0K^yg0=hAp4*tQJLGK^P>}FnXd1?BF=YXzF#17CBIhx*N@_LZPPr2i zs7|T#T^M8NseMAvP|5C(w>7wH&d544e)W#NN847rN3VaaU&7d`j#rD0hH9<9g$vj+ z4e*pk+_p1$y`I+7YvbFKL(#7>`L4xHf2~E-X7)#CVpeM5akZGS=>0Z)798Olov zGX#+zmCiNj7!&ObBj<1XH}v=fL_S6*xa7fI14z$M>_?-ox@|(TfWPqm_andB0RJG8 zLObt^aSMJ-K+QRJNAOVj2mFK2p3@X&MH?{PKH`7uSNHiaOx!*Af1FzwAroO70UP@p z-Po4qj;RrNcgKx^8rZOESxDRc0n`7DB(i{|C@?1Zbt2d*Y%Rd^0G@i~>bkdPe%(j% z+OS9T{PTvZ7B1_?4G+tMypK0cFQ|pFaGna$wN8BlMk^bL+tUcAX4HgrOHZY8v~CCDwKkSO zJDSvT8fk5@_oFVAu75*rlbLqBHQ$ogfZ*<^H6dvlB3BuNm%ai+<_1aR@k|kZ_9lYNywv9zE^sV6U;cunR6k`n8V9p#g zM#+Xa3OZOaT<46R|Hh8FTAB)iM>3?RgjdEL4=l-Df6O~dijg9c~?$Kmf6Z;;|4DeQb7#Uhq|cg&n(bh+;$ zY^TIS)R0mT{9}Oc6r*+UQ4{q2$P&N(ZKzGX3VQ_k?b=-Yp<%rcp6(5R&p`o%F{9JD z8|@-!@5}w( zZ-f9Lf2c?CWA8K$Q0?Os`#Q;`^qoxs#>$R^ol(EuA(BW5M~_a#^b+$G8!KP{bt#Ha z<7k-a^sqVCY!qSuE$VI=Kd|U6Ee%Mhsi3Di`Y3=GKWb}SzJq}s&)0?kF8Is>R09>2 zwY~R3A>PiEfsB3Rer&F~nHxjWh~7YPb~*I6*h5;WHT?2Qoh&5Ck$f9B+RLDv-1ptNv7x!Ig{0L+ z6ctfKkkCMz&3^B6<%GxeDSCm20!Z5>)1rl{hoP_YkjLo@bjK!Xae*36;qc$)1{N&Z zM@?}ubgAa#B|Sm-rNeoeofl8o^p|}v1?r7+tFMHZfICfZ=802LeDG^r^{zx&W1kn4 z(?}Y2w#AU)ZTwlLgzyil5X#Xcbk!^5?>m>sy|qA&bwU8_Dc$rMdl1qDVEf?JCRWW2 z*;xCDRv(w-1*oj~w(p1D+TOqGnxEW$Cd-J<|J8YBG{K4U($|lNS_VDg17c%da&F`_ zbV2U-#|*OM(o?tbyNgS2y*5zzKMu1n)wQ1&-PHMfghwzXN}qg?$^k`&3Vn~NpzRHaVnff{`rI6vVfo22#$u! z^Nr2lU7f;~4od+HJZYa^36*NKeHSp^4!k&)H;C63=F#PwUq+ zNJs(`wyPkrsj5{aMQ@AHr@%NUGsUgI^o>o3_-EY|Z{07$w=U%WCIK)3;P#VU=2ZA` zyvgGrem9idSvCRbA-$?6#hhqGU&UJuBlkM@_Q`lPAednFNdBvV0xC1Q!mt_7u(qCg zRWQg(Fx~Pn=EY2PGaG(|y~Diiv{pKa{6}=)_C=@PqSozt-xK39sK!_9>a?xcJ(xWt zN>Tg$8+lB(d_to46a|5Qjj?)ld`tfrthuZoq8*_8c<Dn#s%Q+6j7~-ZWghR=$N;i#eT$d04WylkRc@--7lrZ&IP(Q-IK`t*F#V^Wd^c z_Dj63O2ul64C<@uO$Vz91~GlK$Te_C?3&w=Xu;FA=SQ+Ap zDl$9j{JK+YM$J@qep_A^f-yY_QSpKr{T(0GGUjm(Cpu?M8CYL=A$$GQ-yrjP<%9iInxxfnb#A z8u@r?TD8^+aXr~d)f%sT=L@+RfSUzDoQFfLj^cBXuS_ppiisMQi&|jJhXXBt;5PL3mu*Mx%>JCp_bbE_Kza3VUb6Os`hI{4|0$G6|Jik z3UrezDl5dO*Pjs)!4r#GtC;H+`y>SYFWbkqZ{a#6&UiY~e!BG!gscx;ER1$L`5e$_>@g)&h{_JjrKpDfcR$m?n9@UW}(SgtypvV_e zS%B9h&u+XgKIsw9Jd{zRCO zrzCp+uz|8JM@flo9omEi_FFsFtF+uEViB@bl1ivNPsg}%QQPIVNBoa|Z=c$Nm z(nb2v&m}$`NWq7D3s1f@Uu$Iy$6=piW-!3RXQZ{rgu8bPQeKCvA0A+|O%1cUC5qMB zGBI*fTg2I?<@242f?Y_Bd}h1DHSyk#t%sv42{(AKX+2A;DeV`jkyx`P zY(-OxQ;P4=wDEj6=&X+(rpq>^kebWDRs+Q;Fme)kKbL=G%+rkt!tB|q-PJb(i;&4~ zSJEcrZOo==g493Zhe)g!2^f8Vbr;C;IMPaL$qcOkvgTUX7D?ho&w!!J+9L!5ohT3M zjVW?oxRN7)YNh!3Wy}R;$`+$b_d+BOCf#ahQtNsOu1%?w`=DVe+@(aOBO{b+Zt}O> z3oXjdx_!QTPi;)q*0B0#{Qe zD7xnMsB{)V(8w7mizH)|nCUt%-$(9{oQRIC!&@X*ci~+@mgJL{gqkJF$TMQK3JR@; z2+Jw2!3~Bc6L}h(cE*Ms(To!$d8HAQPRL1<-a~9qc%JYToAq8s8}wvOUN*PSmigu1 z9HC#3i=y2#-A?4A3%}@kr<$AXf5Cl|1KTjGEZz@bLuq0$gzbO?4`Z6}gV3lhu~kpr zy2>}`(^5~q(Gby5eaHCg&F5pY2&D{?kENidMxmw9JWH{a@5cG8@ILj1g-QVt?|3n( zW9;yV<*?>OIgXv0o-5!O{YlQgTXF5l#6CvO`Ngi#e6|-F(Yy*BSgFi7s74zJI?x<; z1gk5+m5Quscukn|Qh*e>gxcRR3fzy^J@8nhzNT*5<*qlXD{RL#-tLvRw+K@awlt{g z2bEP+ZhES6YYipfXj9N`BN;b+GwyzeK!);qC0lyr>nNS)90z?)1d)it+4BRp?03v} zW$&;*Tk6g38f5Ez785?q%17Ci#}LSqkBT2n9weaU17XZ#JO|@A=mpBkziqD;nhR~5 zi7>#JPtcqWv7!qsTsi~Z|Cl_oE@FD)8~mdkn&Zq!Kgpz5uHxz9F4B-e4yq|%rLATy z&gq*Ec&D_uolLL%1q^lm9>)#x>{ZErt zdtg>Su*_v-u8(yu++0~JiXOF)9*BG21M2(TE%u;??P&Rc_ zkt|_Pe8>u-ZkLxytnQg3NcHDu8DUY$@hh(esP`3OuTFO``)0<|^0-B~N%~;x4DNH` z#w@0)PZxw_i%lX_R;z&)t)<{wAF<~VVEg~EF<@CvhTh?Bm?lVBMiDW%>+B?>X`kv;IM1hkIaA9ir}LU1Hp1{K$;G;r}8H?d&2YVv!*iB<*M7Gncc{6BRV2d-QZz5`Uv`kT$O%{=T=Wj|?;E z?&!er%nZ(plP2QaL3(T#aRIHS0F#^$eqY^d4T-;PdXjK)JHK%>mUjz3#Ek<5-!OH+NK zW=fZ18-eU5-pbr~TlS9{e|D!`RF`?tO7nx1w2kW?aH;?AMbHDK5vUwg8>Qe!N=LHA)U~BrFLemlI`uF?-RWjK&qw7(}#!SA`P&0CWmi z0*CX!1rIXq3WEvSu|mGzII{jc^!}J@{7qK}ggIuB%e58npzuXeQ9u%LSaydkKn)1w zNCeKbxHR{NBw>FB^ERm(!SyB2JCcGv1^7c=2*Tjs2b-j}rfe)2A`FNE?%@7Iul}Pm zco;+kT%a_4^ZvK?Um;)r;>G_TG!~WvPgezCcSlroNva_$ff!~o`F94YEqJIssXNI18o@4AT07b;`K z{bZlmp!>^Dhvwm4EmX{Nm*xZJgrO2!vP`0jxC`;C6u?iqbuTuAmVguSpxd%xsw%bD zQeL73Z$z2|_c?JsE$$<&u#zNe_u#c|P)4cnyDIMzij*6l5VM#^0e|wsask`J zJ;H#wUVk`(WnVE-BNbK#b$!J36&k)l5z>enbEF<={rAi7Pm@dBlKAb4S`$^9*K^$H z6U-=*!0gmxxrJQ9s8=M9U=sXR~WIxRp^wU#| z*F)aV{$`OYa6^|u5t4!GV6%k{dvelRCsHhL9C zk^+enmLL3psDEL(d;%;_3JEOB&A-Mp$n9gm*gM_iq(7oL2kH-r)UttI zaIHY=hEQL2{`s6<*kls{UX0;D)2Ze5TZ8_Wc~T%72o^vOn0j*@@JqqLg)Rp8Y9h(Q z)8jlp^(JO^K&X%er2J>PqJV=Ku#7>_|G88?T?#cPJ)BDJ$;0@%oA}c5_ox&gca6s- zu)vQ72g5{DhtFj@m0gFH%cFp?gvHq^$#r?1?Ra2Ac}uerpGBW{3x{BiROoJQ_;mX+ z$Hhs?OAo!22TcNw+SVgrVj#0JMt=InGq&x$Q(9^UOhA@6Z*S!HA!(J{maRjVte$Xw`h5PPm_j9n3-3~on@ zDAg^Iy0a_EUh01JP7Zv1Cq@E%Y63A58VF)el@S`?xrfOo!_caxyrf|}2nm*d z&K|a-5j4*HcXVE0+oNp5+7E-DW6ua}}dQ*oE?kq=GGL{RkxH*ihp<>lMl;(yK7H7r>w1I_9 zPxyyCRs))6nVKd_>ujf& zMjg>R;Ts+AhO|IDHAq4rc+T~LQ@z1zx23Mdp6zlf3cKke?bC6M)5{Rcr*G1R%;i#S zOO78wyshdH_-zUzUZ#qgE{joB=AL7S3l&G!{H;Y7^<~ajHT@jWLQ-?3&SCw;^x}VT484Z|bnPn-s%^v!!HPU*5yyQQq5+f_Tn4 z>&C_qwiz@EmO|?exHY?rSIA))nS7w^i_j}Cg2m`4=foYB>HpuX$)|v{(x$3QM^@t<6R~|;TBnE3vgfT# z;~|IK3LOlUZkNb)tFFoY(Z|JJ{7^cK>c9$+ic@c8#iR57?3-_nnJ5~430oOcQs_Fz zHuyjNPop6xs9+>|qG9UrlL`n)Tpcmu;Zc+4GEa3pt>~WLhK9pa!#?IF0Aueb^Q-dy zpQ>Dz+0~Fr!_BCukUgo+FnT1Q>=J}r_=7l*F6m^?3iP;9V6C8*1FBL)wy#tu5+S=i%iabR9Af?>0@ zC+!k1*HcJ!`*>|`z~&Vpz|tXHf3+jYTfD&rajCA-5)^25u(Xad;ykjmv>{$Ev&;Qk zdcjJOL|huEN`Wy#wT>8sDlk@CKc3PXn6`AUWIA8B0SngnrYC@j)ZRo` z5gq}o(vQt8)J&pzC@p>7P4;%a%+0DA(*@zAJj&lm<|tKM%t(30jv$bP^VkMwIK7qZ z+`PK4m}_)W|6yUXgSG~!*ccono8G1NK`aXICS*k_{Ao8uC0TUlbT4z$L$BV!SoBwa zxp9E;UxQTuivX{Sic+67=&R2E+@Y`yB(4#Ke2I^A*yH%vV!;*D$0v$m#1jA3Y0#P9 zIUJEtf-hHVW+Uy)u-mM{OB+fyTEf03?7Z>ZiVRD{3kM_WYySD_(qiDRB#46nkQc-> z{|;GS|JsES^PX8zKr@{GhC=AC3+4I&C*S_((0Ox-o>XUSQ}Bc%p2)mSUpZF-ggBZz zfuuq4(jgcxzAJb@<&lldB!P85$tyC6tskJD0b{~_e_w=jLP(^&V`Le{P)nvsUtP19 zlK9jP4gy}Oi}01&(MbNlfR z-^p-v5Px)taWUKGY(urikR^}cO*yB(VJPuwSaaawk-^48ZeYe})laNR;%A%K81%}$ zx_I3gPHHyLVQv1#pf9H z&HwH%f^H!6T;r^FpT1*u;YX9xC0+Oqtsdwebu0hvOy}Soas8*SU84agD@%C|9*51( ztb95ekN27U8sOjJWlQbuUVb9kCEEbyYM5NGab4SYF_&7h1{NI)%TV*qr0#4tna_MS zBB=WzLHZ`rJ(5EbHl15YQ9uW!gp;^mxHhd7xU(ePto|C5wf#vyWm1A>K-Saq+u4Or zZAuYEfh*Sc=cCp*rz;VC?AQ8r9M3luHcnUEZyrtt);k^y*Mjs)ZW95a#uOodr`pOEg=Ue`7H<0GWO$PseW*-I+QyXTY3Us&aA6$b~sascbkysAu|9*-Pt zave9iLv;C3RNvoTJUbuuhQq7=BFzRmU5l-E-`v3)wh{HcqEC-Z^=Sj=wu@Bu=;qGp zJ}mk65Sy###k~_(Z5fH;(P`-7CS(qdJiisZ&RV$2TuVj zMpurB0tTz(UDYaDSRh?m;n&1*-<(kPyy0{P3$14AhG7LXs#|efjuz0l&q2tSz7Mxb zmMHVVO|20QgCS4qDt4dW z-SY6)j=tWx$k?M-+eCZ!60QzVt z;vS)V>wPBZlQ7uFD#e(Omh8^5IaOpSM_b6Z;IU#V`wuO_0y0Ko{NAovtGF$lo)yR* zr6P=U((^<6R>n5m|7Uy}px$-!FPAbfK*wU8az&+5AU{uExUg$F?i zyRfz8Aoo$Xj{Up0Wt&aHlPyCSMaya0WbY3GZ(X~Yt2(KbMKkOj7td3Hxd7I@-Q9K@ znXTRuHMPb#BxGd!=gYg*YcabnISNn>ia$o znnR?C1$z?kg(Oh;Z}ew%A3XPSkNHNc53cvyuVy{aG`z51JG**j`+U~0X#4t;NP4up z$N1etY}muA7~kq-E>E8ZG9_2Wexfa@}cleOP7{Dtb6LXLQn|e#fpF2$LINn z{z)7{Ek*SS^o$j}1no4%M{d^U*7-1HTla4I+^UUz>%x1A(S}(kLc&5I z6|ecM%;(`TUZu}KB0oSyETZXn;(iaNcgKvTE@qkB9WLQ98(tA>b*bT&YgW{G#U>Q1 zNP87^+{Oe5^w{TT1bKU2E@2v9fPq~A7+EFK4{!swKI|>&J>@-TUaPfMNi+KPbLey& z%gcpPO7ZJHo+b(efr{KY; zsq2=XX+096TM5ugA`4;M+h6-I53RN3Gc*MFktfM(-z`A-g0vMk5e#hut(+^gPPTAg zR)ZYLo3h(4Sgqk=g$PVx2hrap8Ue%{$4HGfX^s0HrrE7yuL{5v;nmWqr6Ys*b zkI+3pH{-r)`Mm7`!$*vdyFW7?`y;IkcT^zXc3gdkyrv9IPuQnrK?rhCdniYhU3fA6 zX>afQWNmY+%6sQhf5`%p=^^V(2X`bwX?CDLq%j>>(RN<9SZ;kUn@M|A&IznNnduQY z=zPzn;IX|NUmjrHY`OAe)$+XTS8UXDI;l0=q(k|p$5Pi@bhQO-AY9~m7PV-7;c&I} zARX|0-?P5CFCDR<;q$P?s-p^H+C$lL`ZHmjTB)$IxEGc05|pW~I9z`P1oCcRfp03KWO#tSBgv@lit(C)Z%V&DImRzm$U4WASQZK}G_ zd&UiH@j_w(orTXOSg}=gH3xCu6mH8$L)5Px2WnThdvhMYG#1%b^gMeW+7h0QdVqwF zs4rF9?l;u*nDtKUiUT}oX8GuvORxvRRrHRfs3edY&%!M`##x-$W;CuBy_%wxB+ikM zZwy9&`z-h+8GwAQMEfp*mi?!6qwYi5X^5!uF?H_vv_*E{%yEfKR|=Mb|K7P0%oja> z4g2iG4A8PPfxMs6oAKcMftCYjBUONv83$`)pFws(nD(id@HYdt{l-|6;P3kP%O$5{ zuw}HBY^v*}CJ=J}6XI%j8rtxDcd(k^<-(Sqef|V-^%-F@g;n#$Wr%CLeMnYOb%S~| zO~@CvT?s)aaaUYD)^a?W)|@Ae7Gkbfy*(DddaWg{1`8ub3wcRpHbr?UqBtRywM z)Kr-xk)bwSKQa*$xr2&6R?qqH2l5gKNpi7eqOX>Y1ZNsqFs`YVm6Z+kcy zB2JBXnO@|xBF9hAT`YQik0x)-B4V{Q@^H=ucF2m$zIlMv+xi;|`0E60APpxC13-ia z7W{);vA~xL%N7Yf5Q)HzVl4JI%;ViLPrTs-vw8gn7a5tz|MN}1PC?TK05G*bg!n#Z z0g51M_GLiKkF&pFekY;U;>Pu~m_TWkIKlH+)QH5Qw2XMI@+Dq|N@JkRxFZTRUGl$jsB3aZLXpglg;OGbQ1crfF zgMizb7cXAu@6jw0)OZ!X`y@6tXoM$V1m=q*FqI2|mPHc=x@VHi_j-ga_>j-! ziVHOpS!~?ZnXO&XX<7ryxB4a(yT;XqllA%%c5bNgZ?{fk#NR%=L4@_e0`qNOd;(Ud zi_-atZ-4vUMWK-V*7H!>sbYhV@hJoVi)IrBYxDq0-VZTQm3`s}UsN}c-eO4V4SIa_ z2SwxksSWYsMV9^1mZ-@@ETJ!Azr;ud%yz;qz?nfK{`r3@ZP2`i{R=yk(V&5fu+e*g1-tQ| zKj;wbg=k@sz!%7WN|wNmcsN+}u7CwZHz}*hX@sRrcWd$t%P#-n`p;E=&YxF8iYVha zQ>>r$dqVSxpSE3X;j_!jIoYSJhFqi)nRJ@Ygcya+A40i31s6}#wbYoj>K!lD>Z9Fe zuFKOerb5T$0=wU660~uYP-azB=NgE8%|m zo72Qdbsa7)2$3rCr%<~{Lr}33R@F&#X%0noX}>0I4jDfQHm&qJHi^7G?`wD{JMy^^ zXV^Nqwp>a}{r(AE+ z9B`2Foepusc`7F1N7KcmfwiT&&8eVyO)gXvR3wz-jj5%HPi>=End6|@X? z^M`2>;}H*2UdvtdS{5gv6Z(3N@*3R0fU;1glKJR5=W-d4+#|Z`|$z;u2q1lGhWP=~?S{dG5mjj&1hTOzgWj*3#r>ajf+L$IH_O z)aco|@snuIbGknb9X(RF6R{N-25I%V4Rh;{Y^7-4mK2lwn|8g*34cy<*D4t!NdzZE zA~HKyJ87jwO{FNoxb&w#k||!E50S^EZgz2NJ*HWWuOe2ZVc(Ne)zrAsNJ}d%Et}b; zOOPczm@mwffo;ExQjdR%Cn&2IoojV*0MB2#o2;DmJ5_}kW5=KOa$e%E>oHgrO_{T6 zE~gu^7`i+<3MG-&HS<11lJf;2KueVgtiwf_Qn{zsdgkCDW$EkCPO21t5$^~lIM8Ov z`qTPcjo6rRu?i(I>}A2&ry&JbCSd82DGTM2hp%fHs#+ z_)v=>Y~xOqS_+_^*LgT==C?z^TfM4}<<&pmJ!Ym>Sp=j5ifRm-!zxmr#Ex+F%=)EAsA&Gk%KTki@F>gvQwK~Ih0>r2~lk-)A&Ow z>yOxH#{@pOib!U(s?weV56&!h5CX@-HHX7{Hqt$K&p+Fqpvvuo!I(IzTU3#b$Gi8= z_U5{tQO~b~Wb3DL75~>ls}BF=b$HLo!^L$`e|d#Os|KnLetrp7qc_MGSuJ505c@oA@ZDb>&I6*e2i zm2FD>Vu59;ClHxVQ_y7|D;*!xRrvV<7bR?z`ArZ0Ry7yMh{eGg(u#S0u_~dGaNn-h zAp7kY+roI)p%377b}Gimz+gp$@I#W$>VL>m-!V8iC%&U4d!|UmB7D?#yHPUVs<}nC zOmXM<{ai^rUHqwti1F3DcbhKL5f;~c5k=TUiag=7C6`MlZw}K z5{!ww66+85y1#nFhUq41uv9t=$moo_xX+;XY-s;`Q?!Or`INevnix1M-Q3EG*KWPr z2Q~$c)%4FyKVnVWYZ}Veil$+@XL0!%t6+jTr@eJ6c-pYZ$8;`LI^u4+|+V2$hs*xV+n-~6@ONo4=uZN}DQySul0 z9^RSz$>Fm)^_b@U?i2GUvfNmjO)JDzXKx}oTq>~wzp(1*oVAnfPUJx0=yCRDuxCgu z|2+}x#Yw_FFV)Uh1xR>J${&^0sqdL8-hN+T=G95_JUKZYjfYp(B-+1&;;vjiU*tlcN~)y&5x^Yk=%PvyO} zq*8jd3Nhoy+})?dUV7y}Kr|~+zcIr?u{$G>+%*4v82%KSr|E-5#j6oD5ZLzlkC9HT{yFHqI3v&KD%2;emws-} znyZ>-_qSa5T;6{Pd!zlK=vloX!n2p`-nXQ-628@hX>Z0$8rbDu5r%N}bbs>{d$&|{ zJnv3(F^P9EKM;3s*VzD&jlo6^j`qf1tpEPBF|Yt2f8^pM`9SjbCoeCvsJWG+u|2b> z)fY!&VPiuZBV%T1W3Z{C8OcXB&X4^30OWsO@0!-8sb{k!is6HsbzB)~@UEh<1scN; z`l^bkfhnqG)-E+D?}J%LLil1Ho#N>&|KrFoQ(_*CX#J3O7!B0E@%$OYIyAfrE@?@1 zRH)jxrnY*5#gr`#Ngs8}M>Y+Hyc-n2A`Q9E&JU z;`_&g!d>XpcQ>EvA-#fFm&y^Fsev!vfrwYK2J+m9O|LM6gQ-&}d69i_b{%%VBggb; z2iU8~(A?Wx1_WcN1@(BKEmS%xN-*WBiBn_#s^eu?so4qKMDff5=+UjV zVm|P{B?ok{2y;JJ^l;GI)BCI9*$V`!ym^n-=vZZw*~?q?cEo0%rRViK_55ZmvY03f zM)(xk_kL)6uZD6vUfwz-!D*`2t02ZwEPPovW56WawedqEF!AR{+|UuVw&V)^!qJ=c z?e}l}b77kIdruD8z_1Qz)qnz-S?x~hl0=rvYqNKVhlDvUk1WI4^>rJcRChj_PvBA2 zKS$~N!q_P-!fh334VX@u_=sXVOE{n~$kIRDwdW~iqyIk>MT7I2uL{7i9# z_QrSmh4@AQDD^q?+v5}TA?}u3xYSpcIe{S*;XhM*cK#4%ij?s+`{w(7iQsn zP>i3)t&8#hkoMMbbu>%6D6YXR_`-s_yF+kycXxMp4GzHx9z3|aySoKzVMkHKUr_|sAwT>;Xwd@g%dK&dj;bMJtb z)iw%TE@xwfR~1j8B@ANPDO?e&4f2b1Qv{5bUmOmr%I9QGIVof)vzQ2t{WucgY1u#2 zthPSXN9&2nQYvg5n-uO%ISJw^2)Tr6?m8FhL<3JMspK`F$9qi0ZMG(CrDR`rkT z@f8Jawe+mpJ`00aR#i@Wv|W90>h8N&wAL`tH9%8!{a8;XMA_dQO7^9z+PXh=o7@`V zmirnnETVclC#rrQst=!?2|P5`D-D!5vjR4lEjH~d0WHq@D!-|?w#HtmR-yy>OuwvQ zSNk|T$}d6^7tQ&ZwPule_QTIDMCtk|VfEyi;J#n**>&ey)>AYr@X#|?gyi)pEb2-dBA3PZnu*xdqR615 zh;x_+xK;9j;)!|Zkr)7SJBX+p~Qt_T#4-2{nj(&Ni zt7@6-E<;BoZr-z_Z2_t1$eU-1ZDXD{5p;XXFc!QT>2QjjcR&IE7;=!QIyx@a9IaM@ z)>S1Ix@kIbiE{-D&uO%)mV0$mOLrFKrB0shq?ai<&a_1V%ILQl_a*+^xA3bn<-jzy zE|}G)t}+Rq-S$==c!xXYHT>_cXsVLlX}+N9DVDEEfr2{c*mLan-ZZxrW-Ugd$dfaW z0R)wP!yLLZOe33lxHvXKV^Z$AlQam$I3EU@d`kAgNDz(N_}L^^%8tBy(E~ z3YT-cTXG|P^=Zh5F6;if*;J|inmm66E9LyDVSR3b&Eia}P$vXEul@qph_bZ~2G`yn zl9&;BTDPMz%&=`4_5B=1VJk1N^&}f?M-o02v|9|qw2(A=6;a(|>|JO2+cHQ2KMkIL znOA_@U<~(Q_G3>iX9+eZh(Ye=P0V{BDs_3>ZU_uFq{tRb=1NEcm8+Djlmg%0XKI#w zeS-m|Wt#~9r#b7y4flJRsd=Am*||f{J_p2NMHGZ1Yq1H8Y`vmjX7r0ARw(BMREo{~ zgxgClVarxs4(l3UZ5~=4CpKAe1Te1nNoMbkC9bt)78z+3IS<;KCN#=a4pFIuDeX8s zlqujbB1cC(fl{!-B0(tQ(_9;SkQu#cXB$=^`4sUbmZ}*6_ca=8PVb`OGqy7Zv=%C< z1svF71GY9RK4^jrlLRQcG`!JL0!DGq)qNQIMhVM|-*g(pM$gq~*3j~B>keprS{%>r zhxo1`v0jP^Ml{h90|@SNsbrgOcZoJg0xiILi#{vY#>Hj{lg_owo>(e@M?J%L%HJ95 z+Ye_lHj8PnZxyVV$^6RgSe8gkLWv9f2y!UAzN$M1At?viXEVm~JQ+6lEa0rZr^kiU zlIUU`#^sCAt6Lnj!Eja94iqI(r3`iXSXfiRc^185`jeyOM7_g`dB6u+K5LtJk+&TC z5cVjAknIS(!9I7-F_s{bAo>ah4_<)ho5D0e6mG^1Db98ZeAf3k^cH77;HL1>f2Rz} z>1u#oRL!d<3)dmv*bh1Pf=AZ(eUGnXN+&)2rO&$f0s1D;ck^%5KhA$p|CBxKP3YC- z3@uHJoavQZ44wZxOV}8gnb50Q82`}%IXURRnpl{bI|GO&o>oZ0zl9O>CV39P|>lK&{f=?vGXpFg37tGWkC!nw+dG|Dh39K^=M+NeQbV~dUH z?d8zNy+LN%x7eTuKUO^n0Ri11x*6b!c3=<$#xi}uz{1gpONrNPp>^hSiA=~)J=rL~ zIdeKMXl-nOiP>>%R#jC^Nlh(o?1pda7u(n)4i6dtUNbX_A6xcraXKqv@juT3E1K(y ze=OLz2Q)4Xy+^HAGxG%^UDI(3_K}^OoZQ^p@cMu!Os`!wkyp)RtFXm8+HsT^u%AZ9 zZ3R7YAO;FwRU!!XLBnXJoa-voDmUKm^PD1yIs|+o92B&tNbGNDY8q-ag~-#H@(DUe zZm?Y=j23b3aFtU6&fbfbk8()w9ZK_n&eAmg&`frGeFb|E;3tT)&?0MVTj z6N4}~WuBVH-9yUkEDU_T$j>3&%Opa)>)0HflD(?pQ!|}ayr;4fvrhmQC}MbReblU5 znK)1ko=VwC^9aVCgdqwnN2%g3O- zfNs$MyO$3Q9i`nvA+6U54!OI|27w~FwXOR7&GoJWS{?bvloGYoq3@g_rpQA^ifp&K zDtY&1PdkWM(k1<=|9bU}Z(NQZtNsZIC@M7e;ALXI=1Yf&TWeWW?J!)#x-Ui62y$!@ z+(ph*=RX#o zU#}mM>901Y#UEHzY0vW`i3}6Ewj~dgPft!xcFiO2(+}Rz7H-8>IPGPALC&hemqe{~ zK}E!PHYSE^zV%LbvqA~B{8?2MC8kBuOA>z&*}w zgqTV-VnnF#-@lt$*-=OhZ9TD$?{~galofKzaXZNTv|-etgr(~$=L(x%6Q(u@2k$yP zoh@6~x`B^3^Z9->1UWC1y$MiXw1nH^kyl?YMAmG4Y(W zQdLc}z;j*U?+$uV>dKAc<6?V6^2(qX+v_P1HT==0)VGCOOUW_|yGIJ?EAZ>`An3wR z=mC+Jwo-vh(B zYixy!EM$~W9$%TtydMQLuL;Kh@tFJjg+D6)qbiSYI~G^S=TyCpNWon+*jOWTfZ#7gL(Rm%gaMS3lcx=~yf2F@5`B-6hrVk%cIlJL56Ax4M~skk|zcDPBc{XE}3E$(xT zsCUx&6|L+$cGX-s%1*2=t*$*_7&IO(3Ogx>|kf(2;2- z`6h=oc`?8+cfcnb@4Gpz3<{|x*(jd<$?$1r_Rot7` zyNa4`?aG(DAu&W{c6MX4X7DpQlZ=xgrPPZM=rk!t*)PB#Oz7ZwQ^1xPG(=t*Tv<sGm^F}@Sbp`P_!RlWxdqv}1Ra=AuD}9RFy0gj7Q0~jr+w8*X4qQ` z4uXzxa1RBK;U^417x%^zRG2{Wy3<40YdV-b*d%(U7Iluf4a)x0g{zU!Uv-7T76z82 zsaXA=NOKNhiBSr-4w4_u>E<4);$A`N9Dllt(75I#j0h)cczsuvjkh z;7VXF8rIl{gqTM%+oS~TjeLDOT2zK>lw2#>b}-)qbyXmQG2~G;Y_Jhod+-7QGGe4( zh89Q@Rh}fq7oCGtfXisT^uVD>jSZ?fiWT^^>{D1dg5>fata_N?kT$GsYg=0@Ww{3% zrK?Nj+lluhJ{qS*x`tRCh|Lb^H*3>kB+g{+6z~U1?FJ+fN3OS$m8n~~mmT_`^Xp9* zSZOZTki~Y_T94=Z6PFI&x3o6*t9%v0zDa|JlNO_UKqs@tPLOBe0VbpHoY?99y6O(W+BH zLI%ko1`ZlTU$v`%>{|RG@dY9OoZ{6(Uzv!3+cE94U4QsV9@=v2+=lrd&q}O+1RE!2 z*+ei@BTc1ox!5fG+P1C)U|o8pbgGJw4SpIe{( z^k>_^z=c|_J_W%AW+eWp2OY3K^d%)HV@#XFb3tA#(qSSJaR#rKp3|0|eM4|GS{26D zxMOvORrnFaK7^eV&14qCFxZMNBCVmP$FA{mT`qJMD>@&ag+t5B*dbRhCa@oY2v|=h zo)&m0tJ=KEW&EKqb(mhcu`$aY5AfmbtadT~g~|W!i~kv$r{GO_;b{dQ%nu<5O!HXX(XqjQsH>0t{QI%vQ`i}D>lwH(ENcZa z*C7Z2P@u58L`-dLDq06)FK=^+9zz21J|ik>Zo(_wv%EDiVxC;2J$^KGc(%t z_I%??+q3n>1PoJ7q?ao}<2sI^-*e7j6MG?`V1znhQbW0JZ2bIj&I(K!tB|-q^Ot!i zeT!RCWvaYnJ9hP~2_=~BZxObFA~>V4VBRUScG) zaVgxd#s~40>8K<@hf{SUuUUKmS%ldC^=3$vS|?BhSsVFO%IpRo*iOi>aGriFuR_S$ zxtHR|coHM{xr0O~5Lo8-0RWD`-)NK+7mC2FOfRP&zD;s&IRKbI;O{HV`+?)&+RTLJ zm0A_MBf&}ynefAcQVN*OB8=a2Wwsj%3~hqrD)CDL+m*6j7DM-KauTI0679$f#0mkr zOF7}8`Hzm^!jIt_w8dNd(c$J)`{|KExvj~^*7~zwoge$tHA!r3U0Sb=)wYM$l_-8S zNPMV6%)D{nc7yLr6rEk{wOH4n+^uFc%*@u}5?dwi;~k3^Dc?%5+@oT`J{m>opkjNe z0%Ioq-uat(0vfzjHp_npl*KKM&~CMw`Of<mejmwfE+QYhEAFo)IfZKvV z3uHr)2WZ*0`?Q$K27Va&wb?Mr>NGE&J)~t1emiwKT|>+b-V>>5Zucz)sp^zUg!Q!f2h;tDSqMJO4n%so77+c{KXHbf8Qi zc^H~`<45^xt#)H2+^&P63~TW)%b~*>06)2U4aL{4RmU$WYj$@*4gLq&;c*N_3%TjV zYo{W_AQ)XYdyV$suC{}e_~iE$Hem*o%L|o>TO^n2m^A2f2OfALXcX|=yR3N9n26kf z#Dr7#(?@G)>ppSs#;q;K8V&VZDk3&R==~eG)%LOrEyvB{uo*DjHx9f2n=77-O?px4 z8dGvq2xqy=g1UFaH4yUS%rMtS(i`Zu0YpdDhWaxvn!28BxZ@ih#JQ`u95n{~x7iQn z;db07|F0kF#Yi;~7_j1|-60qxQ<$Hn1eZalgN$0Y<=ta^)Z-C;t(QM{kUdoRQqTe} zhFfMHbzSc-c{0c!=@I)~jp|8IAzs%mp%>QuR{^No6{ne6s|93Z?R#{vtI&RL*~4!H z&^ac)Az0bCS6FWxY=8)Sfbf%)L3ZU~eFz3Fr2o_TK&UG6?r%THm2(ZB&Oa4RVP3AG zZSFmoXX_Jg0vU^82<g@2?f@cPOFe%&e+ z&;*?KHnn>ZrqZK8M*1yniOCvrUgRP>FStRSWc@~?_W3&u1^#{Omu z5+RY{ub07d;>c})I}`}|uRajn@QQ@MLjLEgmSzaxyK{3Hx&_PCV|N*QW#yB`@*^>#J?*b*ap^+3%?2*h~+HI9JmF^+ZhK;hvWc& z(Vg-q2bNuF`{yTYV?jT8JM-7pVS zE-;)7*pL8$F@ngzCOW&G5Q)xzu;WU(b3U+&@g~xZreTM zsi&@tV#ay7lc?Y8^_Np)OW2Kl^to+4Rs{Sd8HUgJ!UgoYZCg6t#P9yOnQ{Z7!(ifN zcFc>v(k_(K6mR;RnsK@NS0`N!qO;zxqEyypBDoM_(mH}e#rkz>P!_!s;dxa^D- zVPGtvTNw|1}Uc{OK)bWl>Ji-heS{?mVLyxX~uT*K-Z4uF9PCuQ4>}8 za#&7;pIaf&jGH>neT8nf`lP<_vOSi{Od<|F08p4&W+&u z2GfNwKwi`;a?`Bc`$A5vs13k&J(P*RzmAj|oe}1io*Wax0mc%KV_1Sj2*IkOYK4Hc zpuLbp;|TzVff|i5H2U2wy9_v!?VhpzJipKB=i;J5k2C93P84%^G%-6BBBE&(PeX^b zu1U4s6-SqD<3=SNmXKf0J*jK(tOIMPr=hW}^U|1>mRVYP@fzmWNr1cVvGVefyu3t3 zL&LYb4kdD`?tR}@ID0+Z{25px;64NMrZ4Hsf(J43!yR`m+;KsMC6tUv)TmaN_>tsC zFi7@C^#*irFU#g z;+b-&Aj`^uAdtXw{`ng9k46yZ!0w>&Nx>C(b%SC8m>lie{ALUoE|F| z3@^C`sx$7~-r21{yT$QpQKJwHqb;1f`-ze8XMEnTG_=KKr84vqGjBLP4kl`qmDH?q z!F#FAo2qlLk7_zfiy?%gL<4UGgggD50bd}HKCfz|#7K$DEl(kcAhD$E`hEpLHr#l- z6+6uLkM(U+dT0V3Q~yJn=#XPR%)rljx&w^3W7PaSR>KOJv1^6-ahw%F zl$Ty_9=FBFwMYB`j#Kxr`_R+?+!=iX4&v}uIn>`D1|gKdva?m0>+o}gj1}_q03(y{ zUgfve5%g2@GX2{7{#?pX@xh5r3M5f5zmF<@0Vd>$D`&=QIH92VnCGOiNaO=Frzo6k zzN|RGAY=s--))Uy5~bhoXf{&AiFm!!_%3?t-m2OgIFUCW=jyI5!dRpQhLD^5d2$n& z&dFzar0tzgy?e*cvMq{~mEDtSi>46+&o$=if`vy%uR=)~U^=5kY(z;O?H}(QAf={B zrpE7?Kj|yZKbZ(XbvL#vU27M~_Mtp(bBS1urPPYpa!9f8HHcWi%vsj?c@xu@Ocoof znqaz!-g!gn2(Rm9(|*!SODrW5CYdn*1%+ZGCN?;+XX*TdEZ*na7j^5mGImJx^{ITM zN3C^x8)~)Hyn(t9S^!Zg25lKzN^s5$$7T ze!QZm^76@XI|?#ncoADi#>B+PwhJ1>B7Kxuo$v5b1`S0$mgn;QC<>$~-60TBX8hdx zkvXQv_f0ERKhnP1$HIcL^M}H2K%BK0Nzr^J1 zK7eAyO7NHliQwlaL+!$ctu`zZUc$i2>(nm4b1qe;9?X1kP8|UuVW7fnh(L_^U^$|y zKu!@o-tlsTFs-jTtpYR8sPPh8WVvHZ(A@4PL@!xXEzYN=sybqooIi}zNKo1u%OxcB zB=NOHyG(xT9`ReH_ae<9SzcA&dX)07d`q-v+wJ;+$H%_mIaEk9-!;nX_U4#WNEyDW zgL#p(NVIA2SU9)6b5SZy#UcilYtpubY9tQybMd!9dOJ+D0Z#`h`EolHbh;iH$ypgW zx$+a{V9l}dag!ADXR4LAl`H4z1+0CZ)N5%%9!^#{CwSD_$U8W*PZ@W!OuJ}_2ac=< z3g6U8>wNQxrpC3(RvR09*BeSrS0~(b{x*Rv`Lx>}SlB;%lceu>OvR59(Qf#@rpY5N#lW`$z{Px@gsnZ|kn+V8){B zoK6!dx^4MIMH~c+1e{sS;QoG*c|2HCjh4^&d3{#vagZX<1PHh2U8@8CS*|n39gh z-b!(Nw;s%m=RXEHFubs{VOd+RW3Lvi>ihC{$Z#dN^$X4KpGgaL_I~L;<(26kB$qx9 z^Q42k0r#uQe^&Rov)N}*Ri~7_Z#L4)`K_C1UuqYXWzSPilN0i4y;l6ZrgGz#xz4+O z&_b!mBG+RoE)kG2tEG#t)jS=8}x_TEw;kjNZekSruhrDgB z(JAw-jJ|CN>&GVf0f-$!!egIdC$8`)=!Rt78{VLmw`uX-!Cu^CxL>}no;J$qF%;6K z{Q61Tk6)?k=aFu9QFticaJ!Q|9Wz9iX}~~?>qgl)nuk3!luBO<7$+Zp18A?4Xiv4N zFTHbC=hS~6v7*%7srqr!NR6Wb2e*I`=^G!-B0qc5FQcLJ4=n1O}Jo#c(??HJ zRz~%Tamt4K6 zJbr*qCpSh>e}Dg1O>hS#WU@W8`>XbEtaZ7Kbz)*vpQ9}~VNrMy$KH4#gD9`VnyDwB z+Y4g2zf*3GpWPZdkgcuqoeHON;b<8mhvnb z>yQ&s7s4kL<+^323=wFG8&U)geQ@A)7gNm9J2CElq0_)&JkVI>glR@Td-I{yXt_Um z+DHFa)!RFFUR#5dK}rCa9uI0KQl&}J^RAV!hxyBP=SFjB)cP%mEJH7pfpC?7-a)0f+q-t7(Pw5`#@#0bLk{^c>R-^`~0T}LJDv$;GS-Jh2A zEnLi!Ol@Md1@x+z59?Nz)#G(pW_Xi!&>ytxjOXW=d`*OZ*q;4r(ypXn{W|5cSlbT# zRO^^{jmUXo1a0M!ZXfGx>uOk0n1TETo&+d#n-Lfe`uL>DMVsNN8Xm~|vyq0+i(noq zJuS22ezrg!0w#u3r$cXjDmjx@B(1C9jmQ}9xcsJn1m4b=n$Au~-5Ybh2w>P%SGZU9 z1>VgBvYsYun3D}72&D$xD10A-l&WEG`sI44}J=Dt@{LatG3_6E6L=-|K`_0(u zUFX@yNf7j>h`u@Nb8wl-PCut{{WI)n87lx0dz!Ui*mHY}K9(;{RoTT)3v^!!<%fX6X;JbTZfy!xIjV8Fk>3j5$W4}-&!h^;7ksC z8~GpSn~BXOSD*}ODo+#s*ynCsWc(!PV7Ow=tvJXJMVVuM;W1nW;Z(Q=e?Hf|Vfx{8 z_I$piR~us&oJ06dw>9ra6p7;bjrLQs2hO!YK{NMt$X+m1_hjTL9hsc4oC|+8oh!C= z+j)vVb3$S3#cRybRtB;C-EiCbS5`6vYk#B9i7vUpuLJIT!e|c@UNY(*h?A3OezjG!J4m3JmI|*%Mcl4#;fm?8RoL+_y8!Rwj9qabf1jtUnL} z>R#qE$7%~4fYVI~FP;sO2b1aL+D%_o*Nviu>5Rx<=lGTh3j04%)-XbuVG>wd=YBlj ztxv5tYYV5E6Xc}D7FhfQsyyUIX!+ghx-XBw`6NnF!G*8quCb+raFi}G*;g%FXr0kt zYx0CSjaz5H3AHzM2hu(iQVzcI1!h=8VFz&SF6|YQj*t#Ak?ChSf7tdPi>khVc?#^tN z*9iwZ$+8}n^1TJlX3aI56n8!dH0%?uA|!%pT7~UKXc*6}jQ10rgjQ_8Z=)vGr-l;0 z+6q^&YSS$O7go5exXZP1xR5cYeWWC3hg0-s!ehc6tO*O6y=-k|J>>VMj@pkFkvcH0 zTKj&AeIt&VQ1f9svOgPbUw37#Etf&Eo~aB3EM-l;yIz>DTF}OJz4z}F$XxknK(|NN zJ#~}2ZnN1FB-Y10DQcxQ7xlm90(~aQM?w)v*cKYom-!Nw*%&#KVc3Z@baX`3MF#lJ zx@Trk+0M(KABoa#-;aXj=`F1AIAGw86MNB&!B~A%zkF9CM`=Afb|$~MU8;RqIeDy? zgr4It9c1#oQnR~XhwL3DtgLTqJ3X?q=eM3a{akb}SZ$Qm(LqiPw7(3AdZbbqzm`n4 zUhJn#E`KR}ow7S!g|}&~nUwOmO1Iw`?3Zgh_Uvs1^da6%E&><3YPvCB>457fvb24} z81;4$I~5(Px}Bfeg(iL1%sL`=Cb}r^dHksDJ1@?b%G?EF0ts>WZFyqK$e^;xgTDESMPo&2!1&l7j?NV!EM9yda?Y zC=X1Ykmak{bQ1_anh*gP;YA>FS-|Vf7Gf{~p1K+6Ixlc-N7>YVXAfxEel}r+_ss=b zj9BDd<-1EUG-Slm6Kmxw0yaQtO(Y;JgPYwo<6Wl$b}pT!hSYbqGM^wdC}hO!#jELw zRz)U;TLB`{28k#vm?Q8k+$|t1>DfboS0b31j9;IFei4pOoR0{RGL50x6BH%de-ap) zt(Pw7F5Q$F+TwWy8s)NL3gAxm_U2{f8|2&rmcn4%Up?s&z)BE_brjD*JUxDG1;~TU z1c6YZsKB$31mepSpydfilu)r`VCHf=-@0Q3hco{cVd1k&8czobjCl*tn)b^(1O}Hh z|FB{ULRRU9C@^szxKtk9G8s%IP1|IT16;Y@V3gW*@fEDwf(ZH2GfX9GOD@}o1_Zf| zdT>i0Xn14!LK0LYFo`%Gh=z_}fvNzBk6nT^0Y&!3y;zJ7A4n~!yApB@1i80y9?;CS zG@lEb9GhQT;yBW7kP@kcBXB8HP2Y`#l$8?ihW|LNpo~1<#|f=cbdm%c(=~vH485I> zZ88DQcFMqUg_>*_KrsJ9U)&tc*$>#*i5jj8jL|0wZs^%AC$V}LqJ<@9;(hQy;-<+1 zH+?TFU>Gr3qz6O=f_I#0XMvVW;FgOxswsvqD4hVxkn>!=`y`Jdkvby{ZgAG0?zKDD zmgFodJc07?^lE18FCmSCG~zB3uRSCFKNai9Ap*nM=Gk!neXgoKe0^{zZxVulekOteXRAy9Br^g05GbKz4;dt$YJjUW}74K%!DEZRN- zR_WklJnbP+h-mVka4sBCApY2D|Hlt!=L1yy-sSy&yZMWmf#DxEfBpNt|I93$tp9WG ze?6|1-7ed;m;WoY@2{vB;*MJmbkOTlo9TAj#9vXuS~PfC4$)s!El1byec#4ENl2uK zJfU2GeIv8vu$%Z)x;%R$%IJj`1MhmZosi}0hE;QP{YddiF}e2W>f4S&u6kJzi*j^t zl{^hxFCcQ6n48b@b^kG3XLk&BvT-vz8>`>^HA!Zvs`%mQ1h2puPe{btU#iHgWCv8E%j9H2hL7s5NH{vd7{ z{!^0BsLEZtfEab0KFN83#t>#=m=p-bs3MrJyowH81(GoI7yQk*1fv4Brgpdt{BA;# z0)1ZgN!gY;x9oE#^#{vI5rT*YW$FMKTD!=XIX5JDGg{) zz$^*`!JlCB5S(X7l^0cEx54wy{|yflyJd9Mf@z<9wO} zaaYD6wOr|y>pp7vA)u*Ft{B89l3A3W!uuMW+3*50ukRh)0Fw8q+UNEBlP{nmsp+1b zeq-FAm7PrIx9GRd$GRDrY%DKhvN&aJvVeWs(hj}xx;(IL*;|2NFx&o0$7k5$1fldW zXW_i~V`0Hkf|(YGkEyh^Spia5?fS};3oUiWq1`Uc&zTWzUqMBX1&8|eR|(o7Qi@T0<{2RQ0H{g*BJYS zsP9+dsd!gMr)Wj@AA0WIu&$=VX*F?JUgRaagC3Xq@E3+ZKg^sHJ4DiNp+@ln!*vF(f3~rJ2R1F}ejYO6q42;|>qM zWvn|l(fK3>JEEzGP&6C;Ns8@YBpp$(Fp3Z{Cx#F*o&;omuL4ATKp^l5>odIM+J%Kh zdOFqs<%zGRXt`Ee6w2jBS4!=&zPg(dAayb)puleowM2vg{;_K#wM&-vZV8lkjK*zH zB=*5kr?}H!Tf^1r8r+`0(y&2=Dx;sy+?`*OuKPy>>-ldT(ZIQg8rToMq?O{M1^plC z-ml_pl;ivvatk`$7G-z>5cDQI>dKLo1_P^{MfLfgU`>Kw_1Iu(QbCS(D6hw?%IuM3 zET1pMqDorKacEy&TvYcu-3~Q|95NQtk_>p7nsFWHst}^Oew$xoiHJog($XRixb#3+ z09@_QCh3g8L zI2QQ$4Uu4Sf}(qjL4nYLFxM8KwC_0GffjrM;;TCMjD4#SG%UA0B_73ThjZ3T%h<@s zPXlHsszfj(8-2CnK#2RRuCH?d#hjniAvU5*Wis8_^wPMNPPZ=lstn@o4h4;)-m!GL zU7qUtqHoc1rbwrGG3Lg!%pyFn!yrJ(pIt$Z>eU}*CLjgQ zSh=~zCkMpmg-@IN-qB%1AdRCQaXJV4lL@ok+fvq&FyR1$s#&!I*9D-BX2nGxWQ=Pm zRG2-6;=2W~UpqIf4dw=2GMoE!A5T5@sM54Vh((whLMOtBC`ja_OTo}55-rRp1 z1h>&yt?=w{Fdi+#Wq-VQlHac_kav<(`Rl`?yQU-j@EK0y%Hl%@v4x#3M-w+i$M;nH zNK%&6807VRNBzFykkk4U^{Q_oaLpVW4PraB8l-nhbgaKC1VwPVYb{E`x5JNipaFC-y+BcSFK*pSd_JFhpBO0sfF2YQ3 z6fDlS9j7dyX~aLrJ`q>_?Tn*HV>){TJY*j4qffOr(fyJO8w0y{7paW>$Q$A!($v8k zTLRr@_IRd`XitV1Fw1A19CUyu`h;4|O|B@YIB71$X2P6Qq3=wb!Ys>OM_+efrU*Qm zvQCWRXGm9XiY#Lmh@RHO=i|19TqIy9*QVwde|FF`X++cIo8TB#eO0;TW`1r|!+2Ca zSJ@6I!DV6l=+K5rcT@zi1&7p$pF|n;KC(Bim5M{Gy5*;uzF}O*wXLs}i1I>blvQ5G zFi{>x9%p8`T#zvJ#qQ_%7ey!=G5ttnx1M;OV$#ZolL)9a2 zF%v=-`ew2fkd*nEMRO{T;J!-GLixVkg?zqAr~JOAMzpkS_nP|ZzMhI_$Boikg8V3l ze*0PP8Iu^AUwig}M31+Xyp#Bc9Y2AukB@H*PO`aLv8Zn%S=JY{OcKoTZZ~X60b0Y- zLq_$LGSwINrF?bBCyyH|Nwpf!BWl%$LL?={c^N(dgqM-)}T z1ee1b3K~V0jvN-r4Uu#j{QgRqnVMD&{iCZG1}1e$gD2&tU?3tio+$Xs{-PIM2 zBp5h2eFdyMcPeZRof+|8qM@4wb%EQ(Qa^F!yTJJ22r?+GS-L4{iyEjLFf}6fJ6m5r23vI_EMq@;G>%0j$zQ5|EA=Dl!X%> zHenj>iZoZrYqwEVyQHJXW@)?VSMLRmX}w0=EXxz94mM2FKrS2rlA6_myAuTccs8L; z_WQZL6WUFFaFPN66p@u}A?yv^j?v#x&}nfZ+b)+DV*wu5ywNSyE)S;O zq%C5HJ{pbT`%{S1|&nZRCB*IRFtMe?IVHK!VZwd-1~(kQ_26+w zHt?MIXr2tCxQi1wBZc*9{PFEGF!}ijF2TIW*$;U*vc#rI)>0@aYMW&TMdS{trRO$A z^P}6hQS*#p22(XoC*uz!Mf2d-e>3M}{D(Q;Uk*QFcDDcR?W64IV)EBpSpyrOn+_v0 zy^w*E$zMr?ghix8MX42B44j=E?Tmn~J$58a3`z#JPV}O-KwohSTQi`WkgcGtlf{2M z|H&v~;$-A#Vef3`2w-Ml0@khwbpLU5auzl>0KQ?Omp1tC`@h_boB(XB9L#?b{+V?G zo!0+yPyajbe|oC_7v=w5I{lx@{v!MTKq=6*-OTAvtrE@#))q#Bwr18Q00w$Nr$77v zI9NDpN|MJrMXWUr+8#iSO8xtp46E{UW8v|Q;C0ZdnYhxMZ{|n6iBIe&<#>m9Tj%l{TB)_;rC{}o7Vf13W^ zfW*Ye`VS!e@%Z~E$@-J|Unu^60TPhH|L^#4GXDcU42=JP4;v%PKjQPx0`;%>F#HdE z*!~M27B&DIC-Xn!!~W0Q{-0bP8JSqw|I_D@jh*@bhtH#ujDeFC@Duna;Km=M{#~dI zc4ii4#{X$=J6$(ZR@T^{vxg0YqoOjER}gfB63i7yFa(eQC;-^Q`j{B<$P%KE0*+8* zI4d+TUm3#K{3WNdkJ8W6kFv8LDjz0Va?bfwTpNv6X9+|Qk8iX-_NYn{In4Y#)?Q9| z4gN$`F-Jv7Mb2UI*-1)AovAA{P90aM*D7h%=w@+@kO&U+__>o26zM7v=^9aGWi!Kc z;v*$Zl9DDyr;EX+w(c;Xs1#A^MEb*+TsE8N3lXcJa`X{D3@{=I@Zy6`EE-SMD50$z z(yv?z?H>>vwLwim{&HEZ<} zr}FToc*Oc^>k+LZ9cx_0v-S&V>HE94 zCpT0n0=x>(1dWHxO`c4zV~i?~v{e>T8rfZa*Q zrd=!VcEeQ8dwhQ+rWN@!ux#D6!CJ00Mcw&B-9>L<7>m>$E{IAzUZPH}UF*KraOB8^s#uraVelU%yB1BTXyoqYx4nlKQRnQb?R2~- zJDZwm-YI40;wJqbjKfj$;~(gdt?gkPi0FS1R;=U_S;Y0kU$oz*ZCqp3nwB&ip((N> z_`5i7ZN*xtz1z0rMf?R9{tmXET(3*43CK=3P)=I934ViomG7xLY>3~=M@qaJ=r8UU z!1dA}(XA9fT?cvUW6;QD%$~*mqq9+(;fvNax}XTQq8kGV7XOcHNrXfs6A3>PB#AZ9Z^(9hZ%QZIiQqBDw+_{!)L z^jAbm5dGgG{p7<`m0wWgMw9KZRMC>>(>-=L-MroE-WB}uNf+h7eqMCn6!G;R%W}dM z<;^&$q;<^xMr!=&BBA={S>*!v4++a`APu^8VH=(3Chw%z$MUbT;=hfNb?=c89Laa@Ui{ktK z){lXLf}ns%NlPOg0tTH*EwywnEV)Z8VbKlJB_JTRNXr6CcjwYb=hB_eV0@n+FaBYe zIrrSt=Y41H{ox+yZ0B~=MeWh0QTCJ=SCu6zJf6%S!>iCO&r-ahCD$}J*v{k|u(8=u zBA1`JT08X3C#P zQyU{G;XdmQL-3v6!@Df1b}g6TI&&cl`>aTG!%H?PjwzXv1tneYuod1hg-{-q9!5^< znUd>5SM;sP-q!Chb8UC)SLge=m*GAu#LIs=jcOjURTLzT^RyAzl(K4?TTek)3I)HR zE;ZNq$r9$(FX<8L&MXNmA&{FZ`6`JY$_9!)F=czuzL`)*jS zIJi9Bi87bYP2Ldq6j|Of_5Ch9JbV_F?EZ!z8A%T6@J?T>)o`4(s3f&QyvslfqqDmXxxOemgpH=6O4|*hlM(9FgsADW2$MD- z?WA)HgN>An+(RHj=2jK+7L^ODE{`5tXLC=%`+1LonhxYO`Y4B=Ck+>3yi}74E`wKe zDTmr|D}S(jbbDqb;qrhfo@Tuzr3}JxoOV9C%a>DTk{pcj-A2*?2WQj8)!v{HP$oY zk1MCJkD9XaojT=yZI^iEwf2lr#7Z$PGZpaX4{fBFn=vaD3*W6fd-vJ+?^>jxG>nC< z=MXlti0^qPA@~|72ERfPx3-$-cQ%WLOTp)>ykHlr1F~j4SEc7Lk&5d1i0=Z1(ww!p zS(H=B!#SJbSr(GBAH2%1?{>;#_!pUV~86_FCxGxBg2XA?4BhwWlX_p94^lSYI&MwR-Q;7e1F z@M)-$_T&^T&Wv`^Ji^cl`Jfw3OmaNu27mSaFk)blb(E_2ursuC{Z~l8gcL;`3$Txp zDBLg#s1CHDuFd1C?CHqS#!you4|AW4_803_b<~R5-CjeL?+t0wPXf+v zg1Dz=vg+$$x7`* zlod|<553F(_@QpH(|h=mLWV*aRv&RKcT+vBXgk(h|DDSiAB#&!^Aq8DeyUtItMY2? z$#C{uleWEwf8zGhe3$z8*Og9Kux&e#QBxH7B?iV6kIE zsxsI+OX180-XC!j*A=rAT9#4s{l4i%@9~9NKh#&6i8ZyueKVGxJwFfoD<8vwg%b63 zp1l7$LcfU9X74s2$a$)>53$;1O;qOd70H0cMUINg)UVY9=D9mF z;`e@tlFY>Hy$BrSr*aGu3Rk&UzA?a>Wxu5fn?`Lt;q$cyTrV?4=r6Zs-2r@0x+#`^ zHnUmD#)Q|5$vUvMIft)M`cUb@n98)aEbAVjQ}m!7NqTdLJfpE-cZeEx0B});=oi2E zJ>Gipj*6!K3W>Ab4k-2g(f_B)sXa|#qpLCMqKUTEa#(2~@HZ2>|0%J#E-W3iKQR)I zbPvRm#_FA#sh#L$iyKCHeL}s-%<$@~OG8liAIhbP)-0IzhO=sOyl}mte^otDi~Z&H zrJCAnCEMbI%&M?2x%GEHNGIxyGU1#NaW4NfiA>MOuQ^+Rm!ZLGZdm@i)*8;8+~e^z zoR|EYH~Lw#47sYyyudE`z?bJM4r2=9UcYI+SFSDb*3LPIgEZuX4($cI@KF%C$kL8S zyS!?6{sxoY%U!g;+mTk_Zd)PSerq^$nxC2tg6S4#PdpitQe^CO>OXt7!iV-Hc980# z>8LN@%HF%a`Q|nVL-2D`IAbP}N80rMK>iAdsnF0o1G zMF}^XOFues*#equP>H}o+lp=S`{VdOEKZrCebO`WS`L4?>h0daK}Xaks9ac-dKJdM zAz|Ii7GJVq(H&NjLi9)?;9zHkEKF*fnEhqLzS`H~=eAIKTWfJJ=TAUpJO3;}; zs|)ym6*Ez@E_0k7zE7@#7^<=J&jpn#3*5CPVxw(vM^fbOjoA=SrmnsFHWyEsG%7T^ z-UIO@b1PAA5HkM|z5Dqtt%3mVZ-Vc)O+$9HZ3NKMb-NSI;rED1LY(g_RpiaY8ve|P zz;#MFI?1P#DafVcfCxG&md{hOpu~&z&QPMT3Exv-OV zs!Rc!nhH(+qCBi=nQ=0tI% zpjEvg-Lx>w*Xgn`kiNTkO`3Z{xOB%~{cT3tzS!ODIEC`xd)!}pZ~w}@-$}9q+&p#b z?k#8OvS`)xiwYGFIp0 z0Lp>mizPV`X`z^J|sK@IhM3!?)WvBH3NFp~o8UFz6DH?sPa195iXn z2Pumajg@hs%vinkM4!6(ewUuuT|MN_9e}J$P zBLTIhsFXKXy>P$ZayKnaLEx{`GInX3WtyeTlpeAb#KEB%DBU%EL5U$t!NRVF?(~j* z3@xD;vcqLu_P+1lR!JEl+m{AQ3OQyklNo&!5bJ=xE)L50hdBl9B9_6{N;{_Vm~jaa zl*1Do=Uv<7iiO86pb_`*+?lhOv}o7hs+^flt%thsl2ztODjWDYfCkhKe=ZoL6ykPp z`yX4)3UL)`mR+fQsY5`0J&>g6=SE#@_-ly+gSYJ9A?+5t$v*{GnG_SHtxjrm=kK~C z`z1iI`D<^vVu|B9K7jNXpbGzr%ow@qmZVq#boo+SfJwNz2weJn5CPdb#=)#(zQ!sC z&gFcjEYL=}C)D=ujd`MMt5k9qG(^GW)n?w4g#OH$zpnPJy><;Pla{t~TL6OMZcW!&Qc;7Ap(f7xTUwfAJmV zB#H}iU1Eq4`!>EEKfo2Hz1_(2!y((1;{Q`93LqIv*qI#x>&A1U7J2VwVh%(khOGo0 z+35;sQ5T1_hvw=p)63-HKznnx7~|A(Z#Uj2$%s74nm`dq08WvA%8SRUvk$Y_p5tqm zmOd*y5-azg%1H;R?$2}1dlMt99yvBf*|!wPLVf2dtY=Xg(qMJR)~N@24Vlw*iW~5k z{R}pk#8EkZh4LqVCia}qa_o#4-bPNl)}NEypLh&^Z%rNM^lI{X2iyF;;YQccw6yA} z#eQ|YiqjqF09ZU`0xMb}5L^{VvI;dr4gC>y5HkQ7<=|VV+*ta)FSW9F1DK!5uxK$} zZtv(9Te4A~zWPnod5*Z-DJsRv1d29YqWb}QPWjX_1uHxR-`{dga`4;Z4&48Pdl{^^ za+LQvEJ&Ke7t4APr`$ktEuGz#Q%0ge%noa((wHGa5KxwgI+xG)Qt+@*7_UDX_--BZ zj6Jv2so}4bSZR&$U;^X_()G6LCP?OC+Et1c%hJK{wX|;1OX1acIh6*XGpn;}`G2xy zoITG9Z@yz==(I2D@@kUijam5h0mZ-E1o`|T&Bj7FbnZd^^9GYsK;ke_ z+(m;3!{3CCjQnKfSjQME)-BS+r3VbGn&^u2TVSH{y$f=jsAibXXZ?PsMeC`72-vL9 zvVdEh_44^*&>iZRBh zlk8f!4n;ZghJPmq46q}v3s=;4S*{#t&hC3yIf08KJJ5@~{Xchw_HV?&dPLzVDx-F4 za&V!6uD_aMW9v=TXddmVkrhBKmR0Rc4CF0_RS55}q_Iu{V&yw1z&Lb|b=ACb_DIZo z*i(IwOyjKQ){UE)K=7N7TFo$?EdW9uOY!p6n5Mq_mflWC3X?5j|9!=KMH)1)1#kET zsprOrlm{H|v+j%vuJ41SQZX9R&SQ<|C;5_UOYLEpX(Z9Q44N-=o$Ks4riO}tZ!E$0 zoR42++&Kd8t5^%}frl~Y%;t2JQqc#+buZo8gr$?};=n0taX;{=BL1Z6&(Z(Q2bdQeYmUWmYGU2vh| zy%YQ33pc6I5`czy?;SCL)f`Rad(;j8za>cKeq=xEh?K9Psxx^7PmPL)9y_J8`hTDg z)~h<6jGXa`N=9foE+pXG5D(|FAenmQu+}Up8jSVYa6dZS!Q+(gzCZ1Da^ra2?U&E3 zA?2}|6nOdh#Wcsn8FF@(RmFqzQr&es%GJc&;AApKemV@?+AMz2a|u~LD%u%&&nK8W!;t6U|5)%rlZ(1&L!LiahX=TNPnvTZOa z^nx#aqr(3y>u|to8kXMjq>}m)?7W|l(@~I9;NAdvqIrlcK6~X9yk_Q|JOE!fp*jnY zcn3d6NQ3HbYSA!*h7v0P;Pm;V`yY>Jt~VOMl3ZW#?V#$@O)~}JU!l$0xH3dIv8)Ym z`q~&J*c^3P4{=LH?Faa54$-NfSBHApO<*8Tru1Ck%QL@}l}-_`|Byi62^|?acUjiw zi>aZ>j=nk7jpHRgxlc;O0=qHhbf!Z$LV!NL@H!+G<;Sngq@Kv!KrjTH{#ff1&oi!U zMN8H2e8HF?5Du=?Liqm3JU@KB-P($&n$=q+t2axK_bN!jcYoCdrU(XeYK8+R!BkwZ zx8-DtOM?QxcMrB-lp(z6%m?wT`R+hZtBb45ohIY$_@%jS$7(p;SFFz2=uGli{!>Bg z`$#ep4%ZE>@Of;eB!BMPms!DRTxSqYW}pi}o-JOF(2;FcVDWOBS#*Yr0H6uhW9N;E zYl@*=Lg_C_wFrhoVlnjbp6`AoDqVCwnq9@dH^eLGC!WTfj4#L2 z`XL!-u=M2-9dvQ=P$;n!C~!-D4C)>V?y?r{<4cHtx@PL6k@Xan!}CvnVt(Jh|MVM? z03v0i={!?UScp#&y&ea#Q~+XT0=9}p5og~c<7>ew$DeR5@i%=0Ei$9;Yl zFAe-gXm{g+iu`CL&n*bE_cY>EOY1j&s2h+?F1On}5!Q4GT~PhH31(4T8YCzmUcZcMowt_vBUOu~XJ zI!_)Ha$3|1;Ci{FCMNND!0uLg-_VO9p6tBMgw4wsT`$#^6j@2f>@ExCfzN*}DTRzM z{4Q-8tq~EhSeQ^D~Rk7vz>=I zgNNLa7DwQHg({&f?4>Nr=B{joL*ml+nAf-y*c9bX9eHy<$~)~xU^ayyHi zO+LGW>yLuYG&Gwng)(!Iqvf6SGD;n;$?Z?Hyw$n!cr(mdm7>FA!Xk)c5?NRn#Xd%| zb5BCaG~=|7KX33V0tC-=srbs)$7-+S&>Y=Lo6gjuT4js2?}qbF3#ZCA(3ez z>}F|@YYQ+&)OixYr4rJ2Bd^|85~Q*0Y6D6JKtCXe16?ApLNVvV0S__QhQumV0{BUc{ta%>bct*l8J9+n#i{e7QUW8c(0)n z0ex|tx;G(T>=&YlV>^L3pgXpHG=?M{k>bD8gT6Fb)<@t{71D~xAStw*JVYDp4053c zxq5FCyv8OGJ(tXhh9it20m!>e%7Y#Sk8hT*;FO1Z$VOb<`8L-sGgDu~BZCYqjTTh0 zu*!{pNYe5Qo0PyLSAOfPjI#Upx?^Ghzevmuo6=# z){hf>d2#Hv%oKCuk{zY8hSCr}H3ft825QSMk7^c3^`eu>kyU#EiOMT{1uxKQ7G35!Hu!sUn{9!L!)m)5Fl8on`0;=@%h^{he za-Qv*%zM5|#X{QeLZ8zG3D}LlgUcu?x-2OkP=(De%XHsp_{HC0VdcUl#5fxCc|ae% zuUK8Y@~aD|v%Eh}hqYU}yoxAdm|T7`ZT!SNUIG*Lg|*~j;H4mHWV81cUkk7ia(hq- z+3$%Tb@~M;M}$R0X?-nxJ1z<966?Az9UXU*{p~oHV`1tb+pR+X$T)QET|6iL1Z(z* z7_S5kCx#|l*A*gA!D^Tdu}7DWk`r-swgFhpF#leK6gQ)6=J3Zu9oa-(GB?GesCUbJ z9kEIY0&o*a_~VPJbbo^n82#O^zrbolwg4`ONRluJZA_r=M5_a}fh-Bh286D)sYdrM z^9&@vCWOOtPPolgc84L0%iZ{t5dU$==JUJOeegE_u8rB=5G1ENexfR@H6pqAR3F(>aG*HoDitR#N&!-83Aar9&VfY5@xlW46D z(Av*M2@|KScppniklwD{NS_8+04dwMV*eQ2f@p$~TW4#ov?*r0C~%_q@0ko904vTK zMWS3kx(|y=mMp6?ZOJ)Z=8$(P*=Za5 zp?#~k)j!hoUsuM3^aqe$Zb$#bvfSnq6XLa`z`YlyF>y+96|pO8X^H?UH5*TV2+lg{ z;sho|^phx%I@Y=kbBKIg-Uo9h@kf#nf=VWipxgI>9?5Z;ZMEH!LRj~J52DlbpH2Dy z>HoN>6cc$p#&n~+Jm5bO#werDV>b}duilkGk zt+^$`EywkN@p^(^WgDIwlNQ8a6gG*C9eU;*P)-vL=IrGLDC}>?s&%1Z7S#dZMsL%+ zqM?wC-2cp4*CHr7_9TN@-ferk>2$iw6X9;`Jh5!~{p9BrJmr{vN}Fq-xs`8L=eW!eygaL>xlAj`q&skEo_>(aSz zOOGUMzbwt2=$80An6B6yU+WI+A&OHoGgzV6h%BNpfTx?UJLvRCc+klg2D-`759?VH zX85jEZtUri?K)v>q-*Z6ZusfC>wzz`N5Z#`E#mp&hdmysjU?zJvP(C!x<3@&?1@`1gC0#w1V%cgAPOZ=cFYEN$yn&Ih}$G_9JQ6Ev5JU9X^IO_-u? zkyFIsm{8PoqPM=V@8Zu6BD+BJ@nxS-E=)vcaO#tIWX=F|ycLPADz6nA@rg6c4s6)uE9s-M`dT zbJkMtjZ*Va1h>S_)by=Fr8z4e=}lCm&K(GPf_|*ciyM=sIN`FAp5|Po&@BZrZ9x&= zD3+mw|G3M9Z!Rvcko$xCiZhu6>;rX@P_ygF1JiJVV9Sim)5%YCH931>E8NbPIfK?=vQLW@WylQ8>)q@@oe|l!R|a|q{9T%>oo$I z%Pb{RGx~a~7rc4j>8!SI*}OC^%&f609~hp#$07Db!?nm}y`=(T_ia0>fQUs&P+B9v zqZ%N8&4tAT7w@!I$dl~;h1R%@he{)d`A&GdZW>EuOp>VGMN9W{rP@cy(+(HV#hl^~ z$KdQW=yxsiBhGl#h0@s$YtGq0Q?M9e=No{lgpaA4X%SJI9@xICEv8+~D=VE8MpBb2zg?(ra8b$HQa#f>I~{ zFq!=(q=gDk@Qy zzFyXp#yh+xI$^(_@R@;Wa#lm$U95ISAe%wxRkAtm?)3Aq zp;OzN#7;UWDSwZ+E8;FHJ|s#UhP!G)uPyRF1Q=$PYN|q#KLKn)%4%qWVs~ z^^ThW{n{h4*sd)BRz z`6v&v-CFAX$ij;jdKJ-_@1}Q&naD#uL_=QXgKrQVpiey7`{#1%HwH^FvIR9#m=opM zX#KmbmPs|PKOuIVH4l3^dYx(bx|LeMb*M0z zs0V{i$8A>PNH_Owv&`pBz(~&>@fp?i6L!cKCP+eFs!8hGz0%pgAO}K+SaSRuVZoy8 z)8e?@&@r6FKo8h&>NhG1OLf$|A803i+|5upPp}y9=>HgqKUCt*i~c^ub7lt|kV>}% z^X*13U>dLbH8!Z-Hrr%8*>tBU+SV$#w+ z7)vIo6#m^#rfp`qC>h8#>rYPK8e%uEORb7*b|!i?(&Q3JvR%F@s_y}2J@1Zw=x9~ z9oyTaC6Zr~29?~BSmgP$`BZfaZY{tv)sja}SDok9D~31xd1_{0fU=Frl?yq41JGV= z%{)^y&ss*>yTbY+dJ-oFhnbAc55_LaE)Yp`t1egymtOnhr5zjFn~P;q9Ku;sT*5&b zXBNj_9M_oIAX9i8>%nZ;vB6!fo-LP;mloyw(Qi^(*j~(V7r)Lie|oTR#s5p3its3N z-6(F4;y915Bc%%9gIC9?P1Qj30E&bjsse2MemvEkw(=hv4lkADog}C8NIlvz`!eEQ z%ZK<7!}1{2fIl+CORLt{P3-XBJL$6IF5D+Ref?WFDfAl9cdte(C+SGnSQVN$%;W|H ziuM%7UqG5hs|!2@(7_HRE3j`1AI;hrFDuv!J8ttv>go5QL9lZs%Qf+PDPFXKHTgL! zsI6uZoaOYdC3P8%D%jCA$&|{6cQwaIs6Q$$y5{hUF zDX+Xu9b{Y0sQ7@K!curQ$*pPS{lq8x4&OmoN|j4OHLk5(q)vy@t;I4nOZQ6SDtVq@ zc*Tau>6_J^V!*O-BUg;{f4^gSQQI%X_O!SUNapWD*CKt?rd#>UB-hg-$3xtoqZfRD zz&4q6k)dZVH*l!!s>Ne{bPMqQ6OrsSu{)e1WU=EYgN`-q`C&7VYQRXkz$erAose<| z3N=$QP&uV0de}1U#@W#X#aL}ztaEM4aR_NTuY$)#i#PD)J zV0`c4>pY=6WMyO#fYGl!SJ#68Q^gn4f?~-$f1WSL*as^8Y|b~MzB+f)V23MiBVWOG zOEz0QR>TjC=M*lpuI@dPA#J4%g@;1Y6Q{EJS@(W;;_xeIN7jhip&7biw z5Q!E->hvSXwOr{jOlpZho?By5D0nR_Vs`=6v+**3%8#7QG4pMTXWY8bbTmJ`JN&NCePi+9M=ty-}L`K8&};KnaU?>_zeq z%$y|kUn)UVnpj~;fPFOA1Pv8{`#;ff!Y2JW#5bsFq|6K$5AOaMOixIJ3lXX+lPaOC zzplOItavC-(7wqs4d0iI?(s;}tGq2`HE!D!O^x3w`ndX=zKF|_>zyC*uj*6i3tR=< zFZ^pKhJj%2oOEQ~v&E?#t5bPf8lG~&Z_}h@3rkP+E*{Cc3a_!N_Y^V_Oa-cD)`Sb>hlFCuo>;y(P+!d9d42mHvrxp()h>OU*??qa z*g*+ys(SH_Zt3UpoH&clKBUfS>n_Emo71Ao{2x)^N^&Kg%j%D)SEle{?+%5+9-b>% z4rvK`XD{+sbm=}F0s*Gc+)z8%%>y%j-DFAQaBxrD(qyfdZDMb$_P8>zTtAp&?S89e zu&xWgDHeupE{J5UwPKuy{$&5W7B)+lQ}t<;I7@#OHNbZy5vfDHFnn77>ifjGl8k+U zhaC-%?p1B}PL@KwBB$asZ!)bBc~iNulSU?PGEvJTb*0l;d1^**Y-t1t#OKSPA=g;2izf^W>G=V7gPWxVGS6FL2hv3<6x zZq%ppw2sh9hQZ~w;3l!FnzME=gGpdV?mK?#SX+Ps=WR&OxAzkV6Z%^>TGV}iJOt?{sCNPx2DtFoTR?oy@)DP`UF49U8g~vKId0)@EBZ5KNoEMc4 zyZ;;#Gf($-JZOkFL=GF`&jnPXsXz5SBj+b^;$F@}=(TKl<7Iqydez}wnVV1m1R*~Tk@=JXBDG%1>TlxqLkX`@iRuV>Fo5JY zj`R_KlGdkzP5R0XZ8jFTup8A`UMBLZd+O{&%rS7@2Rz`JnbGv5g%@PEg4(=bWw&F> zc7cTTAnvL>&3u-PPIZ0_>rE7u(m)zvK4!_7ShO}q$&!8Q?6r*`pI-5@@z619Y77Ty zV$s2;Z>ta>xulaM5wMDq#9}rCvV_cD*?M9#R?h_vk}oulaw~C|4A>dL75lywkkcCu z<5{PffgbpPZ@g!ggK|%b8|S9D6;Jfa0l{VvD4i>tk+|$aY87jgSi9-FN(G@etT(qB zJj`pRCr(475YwC^cFgFrw{}x?zv{cgW~X{xr5hG012tV3-BuQUE`X$TUJoSz(y|&C z*=E%vrOnwgSO-223P5k&VtOMV>K#pi&%F9>q1^isg@O*e$hrfvHV);ZIz{DQ>TO2p zCpVM#QKf{7X4NEBFB-jsCM0>4Nu)6y-2E+3TA)->hZX}6Lw4s&fZ?EJaxEcgPCVuf zt-+%Mphbr%_Qp4$9Hu`_8PceQlpLJXH;&}{)_k9U*-T}o<_(z>kx==^PxBO)Fjali z8Fzaffcl8%Wn^nH3-Z zf=dadEfL38uSLF>q4=-3Awbyr#cU(T&Dq`okl;#cjU`&}22Ss7R)jbSPS@q!%l0^>|9;uXcMtV~k6cUOi)VFU( z4D%0=T{lW$xFWz3A+tA;Msllj%Fw`y3Cnc~q27Kf8mR@%-b^A0iBDJo~ud2^vzDCij0G))-2j;B?Ua!axNi{w3Au&oJ`OQXuB)Kco&{2lX7qa+3 zFdidiMPab&QT^AmkWt7<8RdDA`O(ZE*^4|fW{=|wKsO9*LfC}Tj%HTNcnOw+yH`0T zlQ!-{wz77TdA(J3=UadgS=nzV1J&loR=^I8K-f8tMpsV0q3ba-r7zUZg5xB{C|~5d z%tdXv`ie&IKOT1p^tk#U3-CuXgW1Tkx;MqHxvv2-qj6)*lMgXCq;OMp{fWWd(PcD0 zr{4Ra&%<@$(Ri17qfVmsZI%m(=lC?cCQ{Kx)Sg!}3LtXGwbf2SsNFJtx-GCm7OQZm zc-S^Vs0FvK8x{a8tqdVk9F?=|>iAl_B_jplLxpST?zm}QjM?*j1gm7o<0~adWL%)W zdI|O^J}|S*Jh#vhIe#@qIJ-;tW337yX^y+CqOnEmoq#yXbbpQ`7GkE<8^#1YjQRK@OQ0|eh75*&B`J7i+z3UQ|>o-&}+ zth8aPt9UzZAx@24cOBT3YR31qPtv`lA4xY3 zOC24k*M;{BfGTWv%_ps088>xE$RRt<*iRyd>44@c41OI=7~p|>E4RBH)YT27GYJ$P zC^-1%>?KtL{i}rrY`Q;YdemV)u)6~Q5xmGI6_(sadvUFiakLLKU@gd6g+8z))EHuR5)Ppj4+Z8hYy0v*ujh+f%a-JDGP92c)a~S6)Kx%ZWR{Qd3#-y7CX*|~yY9&#P{`*T@`Iq= z(O-KtPIoC1E&BA(KxRAqh#_kz_he_yr=v`decYk6WG1*t1etGj@ik}V#MWjShxGtm z^YZj_QNC@rt&8yns>71CM>;Cy4#)a_LUjvbSmW@BPiba>U(8BX#7nfIaKx4r7{2z> zz-sNGcCXn2x9a-qYhZdehsYJ5XrviF3+v%yol9%qUKPy95EV1Is0#nxE)mCaFK8uq z7Pg%6%pRJ%l$RgB^bHDEMmh)RT2bp-&n2175LsHUrT?&tX6|hCG`>>#1xH1ADNFe% zjX}tW_cgB=HI_)S*tTFBsf7Cay4H5Aa#wG^B-AR`^<$fp2OT6E-Nze!=W3-rS(!+* zBE>Dzd;%Ac%@wJa0*A4t?Lq9;GrwQIiA`LOip!ZWyYW!x-winOOFzP@NUmDOzmMR& z!82E%x=!BX^1mzD3aJXVcDNi5Dj!@?z2@YIpRkmm%}THNzgqw@wL+D|nLI!q{e2JR zBQyM*3Pk{_HX{AqtiR__iL+h3jfq<@!u)3LJrw`Ck%?T7&Uv9>jOx08Rj;Ag@;frsv~C;o5jz4>OjeKW)*+dskr(&}hst9%KT zhySL)Rpg*FO$dKGQs|E z2!8aBf}_g9F@1glzak5q=J%AB4J=lO!iFr2=9vFoc^bZ2A;}I8)TRG-#mpK@m^hGU zYr*mF$|6~LJwu7aI?Hb&yi>@FK(SBpdcl(^aWBf67nSp1YgB-AkemnJ0^Im`i-dn{_|_Xgp1qDMfeR> zk$Hcqe0Oa9OOYv7RzY1hLA~0GNQUTyUU!S4KV#nMVG{|)-1OJT) zwDxX{YHjxv{+a6J`VBKgGsypcTnOb2Ud{ZkF(~HPE>l%EwzJFq8&lDcyMfiPv@k07 zpSTjjqjGJnswZ0icTZPqzoZ}XuQ}A((i5#X_1whxamQ?_uwvr6QyB~;!Detd0IC6c z1pqac$l-(sUrqacb~n4j%zFN`sr3oB@h*rg0WDK-$!pq$*zWL&(5RsdD^+FWc~trG z>gHNCbCZ?$A3OVdF&EDv4K_BXnqV(!nWEK`9^HDmCgjz!;k9dBv4$yM_T%nP-$~tn zSD93}9T-**_oqtt{%xy~AEVYf=Sw$p*TgA2HUO2Q9(6>P5opHR0czTVNH za;`FiUW{>K8LlcU@b7<}HNT6B(a4Qkh(~Wz+jsZ`<>@vdfBWit@m^4@H0O)R(JjU1 z<{mKZqH+QwVI}SF$1XZWb7+kRFosQ&Djwb=rN1v`3TG?O2o&`Tlst;B@0{vw2O@0K zuyWFm)f~j03J?$9$S?IV-O)F7petOvb?rqtJyAA#W|>kNYHe&WlUA{!Ss@0kw~;vo zv&Pj2nF2*~Z2RYRON$v3qb2hdDx0;KjmH|ZB;b{(swq~>q+a)-`|YEgr>0mnGwi(t zXPNAC>Y5;%F{V6XG^zz@hs#;4YNmx~P8h>^tc7ZSv{=tXSU62`&49aKpy<(mS7k`B zbA*RMh@(WDPA7L4C6j%=bNlU@PRe@4rNb^zwU%S0toBm)k1+;^aAS+YB<_ZOcDbK6 z%$<~v@7%mri>H`oYhk(Zc=o{;rklz$yYKZ+G%ke@AMr%${ zX}K|b9s>w+s^H98QR+y0hkeIwZ*g3LX13})*ZPRSK4On>ydH@!lmyHh__5>PIxQZF zAzbLyL_R;#`q}vp!4u3~vu&1KYfKnAFfAIty~m8a_4UzrDClz`o(HX~*r* zCxo5+CZ0KKWWDfmBA_p!doHu$G-wL?c}apD%~gEnbaV##h&S#%9t~__*VFjqaAe`& zRmGwb4N!tc8v#qZ6-+}w8>wi1)U%Z`N2Z_OX??GKl$w9@N0_kpdbVsjt3L2qLA1)Q z=PHUEK(OU8?H2wV0vcXw>vi)?L4G{hG_F$q6Ga9u8~yN&M>q~?iS!rBP@<1k zrZo>_9)halsuo3OrovGd4bsku3B~*q@#=>u&WWMa;;#@b$1i`XGvs@Ucs4;^4+iJ_ ze4uraV<&>wK3UK?F+)}}rW9vtw3bVD*{}@EFqze1ZiOVIPRTICQ!6;icUu zX#fzHh9cPc%|oS90m^;b!w~UFdoiG^K0l|FJ_qVOv+`}N(sGsID$<&r(%1lW9G=qM za-kWsrIgYEA)^l!#(onc+Ux260rd@eHX2x-G^LK6i!6J0wDo|)-9^(~%=0*EeiZ^J zM+Gx|jJ?s|Bkg>77gFxkQNQo}SvmqTC9iqxwiki+D|(pP@kIw7Hd9r*mHM_y>xxSUwy%C(C~0H6 zz75&7uv3R11vw||U*lF*tF8i=Heb>VXB783&b9Y#l2B`#rI?NIAB zIjNQOc9C|0AdJ_E@3fkDI{%6P>s?URpl+!Cv9?pwt(k|Cc80#rR!MK{K7^P0j_ z7CTQFzN4JJbk6?xl{Vl$;oI;j_=;&vk;b|V>Gc(cUCW|H(~qV<4gy;sI{957~$ z^=ihnLdIiH@#&sj#E!J*(o|iS&*FcT2-3r<jd*jYq zg5dIKy4nF;V$?AEJA7lTAhhdeY6BV`o4EAC)E8lWopXVA$m{#%3A1_A*k}K#fYWb% zq5;{J6rTh%L*m72Ed$`ns>F#gpP%08e`S#UeP!}sHPPPr z_>6?G!k*83|YFoa5rqzBDyqD-u;rm=G&a0f^qUyX)-smYS#b|cB>2zQ;Sz}|nNO;M~VYf#_!QT`># z4|S(!S&qvhsyzrQP5-^eXVwo&FiaY^yzr&SHwv_mo9#9%I+7Yz{f)N&?74 z>DoApJL!;Nlx0nB6aAk2bA=)wp5CEVR^x-6YKNBMYOX)7>Jwf3YAe+iv!7Wqwan1X z>e`l-m}Tu8TLtIhlrIlu7{E|niB6a+Uih|@Qusln=gsMMMIp&UYT=1{>BCR>=-QaD zRggidmani+RVt`Gibf|5K8k@YYD5|>7wDfL3S_@3E|ESLgqi z*UV;*`~T>A%do1xFKQG8X#qh>De3NR1d&Ga(1=Kvz@fXl8>G7qASK-m(j2iLhuQW&zjh;2nc*aOyMiNFWH8 z+H&dFs@=8nClofgjsNk8iV4*iP0h#_sqfhjIPCnY6Ca=UX8gj31o8A!W}*JqeIg^` z>ul+D#&q!Pj_aG1L=B57jVl@MezX~L7SrbDLL(|1##G?Xy-9|$W~w6!9f~^EtBF_w z3QjbnE*Be;4&kcv8gVC6r@6t`P;KYediC+osf|%}4xe}<8!$ELHY@UjSNC#IRWg*R zU^VZjecG=D!}(L7%IL9Q=M^J9JLc`11EOxjW;OuGoIA0{Dy?Eqn;gc)UlDIorY`$h zRuFKsn0fH!?I8oD){#9vFgPfbKv+LRw?Zu-ftQMAaQ~Bb>HHh80vj=R@{0w z0K$#zu!Fh0Xv| zos()CK5fZnsb2P>nf_Np2}bqT2>pprAD3XGp^h_Z zR_oPzHAHP6K5xQiNps$o2oVL+98Hu<)TP+-(=ym~>`&HsRO`{fxC8Nb|?29vaVL38!8x?cf8)^u++qCWJ}V zF~lL;X2c5jiv7DL*LxbdZ5*~I zEm>{Yygh&-#8$qHPjH;b0AzM7bBzyeGYM#Tb88s;dn!QvhyLH!VThrX->OB}B{p{J z&wkh!lE~+aQrd`f9At6ysW1you16cc2mu@+QLg46o*6E($G?WMBOzLr_5AS99F;ynmlOR8U@Wbm}4jQ899VQS+NIVbq=tuvCZ8v}MmwwT;^b#R7W{d?Y4DOD#z-`cieiXq6cm}II8#=N za-As-ug+P`TvEV&TpGmO3Oo>fXc?P0=l~A^9%S~^*n$8olGosrZUtHVLj#O!15v=yDaC;RM z4C+q-@2$(~7PFwmoHb&Gf75z}-|#+D*RW8jQ+~6<&}94E)PjSBfe@(u@1y6ybwcjE z4hLo|-yllYsy}{QUurUnzck*jAv#O7v_i?-BL-3#Vtj>X%(JTrz~)0iW_u`CukLT4 zfEuwP_~6U-tc2>SIlBIZ@1>+IVs567i$T)PzSIc2ftV2&tE%9PkG&8{6ce`qfaO4> zrxkTR2_S31aIE+;g}uWr>{(f@$&Tr;ody`dLOC$k>a?8J4w0>A+D~uy&y0uSU;3o^ zdeG)rd)fRZlb0`Lq!HlsFlRV?5WTD3U~`ZqNc2R?;-v-!HT#Y*RZi2m?vYsf7uDm8 z*S-W~(4fNJyhWfq8lZu!ZeKOS^xX80uPHls;>-exU>1nZu_(p*FDR@QsBhp*VC$QP zN?+nMd^+kFkr_Ovu%Q)L&0wndxYX&h*kE(5WqkL-+|668; zXMzbNs;S$4Yicx{exe)Z?>|KpQ^+SAIn}PHz-E*Dktxs?UZ{>$|7E6?c!kn+jmV-2 zpp<2n-T)}dDyE_Y9ekq8543Pk%6;4huUi8AR_2>+kL5AJ6?bkxBL!k-H{eWihJ!O< z&@NDiNY8(}9KWJ+w=?K3Krge$0`0s8>)nO}S|;j)fXw^>738v09)gOm`$bYG9&OV= z>iU;5?O1hc5DB7EZ@r}Q_uh^HFb#$nD)!`ypFe23^>4$ripV4c@aFK6iUofmqIX4Ks4rZDcht zTlvh!>m77d^h*psj#v0tX=~sL6cpyIMXT6M)U3cHf1PsZ&gpBeY*XK;ruVl zhkZd!>x2E97=m0;vu+!_ikkjH8OGG~s5 z>@>gv(eK~Bto}uG)nqiU7y75O5y@9@XNyNqQ)Yfm=>PY*X+Upc(an$xZ@EGR>SCrn z)?a10Yi-mKeMoBN14u0gIb?F!9+S#7M1GdX9Zd@KirH&~!8yIFz^eKjzDCRL+1~w} zfA;2kDa-t4Z`zA}W}xJXaJ`sXu{*9`0?j~a*0o*HQ3g!Y=p%0!(aR;xtzU}HA7z;eeOY1Y;on@HN z=5u)@=PL|Ego_fgF(b#uI6Y?7Muy8A;ku|w{XIr^!rlC!O6{||ocGfjb;fubqw`z< z&J}0n~=L7WC;qyEbLLhC;vbx>>x6x^`xmhCr{F@4PPSK3e zUVY<$Csaq9p^(X@D8~y|I!?Ua^`F5qO^QEBYipKo8r_AO1eL{=Kz%H$(O}l`LnQatH_GJQ6}M~f_;I3 zwf~S!SbNvS+bQ2iZ>fmsk9#KrcFrqc5YP^Cx>@w_!CU1(F+N9R2X+q^+Jr0`@z}WA zj%z=!qE6zI7&XJq&CQoL;o;$*BZ!8EhCc1k%CFCA(c-PI7}UQF`~_>;YdJwq;;~V}E}NsD_l1PW#Jy zNk+vtZ!k}no*tpSy@!KoRD2@^^5baEh)%HoL&M*ae&8#Ynyg*^%z%+>T% zAqbG{l!qs@rm0EIMnK}uB65t{wIc(gAz;~FVMXtp>&;B5aEh%dYAUznZK8q$BQatj zr!R8%aMAx}R@Qv^MCeb_R8sfTA!?DGqE_+J!`*k)J)!Bvv+4mmDFE8!gaH$kF0_Sq z>8^xaWQ#9+mMjQ^0Yj?LDJ!j@XZ)hqaKYKGuBu{Jgn9isEHM!;D?<3X)x*rxR4$db z%doQVMOKrry}iB9DKMLTJdzJKIa+KYUwlJuvp>xe9p$K4?sjv!QA%4xZE(`gMYK9M z&t#g^Erh^oTJo62@069F4;B8@Ubn7My$fP*7TklD1$0UtK0ZFKf97yVr5Hp+W~$$3 z&?8naKz&L#VN5u1(or4t0`-&c3f{hhc-x8ZH5AA zA$Sm}(n@;4i1!^2XrYh?Mm|i_d~1+*W|0DY;w&H>z9v)6#^uC+{{>TE>?L`KYU)S9 zEs-fsPR^5)6MmwyajIPLoZ^NC7b*?QR-G(CvHG2^5L_T7{lb16w)r501P2F_fB2Sz z#o+N#R5SpcSS|-Y$P-Sot?fsaqYfr%5ZGb`w;J_^=SSoA7QfqVETW2ap+rAPn!Z`f zB&UO0pjaxa0rL0PQWy~a(e@#2qdqoM2z=L#`2jnqG2S661hz%F3b&tx@vN)N|Lyxu zG=zU)ohUGIs3mA>W!P*j|7qIoMxlWMl+kJM(~RY+6d^1sYbc3CfS8JCPbb-;!7dRn z)^b%Z>g~ow1O{5buxXsl##AdJdOHe-M7?VFEvZ^3HZo%F>gu^ zj%a@Ul%F&+!gw_# z+Cp?2vlxG_Dgkx$!8=7+Z*?_s*I1q*w^D zB{*`WGW^5)Ta5_4TL{3>CMX&L@EY*+=Ye#cc(TCn&07`o%S-*y+QRk}Y*fa`$x-?u ztkf|$Xk6c!o0o!LG1xxdJ9!CX$Y(ha6dfN4y4`w8y?Q~-OV7vG z@Omlyy)LoDPt#OC zH~H-TRXx4rWO36W=*Z$W7H8Pv)KPNae#UiUBC6nAQfL@$VPZ*-b==`KFr3gFInX!3 z{XI)}g4ay10*2j5R@ua?o112+iIM8So*@TO$bS44dGE+04Vq>Qo+SHtl3RQ&PVKo( zye>`GSMi$7K85d9Cs~wNI$`2MP3WvTpDa#Uop#o@5Dzs>pZx>aeTnF@^PkXfci@Asfw-?^^uNul&kpXoeA#DUW(+wMPJF%VVvq_ zoJI?jsDD&rM6e_7u0pMCYaHF>pfToqy8^CNKdHiz}T

|$mRWgb5^;;?_wV@YE7$t#I$6wNA^N$F4rtf zO+Q^heherM^O_#(eS!ENBPM=bZ-AJz+?3qzVBfl&KwL7CGxA5^RQr)ONB|c50 zqo2Q*T=%Z1J_N_-($#5Y>CV>hG)4!Qm|7gLQ|q8KB>&XV1c9iKk^KIzXCL+{D@3_& z47DQd%0FY|$81eZ2;RHNuB?sRBt(NIyz#WGk3VoV&6DN6omlnJeZnQzTVEY`gTh2y z?0T`B@Z{C;m8sy5Pgjdh{S%9w%E3feLX7IvqWkUEPDsm*kqX?O!lasWS^|5S>!Q;? zch?5ctOPBjo6B!&ZyMK?%ugn3U*$68)7KN6J*aS74-QIAH}4HH<(umGP*RLdGSq?R z`nHUi9^iMm!H-?RDMzZr&IJ5Nt0hCVnaWDIx+gLWbJn+h$D0kk@;kyW)+eK=ZG*4- zfI8Y~c&6gXa^(qlZr?8hf%&?^JF_1K$qviA3T^;ZZ}}zeF9HVD0&v~W``|)@f3l&D zq{Ya^%bQFvBkYe+h?w8;f`x^}gNtdXGvSMR4RGCCsftY~HMfGvj5%ik^Yz?UDMQ;9 ziT3mN(h9#8=I4LPfGL1ElSp%*i;S<6oB zmsRG2_IfgTcZlPw2FgK5v(3|5Ff6)uv{aMg0Kbyd&C3r2bsL>*(9Y=4#`g~CPxAX? z#fNG;;_p`D|`bU?5WUF21P#jZrWlyqfWU7npqp8ald_g2_Y*U}x-| zvO)3NFZvR0^4Cn}e2Jpe>!u~1{x&KMXXOHoLOfW+k?uP%f94-UmTp^7c+{?&b z90f&^1dTh2H&XBM@FXx_@YwH7m39ZQUxf%tqwF&poA=yJVlxUh zOz{@8IL`h3Hw33%Dvj_7OuCEfZ46L3l&(ATK21TGTsp6Rve7hDD~o&O#$DaumrlMg zx%R6)%h@h17;EH@HeFtyNnlzQT^nxy1S~t@ioi$vG>s4795#a79|Z38?{;wgHtd!~ z-2{iM{8|E}+!vfSUm>crl-Sg+kKJPphpRNbOCvM4%qo_shX|er66&G?tWsz2I3x{P zDmm>WHYL8l6$0=BHVifS9cE?#snS?=#yQ275--CbBn|>hr?f~Nl5RFw|9X}^0NFw| z18DE38RDZMNffMM%!9*_;~{znd74)~l(S?Px~1&gWWNX>gEibec!NpquhO;8L$Qs| z1bXAw6}jr$@@Mb!bU+_@iLwZG5(**PIp|#^b&mOQlJ2szHuxg-lth(8cTJYMd+;hWK}04mqTTth-h5bj$Aw7B z@O?3mmia&O*I!Lre%mZ7IA%A-HJNba(fF`GylQ4;M*QHuHIuG{qiqw~RBpcHlYI`7 zQ<$Lqv7v5esZ;lK7r4{jv0?Yav%qIXS~iqn$>tuvKw5_vyG-)q4l?A)abu6l?un-1 z5whCdfC6kVGBzP=CsXF`o%Dmq48IgI%v+-)qXxTl-=-*k$wQ_6J)WmOyu0|2kYjt; zpVCPTvEDdcxrhO$ww-GO+dN~a^l>lHVr1vPO;p!&O=lNn8mvYw^V-!4ITEN#fsWrq zg)oY;=~$l;PPauTc-fj}yr9~(>Gs#mxxiU;7JABW&;c0dOokz~;egQ`p}6rv&|!@s zp)^CP|QXsLz-h3LB|3)Xd-O~c$ zSj{Syy4nCCsy&@yjX1L`=`MIYCY*s5J&AQ2_uTbvq`!Eqj@jMbY9<`I!*OVQxy3}o zNPvtWz%)7KWQEVY+d=k_pSoB5a>;rUFk7VpRZ^W)wAE(o_u##`mv9o@an9PE+%BVS z+A;24L18TAKHi?$dm^BIjAPWq|BfJ#P9FWIfA*xZ$c;kBG+&z#>3%nuBrq%cTiY;U zn#+uUb9#_jXdcANIG{*8L1Z2bEp)jTvFKB*cg~47D64ZiSq!L~I}~$sFnIFQMUQJH zh$8ddbi0h_t4({X__5WLNCDb3Z&JG3z8dODevx>^@*?uSA(C z_Kh{62N&rYt!v|!G$C1{u!gBQ;w$=-YJ1$@c@ti>hRHn~2Ad0@NkG?08zhD7xok|o z^gOqX=fIfmQG6%3m#~hr0QujbBWnV$PG-Y*)PtNKv|B-O-^U7w1jLGQR9`vr9Yew+Vzd%63DDvcO>$|-LuV$DXH_LcSPZ}#h`w1G+w1*H>XfKbRd z9_jHUwGcQdDL>C;OAQB^K1d1JFmFrz7CR(ZP3iboS_HI)f=L}lTKMrUNkAisulaSC zXf8K^r8*#&v(up-_=uujBqp=RYg2&jNL=BfIB01??Q<$tNdr=;!^nIHmLbTe>_x=d zTlwn6Wq)BjZ|7G=Z=07DH%cAGx#q!g)Lz;oL?Y8VZpYRD!W>&ArsJ--6AwgJ(j5g)`LDsz2!NJCgIY87~(FM$P)KiyX9#ck{c=v8>o`>CJK zweeuxwssv;?jsBJlJ{6b`H6)3!Yo;YMfR|$Jy{+~TPv1Gg?@q#Ly;5V{lTXn!ms1) zV*Gkm@_yPNYCtahiNO2qAXO!2JSPU}{%exN|WJX^I zVOa%CffC^&_JGeHK~9LS%1A!Q(}r2lP`e!Cs{A%^Vw7PauydpI{jykwX@SZInbslg zG^P-Q41ST+Yz+)dk_{2L?558{M!tJpuE>|+KTcu~|85sKv=)G`N8JDhth1s$#?f_P z0fh(C!9m(c2pt+fui7bQ@*bc^jF?*R<20X=W8L3qSg-8P&A+ZSvZ{lX^JPLVic~<` zQk{2{k9eJjbD?8*Ji2(+g3&XY<3l#aaoR9o&w{J9gW>&SJx^aAWk9#x!)H^QU*X}M zD?*@p`e5*hE-1CcJ+VNVIHZP2F_v!|$I0@W#DR2^CrLftrR^wcV zYt!A)p6v4TMMS1DvRBFt?ua1#*NA`oFvaQXQ3-=y%^itQt>-HZM}V40tr~A$_J3dS z%aGj$Hrd}+40RIX_5eHKAJphg-Bj%uECk3b9=z(|MP1NN+3{A#=LUPeB9=kF9tz9h zQGu}vh)y<9V)uKKxEv*^fN|z!ARWo;MijB6Gz{CDw^eDrk?al`) z;wDW)WHKG?2Crs$tfC)8@^z(i_H)Y--xG?X>k)f@-QBpe?Lrh4g0#m&30Oc;2VnvI zBr-bZE6@;$goB7(OX|)tt1c~WclSoTybh7UY>ZlN(o!fj->=*R1)v;d%O@dAkLdN( z2}eq*Uv6#gOH7lPqR23SEp%YlKI|u1QnMKb+>Q6w3bmr$qFs&M)CLpdJ%_{D&-q&! zM6ju^2VGGQC@*W%zwf^jnBbZVO)z0`jqk{b`)ZFBua0^*>z!_PDC8FT`Dc(>Y>*kd zg~9_c7?#nJl!iK6BmXgQJT#D^>Ly(AwX_af+>&oPj41`nK1C&S)jL8TCRC~cDZyBG z$!TmUJC*!CpYd@sUHijW{)nZI@8Dnqi`Co$AjKL(omEgg+A=-O;gIN8Ku|yk16--8 zeN1gOGz3)i$1UBL>byHCyD^hxwo?m(bgot4BUtxv3^-O}MedC9{ah~W+cMXol^znS z%vRt(l!*%q$k0O2*1o)KJ_6eEGNH${J=xl(LQr!qwe}ldYdn*DY`FhMk~;>QTXx+~U84ZWk#4gt2g;D59yJ_l};KfX}csl zUse(TdsrnEw(BGjVzy8Cs$^T!^7n0q=P%iG{0o8O7Vdcsr-ZT}|U-5vz7a zZkTzInTuLLf?4lW2x9^V?rGR`u|N{(!$oDO`XHW_HR;YeUo;Y;98w8EjW^eoK-Y4a zc4x5i$3^RwkVXPpN|nw>6)W6tP<_?JQkO> z$Lr*VuJ4z&7ffQ~A2p>ZLW0gTo+})ioaWOA?DPNb%U`b)ZbUzSA&8%=*<~L!()Jy+ z7Lh;k?M3<}Ct3)cW-rZ1o9Cat__g78(*WcHGll4x5?NgOvvfs{T5VSW!NV4hKmtZW z((+qv)qjzpAIWzFG&lVDB@m@&8=WX{!Mjfh0pyhH2c`X;@G;ABcdJ;&M-GE|@A zK@GCxJ!hhOxygeo+nPnfG|^J3=B;@q5(a=mJv)R!IPp*~)mN`I#Ur40va}WH_Al;7 zqk`+TjdYJ+eH>esCepvE@l@zW z|9_}!{89YZ+P}R)YL2J$CcX&Mwf?hLmZgZ2p3_~7!iY!=o;VnYzL6MT2RC2C!lU9< z0zW@iJEgx-g|BtaVDZ`SJNB(|2VpS<%>}JBRm2=79iD^#dOSim0xdHM!uM#dGSr}DiIrOp-r|1p@Wkh_b5&ueuc{g{CYFt@q=A1=AfPY<7$A={l(bAQuUBuJlmd8`b z_=7g1%3|X+Y~0%h%=JgUy|nwyDXYi%ll!u8o!bSWhlrM+4hT*UP!I6J{egoW-s0)0 z73Aqw{{7`0o!!BWR_$(X zpmn&bEI;2_cdq>|S_h>=fF9M(F~74J*;Rq6*i%nFm8voPC`Am+1R7M?)sXfXbBJz-eg)?1i=wff&ZuA{ zk8ifH-#5c}7>=e9tZu+xh3{0M9W>`yCGx4awN#6bX+#)7^}=e-R;7y%Lq1DSbk%B) zo0@W0)nqMD4y4%_Ds7Khr+wXl+Azf%dbi1pF%?=^i^VJX^V`xxdK=eFRZU*jS@&ho z1ago3jnozWuHzwy;Z95bZVbH_Omxo?M>+11>#o$Yg)1xn6upRi0bB%NK$acRc%w;+ zNvmu+K0(>N2%4*W(mL7J778_&pH$GhqOiO0%D@})EjlmXkS|-JlZ5kpy4f@D7dvm0 z=0#Q;qdp{*;Xd4XVAYxn>nzU%8O(PQTmIvKft_R5P>C!LGo=K^ST` ze_uLrq>XZIAkGQeowZZYt`nl>)&HpCJbjuEJ&GfL+4^*ELu5dGyG%j&irz$7i7L08 zt9BzbI+IV3kPEh}V|Cu1sqCP{xocdRFaA6q9>z6r=rzXY-t6^H*7dXGN|p2VJPXY# zN_8Ne-#p@#r0gQYSW?sGj3Hf=ggf)))APRj&PwBw4Q9NY9-)xsi;w71_nUsihxY^N zJqczlw`;9tPm0@ja*ghc@v`ZL%KO-#@?;C7&@~^=`r&_uE*Pc(rs|T6>oK;hFHC{o5_(Q~4a+!k9&z4d)MvD#3ovigF zIQ{(YWI&@eG)z=Zs)NMwjl7+F&mpa47m2(U0O19U8`hj}5?{v&3Q-6@RX< zu18M6mC^5c<|fpLc=M(hsJp7=`*rzu(1DJ4p(dm<@;7IkABu11mLB616APewPq)w( zxw`vU6#Bf0NjfWx!o94|#Em)Gp1E?EWy3lyy|WMavzVa_kQKi>$h-FuJ;p^z$Mo-E z2C-9ya&1%;9Hpw-(|*pyE7VA9R!~)E@Bz^#SACC{+>7P=MLG)jR?0hz?H9CJ&o0a) zViY@3TT9!`a;vJ5jLm^wU)I%T=6_}_vbIdvv7Ge37YkVV6d~v+`T2!3;pF!*Wx{gs zPt6Q;Vg|oef;!N&8%@JuBzc?I?b%Bh+g4nE>D9{IBAcW_^&PxXW&R|^5yB6(@cy0F zjvs~4pQN?E8bJe7`mqtF6;;h#=SLMS0FKd1 zQHBfAmc-Gxmr`u;C>`H(M9D&#!j-veHmvRe;r}8@`)5aXmz(a+9@;0m0ZM3g0E34! zR;8}H&ByZ&bRl1Vf4SaDe}DgL*GH};*RyQCvO1fM;I^;7pW4>W(24lD7kCSCP(^))JV>Sg(KxtdorcVQ9# z&{VoYji|yzdh9s;5H)(ZLZy>ej*mXFoG16pn1Q9+(#l$)wgNx>gk8_9tvbksnVrSR zM&KE9xLEEscEs#lc_p{R*2Zyo+1^PT?&Q-Ko^NGG2-Z8Ekfw5m%g1&bsVK{dF^F1t zO9d8eFzGh)^Oz*tc+s$NIC))ek58gcPkx&%BVz zQmv^*xcqwT*VJx9CnksgA_~kvd-U0_sb-z&2OeH)mhutw`giY^f+wehJ`GM9a+XmRK_%qUyIX+jR9N6FGe$}uPR;p)XHI1Y`dC!X~pHvto z|9e}@>Yg>`2zPuSv?+?1Dt9*q#5WTfC9`;Fe%b14uvrhv-xHE)`QhsK=QkE*#I@KH zd|`3=C<4cryfdh z)|!I$70Mh*1{~rta=h(ETNsGRWRFU_9U!cW80t9DPVpx zo{~5&-JQ<~rfksfLEBwADI5}wZs_YPJ5q%95vKGkF{NQ3J&-LtTzCi`KU^&Vd0b&J zwE1ETpDbyK$niSQNNWqsM7&h(!pW!C-=lF-LigrxZh9IJG&5J1b2)UlgqPMzj|7FN zcc~EGycbf8KS<`UBZ9ep4ZO^USpZ3yZ=Wm+wc77qCaF!sRT z<9AF?#AzH{zYnk4abA(@6Dr)(y4nTveQ#GaoJRt}45GS1)wiz%9}3~!ZR#E@JKI4M~^ zGX`Bh#CBar1nQ)H(6+305No#$Xwb!eEnSW&3P)q(KsorG(9OXlHhmuYruFGWq4Vu# zq3Atke*InEl>3kmg?s#$yX(x9VC*zY0j!J;X4{d!sj2mwKeN%1I)8)E73)vI$6N>y z+`)qBki~YG=59kk25L^YMaRdL+ja%t<&lGz!2 z&s2mqPN6FgTpjyG)0mAs$2YF7IrxxGWPI;zwpql4uV_DE`tiU}-GuTlpJtz>VkU0&Cg1`N#m58~sbkyPV{r z{d%roPTjU`{7{SagtMBMOt zwc9p9-RH;Mpw-*myFKqeD2Ek(vVRvANkYyEKth&d@mPhd&NNnW;d%&}BtrG|t3Xoa zjfNo$V(tLzQa!EAcQ7@JOsE&1v)JP0K|Vw^_dBnL*8I+!>Q1;GyIGS@&Wi50|4eMp z8zs4fCjzvlWCHEL;<_aweTb$x>+C~uq_BH9X%pzI=#eN~KN*)7BD^bJ`WqJ@yVob| z+7V1#RRmqB?zhvDjp+GlJ01=jjwsC+tTr9nHI@H?H+nfw-%V;>cP+~r5m|5pownsb zgVfd2g(%9?6+M2w~Z+J|7lgoHf4>sw5?8BMSZT^@vEDs6bQFF>Jd=aAv& z_`O`Rn=dYP66E%|@aImYFkdWtxbrKqqWwjgWva&3F9tJ^pPS8~4&yV$# zfiHFGcALHx2+>Ze3ax7rK1pdbo5md z`yb8TLXzJtg+rm0quua{xL&^Qv36eoV2g%+NHWYrC-Ll(>^I@yB%(WP*(4CT?9Yg9 zInkHB@FFSP{ZPI2j2e;uI@dXqXs>_qdLj`fNIi7ruiYT*S+*F|5-tek4G=%$`%?(o z$NH2L^(Y2I&unffEEfD}2Tvj4D2sK9`V$KXLxz_+QQ}#^o@t0cU!CI{N@PNSBj!@_ zHkD{z2@vQki|+n;#sVHd5W_rf)y9y+{$oVSuaa!E=XL?eE`p*UM#Y1G)km{#fBm3t zN}1so%+mBl)r6Sokxi2&RBA+^dGxiMlJf2DnErw* zkITXP(f+XjXzDYy+v-S?!rTuF8A%vsq3et(z{tEM3Ab5t6wv-yP3=FDb?kAsV(H$~ zvx|M#8D%q;{>t-}ClxA6LXr|neHC4r)So%(a0vrjSm1qZdKLO?p!e^?aj)F`$|Ug> z{67y_7_r44z450MXxx3yk(9H)Ir7LO!ix1bN4_E}+U1%RtQ<;j_it={vy>6r)?V@CK;!E14Gc0;)J0~+UQeQ{Z5Y0gc_ z<}k>;U-P`AkpGsH|M`DQ@?r{Bjz9QgBDgUfOr`TM>zHwAuQR7EJ6ZV=l^&J3X#`GR z<3w2e2BIu&+99G zX6a_9-px#-1;^5BUTG+gvA@7nLe$CN2UuprkS?@fxrB|H?^A;5suQ@FDSfXINeSON z!lnStW%5*dIr?Jz2y!PxknD<{aElexM35ZImLl~R)Bk(hZBFm^e{VB+B|VwE3%faW zwUDmDPU|}Fh}SI5MMS#(DaVY+lV=(j9@JIKgDQn6$^@9n|_!lB*z8GcpzpM-CTJ1I{9fcCs*z2~3ftEOl zfYPne;$SC|uK-s%eH`OJ7bZ&Sh*0HKp@=YyJ&>A!p84tJkiAS_h6^0uC(FCA0zNNa z4FZvZ(%T^+GiK<1`ELh*_5Veti@#YXC_v0g@DG{vuCoHqqYv^fs19Jcm=SY^k*oEO z)-4@D+Bc!x8lUR_yg*!gCAA8sv*Q@1l_m<3qT56!2VS;>EMM`x)af;s&V%l}eBI)p z32$z>&`0e4+@5C1D?n0?CMhSY2>KUjA3>bQ+M;?*Uz>}XBR zLceiv;H4tPvnr@5db?{I4%s4WwvaQT#4OOi(Gro+IEgfQK)U+UvAwd^!|KZAu~s*z zQs$elVWj)~p1u~dw{PE~2OmLR!7R3E;3U+k>N;$Jaj)7GI^9?1OtM5oljqA~*!mVCM63{OJB zOs<1j4D=SMKO00a$SDZXBHLDwqHX3-Ut7&Mmo0Y}3qb@AJ%&n;p20tRd`nrKC=UXI zk{t2J3ual{D^s$6EENdh90DX;dnGl#<5;_AYOapq$hZhy0uwdI46k*`J1cgzU;@TE z_6w%a-PZos3QX}{Wo#FllqH%OXM`&qH}@CsfL8oL{c@ITwQ_e;Ln%iI0Vdkj`VtmK z7g4r2bWLtb8?aN{T4LaXd4l8H39BX zETa1bZB!j`da>Z*sxhCDUU4s9&dnX%TuNS0iZkHW)nt?+ADIrE=Ac z(XD^>uSPU955do*I}FNDf1t03l_%Q$%pfds4-CxNtmTo zWbe1Y{R(DGA@7BGanzW(T@_6A%3P{en3!oZKp}hIQlYnAHJdl(X6bqhZ)jaU4X>yJV7-vF$P^mZ14z9t1>`Y1#AVU;k!xc=GH!9g7zHAd zlhMp z)s^XZsB>P%nfKj|?Dw*9T-pYQ3#?{yW9}l2N3lqDAMnA8>tGUYN+5|UH|u;$IOx$L zz})3u{PNZ8=ydzdo<{7}u%WmSC~>v3&MoYm1k%;7ZD73x3g3+t!oo-{@^-!Od;)Ab zn;TY4Xm6~kq&QqG?=1TxLw`u+T?nV!awuys6P{s=z2gjfjD#kS7o+ed$~+1mits5* z_l2Wxmm$@=lxLN|J51(sQT~Om+;O?Sa3=>CHKkqMeE7SVxU=^#7;T%UY-Z>=*cV2n zZoq~@v;Hy8FKAF#lPG=4pB*S+JT5vCS+peRo#$1>^gOknwq_I4&{WUnt7tR?9Hca6 z_Ua#OcBl0F&C1?&HvGR)dEl+KY8tZ(of!FZzv2&!|1W(v*5P5qe!Pkf-DvR5l&nxU z1Cl#=?iAv7oM(%t)VIW>OnNl!%-8ZjKY1=ya}EdhDF(ss z7Nbk0=S%>?#*New6PL>u_PWPhvpMHaanA4oN+I_`MDcoc>X62rv-u`1PKszfD{wAX z;L7o*{^-x2Rv>ca^f-KqIwg>KBXfS$X*+94`J3H$HaBV2TfZuHEVK_$Sd!myBCz?i zX0>C(4cH_X=5Q#qpgCx?K|2*yx`Xp&!g?&+bXO{3)p2;OhL)}?nh(ExlS82_cnRZ4 zVd=Ung%VvfW-fYL-{E9I{F@JbN!zBfxqk7K5ZY0C@j1?bPZY~GzG50~53#jDjov=C z9im>rH8e|y+)+8VOa+V>@@_q?AA-JpFRP@@{*yThlshm#rP8eb&HrHs890iNB(wu7 z4;THFWZarZ-|JX%ys14?-Cn?j6E1$H`t(tT{^J6$a@J4P^RJH#OPx3yewVT+KD>e-+%TZze)qY%Tff`Cmb^kerx-Zz z%#)uJM8Y(W`7T{xhpFWFZAg)8EijhIUHhrO9N&;sk!aOYzqmX#=ckP;yC+{%dok2!FW&lblz>-lvKta*=Ge*y%qgC2TzHhI zcatE9lB!<>&M)gt5wx>I3XP=Dtbb0(ad1CJwHIBBW+=n!D~k;PH*7Q)hah~hhD$i! zWxw@U09DIM%O2;Vbjk;}NXYcHBpn!n4IiV{;OH6Q=k;v9R0UUQ*FA8WWt)@mTwgIMiIQ#2I=61l!-Mxqc z(0)u2-Axvv&=icPm1F^X=Kcxz?uT(>8kipDDkwV+G9dL3)t)9TZ7t?!5}!_R_*T1uq8`+1G}6;3ppVNr#C=(@nzH}wDE>8qov+Pb$91r!jF zk`zRd?(RmqyBpyU5(lJ_5|D0?knZk7NvCvogLDd#zXkXGzA^4UjJwZXGoSg)xwdQd zYh{+q+U5yFE!hq7e;(sQJcPHg9Y#Om*5UJGz?&i&D{*J)o&SI;e>`M^boA6luxwzy zpx9Nbz|jcR(11YE#L~6b=@!O8N1PU8)l?}eu98qw$B+-^$D6Ealf|w~;_CfQ@@hDE zNm*qtjmE(df!?MiZsrUzUI51U)#3VTl_7s|f2Jxlp_g*7u(&^D^ z*S|smV^ewe)@^^+qEjU58P^Gv#T5*wHTt4?{U=9Ad5Rs7ab zyE3v8GRLl3vwHbKP7+GuKX?QLn# zsl(TNP_IoKV)-Q`%yhDbPJh$(rU$47Ij6CQczr2|Wk5Y02}2+iE}$J#<0tR8SV4Fe z3FL9oC{oZ2tFVeszY8=SqaYWN&OI&Loi*8QNE&lbf>HO{&rw`N3hp3->k{;Qn(5WF zS;AjeaJt*YFt^s}=~gp<-HZG`G1+U;tIdTaG|ac}X_Edcf{#OVhdow~AVxLz{oChOaKPD5a+A`~vEt)lW#|dt{zX(fm^} z+=C)_zXOTK&i;m@HnrU9stUjW=*Y=MoTWZC|Meu`aTn0dR+GD3TJ&nlyO08e2NZKI zSqd)D`$5kr2y&j*I?hGstV`26YFkr^I&Rt8>9C3z{ z2nJO2=nZl_0?-!0W_<&$KyySfK)6`xm|Ur3VYQ?RW_epZw{w|i7emIKx5;}drt{2> z@&;EMVXbq2?;t8Lt+GGLVDLh%n?3t9u~1Kr!Go8omXG@P8@#0L&RWD@M4-y?Lqbb7 zHS;S%06Z;rtRA%~7ylXTKHEk6F}GN2UBB8WKuq{&-r>$Prr7|;irZG_-f443-DJ~A z(PTEH!ADM)By|x;O-sy#ztOwtR9YHmseURJxte$Oxt^_cT9i!~w5AEV7Xj%jl(D^= zHeWbOZ>@y3Tp&YR0CsRLbRA;vcE@xtKm&KcFm|D;IJ5xLm=#RgapMoqIS_tf98hSJD8})Up~`>AYWbHUXCTq14N-J_y>SOG z$c2_jC)Db3`!!yDU_lb2`j_$9lAM;kyGhuaTnK}Rf?-dUVTmqGjsn;)P2n}FT^1RW zxi8E<4Y0Mwzvxy?>O|VM4PEjE1`I~7+%+pBt9QGgm^*06nU}iADxQ3bsR$vYOx$(* z_#ZZDD22h?Ilvx}0b-@OQIA{N1_F3mW}(p#QRQfuWlQ?Xrvt<(g})#OMnp&$ z(_feKM3oZz))xN|7=j2uw7kgN7T)@=MgAi%X3cuIJ8R9q!*ZhEd2Nv#&e#s2mFizg z64Whmbw#nJ>$Y>-{BJAp%Kp{GgUY8#XJgv`9MZ9vlI1a zZy7m&uMd7ReTnWf$u~K@>sWo~d^kjRcl4vu7=>3tCFLMq*~{LlN!GNx#4$Eiu<@UY z)52TXiMz8=;-H+(h&n6wsUN<%VtvI072QpB!axiJSq$uGHC9qoX)@yHa5thDw6eA6 z+3_LIeT*f$;eUOhl{3-niU(@(Qph$?rNcUm9>ZU0tH^GEW8xG;HS*x+mHW|{2d zGiy!fdRfz)?73#Dg}>)@C!rAd$!nClIJ@6HlWy5%*Gix$-TVz7C^iRQs1Oo_Swhm( zW31v6ImFFr(ZfdUpNhC2*JNP-b?h!11Sa z?R`hnl#qX1m%j2m_BjNCp2JhC>|*DW&Ls5*HK-r?dDt=3IWQq)BR@_q%?6;H!IPDv zPO5*z>5}53U*WtV*hw1J)ithOqEb&l7~=A>Gel6J;z+FOI+XVgjNoqs<;2CbEn-9T z?OVWkTTBl8Fw^>2q}wv zFD`c`4h3P;%zF(VU9XLXI72)5`O0rt(LYPS59x>j-~g9WL~c}d6wrW=Aj+~Njo4rX z<|g%Y+wW1~`gJtf)y=?`a$FP|=pnp?Fe|3sZ%=`*77YFbj}#57@qsUo9{yK?&+iu! zgL8P1!R8OA(|dwVi{4FkFmdMTRV+TeF%5=g+S7RET^wCey;npNq zD=XCA$P7=>X?Fprhhs$3$IG$j4^B)0rM(M9x=*&+(eN`KTuYFmx`GSD#PaPQK{)U9 z%T>oB>1w+`rJ&*A)S9Z3M$+WqSilaEr;#gj^q3O z_b^$oge=_yX??JHBvxRiN_Kcb@*Lpy00-bQUx;8aL(<+6KOCf8`P?T%nKlIsz_Ok` z(HQW_7=^MSDV?xaOxK0N!?8RM8yr^Id;8y`pP%|DfzBc$_TkYgGUei#Lj9-$ng^Xx zeBaYcYgU9hJ}}+^@Wt2qEELg+T+z{7$}Ezb1N9e0*X_EycRbFv z_{?vgJ_*_XxN)s{XoRo5cqKI#cad4!l;be2jP%4i!|EJT!5; zd6jU2k@;bvv&zxqRN!sMVXBu{Tw>s5j-QpFdDkjG*YGG*70sV`udd~#lmw$H{hMSylyqTYu zP?%-epnz6;x3x2tr=!@XL~|nvz8aey3mSpots%h;1sc|OTtV=VfwPYk*gS>`=?r(U z;X3=4EkZ+e#<|M`nBjP7f^-3RW;-uWeQVMm4{!)+-ZeRhyuSWI33N}LN7eFCON7a>;X~w@oh~BEL z6*`QyKUuHxeSrb6Der8vc`83{eR_D=@X4AV1N9j_u)RVOb&R$1Q;lhoMsyaAyRJZva zWRLlyD|;~TCQ?Hj`~vRhXN!X9mDH^VbCMM|vGco%>EfgV6OsdaJOoB<*u!6N3U%1v z5Sx3VzujQg*H<86)DGeP_!LM(=@B-IuWj_7nnKakvR?-?6S|R(Op%)?`0ZzwEgt+~ z+B#Oz!p$v}0^aoVXSq>6O{MgqO%BkKGAnk%eZeySPcC?Dqiw8l3VZv%k=vW-V%?oe=z!$Q?S-QX2 zxcC9~?^U&x371%nwUOa|{*qK(+`LVqrey#{{74kF*-5?K36X|PDDjo_#7Me2arM}P zH)SLzauhBk^ZSG!y~#=WQqQaVRZyEC@iT*cM%pb%+Nt>#eq;hS3S10T{p2fr_e3wg z2SMQ!h&1H1#+=nk92AkBXz=_UH~F$mL=y-8@IJ{d2}X24c|@P!7y2+uD@l+@kdE#C zu8*Zs6Vq78aFmI@e1liT|2+~^Y1i($K*;!$4^?-rk z;5t8+Ks&w-8UG`ow zcf~z;`7s1gZliKW%?KL5;TM12`1n1>pSS+0;GPeh5-tL0z1`)kB7@ z4#2h>E4ym?b8o@=G+pcc;)r@?^~eu$q2~poa_+Dj!}9}XaPn#IkKh&)?Kpb2P{uID zq`tB?*+c#`_l;F75fG<8YFLzI3HfQPmwo}(|DuN4ex-$Oxb^~$QuGEzIW?Jg>q7{VTM3kp7=qmIwn+Y5Y4(c56=;i1(C74>lsTw zKF29na9llLS%CG37{RHv&`G5+=@fVaE|B2!i75|3{6;bd>Iah#G9~y=u%T^hXHzF5 zXLU+$UonMrpsQ{Akr{w?hO6r{7Jq zbIiK`pHY$V)UXMgNvF7hzj+Z-GWkdEqcln$K=DX|md2jVish>EQ7$Pzp2|7wZJ_>9 zmZ*^?rSs_a?$3wEpc-;&V{YO(`2a6L+LE26n*A4t6sBlS-(d1gs35-KmUkuAVbe$C z;_v_W7_~~=Wshm3D5yot$DH}WE!ZkQgdbLVBeIouT1#Sx_kfe2a2jysm+qHMDuTBx zA1A-mP6?gMQwBknTImT<{o?%ycrOeSb}sXB@uf+H)1wQD0sfe35k5G*`#WlL5wme1 zc+)Sb(dj6*CUZ?WfJMu@Uy?4kK=_>z!(oG?Y48pNC=~I44dCEnVvw_$hV4`=kRKxG zz5gH%vAdYz5vYuCUwC~>7Z$31JdzkVI4RUMiy260X>Qn)BZTst_f9O~&=gJ=lBhV=>uS(Az% zAA#u^)mf^+7E}K0gKBSys>j^_38uhHA@xhLP1ZG7$jcsTdUZDnxM@&I@3J=#)T)aG z$TXuxx19F>-aahzyG}W0DG9K?aX!W=$u(t<(SGif@|o>`6{!Kob&7kSE#P{cJ6C{* zp}6Y73UHJ{sQnXX$s@DJxJ=l|$gx~}cQrb@fE0*@N2?O>(k;f#Z1?yp9Ed`VdM+Rml> z3{9NHr7;2T?}LGo=_7xIp+7s9T~ZK7ghPa2q&1Mic|X#_fDQ^3tP??kQ+6f7LcnAs zkC-iK+O`;2Mnpux^3C;T|J%FUt;-Ch8nvgK6LEpH{{$V6aJ-xrBU4MNy8gktS|1Sf z1Z5L={mmOxlz()BNx6;~Kuh6ohKUM-;IFo2E7C|gm zvrlK<0RTB*a;@(Td)yk)dzmjwhs|gI2#!LylmZx1>B5{~UuV>64R?=>;ysqyt~N49W5O-BF&b5X)09^@P2K}@E{ zD#E#uesMz_djL)1M!`3iTw>l6wKBD%0m!K{E!K7=&h%=O$Y(7uk166((6Hzc?<(LNz)N-xLd*B5&ZfHJN8o zqd?-85C`!fjN7CUomVTtS}F9<(~LU zr(bl4)5BPJ{uzDc?w5*ERr}^PbVBap55wL$wpX?YMc@uMR&)f4uw}CT^GBhe4Sy<1 zt?+cD93>TUL5!}S!fe|`SHujmhap>JIqM1f8X*Q*cFhzQgoK-WZfrs1(08X z)S1NA=c&7#ebTQB|0E~pB4lwvhWIIRJUE8{7HWQ;g4os&uIB%p?gU7!LWhs_d%hU-!N?et3vp2d_5YbtS=1i$aD z%zXq zP&~Cg2#*PJ%q6E2Fjt$&ne#(aWkFy}s)e7`0(ikBQ~}Zaui% zCb!5wmrgUrkMz3_ecS&GU1@th{Xkg>`arSayzM)BS&z@vJDKUA7?kgB{Ph`~h0~pZ zobY6Ekc{>%YfxZGV{}62bkFBBzN(92B0w<+FzW}mt=GO^ z!2UGocHhlAUwK`^s?AqU7nQxbuY>(X$i~F4^yBy#GYCO&PsXU|;`|Yy7*hdSRglJ6 zwBIsNp1lF?qNFZ9UhkU1L1chpFi&nvbVn|sr(Sz`b?v>kB z@;#eiM~8Iz`{d{Q@3a&ke}HzoI*Ga(Tu5q!{r2UN(n=3`IE*EUU7mjGioV!=i6s91 zFYDp&7rG^0hXSW95ZAP=UCkW@($C}8<=G!VV+#UH&^XlJE$wwb>$uQzKVI{saXqpc zZK;ALbup82SuQu1p|o|yl#LzR{&^Mgjg*6#)66K#&C8-=CgU<~(-)^pJzhNRB!009 z0?APO6qfl5pTKCKq$_BB_2-D7!NP#(Iu71@9{JycBdKIl5S{@jB6iRIUta@v5QNs4 z<-n?tOX*A&lp$;#X|Weu~vu^SBj;B#rx&_*@f$+ zI!DU8gd5#?DcJ=%TUQD;D9yO@VC;-_P}nCc3%L@_rtba<0MugDK{vLAJ+}o^$9dhhn z*^QN?vF_g}nr)%`qx;?8aaOJ&wW<5hxtH2(HqHKC3bA#6$9q)JvR2pN(n7~)jdosG zY!{^S@m5e;!G9EQhrjcF_>n4lBZ2nQ`bA@HBMeXN{n+d!jfU5j!^lYCA6aMrV5lV% zlP3$4=dP#oPQ3IWj_n1F=1Q|o_J_lxsUi1}?d@uV3yV8u4byx>QJNOc5S`s4^KgUE z9OmvoPW``SO*Smh2-R+TrTRtdGiNS>8YCEQo5*XW=-Qpy#-+M7BzLyiii@RLGp|^_ zv$?Q#5@+IDk*N^@DDkcF!E{=jQ&(@TeDfuz^WM;ndc4h;%ewPSefZ^}^}>a%yLCTF zvFC2B|I$(<&DVpmk^z2)-`=A{n5vY0mCA0N__s3(7Zz4;z#vlL)3|En^brECSEl+? z8qEH4ZuLqA9unf_nB=<1MMny%vyw$@SK<4SYN^qary;oqS?mk4vh-czr3m&EzgzY4 zXQ?qur=z40^(VoARM$TPFZlUA85X{)D(#dKk|tdSa_lH}Rd(*v1@nydc&s(sso#zL zuM_CpydwF|6r1^P9S$z_FMX=E0C=LLp@iNq>s?p>-A_%~&2JwL zI4{wrfhL;(Un@TsH#kzXBpgjQLQPE>NmJ6OUhmKbuvuhuT?u)wLc=*{EwJ<6J%8vY z%(j#X6ZYSUuFjTX_iE8S_4>4MFZ4mby^Evqz~TqXH$*U5ILA_xk-4)f+kN&xwBWvQ z=Fei{yK7qKr=uy&;{{AiL-^<_%U_me=PpUg%wyzK$YG0hUKwEF(lp1CNVwBP@K__C zOU6w+?H73K{>SpDrudBIWoHNWHu9!aF2-_}r9&P^1W#;ooo37V+;4DP0f_7Qa)ERD zo8qmGEr?1XKWqas-2xT3knCOw_xGIMUy`?lX|o(v(DBhtrF(nu-l@_IyP&#tfeMJt z*p)J~-@BI+rdWh0S5!XrucF&813I>FU8(uK?2|_s5<63U0_K~+(4=OExTBw!R8!0w zSp3+PYRQWFKyrl&# z<989T;-47RSREK7$<93pKpL7;Qf4kTUeK}*Q4KMPod8WB4d)UGFqv9%A9f&Gv({H# z`_xpOLnpSw@8P=g8yt#s<1k% zDk|b$UzW*BRwcd6kfTK{nEkIV)9%-^hR0@ImqiSJXJ^mkK-j6j%%qeF_p~4*?1ho! z1mEP*e-fL}7)^j_M-=HuQ6P!ZEF4{7f;I@`F)g7tx!*Fg;mWx(^gK zF?u6c2HG+kQ+6j+Z&Z@tvD-8;3z@p%JE-L8h+Gkg_c+ z%N?iN$qVfZuV1f=+oW3jCx2fh{QWa}Hn*3)Wae~3%ICqsY~M++M5$;vRe;1uW@mDQ z1I0lM21dc=PN`&?zbESl<6&&1P(I>qEeYAp*G2>kUsqb?NByh~$CRBfEflrb9vb+YmF$Qb8>XSM@YH4>q~%3zD*n$eV+oQbGqZw|=XN1-S1!KwK<;{_J6`Nt(CFpOqqB&p+;z zR<-glxwLn^`tjS%YY}=Delhtnr-V;E2gzyWblxGY>i1oNXa9A1sBImyn~6p%)zF;l zuQ;N|@g$sl2j)R{4xD~z1^25RH^pgwvsJa`%2*iqhj`!JHwN`DX2*VTC2qbQ!fv02 zt%)qs2F@|ULHz9e9OQ-Ptk*GG8SX4X2iI9Ki%TU1F^)m_Buj1bClKMK2# zuixUQy75m)E%x3hv^RveYOgs2kyZBtJ?2!(qm8Gwe$6VfY3!l*p0w&MH<)r5r$UzV zA6>Vcb@SrNu|Kf7&1JWPSj;G8wtO#DZ~4-tQ9dx%sD5bkmKP!zXvk&T@7a_a#N87e zWwCbsSHBx}ip=sJ(v#`l~{%M+-l z|E+m5JPWZHB38&(AZRMC>?51;6El`SRn>OAN{QnW7*cm2=McW!Eu6w?!|d2c^3rWN zzwJ4~k^T~4*g3vsB5P5ARlf2)b6JeGN_iw0U)5$OwT6)foA_OS~mA36_#j zr}(D-IYa4!oE-9ZbR>HU9K>|36>62czwNP;l;>Q}2rQ*LmYDP}zgM5IC6O}|5!Y)8 zoa$Y-dJPR((S0ABEM;$Y)x9h_?eSu_xz{tgu{K|$2m2Bp_LE^em4sNQ03d@Sg%5*X z>c>Hd$A$8k$fQjux$NGt*;S5TSm~yJknw0zW;qHT#l{ZW0Q;$ZL|Odb1w{@m{+fp? zOU-t1)puV}Y*w1OW8^p3t`F@36i~y#OOP~LL zDeGUc6;L8x5=`;I?$=r)RF1BV(un*+RXDXizOS&vCH;kQ*hQy=>Y78aFP3Y34ZQTmJG||SYpYqwLPuo&86x7rY(Zo;LRzrRra@g<1K3*iHF@E zxHw6}qpoiZUQD*-^NdB)Ny##@^mKhH&!M~f9qSpxUABw19II=#D8>mbmjk0Ow=++y znOYo%Llq8ZDhM2&>zlnmuVd!PQ|65lwK%IHlCg!&Ra|UIH-(|bktJrVc(p_zu$;P;x-aj_X3v{!Q5m$BTD3odQ>v@?ru?qHX&{EpyZps%S+79@iw!U=Wz-Ti_g zwy^f3dWZ1%W$9`5Crf*#ray8E1GNM-&1p0L+Bf+Gta*-v=I+pE|9TAbU+)gKlhl&j zgdXXq-&VK`4PDN$T3v(Z8>+{g$J#~~H^Z@(F1fvA?t0MU$|1wJ(a{`$zrnT9+@L8b6q8AHpB5-&_7b^XICrDXc47b~tbB01Nihg09$JNR8(MBNzCPA1w39GL#? za#D{MSGI^RT&+J)E1+`T(uwDnOC?ii)D>$9Xl9OUNlL>0n~uWKc$aRv7bEp&txaIQ zk&<2PJM^vWTl1s9jW;X%&|M0M=tEQGbdXtM>@|2;cv+i$Fdo}@(x~5cLUMF5>T{TA2M zb!UgIWdpJ>;FjJTAJc`Y>7Ze8)-6tNM8yTzdsI66x6G%d5)+_rR*Po>cOUX(#@*99&pGtF9J@K^fA5c9+CEPyi!QwS z<-fzm`$f;RB%Obv3|AxFal!0iL);#nCXS21DJ%D&YVTI;uK4X)Ek6`X&6@j65ybY_ zd8))NaS!lq0!`)EOkK*uKpL(nOVDXiZPcxCN9Q+q{Rj2x7S)8|BnB-C8&-hAz(8)h zNuxSqUa%_Y+9+~HBH*vG^VnvyVfy+L=A>-(cso24Qw{m@oJ9y9_djSGy@Lkxs;Q(T zOUco*AKqCsKNDGi4NSef!^yl|E`@M-^~dv3NABhg?XpB#?3bUb)5L7s13@UMn2YJS z-SyPKwp|4^wzxhZB%UOrsyj{tLlz5{i27A#PIrtv7jtj!kUys@bkQJ7%DK-nU63TE z2&`fO#vh62<_7LvZFWt8VfCb7_g9VQ604=~v1yY2Si^(Y4LaUU)D_qP(G$Y(`rk!^ z)`eHZ;#pXw-RpOl%4u^O4jlW(RYX4@c7@<*hGxosYqo@PclKdPs@@IW4=uX<60?JP zE$QNF6!;*B3KpDMpXt5EppD7SdqN|{@T9zJ5hvT1&61VruU-Z`ijpV>wi`qF)Vk!I z%iJd7A4fc)_J=}FL!-(cID0)C^!mjY zvOK~v+JVe)G)X~Ps_1l%veT5aL~1dC8tgl(xXKA-gLZy|_r!UkiO4Q=D=Y-t zXn9y!wQtqGw?Z9wwTh|E9P)hn2(L00OLx3GN&b947Q>W{Sgu0}sv81s;sFyhRH|C z=-A3JeJ=0!lSEa&Py5t{!5QAay!Qw$m;~&NrKVr?n=+E<4u(?I5>^RH4<+r;d-p`7 z=Dc}&Q_%p;RGfh07U`U(ihQjunT4&EfN?w2zD)I2j+2+vz$jk(tRQ2g|E+tf8j1Ve zgAhIDC?cxu!2*ii(?L4{5xz-bk=D~hax(ZcSl=^Zi(mBvQ!Msgi}EZu3Z*4tl!Z21 zd?Wf+?9(EK%_~y?dmUr9Mve4rovQHsC+r<*MMlGu{!s?sK?9`;Z@taMqCA`fV^3@f z@=Q++4>j?|HJ^_}3?-vIcATNkI@9ww3OypLT&viEmxBQYZ~fFR<~|bRZOIXvut))JeFKP&Xx^@ zs5o-wr@6k0cZ-##Q4ll@(0Ys}&U)nKH|5sv|C;H*oU+ncrEd5r+H^f#T$H!bG~hv1 z-;eoge6*H?Q(1c{ZYSWuD9_2X1x+=sO#fDshyp3HNhkscwFlp-*LKB}lf^q^d=Grd zX}`mdZmW5t{^i5|dRJf+dUUbg%!q#z-A&#BTA+-A+F1FS+`f%qtCj?}Zs$17jKw8E z%UPNzWFVhJDlNP9^etmU7rC9U?6g&m+|=}+i9ka0Khbhfng=61i$qHDpxtjXXQ^&D z66f0C`@M(6u-*{?>rhn?xAMYL-r+N}Rj_F{$4QZ};-6do;Trf_UFaElbmC}1GNHB& z7PE+0CdWhyeB_JG)V1>>-*tUk1_yn$b^9SZ9{z9+iD0XnM)9R_-^OWVG9MrD`1_{2 z_X&Jgo_#$p>VY@6 zdq_jd6Io`*Y!4r5Zdk&P zPxrZ1gX7XCe`-gqS2xT0&l{8y-aWDokX+d`aJD7TZErVrhqXM;SZz5g9&{ zoQo*PEtucDksLuCFPyquNKP2#pL}cI0PGN}FDG#QR;Bjwu4xPx5%WB)f98Z`umr;4 zDbF&>LPp6XX@#y}(oOBB%;8>Kr#!12w1e*^%b%ZXwT0!s-*^e9t}r<_nzn=H6A{9! z-2dTAg&70NKb39;HI7pmC!!jyn3O-#_))@Zou{2EzJZ=8GtKUDyX39igD^>HUn`7`r~z zL2!ReTFleNOV!)yk>Hv&oRroow4`ZsLAZ&>E0r<)m{GY#aGUX$aTFFJ!rKN|5($;X zmGVBT<#B23@g~OjJ;pU0&m0^?Gv+n4_p%zEV8^dK?XUrDiUNQpZ_9GL*jt-SSWg`HRD&MxyAqVrfH z@Dv2b)LY3}mgKXn?zX^ADJxCw?NFp8NJYYQ

BKK|*VL7G{Uc*2xMS4}7=1v7Cbg=@qMl!>&jY@d8-W>ck1Kht0F8>DCnpS3&#ZK*%fG^q! z^Sd5`(zll=eV_zq@ab8oIFtCXqH@7wZ9SWbk==y|#Jq0l0cI2zGdHLju#%380*^+mzL|K3fm*+!SMH&Gk?fJX96Lp$em9`QW$ibBRK&x-&j-M z9~sF+HQnuo198w&t{8x6hE@Bh=fwQ9J<1X-w@Av)fp0`WNqMw&k0XI@;#pK|A|LJ3 z6CJE(l!21g@_als^i3w4hTDkFBo9iZFxD%$B^hHqUbbp*c`~>l`-qY4niDmt(6}cX z_SP#S-&}Tk@{>ibj;5m6ULKAf)?b6Xqw5ffp(xitEZvS^>X?JM4>>(T@P!wwnXqfp ztn{KBmR}4F-%!~pM-Fy>h0&kL%m%?!?NAT=^>+-rFDI^?eAmme4;#+P&uU-Zic5-# z+^Ie;J*qm)=46DfnO7ib=Y+<@niN&;0RK^eED5P7Mp!booD4-&(?9>>1R5V{LI(Nqnyi!JKGQ92Om2%@S)OU=phVQNo9hjr1b`9+L;D3=M)LNAQKFL}oi zcb#Fd-JAo45> zY9>!U7T^nx#6UoRDGFeOF>Ny3mbJ_-bJ-x3D~37#OX6hOKog0Fs%I@bh)Qicf@};) z%{h11gS1yiqg#rU8HtOSy5ly0(LnyYbjmA*e=ldMz>`w7U3 z*&cJ$EWKZZw0^_axI4{-9+X~2JHjni_m>BiMSz0TDU zm<_}!oyZ89!Wormv^VNoxjwYml$E>}GVyyw(KY`rC?-P?V+LJsJ+=xZyU>Ur(wuYu zIYW)i5_?Dt&mH>kcHh&RN?9FDQ{7jOEF_I4$HutmU-UL=&P^e^J<$qYi&TM$kdM8Z z$=A6a*&ANR5P=!fbG(L7;%Z@-O8Z9Gabaj+3ckh3p+I1Wg4&cJx?Wxhgv>+Hl|6kP z@AwCKNT7nmyA#wY?r;tBokZGQMXQ0($``4^%HC(*k44B)aa*bYbQH86ET0@rT_y`N zN_c(=#M78oeO6)msId3L6=Tbhe*)-<|B=fFQ>{wHt?^`4P3zKxI^VLl@|3iq>lgie z8;|PFI3Fw46w~0l=9hi>oi`T9kehkeixKUjOXb)Jx2REwbGO%Z|8z52S+SL#?#}yCmphEAm{7wU*MU;Px0*w1X zy~@8}jn*C#TKC3Oo^x7uBz~95>Yw-Ni~u76y&|u&C7tTw^4!$2ZqJ?!KsZg#(`tve zExN*VX4{`vMn1xYFnr$*cg@q5Kive>wFGWSE+AH8EYl&5R6O?nThrD;oEy~T{oJ1D zuUKT>LkeQf#2@+}sO$2lCGYF|H!z7Mb`@Oz$7N4U;n4!xmt9Wm7(cO+i`eiolqezp(drGO-xPg) zZBEqr$xu>vGQfL)+D7IDPk};k(3HL2e4ppuCxXybKA%@n=_AEYPp_pSn?4S4cQAQo zlY}WC)c(ipIu2##yP%m=k<-4gAl!iIm&v9b-`h8i{-YY-%@`aemt8zeOZ8OQuBV^L zZ()qIMbUgv8%N$MZGPEd>Znv#B&0u8A38e_OdGLEEX>7G)7Hj77~kOO`RQ+sBOYt~ zjBdtx5Npy~jzKO%5kWIHDfP~ptDni-7fjl8w+^Zjx(5YWMK(AIkX8Pq4(7+|E5toA z%w~tjtH1O?G^aZ6f^|jowPfU6TtW##>qcT#bogAolp$zQ($=2>RzK1dL2H&!_xi6$ zJYxf4U)2z4jKMmd`ARf(E7G67ot!sox*t7BBIr824IfsX$4Xsu()u>2Ft#tRHk8hi z5Z9cDyGWIwg!hoV7&GaM?STk86Wkh3s-FnU>dH+s68R{jpxT&L>eB*^^!WL{Q1Lz02)h zpS6rQ^yF~e1E8tp1(Y;<&fBK?Nbb#Ye5b<5`)t7n-XRomkzO*lWH>zET+ur_QcNfd zl3rRAMLIPz2uF1rPyJ7trhj?hXnoQ7*BRlh(#cm$?MlyV9RQ=%I5r*A#t0sntTjg0 zEt=`SiRRDF8C!B1))~su<=iN^>>7fcNei@nFCJz)j%aSD%>RUTG*olepG8wBsZa2T zBt1xqQ-5qp*ylRfB6CDfJWE;0aj?RVNu8$Qsc>Q9falFiSbg^mE058G*koQO(4oDO z&aNZINlsuE8R$6qH#%e(xbO=Ow`pd!>U-HPg#q7}mU%Ngu+F-l&?K#fueAJ}PD6#b3a^3)?BC(+ho!v2 z=oo}6$-sf(FQ%$JWV4KlmHUeIN`UTbE|W?~3YHEg2cpT;Pse>^abAgqZma55`G0e- z6&y{g^>F#U8tg??rER_SYk&)0>S9&E@c*+xOdga2G;=1z5xFJrCKkOsihO5A#FVmM zhv{ramGM7!b|mk;bO}AkWdClaOYWZbD~NoN;*9xzolYf!{#)>;7mfbT)G6sF&5)Rw z37Pq59n2P#_gRGlNcmn)Dbn_#r+${@F6QISF1U9yE`2Prmz(*&lCj=c2p?0$znI|1 zufCbb`bxU0bWdA6=FSZHcc}7`ye=2nV~KMkUUe%@2`fVP!t(P*s&{2aB+=?~9mHIn zXh`EIWa$cvS1G8b_1L-4vv7u)arKQ$$buO$v#gl%VR1w6_}&`hr&Re_!|FG-hYR!l z^WFSba$Z}{U=`f|bpLBwMUewJ1#M6v+hiU;BG3_EHlvHkp#t71>uTsE`t>^Rkm(jh zvunuu2=Edt)gD}lX1GLR-7IsSi21t!;C{10_*J|Hsu^hDEt{f5V_4jf5yj zN-AALhajEOIh4}f9a0h^-6btGL&wl9-3;9f(j{H*<=*=_j{p76_qonk{afo?EA{>W zRSp}ZHi}_K!;7{xH6XzKL}KNbzli7F#oWIu=xNmROOTL^-Z<_JhfF?G%S6adi1`IC zLDA~=kn5|pFm1_BkYB1R7BBdVno-l`0d;PR0a9#!A_z?mZ#MoOe4?o6SPZ4y;$H>cI9N14X6!!*C0^3p)!lg00}yMyL$mCk|c6GS4UwNECo;`eHAXpgqhBXr^zNFb{cuP$pTnY--1 zz9n!8E#Y9x0=cMA4hFafeN9y79`>zXl=7vdy*(&>Pl`x#UG%*Zr4xjX-d(JRcGc^# zwISW3Q;+lJ)mhx0*Ojti)q~TkAJ(f_wCopeeec&6sMznTtk4j=`{n*rLT4@`q4HFg zycCU3Llks8DU=k;_;??i2G4j5VCTn|dF9dDb`24RU_*?x?Z;I28(eRx^C(@g%!Y+pbs!9ZJeM=t*r>*?#ZTeSivk3>j6 z$mC3hsFmMayc?$wv!`fL&APqIhl_K}@CEW)k-b!UY#(xzS)F;q2;a7#dqm>uSSyjw zl^Y8*9WCn1hJEO(PW%Qcq}SI%23*4;q7<4!H|IDJ94i5EG!HKl}Yyqg@QV0`wZ51z6Ve*)Q)r zrfC%U+)j3w-2Kz*5Y6&Vpl`U_C)4qaqlRsldIP6!#A=akZBABAa*FAf(g8Zt*BNh(Tf&t{`D)1s4ZQD3gTWgOyX?=T}8U2de& zbN#-4rqK>ZzT4q>EV0~kN1s>;RAu4}Tf%J4kf+v;%7q@%D*K$&^Qy9k*w31a!RAPG_s+Pvm;6olS0 zmY8sheQb`SV?oY6-Y%jqKbkgTG?6gP9CQlA@>E~R6is&K0a3B=3+np45k${BmE|c= zxlZC)~IEQa#5qVPbrW2W@D<1*^qPv1YelLv5v?>auOBLYu6@~_7cdF}vJaWiLEGjYxJ z#Bi10T0Bj})M!^j-(>%7`mr$G4@M{>6!MS&ImW|di$j%c_F5@_s`&iF>F!F}ZelFz zHpnw-rRzJZ>hy%U)n4_pVXP5*gz}y9CC6A@Yq2yoKZn)Qt;*8QzmiIelA(dM!hL(J zV`ldWKxc-V39XS{+d_Pw`LzS(r9%h$9ok#1Y@374mAgw6d&cD>f zi3tc?#h4lzrfrq$;EM}yn%%EQ+8Etd0-hHV)g6_zw3Xj%t0fyWU%~y``-7`XFP3OC zM%!3rpTehjOYMdq^e(gRkl{9^jcA81gIlkhXACii=+$k_SymbBy}|NZS){pYo!>gS z9`{5aFBgXmSCx;y(igxBUuE)p-zT5QFSNIX^a}Yr9A$QTkEMWJFC*Ozwh3?bebS&< zlqaKn15xkdO|0RV4Mq1$y&vH?_>4JR>gTrNXjkSsXb2sTqBQR!0sr%ItqD7R3s&OJ z)E;v?kt=fUci5YgS_Xm7HvPdzNvhRF4`L_ZtuwLI!(&r)>fIj8Th5<%o|3*E6;;+* zX7!_?^^-%{p2iv#0#|J@V#^ZuP||>(Rw-krO?_NBv6-oV1*V^3Ui2Yh3^7qQ@X1nf z>1C;XCcF^4YR+nzt@4b~HUA*2$0Wdqw!Q?0JPcxXOi^(=zB$!VF;QZ%&O zcKhOmv;PK0h6!d9;B4(i015(uqYyEEfZIwmJUtma zDOnak*mSYpXUaCGqe2jKA_>=5AO4Z(H)yqo&jh5t-_OP>RTAa0H*0-o@(_6wuiL_~ z5Be;v`wS5|1Cx6jYakELrj3F0EI=#!$k3ty+34vSj_y3&qbcMCfjw{u2wV(fEcP&u zMa_M^D|hbBV#3eo=**Q3eN!ub^n9oZRnKrSm7iWZ0Eu?79is($+?gGO^q!4U7xB8@ zwEHuG;S^K|FQ01kWa1%9AJ?s{^di=l0IVvBTH00>ERSlv?<-4hnzxg5<8^#4B>E<+ zz`O#G7BZgg7d2;!JG!lVy$`)#SvN|T!Zcu=m$hq8lk_NKz{8O&$#Sq>4c#j9mWLFh}p~RFJIfW&UD|mBQ#vvsle6Qc-22b ztmm`nS%eigzYUa-HvZW9lbCFnoEc(Buw4%kbsJPWXk#7w6s9xNfYku9V$Ih6r+ld!*T@nA6SgFWE`Xizq*uQ5AGvl6?Ep?^O{6>NxDOJ19!}u%TcoY+gY|D+X2|7=}_ZMvJ$>)z*S1 z+Qk3>FNA zm)4^=kqi=$;TC=^QVa~BtS%7MM|RX*y2v-V#3B9&cmoK)@3Ul@U+ZW0(^=?)x)O;R zgeqAJ_?)V28Bn8`Oyz18olJXfNw7=xf8RUi+9h3ILpsoJc|^1@__sHRc%FDpUT?EI z*^68Wn|ccBE4R4#CK`KmETq>5em}7LVb3*2?-8N5E=BtABI4%7zfomb#q;bB`GqJ@ zP<>W5{DnXxi;G-3{0h9E6G;}zYAm0D`%?{OMt0A=lcx3DV5?xE?a+b2>7g2S6X$zX)x)n0!_2|pIO|qnkEYfQ9U$A#jmnZN5jiQA z6exru+^{y5S$H;z!leW#XCQt+AK<9I`ep&C!~DDvUaefO`G_5)l<+3%>Ya^$)!m1Q zL=TtE8G8``RE_kvCtTjx2An0hl!CRu%+1x>nLkw2M^9)OP1z2m5i=SqnmY$-K`xxo zR*u+Kls*dd2_45#y;0nOnXQxuF>JAdyS7_dz$@>=a|$5~hU?@l|KfEo@Y3QU2E$yR z7P;b;>YwQ~Gwjzs&$Rp%J~2~YFit|{&`?RRo5%YkG+L%+<~;5Gtx5UbFVymSiU$$f z;p_28JyJHI=q$$xwmsKHBeR3qo+2^z-Vv*3JFxBU`*wIvs0ls{P?^TJYRC3s!E(&t zoFtA&rFsKT65di0-E%jV)LI~dEfzkTVrycp4vT>}R;PEgEjit711z5pv9O07?@#N( z!QB$k8x4%xC!p1uTRAfJ42|J7EB(w8# zx!UY1#2WQ4gOMh!P~o=Y$!ooJ?zymjWCnM^9m1U?j;m4z7AsavMyhGTaD zWjcU~Nc6S~t5pIfsqbQ$$?^ay^X2 zj7GikU5uM_z+^7^!z`Liyn1}5(QlY7ld(b|ypiwW@a0L!#4_gz?w|wm&ymeQ06aoZDp|ulLB=u{5pdnWe6yu z_E>T_Cf%hLGb>wP!d+$jw`3mIvamHGo)sLrW&-)q&9D{lnI!Z; zntbDXIp&}}pXb_jpkngc)R$hgK3xhRS8)zyJv^?GFszKjWAO^$fEy+^x#(Vr;MfaQ{8D`(}s$+s53 z0IC3+qLTd0KfJ&L>XCjqrVMKBJ>@Ljtf(#Jb7@mkx@n#%H#r-=Q*&x1N_yW+F9$t% zk#jU+-}&La5X4xUj>Yz=>s=Dy>q#hB2R;j1cyB|_M4^`3gZj~C+atKM=csAfir+D2 ztj^V*C!I}>^n8Yr`U8#IREaBTOAjZNvQ7)b^b*>?z^n@Uc?J@rY=jP+0P_a_z#pwVRn?E!Ha^bK9oD*fA9*&k%ID!yFf zXdOLV4B9^;@9bvD?e9Bpk3XvKw;~smFM2zlTxx|X*xpSkC>|@`6jH4BCRETG1d-`= z02$=X9<`-LJk&2{?GY}oD@T9|6|(%&GDV?az)(O1?l)N$^d60-I$vH}Dv+dV!@kd( z9A=Jqv>@~TW9QH(R$RHIKQdOjm`W#CM}=dxmMafe4~78+u5s9c z4{GUW3PQ(4*&-Hc%yEI^oD>)6LE1Y;g1aazJ7ckQdC2_EJMJQIPqL!dtAEfDBI*HG z6*=Fo{n7krC^wyN#@D|8Ovp$`*&~bFD{D)P7`r!~_W5c6PD+135qmK0=Xnf_XQZPj zzuvovqt6+Cr40_oduIQkYP9iob9qp=aWBI)zRBa)2Z}@Afq@Sc4bw|hOmQrwbUM?s zk1IDXvGNahcXuBmZc1BkN|M2p_5Sm}`1x+xnHyWhx~S_ddL2 z;Z=eS*v3NUWgE@7RdWjNose_zGV)dHGFTU!hn+-;8i?FiMpj%0e_^_^K0t@rq~vo4_XjvZrD$hv%j>NsCU%d^_i~rZBJ^&$gc5IaIXo&@;%>OU!zM zI1ny}D3&%GSUIE+`p>nkwwP!jZr~9xR<LF>GzUxniy*>@!NsUftjg*|?+oPnz8}WJe7kI@#?$`gWf`pC^UG=bB z`jMd`tEMRj@69Qj;bpp5DI=H-#;xZqEuO1#j-n~^r#Iiwo46s=d`zK&E6VLIALA(I z{Ikmb+AG5<2LHj3fn`CB$YxR8At|-X(HHiQ+~o*KC=o`DA<%pX_#v}e<;>rLOz;mlt;&BlCN6n}X1dlMhAZ^f5|Y$HVa9qmKcqLw;;Y|X7GE-6 ztORCbE|`_v6k^r;CFr}hFYzW^ct8F7VJfPOCEp2ag9K|^8O}12L|&X~5~C!UQ`Lyx z{8xvqg;R4?#Y{9{HMkIi+sO}L&&c>mI_cAHc9#3m)d^8cBn5&#-#>%y$1l|MX4XFr1#gw0seVH z&CaSk&!-MM!@4Sti3)F;y|i`Pn|mAb{etP=T{26UyfU-a(#vN`fAQ9RQZP}tzVh1$ z;>+IB9Sfz*ZuB7c%vXo_qW?rEmFi9^V- zWK|~pDg!F;_?zewT(;}l^g?fr3~vL?jhGL#fW~hC-#J_b7c#ok$!ZP% zEPIdu7LI}NMCs1mlWnEplT=SaTw#eq9gfuCr+HNtZJO^LB;?W)hKmL-4YWSMFsD+s z3{w8a~j8<`3?8RL?~gHWX<^0Q};-BAI5UZnjIaB zJ{gRxFB~<7OjLY#c?ogAj68S!4ks<+G?;&(&(V1qqS(Qb<{n#@gs(Ryjr0kf|7@n9oS+;$A|06j6C(-ULqT30^=MeB&1o)^Y7%(g62%jnim*o>8dY4jU&7Fj@s75BUV`@`;8$) z(7ULbSr@lG5_;X)8*l{u7OekIT5@yXI@$Tk6OT@ZORPcdN2`t`EbT)^Znft=T2&ir zfD&itiN3G~Za^M+ms}A0S#{5lM}2jvyLdkZz1i%u9Y)*NiJ(lzIY(4N0iUSfVO2|p z10WjJ^xO~zA{I3ax}{A^f&E9+m3M{`CG!FH7T?*tb6`4?Perl;6kq|yW|QvPqRXi2JjZO_p5 z6G~YcLl_c<)$lnt=}{5r5yNU0z4C585!orhpB8(y=WNaMs&oOFnm+=bEHa}u;3m1T znB}mLBmKZ&Gq3FS)S}lKNLQ-#47Cj-u@jQSe7AItD%B$-T@FtapuYQRW9idqC$1_= zOdS8|Lp^4a$e~D%<@6}W;DmQkY?&-EkJ_|&sqjJ}s$yx$UEe;t&6ip&e8nm+=xKQn%V$p4&Y z%u|cVN#cKQG9iOSiFdYjhJ=y$n^BiKr!K)Rt=SxS}iZ za^h*xt9*Q!OY@s_2Sq?s!SFU$>m#5}0L7Ptt4}H4^s;&^2^VQBIJsK;ck>8@!qPe` z*}C`h>jk%d%h$0WoW#Q$vb8WoRHp5lC=8x>#lGOlWVVB`g}Xa-m~Ufq|UbOo~C zh{I4|vHPV7RZnE7%opbkA;7?f4R-%Sl10y!!1YZ<8qVRLwj5pYRfQ@#8z0AK+KiR@ zhGJ?zZ=0X?8k1BHOc%~1S@+V`LcOmfb*B>pO$Q=^2^!5%NIBFFP*Q{)t8|yY4IjM- z@2Ob<7+G7@L73%0cSu}M0O>uU!H7xYnLv{lL&Aa9Z+e?Z!^{LrdQ+Saa!Uvv5Sh^rCvpi#7HThY(v3Y zUL4hjt}A2e^H6|3%%Fym|9h&nt!Ae6$i!ha9=oZ|5;%utm(jA?QKcNt(@#(L%c3f?l^lyHT=RIMLI_{$crkT%pd3!(J>_IOW3DJS$b`E+j`G~7cjlQ+b z_Mn^bkem5+(Bb%MQXurh%tWRk`?g7)7F;^#Uu6o zw(wcMudi>d4aEAsrn=f+g%*zc;RCe8=sd3nln)kB3lcC_=Lwtn+Fo;xB}k!)UQnS~ z>DOyzM((^4VZ%2zDO~h9zgtNMl4Q*Wre}P^00QMlb%{BmHZP+g{Xu~+Qb7S4N1trO z1>Js`02_V=DNCBx3h;+pv;n560%h$+?=LS#7zDVWKKYVdee7z$d$gJ`E&T!}3oONH z+{G|w)0HywhDUAAo56juX`etV-JfJki7|~Kp6Pjm*RxYEN~AFwxAO?5#jI&BscB#G zFZZ|yX!9%b<$l16(z$8iI4Y|!{r_1;d#xFN;#s4ay4`b6I%|_D>j%VTsulBI<;gd% zRlBtrdCpwDbgBKZig`z!4F{QsG{lt|zKMhjQV$wv<{p%qx=6 z-3RX>J3hst{HPCZmKq^$LIt}O)nA`O8L$H00s9Ma`_`O|zHfNvw`zCYYF{-!LZ5Kp z>URF)eiIqVsK4_Z_LoK^EsuXDl^GKw?v{p!Z5T9OY?fT^UNy+IxrkA4U)ef*x%p3JE&h#koP`bMQ$WlEx5fr z+EW(txvFSAuBzg^*Sb;rLnlugN9lKkJmH$!KB!aXy!h;$x#V$HQ z5!1fjL8TVX;#4=^H}LNRua!CF18i+a-=(_g=a7I?)jKXLpBP1ynj1My=VU0_YwSP1 z{Bj>@q2P9s`q!=Fp%MgC2Q#aF-(MwY!Tp*+6YA>f>bO2K^rv8JBGE#bL6g?a#U=0a=!=Xq<>4W z?lG|m1C&K1I{XA+hwL%=U)g>);lBh5RQrd`(o7m%KvSWGJ+Ecq&f6og{xfj+UL;84 z$0A4Q&1FXr8n68_H*-{mByIVc&J7{X-q5tPKmTN0qcRmZ`DwFIkJUDgQpv|%dehfU z%tIN}yNMRO1$JdNqaeWBp86V#OInt6bWdNp#a-P!Edo0q&uV;7!DPyMhvZC6z%Ts^ zgt_D)3ojZx=%bT%MRnI$f;-QT09Hv~=*~ja0Z^&y4!&%FN;P>){7Qer64)%}Galz5 zpI0FD1O!uWqTA*#d^@-4kM&LrP8{uz=P8u_cd>8vmE=ZsIA>t2lpg$%(<4lPK(fsh z*ko0uBdpe^_9W&G*^;}CwU#~&r`57JfJRc2=q)HOezx>M^AAxrsD5X2U zgeLyDQM^WZn%kO++c-`8Bbj=5g^QN|5ppFJK-l8Gdbnw#BwIB)>FWi~DkJQh_fQC*ohFW9S zu{DksrcQJ`e;>%AwY#?c_0i&DA&X_DD(8O~UXQ?>93;hHtj@qS*p4to4A`mtrr&31 z4U6jkt2JqQz{%4#%LKbm<-MP)nNQtc%DMYO#-ghC^bTfRoOlG)EOuX8XVW8L;br`c zBWM2S4vmId_Wm`{D<@!HY4O7|gG!w^J5}2a+ax0Ifww_G*plQ*wh}=8_war0=g` zhTw}yRG@Y76F9~sp!76lw7S$3xL)hLvA?~!$xu*bCkY?pk8bq2u2Qko$E5GFPBk;8 zzB?Uc6Meip%b;@>+~IqpIC&b7Ts?c(EOsL4G#sYSWfdI-HVYxH?y~IaZ!Q|q+P`(P zWcH;4FivMC|3Orp$%-=LUs=NisR`D-T-MRX$~YCX4W8vP%nRAmPB7qxH0}r8+02?; z(6?^wHf?;ES`d?uP>?q@Xm1@=k^z#tL#IK3T#&^f0ws!ZP!d2 zy+}6mp_w07>zeL$m9KqXjQtS?APtd-J^2cH^EInuV~SU<$qSf60F38|0!%&4FN8`$8*W|gX;9?toJw*!+=z3w_;jsCt#i~ zmQ|h$d2W1G-5zM&S%)Ym4z14+R?#=vFnpes7?OgNV%9e`P^%O3p=T$`7Eh0E*JP?4 z0Pi)u=(a?@{GM4B^h{q#=FZSg)fc-peuC6q6|@)i*Qc4EKt@VCc6#Z}54HCQ%|C2d z{5}^-IrpOekH(Axr~AgjKJ(}hVf9VzBP;J*+U=Al;$_$zc)nUEM6ERY{5ZPe{teLa z*$;o>+qr-L+?5;PtUd-e1Zk4>y}PkvQ@1lv!^;WxpH24d-#T8B;XkaGv zjUd#<*T%^#e9xjGe}pyqIT91Pk~*M45Ovw+)L(N9hDobi&y^mMp1+t6pUo)hW<9LQ z1}5n+t2NmD&)U#4*lkErIe*EN(yy1MhlwD&n_5S{q63@$?@R!bO@9k05H&-Th=mpw z2#Su*c_=NrM1bdDG6(EEtd8W-Y|97x2vcYuS^yDkqRY0szIt7TrmKHX=!Y@Qut4rQ z=Z4JsE#Fi#TGbpP!4LI7WDIJ^`kxInoq0jSMztR?RWzy!CDXi3iwx7H8kU@^^hRGC zHFO5!5pMm;k954F-N$ZZt&GUhqZ1Szv=d~oCNtSJyX5Zlui^-?qpoa*4-3wIAH_vt z3L9LB%N0q`_oQk%u$jwZ%P~-l`lFN|J>b3DT(c&jjd;dTiFNQ5fMy2M7`>zYY=i#` zbWAxi;B4Y?I+w6O^!lC%GBd?4mH^>?jM>hSNYkdavi)>3l(J8`VYlWDizTMs5F*Jpo7ipgQlJXvH|d%P-?EoK7PQILI5YVIXrlsT&$9Rxg#9lf79A#Aa{b*cfA^K zBr%wLbW3kOxd3zqS=ym(M*px5mM zkd#2!2qo*>>N=RD5)uF$8-N6O-|zX3osxQ-mt!^=Jtx`Y9XuSTnam~Hzssl6Dg{;b zzA3QFcsuaKjiN4hThsK2T+@{kzbE=-FQ>`O7&cl)wCJXir_al4bJHUi$J zpTvL`r)6F!@%TL7(DFv^NR0?gF;|akre&Qpsg*by8>tn*x#&wTysv~Yk&dyy$-X_% zwCKaC(_ihp+S!wq>s|Cd5{;<4SDbSasJ)pB_{|Jc1{%f%P{?Q@1wUmo*AW6j*Nm=$ za|u}0t}6%4*C^2b&zdh_+j%}(dop@X9-x&bX8`lub*j~<)|PkM8yYLAp^@+G=9;4S zcq&UM>$3sE(2uSVK)0q#7}tzAWbaGxg8!7+AN;ng<) z*&;^X!3=O%-C~a1ZKi%zFOw<-A#p78nznA?;7OlkXW~+pBTd2339(}a#M188le1*A ztm4cBfFUx7-2Cz%g^r3Zo#sd0xe`VfYRY0LJQNs9LE-?2y!BPC9s}phBb^*XOwO1J zPlDRvKM|B&vpZY^GAW*1|VOz63V{KRfnooF;M8-|Hwb&GcS|y zz2kSr9xo|M!Le(Yqzpk}L#WO)My1>P_IOL0=szS4xv~Kks%d1FeO_76XrZw2xUlCSWRh> zTR2qaX}sk8$W&}|L=DWRdD+V*#N~W|Wu}UNHQY*?*P7aS&@`Rf0QWn@IwY^&US*9_ zDDUnYyYK*Mw&ZKID3X4kK$HC!I34`rQkyCIaJ6x~)}?xb zCWt0n!)3Js{qw0m-CN5o(UuyJ$3$Ps@(c&-a~0ZT$*CV)9{VS>PK%1`Hs7D_7CkoJ zw6_(*+Aw7``4Jt+MiH}fEY3@V%S`Lc0<*(R+yw&VH$SUP838{G@X_@T?RGmS=|9=$ zm^=>W9%O&6&m1iC7G^A+UgC9$CH*_st^7S~KFp|R{;2Jdf{^e73W)7p%TPh7Yn0i* zL_7o~J7yC=Jh>X9Q$9<7H@vyYpAFU*WQBk0-n4T&!=0%&@Q{jvJyO(z&CWag0ZwNl zYuZE-2&OHbV0<3YZ8E5Qg8A*ux{~Upl;&VMa4jMoSVnXD3PiW|M1$oa6@ZPcdTeKWGcb?v*a>bOaU&S=q7s${WUkY$qWu1!kz-CIB2**TrjJ0ZFXQ& zF19+|c#Jq`Kw6_SI=t4cXuGAtk;;ajM^PZ~>NSR=3M zo*5BsJRBIsE1X*!N@=}=K1B}QfZr*$)77OV#VDGvSvI|H%8;VmL!y;(=c^Djq~(FNw3lvnj>G>^bcb4o(_ zCdruy^uI=uC_%+}icQ?aTO~hcHn2R^6>46aIktQ`Q*$1cs%B6FdFc?q4PkZsvnfcX)?d{&WK@Fp)-DG z&%hw{2SGSu*@~83z#G5~N}u0V->mxUIbWf1CXHD8GgKo&G_Er{N&%yAi0kKOG)GWw zqojJ^OmL#TWkvBQ>En-3ebK4vV* zUmQnc>uTU>jU@uv+2ZtHmi|Q+w<`l~{yO8%kr;2xHfcYV#nnPnreBQ=Y(At8WC;RD zDF6)#19lt$iYIz=Xf^z0O41ga#!sDrdr+pHl5Ueqp`6vL40aIPvD!Et;zv!$nO(!> zbJ|3|p8UA4O~G>KkVW_NX0ClOnJqobq^}lIII+KW=Lj#f9Yh^_fPeD4JCRP50~z)p zn2krg^^cj(;F)Jiilnw3xy+VmgFyD>iKBu0%2>*xo8>(QY91n~?@y^&hAd4PxqPtz z8F75|Sd2Cq9xFzeYD_3Wcq$7GkUx=PN+UmWL0ZLY^2jfJE-knF@`M1AW$>lacn8$4 zfyT*8re+~kJ*T}6X#a$RM$I|r(yGgmyl@qsgk&&9DZCn?aj@~UK~}@fAQ7y48x2^y zfvg3<5qmf1NY}Q}l@WFH)^!8Go%11nta5X^gmDp;nt)=IL;w7z)Le@<@BEg%@xdFe+@=yIx<4Y{Q$*$z< zyW-^Y`;i{ zER$M^$*CX!M}IE!mdqg?o%;hsW>}I751P#zascoJKx~7TOH?Ii%9X88SIYh7XZgYh zbWBGxBYaoloChy_bgIs(0sr%bi~f+UKU?XR3nCP*HuZHQ(k;Za>=I|x!R@m0lRh`V zEMKVd$Jv64<2V(wXn@woS8s{~9@rKYnA|0qH1|i`F~@x~0=aPh5Cyu>^${!SIQ<^2 zI>sOMj6U-t3ba6fH}D;)b?Ax;g2&~zt+_l z+ak4!KgJ%^PS?HWCg4Wy!;4doZG158iV7tUjclTHOo~x=RZ#Nwk#&!_N&R*XWvtnl zv)v}MW;xB_cxaKZpjXgE(iRVYN6|$JFjN zp@y*Ib*Ayo-aQSG-7*uIe-Lm+vhXpfw$LsQUy0w>XWQkPD214&N}i@G0c&5Mz3K4v z_0`W{cYni*$^o@l<3H+5u!ckUNjBB0yxS{s?)-l-7|kjyoceZ4UGlAHB{efNKM8%+ z9I{2mZWF9ER^lKF?)5Uul7x`x5Ijj*x{?xPwdNzys#p@0dsF8-o-s>jd%iZNUMrkN zhUDyl#vsE>cJ>^2%8buo?ru05@HRUpp*5r7Y7B`tPjGg(zTx>xbSp zYUgBm6j*Xv@!Fx06g5t8lT6B zLETGiLd?}XEeN_?10n%8oc(wPBZe@Jre%vhu04ZjrbPs48e)If5=wuHA)CaTg%|h( zNuF2>8t?}+8frc>0r^E1);%&}w+t~5vlC$gw*)Quc7?q-$Bf|OoJftapZlcQ&~Qtw z3Do~2aRH}$9kq$$F3RtB$M;HSKpx-0q`Ti}gCMu_SGH8?yT&*i-o8o)nkk?^%%!K5 z6=VHa;%!VAl@nN11fcELv){~iL@aQ=8(UNCZK=i?8s6#g@$tDi%e9b(3&)!?1fR2} z_KMvNjpBl4n`XjP{K7-%!lSz3k85cg1jX>mLm)i!2#z!Y_Ir%_T}MB?2& z079pT^`=iTW#_WAf_y$5?da&Z{?2ev*oLCw=3G~P?Um-=Z8icvz8I!t&vwbI9)H=KLWkX4B;M3t3x)V@4CHncZV|1TzWLhWg%mWopwg|Hnzhfl zB@9BJT8iet_BIUkO`uN)D(3Dhk}a6pp<&>~Fgr!&r63PoKpy3v%JEfv{q7E678HkO0FMfG59&$3N>;_mCOBj#AjaM6}Y&a zxk;3Ufe8m;Icx#G`20=uFOL*hSB~}(l1)Iq6DeQ`Z~k}ke<%%b3hOrz116s?uH5Pc z&Y3o9TfTSp0zG!cT{iJn>_kmhP8z($MmFNh+~OsGPMU5Av=!s4$y5TRtL;BYQVVTYNJ) z(@IrLNvo?mCsbg>b;GGvIb_4k`$lj(V}DAY=d0Y7!bn=Kr`()R+FMQ8B~l>3rZ4g8 zqC599CV^yqp@aKQS zPsLhAJV$)ATUMez4Y+S=ka@l_!a}rkq37^JS@5F`eclcWz?%@VAm*u zK$>;shDPX)dO}l_Fd6;@rKH_TU+7+q(Q9(*>)(72{BM|BAqoiU#D4_`=);}|!N0hu z=0#O0GJaypmVb`{0p?CoMKoT;TcMCV)>=CJT^d8!3k}Y*A}KfB;`G(;v6f*UeG}2A zXPa5@<6tpU(_*C&cb#;AilEMcFuI-@#1@KVe5{h+Usq~kUG48;V&}<2ScsqCRz{*7 zzJDD!C0?PR6vJRzDme-~{;#o6pDv)OTGvFg zW_Qzd*^9{H5M;eCz{Sf+`m0|14W%I)pajc*KDU=(stMx}e+iMr2!8NUC|84QdJ1J_UUNe!Zg=Yk6&jg*?7<#tVy3Rz(W?PQn#Lr1N>tU4G=}k|7uWz5zR&%RGqYP z01(ysn#_>4x0S!Wzkj+*wAI18cYgaR^;XT^-*PR!7yth2*a4^~5DLqajuu$e^#?pg zTU(a8gz3MY5b&v!p|n>7nDsPhAb@pE!+-;7MqiI+ z3T|({?WmQ}cEn1`=&aYK*@6AU!P>DxVKWD$Dp0bgXNf_e3FLe)kH51gk>UsTYw$*c z4jjeUc;NIm0UKi00|b#S56Ia*3e(d|6$Aaq7wnyJkG6%7CeCv*b)QWnQvajSu*d@Z zgSpFh-Z3FhnxSA_4RF8 zoAqmb`VHmPgOCbMd<4abN|qrL1^G(=I?KEB&$^w@rb*36mvjKkR7-Q6|&etYq}CXL&@WpE^> z=@A3dex((xqf=}*yyXNnXrYE3x*RLFL>+R^dj+7Mm}Trl+lq$0h1_r@c>;)#`KYv|UrQOeex|(B0il zDG9&4NA1?cZ}uk3u3adPGbfts)-t_*eWH2Mivdz!Zla3{87Xd&9?r zD+QM&x1VOkwTKW+p%XFJ(`^OMu1S$HSC%WPI9cFG zFt8zv?Mr41M=DLQ7wpPhM=fXST$7=XG<;`n?k5`sY0SIVO@4~>p2g%G>-US{Nbr!R z`TNYFk-Ie36-Q|-v$-5s$t!32xkJS$Q*#Q&0!lDTW}NH{j2c+s#lI`;%W~?aFN_u^ zD%PGlv-4WJ2G>B)z2iymTmJ;>Hm0XGY}o8@6A$ZZp&-3i{PgcK_l=#xayxzdQ$ZHS zl^sBlDgRaWGiB-t?{{i*3dy`_*JnmZNVuWXY(Lbv*>F24h9t4%8&eJA@~j~a^|Yxq zkl!d=GK%Hq3FEc7NRMl=9$6F zb2(Gbt|+XaeD^{|%LY<0LxhagN>!u|ha>@5SLdcMcuML@H06b1+2rvD$GUr;4$Vf$Ro z>8opp7Y>jPE^IQ{zRs<)zGu=&Du9obNj&y8D2Y$Ll_|GwxYDzZOvlw!I)ufkTS(PC zA~1BfH)cuJFfxm83ZL{**ZbPV^iPm*fzeGVc?v<3M^l{0ySW~$k$?+>ykR2Z%olb} zdv!go=1zu+oY`JjRa}ez8A{X;BNw5V6&GF)5U=kPRZ}cH7ZNH%ukU-m@p;zf4+!>p0SyV3rZ0_4JBY;xeZ%*#vg}T>ocJ z7B4ZWmSRL1RiNfOv9&&=%3h?Tt-Xrg*A;!^%t5^Ee+n5!&qZPgh`i`vQpDzRUsu`D zY*r!n>h{la3|(ifRC3l|-Nrl8zT^+&*|gysn^%S+Z4$@z5*1ILVvEg*HM8)aJ85WY z#g33}z+$v-ZqI)<4L1Iy110pj_Ju~GyT;{ij5W`)U&eG9)%nO!4qa#4#Zi>XTIdt# zDkaRaC$zw<7bX*QKyhVUei_%Iv3$=c?dj=3W-Yf>>#VHvwv(V$f`dsBf-e^a%BWZ9{We zWb_O{1FtS&8=cfg21i>^aQ~R{YU&4+l4&?lNpZQI$Mo!3>D5UQcZqvhe)|F?7hzM< zTflD`@yh@%|IXX!?5j#lShW2A`J;c&6?c#jg7((b*2D?uXliKl@6pc4674PfC+?4* zKK^^;=V$riW^c-(rDSAjY7Asi0~rDT{w-r;Xl}})X<-8V{*jf9gNsGl)WZBb@FOP| zJByf|wVk7yy`ixwi@2$?g|VrWqoEs%f*}xSstdyl`_=!*B5DD2QZ{uIv$L_cvo*B^ ze&lA6u?3ns+S^$h0!=@f8CpA;vPfE3!rX8)g2*+QMBAuO97Y3uKDBFh>?0zLk*<5As2`Jdm_%iaLsc~~#uZR%|JuRBg zyqVr+zA`&G0Zr4)H2=mUgUBAKzy0*m?PTNWeyeG{Z;#LO<|v5Ox2)+-qioS~qk@6d z^M3z^Mk(X*d25FOe>SpIrMEI38)N|hAZoU_?AI6zJ(aWW4HiBWpjjKFfW-m6j|_zT zK9`zi6k`Kx=5z8kBKmXyVZnLVa9+>j@ibM%)Bf5wB9RbWc))gu%kC21`gm@+WRxr?JZB+UeH>Zq&{rttt~M0nL>!Q@anE^2(kkkMrl8n>)D^SKW!=q zf$e4=2vl45z<7(}lykaE0R;#*SW<7>Ac<>u6B>kqVTHSQandi^B#ab+trQ^GkrK8; z_eCRC8dvaSCRhXjC>XNwwjNBw_9Qk_TA>91D2{ElxOWj)HiwkCPyoMwI~YAjr45e- zaU~Bsux1%@h+M0|L@Vo0h+Z z(seL%<=IjIaBLf3Lz^9@mSb_Ptr+3AUin({?0w626EajB%&m@7K%eJB`dH~v=ug?T4dLZh{ zimw29vpX&_PKvoaI)30mfbsz3PGmD}`ujWk1!_RFYBEYJjNBzUs1$}H#y-djOk-1= ziUtUf78+hgpsB3bkWB-8OaR}=z-TRO$gThZw3N${*gI*G>>1!=fU@)m*)Y$j9d`;k zAa7!y2Annh;WsNa;CH6nE5k91XRkQ$6F?dH&s`MT{^!hlWfxe1hp!<~ForH2NkA9? z-_6mSQJDOVyAXW<;O*WGJ7~hhx?72*!LV$10ha5!?_C+<9w*cB<4@j|@q!biZ2g5XGI>G@Jto*MT>2I^< zzvgDqbW1Z}E(}SPJGx?TsYW>|MDoxI`h`802!sLWTVKPZ#`S%oNMblQN25%K7`I-w z&M`mjM1BzUn!z0K%6ym+fC5Ogq6Bk5ZiR{Fbl$M`=?nQ*={ zRSYMj6SmSOe&bQgAw8%}k(%k&j)_*+qEuHb#^&n!s5j;DcD1%hsGU^lTtzYL=$S-kQc zu|!R4qc*e%YBfun{c@-8db#&H@#G_*%JFJ#p~>OyXF)Q?hr#sSigGb&NqQ!ftK-E*tK>F@iG1Z(wr!hCjmwRlx28@g{a8X z67k~Q`tu8A!zR`q-U@(u8>!o9_|+<`Y=h@Gf2=JYl#VFFG)q94~vo2i2HX zE#-{D0}fSf9OaMB$P=Hxur%v;n8f7kA_Hbcaf>1iroQ%W_?xTHq!tHNYPUxKzM=8+ z_8*g|#EqL{WJPkS8EDx*Pyn=|R6AQ-oay@xr&AxL(`~3#UF)#{CS^TUHgCMRz1uIb zpw{fHKaADjgfsLcsca7WZWuIRPE@@&bH@o=SPO6W;Y$~}9iC&IF^Z>02N1(fHC_X> zOS#G2^ij-D42&<~V2(-9XV}jM7|=*K+unDNeXPwq_v2li;;&$m@P`v7dE7hIo7BoV zz3>oCx3e(1W%>QeSH4M+yQwI|WX;ScoOf;Nr|j%^K-SK(Y5x?js<$Bv)RX${q?l|vpHepvHcjILdi8QsL$efeL)hi zLsy-L+pm`!MA(_XRI7L8 z@)1^)ENoW|(ww7%UpsQw`p}#>AxD?*Vqu9{C+q4i>0qfr-fm`#;{L#Z zG17Q%;T47t!qouH&WN)zHw-_f>dY z4H6Qz^O|A07_TQX&r-st_26oz*K;|;aV>ge*T7#Bs*7nt+52y2yH1azo+pHFRn!NN zmTGImS2546*^Iwx`f2Z>BqUD{CUw1ytt{y0c^gY8^Q_Y;wX-?|D zmE9!BhL_KhpttO5b8SpY>FI?4fg&88Ny%c@Ui0p45xdi0Jvgr!~$UdHh49WI>zRpmQ$Q2oEDkXEme7 zj$1n^O0Z1I@X<9Q``*@OTinWK5b|j_>7Xnz0d@QJF}M0w?PBRe3B~-+FRYup`Z-t@9`yEA1Jp1@s%y1hMZ$821`jFDGjBRc(P8 z;))helTQ{N{MovuBQ-(84&@l}T(*Y8Q9V0-w)l6%%7;Ed-20pxQ(b@sofhSr+U2{3 zF5^M}?6NxMeRQkbfM#@56u$b&eKaTRo-88wfq?Ri!LHq_HB;SlJ<#q&MipkCb@$vS zjXifH4FT;Iq&k}#{ZrRt73*n@s@~FGn$vy1F{h;uH|du6vrqOayLBf1OC?@Z+FRJ_ zKm%5rmfY(?Cn)m9q{Hxs-8>Yq0t1j`pBS%!Pr}2}yqIUO>)ipSp~x3nw}ZJY!fJVu zIa#d>ld|iB0=&ao`M?g!(dH%3n+AYZN4*nJXjp&$BY&dwk(E{sUzxx_`C=c_aFRh3 zr+fD@vC&(!V5e`y=*`T;TVAwTa-ytc`&~Ukfy+?_UX;r*h)39S9@^2E!0N@URB$ZQ zS8BoJ0u=DX<;lqD?dzHFod(8z9SIHVe($-?YFlvSuLc>H`AR3KG>r36lkRsb1v8su zwE0Or%m&`~!S8|P@9@Gr2m;flRwn9h0uTMI*$`JG4AawQU`4ADJ(&DAX=t5Jc3i!g z;FSaK_&_k$F&i(x2u(yMEKElb_>IxY^4ETEKQB34PTzE&IzsFcI*o5%(y49=8Ky4% zBcjR1n&WbI*oD3JWMp0Q#^Z|}WfE@2eBanNHH=U!E;W2$ZBIatV~f()%HerkkK+J! z?W=`x-h&RKTe_8Vva#aLAor7#zBH~VJfCb7u#Csg`dN|w56Qz2ua$b1&j{(5zs(=D zQ{mhDn5?gUxW0ENq>S@FWMtgu>dI>QzR)uBidOkBb@ODPX5>L5md4oFxuqtyc$3u% zoOQ`f#wgQ#x@`0(&?1T#Mb5kbC(=^U3Q_C)?eK$vabVUGU-#Ucl2%5IHdrpWJ61~U zmwCx8&yPaO8fq>myT)mTZKc(k+|JMbtd|wEZ)Lr>4gxnb!%C<~^qLP^x4>QFL;DN( z4fXWM1XJ{-`bNf?*Xi`kvb1&!SgAU?bI`_?1HX#8sv_;1FC4R*@Nw$RW*wtgc$jlc zyUoOM^&!lA&o;x=hJc}UOfPb7S6j-<`)y;;SLx5F?wg9UFB~V^zUzoC z4TNom&?oj>|1HiIwsTvbO=_OeH!gj8LK77S=xsi?XB&`b^pTg}7whXxmjU}0F7BRZ zRc-JAdV0|~{PxoaUW+rTh4o!?kvtqmyF2Y9M=NsvenAED#7_>tl1}(m@9dS&wr7~H ziyPnhBcn_x!vu?iAAFrkGUu$#pd|dqzSlicCk%UJQB!!4{nsPY6AKQrV zP>8>LF&5I>8AEgXHL<2^&qSp0RsUP~ZRx899f3kx2A2kq%N6f&BFDH31pb%8ca-DI z2dUB)&I8*&_svU>NyYu&UmOyWY03(~;ka|$$3Y=;zNPje%T!pm4 zI-ok+2dyG^{Q$zwdmv%92FsCtsi~mLB?jvWHeB z-%r@&d(nItsV13>)11||moKxY>d=J=S~*Qz(vqjaU(zXTUXKrY4mN&%PE}J|ONG5E z;&WFVSzO;R#TAB@mat!OF8_E-QCssU7cj!e2n0+})y zPNa!L&5VF5L5ZZ}A{l)#ysrGQW+KO9*egu5&YNLb)wyWgRK%&><;Kb#J#2thCUcO~ zS<73QA3S9-Y{jsOGooX(?x8CK%>M^UCSYpo$ zyls?WYe6RkXo*(uQ=PyNIO`P(?M6Gz`4=rA0zSBkkJnDM=z+jy2frS;`h@l>5do!J z*%_wwTt4S1m$ed3CzeXZ%;awYs`Tv+860+TmfpV|SzTN*@Ig)hUnHfg*0NJ&L$tKO z)jH*|V++w0JivO+zB|J>kI2q~3lpXjjYqQz7XqNkJ}jf~XN2m`+8y{C-ObUHAPFP_ z01#Z(V5-|ac9Qfo!~2GGL@KrVMVbpBOznI*M{5o$2i|r*u239}HLNy$#P)Rzu&Zsq zB&*q2rN6jrEm-cLqcF&?AOdi^TQ%0Fucc6|jhzcUB`-yL+&$o59(t%Ip#u1?c)x^! z5zwvWTnyZ=Q#+jGo29sJ6l@}iF#&Jq?!Qw&-!;8?-+H}1N?J42B-Gwg=cIxEfe1Cr z6BI@Funp?BRPYF3is*2C7;99zg1ebMKDEv7kw>waQ+6ml0(^_S>CA>uNq6SUCzPl| zKhIcxP|mmP-QPl+B*8XiBy@u9Y;zy1wfzFznA1}Hb(ziG1KfUz}y4uNe>vd6pO{YU(+=kA|k zHP?8U|8Z4sLi?{{q0B<*pIkdh9ismpnDE{H2{0Ee@ec*31^++DW+@{B1OTmGb3VsE z78rz&|I^3}*FTL!+1#AT*z{-G-pZzjMndXg)D15yB>x<(vhXbzS-}C;Dc0L1&EJ7w zvZu{gOztedp@G8wW$kM5AV1Q)S6+Mw(|g}m(R(1#@xN!9qh?7b8ecF>&PZABl1X_L z6-_w6@97p6B_r7R0@^Q=Z@r)EQ#pvQzDsPm<37^IS7kFJn+#O^GPoOxNC~k_xMA6n z*T)d9NGO`4V`SSMm>Je=_qttADijjBeTMFo_HXV+oz6n~6T#fkC>;e))Rofmu%nJ~ znWTcQXJ?&UNtJ0!wH9N+=#`tIIf5$-|`g}V7p|r zoSWPHHCWp8S3Wk2yozfg7?d+^!g*sT*(?NHR`la`nfJgI$@ zk1eDjKNAxFXl`IWbL^R)Hp?PYx#cq-@WUw;9^+C#u02^Z66{U8oR(_s7XR`K`^l@x z=?zo^U@p<}VQ7u5qw|=6I|6gR74HGIS)mYA#)ZzU!nSlWh?q$!#4HSfi?|5$VZKGc z6IlBi1ZMiJKpghCrks1{`MIJWW2zS82||9Uc{nz*2|0#iUZ!5DhETdW;5<|aTaHFP z8yCMR1LX%1gW`T~TI;5d9ZamZy4Gc(g2J+t9Wv8iyuNGSxfH$kC->99u{<$UI+M|swl#Ev^0iZ|B!&Jb1RU9cgG6Z zUk^!mV{82ZVS-RPUlFcu^T<5It_)ofR&Ln6<7MSzIl0NVrNGgec;Oz8{jL}Ao*N2G zx84R>Du)u?PpmE>aABq3%S$ zdt@izLaj3DCY588Q#8U5u%CRElA*3zA8#yPdV4o}S}b0>{fi5vCK96K$ujwufaHqK zKw(xUWh&KqQ|~)1y{$^h)Zc#nZAD8ccT<1l-k8ha*j6FnY1~n~p{ZJ*yM{0YdKP&r z49Q%qp&m873ZwUuA9}-hU&0GYB^z%Ym*JDih{&>Kr46-guEW*>BHzSTaXLGwD#c1Q z;n8SK`1<5$bo%u|$^-dRK0Z-48(q@}PR)_AsA9CLer}i;CA-*N!-P7EWqjWA5fwK} z9kD5(C=%14By~5X_4r}dbi$Es%d`E1Yw)w1AVi)HCtx6C+qe?ds<`j)3l_9MtYV=& zFPTz^b3hM8f$DT!8X6EPc{730hIn8Gi-QbnqmCP@&GH%Fq5d# z&e}-Gagd$`{bYj8$YN|YVV91{52M#wJTvHvWG#MjgF5gx{_%0!+Ht-f$lM!Y|4270joZzz@WX7sC2Xe;(xPSd?Z< zeMT$OlByAtL(SO2#J)?F7m%}6|6Cjd{$NU;qNi%Fm~)~RvHOmhlEGzl4W{X&0+%m2 z%u>n0W=S}H&v_?$hUgsYD;Uw`pGx97!qZ*YN`k@fE%_z22SvET+1qm}a{WLj)*uHb+}Wll7rpPPYu|6Ota@H&_Xq$!+8zmlCn;(j@0 zmBSoB80Da0JE7jJAn^6Ij|qPg);7gYcjxzM3LUBMKy(n-@LETI+hrffRswY(nB^mb z;vgihd;L_=LP8}8hFO?_bKlxU6+;t@A*XWl+9bPA4LTS@O@A!N14&TcVx0&@0}pW( z1u?8_eT1(1GRhZb5FI@4+0llA-&yj%)~ObmQ+ZwJlb08#56VC&8XLIPljr)1H1T?q7=C|3%fc{EWGUcX2PaD?mG z&uU8OBiA2Ej-0HMQeCpcz`#Q{MN-4_DAsv#G&LU2DVOtSu;~&lGUYNt=2f#PLK=p+ zLZ1OndP*uvsKzf>(@8K49Mu?U?Jc`TwgZ^Jf<9%8#A1*$g!q*iq(wo1RlaN%27?5H z!2~wv=!gnv6-46apJ075K0|?q7;eTs&tgG9%GeJ8kt7DihrbjWo9+ufFy&?77o2>V zN`MV+-b)8^;jFPBEcpcyjwTZtHQrXtez%24P7qw)`neXd-Il%sMG2cZFBRyC0G9e#I|ivG&&63emiP}X*?vWc958{t`4d7qPYlF3 zVe%`K5*_0!UqYm7WuY zSy)pHbA#sIj8Wg65F~Bk?Cee*rY{6&_0T@OpQ5wgjWUeDGqm6zfkj1o-ttMNE&<4Q z+wTv(nzstp5j)7d6hR?D^l_Coj?9FVhQ{2d^IP0kWdr+mEA?E(!&!aC5L`lF4VXS) zp8+9VZ$2Wkyd@}T@8PcslE@ajU@G_zga#%S1>@vk;HcTCX3S0i4V6$%25vIyq=vLU zB5a|kn8@N27tkQ^`jHiflFHHLx}2`&-#mESgB)R&*B}a|hdBo*;C1@Zb)kOO81lk| zKEc*W+KCXRQs@Y^B}!g}A7PY;=JyE+wJ3E-^CFMDXtmF~ZAss#HE^8tyZqh3R}Z@mn2H2IAdZ|(-xNDgFX$@-l1Z9I!V}Map?NEkMV=DF# zH#}-9xyRC|l{%1M6r>X~EJ=*!-~DU_OIE8*XqB|Tg}MooaGtbt+02g z=;FiNhLNl5Af6DY@SIJ;ggt+d;$!*>NkQ#Y2a@X;qj&se)StRTZ9ELM;Sl=QX#;|^ z*9!Rs6EaTwA-SeU78KCuD%D7|?%i^|4*ojoB&AB{Fn1%9Pg1bOhyWH<>Q(*61Owgc z!u4szR!B9Z)h*Ubj#Z{#bAk4*(7v*@2p$S$!`7lhn8%bQ5CW|!uf7xLMwCwOMC7_` zo%P&Wv=QB(J>s=+!`54vCyWBre%ih=egO`x*gcD}Y$sL`L$hbt?2omy9xLNq^`eCTw^CSBIgG*1d zJ$9c>0JE2gCr4kgG8BSF=bnx(a>uw}5vk{`mo{vz-(rOfp@G-UVR6z73uK@L-(S04a9{PqLoU15qayz=rQq4u`rQZ-E12Z}F1CVF zv$d#AEL0gKU(w8%ih`d(m#BgLUuKfyuUH@LKJYmuy=lAPP2tcMdik-YKREnzLVHdI znR^T@`}v!ra5M1kn^Z~PG;x4P2V@T-QEoGvippG;gSH=eR7CvI;w_eeivN9ZYL&o@=k|@ zrWd4>^NzO=B>YSEy35Y8jC=ULHg3J=Wl9*2Zqt1|U_P8y-Ra1Uc9asQA_3`z+02kE z23R$TPU`3Z0KFdevuSft%hACpWTrr2)}$*8Uj4KcS`-E&8lOM{EE^^T!e5i8fv+6D zO*`!TSimhV9gyT+L1k^SuGxtN6T;Fd?Q@YmX;-*0c1Qi-m}sY$QHQu~uZBwer_tYi zzi`C$(Ktx_%Wkub)d$ey-6{PQPD?_9VxfEIOlpBUk2!ku9MB2s<`0Bv^{ijmd)C9~ zsjrli#3K6NGYIX^@8gSa;YxJSh;q>GTrEmm+-7XoOTO%=^7=^ex1gNkVS2l&XGyiM zG8flmVvb_AC1J}SW|vDAf8R~~`6bnY_u7kqage*rn```3g^H2mzOun%c=s^%!P<_G z!PFZxB^S57xW4yUP5jg|P~k&fFD>3EBsLa*Fk_CCP`OdJ&ed~u4cqPmkm-8YF2;JX zu{!qxKL&vxU3CQ0zk}C=HmK%3&&OnV*@^AQKmJ#9!cYwq%l@ZRv#HyRIP3QeTrIrD z4QdgbMCkaLnp*qgp^8jvRCF>P#3o6}GP(vU)ClRvS$TQ$IwQ{e&7|lwAfpl3eVkJ! zTT$M}$Iw(~WbIWtI*WAIxe7wQSdEZ}571s3o6^3N{>!RI%SNH}aqTOPk6?W(o zYyM>a%f=O74tz_d8-HB&S@Mq`PAuvg>KTp|E?F2(tM5tQH<>3W+_yaV)HBpcyuot@ zJFPz&ua-eKRDP)4&(}EPzn;Z-aXrnh(hkyTh(2lvKx`DhcoVz(P(l!vT`ECBOYJKv z?cG=yp94{t)qXdoHMm9bKK12+pKsPFQ{~z^g_a-E@-->p_H%DUbp`1cBSZ60HjS4t zJwwy6ufc7@V(&c!2Gv}(D=)h&zvo6pRD7JZ{s_=R`c<*S(gvx$@}eQkr`ej~y$Gwl2$k39-gR?J$bxC*P4?DHB`y zDxg0BTGg$S5FLfTBEGp{ys zZfd(;C>=SBZ3=3FX6slVOKyZ5dxUA<#glZ^Qf`bAV}u}ujOfLl$ALuV$atQxy|@HY zZ~Mr)Wy-}|w3gb~bX1SrRxE8ZaYcx0$nPc?hD*8f2?{d#_VmKO{1M?=_mrj5IKB*n z4}al2(PPyRxLeZHI!|pRWNn~a{Q+ts_&s0NQNZ3|W%u^wq5}u-jL@Kxsb%R{ zrfq7C_@eziFaxO&Icn6hN@w)7P1AjQDrSP{#f&xi$x?LZ`IH;G$i3QkK{k(~>}}J5 za0qwgpndJlC`SxIqmkXCoAy4ROTC8-9f2!VeT|FFF)h$hJ8w9T zf?&z&XPY(A0z!95;r__rn&!gHsa6=J4Cxu~n_BzWjPUwG1mk zc^sXSS{~TREp%1ZD1ABxh4J-xfrk~<5e#Dt{}DWL5P>7bc@smV7}Vm~|4+;Z+s&lD z0v*Kjpb|ryjcQAQ=_|@45;6|074nfo>(n8#PH=v`2ARIL8*5fd5Q0b2C4L6Z>5Rdn z3LmWO>A8Yd8xgmqk2~Vcp?dq$Dpfk)pCY>FMyJYX%;QAi%yzHVU!Zfoejo4m4<2QA z{!IDhqTZAw&b@S4njYAvth`j?rTb>Xhn|(M+Byakdp=q%eKh~d6w+Y3KU&Lb5SS-1 z5MAeA!Jnbaz{9xLLTu(j0F~VR-L{6A{(Mkcy_$JFe!K*KbxIQJO>UQ~{vdW#>lUVN z;gEFr=M(O6ypu?lmSS9Dz*A=11A6f0{wsi>Jute$_pM(rqK92HW<-FN5n1)kR|>6| zNJMd!lu&Z=U_WUrOye-Bkb@bVX%80aYA?bFPfbkpij$S2_LZfZ2YAK#C69+?;)an{ zhY6PrvaR=J3p|A=G1j+1Z2~tPp967Yn)Vu~c8;Q!b?vDAh?i``TWy_I-VQ7G@#(!Pz12Q4!6k{V$BB#6PnGy!lEJ*K z;#aL2b7s7nYCCCo%W4+lYD-S=K$b6dx>){!V-7At3yqus7+MQXO>PMdhE<<)1IGlf-rTu_?Fdb-IoRdD&5CDMx5+Irdu#p2|7(HM zB+9{+mp(|!Pd;(sY(%LhwuQOO;I~kG2oC1s#!qXp1;5ATz!xhuvQLKI21(HdKU7&a@y;q2I*71rMt~=b%Q@$tsPI z5G>)5%FJv`(yp~*9+@p=M+6>!b}=-w;vhAidR2Srs$TCa0k2imN>V8OTj9CY+I>yEg+g~&M9O8T#NpbJ2RTo=n750 zKglEtsa2n-a`CY_-%@a*VXeZd@z-h1O$URwthK;i@NgHlTyO1U20>jAiZ|wU(bC@U zw#`8b)xyi2=c!6DW~s3yHSdK%OOMCaU^OLdww=csH#v4u!o*rx=Hy0`wE&9Uyt@tf z%UxpWm#oBwLo@q(c*xix(?=;ata?&O(U%@z+>Jj*zBA)Mc>Fj8pR1o7jtbE$j>YmWUap2IH*URkb zngKVaK$lWpqehvz2J`pV#0bd4(KV@(TuwsPwiiHeE%cGukA}#6>gI7|QY$}K+!;;G z45!To(t1Yf{PCITaSF_B4fyGQ&&GcR=;fulCvqA&gY(x^PvsHK=m|uxroJt59Ulx|Z^-WfBAp$|yi2)D5E)%g zK0}Aj;=B7EG6`=^(u~JT<k<&qn(+V)*?{o-(Z@vio&O1-a-7*~67`WZfF z+Cc+E_A~C-?BV9e(5N~9?~EFdKo^EqZp(n7rF|n2<9lrOl+4~1^!kU<&fXq3A-10@ zIcNPfcRC(ZD>+xKZ<44tdq&;==LvJRt4FeNwewRKK=*a#=sK4Cr|1R zG6(jk6bxcNUj%jWEmH=$vYZ03AYb|U67>lzN%`py&`_aW_Y=oO@SGV-mj_;VhKRq$(TXmMKI~2rZQU7^!k|=`6(!}GtX^j zGaf5gAX~=OLm$IwJbbPVlg;l2D~;_{wfO|K%L+J!Tx)B{UzC$QN(cW8L!lo zP@W>J%w@d~-Qx}D91~a{)plDDky@Y=Hzwp6)>RcgtU!c;_-5Pg&Wc3^ZZ3RY1Xkn) z3}QGo(^v>iw%B^3X`%h+PxyVdL6Lyuy3a?)l>w9`S~fg-;!Ab!_mQJz!unCzM?b_~ zv;tWIXti43^1d>e!V55#(o$GH4^8!s}o$rfnA4YS<$n_gws<% zQcN;IcJ}4lpe4%wd+~0@9S4|(WUL$}FnAHX3AF@~coDEzS&)6v;gUbsZvBA4PE9~R z?PK=zfWe4B#>QST5}GmLO|pR@~{;c zzS=h}Sro$L>rG-u?4L%t58#RYikN}}A^{+w&=J73f&F^N1 z1%Htl4!OSpB7aID?YtOTXPmA^{kSPU&KM);93j)aw7zaFC-KOL>M)qUjLVCFsf6DM zvn#AhW9}(jhpr1>+CqL*+G8Z*SL7yV&WsKb+=dMr;r_pmoOWTT^UNfE!5muL$eI_3 z0LWqUCGC_x3K!}sXPS8&nV#<5$91Byg>^|Y@+JhI7Cg>7?vntsNw3Mxm{Qq&awF`X zx^wdo={v3ld0kHaAZ*B&CPY!_V@dH(w+ILQu6I^f5)PW+8_v`^(eHnZSg~>!a&KnI zIbiW(DQl=hZN9H_sCFn^*ieKSZgo}YyQPs&v2_s>WV9ylKN(8}mE5y>XpeI|nYvaV z9eJw9D3h3?&;N*O$I^tAx`^~OHk3MUe)v?FUc>6lZn|gd@64Hm@S(UQGWM%Z!`jhN z(#w^Uy2o-tBC(DNh)rHJ_+_%BL}3)US}8ug4Q*vZ7~JzfrJjKL(PT5<;A@#qk(Q^+ zbl@1P((||Cxj_IrXLulwmBgYhG2HuDx(caBrqZSl_ogZ_%mP84r|b-(oS6;KI+`Z) zmn7r-JvL2GYmdadE7ULUS!YKHg&yb(N8(_CjvVvxw*FMCq~Y9rp^TRd27*5e$s7Wj z?aThI^6m~go zdkQT_GK)Uf+MlZFwB#vkYLtf!>d3stZ!u%rW!*$>P{Q&J$|)P}CZ|rv3iq%JQ?RBq z*$o`Yo_us|gf95;WM%Wx9Oh{d%9%=O3szt6nvaj*k zedK4$R&`H?wjSS}YZ^Fd&P_xJWj9e0t8UUfa2|egC?imW5`yPU%~x1kVCnAU)C-pr z3Quo2^QorEF!uQHE4pDe&lc%^Z3@gSP6t;fl3xo2+!Y?l3dLu%P;9LkR z&>?M@>H(widpNYdF|UUIvN3*@H*o)hOrRo-Ct>YE}KQtQEfZkJf}T>pRUd zu*|8uM=(rZ|B0)yLre{RqS7AEJkyLP0)H*^VCX1LE=&t+y=quar+a898%jR5$?5Vu zHiCtQU()DrcIeV4)1sW#)aNZ;_^c=?PYx**fA+M0lU$B$pHBNDa40t7f=AC{uA)qB zQ_)vPsXN9kJ-#(oPeob!GrDlK=Q4AO6tjG5kKKDs`MD zny^MNhhH>5JnyRt@jiIZeW&`kH*TBl)&*vnt!juo5}N$dFLE|{=H{BAoQoWcbI(14 zemN^lA%~H1h=>=RDuPm_Z=HM69VnvOXi8aY-5UQ4LmN^02JpEaZu1K=DU)A}L_`*V zKY)sdzqa6rU%g8>XC*(7nQLx>|6K@1wWsbWD10=m94@MUDqGaW3KG^Hg6~JyAo<1e zw4=p!;4)ZMZQQmkmEtGbpn=Y;4+74&@o;Ipa&Qy5=qSX zV4n;7(H6Y2YRC+dO7sB6ipqQJD|7GKE7OWO#uUGggCmB+FW5!iW^UtlO;`|yEsTAQ zU0A#b*Ubqxvu&80BUR~LbeN&?{!fvH6J%8^^suZTkMZ5!jKl|aUF&;{--{&v`#OG~ z>AT$KG3P`tj({wb)~RzF3GXsp@zBKB;GPq8b$!NxpsuRh}$RM}#EqOSPta`5d(y!j_Ny-E+V zpG6+ljlPOVzZ~gKQHcFiJ`@y?L{57Zn+tv_cAbNMBTJ)iF5F<^Md)m2!-T20Y|#vn z*pD@XCxxp#**lCkd?hxaBj)8Lp$+}l*_wKfIUfQWK@pr@6lwr^r~Pi{ofOTd1IJm| z!MKoc=G`(x@!lqDSQ;a(AnhON%Yl9UrqN8Kr96TCm!i1UZpZmi#M&1`*H{V1yrg=((+Ev3es8nGguj%u1RKZX(MN8O7Ceak{W z2=YVos`L@PQd}K7g$C8{V`A3cQBM|XMBEimV7>oteV}7bvAW7rLY`K5JV=}Uob++y z|Ksbc0_x~uKMTbj3dP;sp4gOC+nn`{j3 z#OC4P1*aQr(t>@LhYrn9iMR!p1tpeFe(yFA5Li4N5YvaUIbl?;?bP^GOX8E?Uf?cOYfo!`; zWA>g4m(Wyjapsznnj}kg=1bPGI&b2KRH^D%1<%({*1Mmr(lHiljbdHP1#8~{5EE|? zuE9wD#Ib0qVuxaOO;+qZJn_iLrUQ;ozzq`AMC)`Ud~g#Z$2?N~F}mRFcsF@%x|gaJ zj+kT@RwL}_TVG;*`2#_5fz*SJWFRq9Q{GDYhgA1SY1fTjH9Fx(j60sqS004M-7BP& zj`6#{P(WX;rhm4PdG;ZIyBRJ}L!C=05dL}s1B^9R_bY&@e2)lTgzQzprsdtz{KuQr z>csi<+sHdxzw$fDJTvop(F=lnWI&7`>g^MmtEzSOoxl>%dm?Z&J0T(GE1_Ai?5#VR zv^0D*2f<)rD=tJ7v#(nxZWeOS1`26!BCmhtT`1vtkoaJtsu+A<;_iB7btA2FXcZkf zyYrL{wi9cs|M?MT0XMNPss>=?7GGxgVf1i7P}A=AJXbu4S&4LHDi(WuayVAhrK#VO z(+F`h*l})MuQyd^Ky~H;qma^3Nx|fYeQeV zmtA&~C}=#35Vp+p^k+tTVq|0LXO1st^Sz6c16cOH>xwPsSgd&2%o%>1{O7cX{Hoio z={!_Tc_t&7+T^`xQ8qB% z$T}GrCskFE%K$W#+0`rfLnc7-r`tAp5CL;!>`_H%_+S+0 z1&9yo{$^VBlY-VReqdY!4&yL1Di>>#^F+Fk<>@v5gDy4;rmXK-8`bxY;o;ygFhKsq zeNvloyUO~n`FZwykdjlyvO;vM^4$XUg;^o1+Ytywb=0g{I}#%UCH5@0f4H=1)e?A4 zMn8kBrtAAVgcUPWa5w^HUlXhJK=1eX&Zldm`uKQ>`mfRz2?QZzR%`{!JZw7!E;iiu zoP+f%u>FQ0R2hLittiqIm*wi`>o3(vUx8J;w`5vmH)bex{6-wCEnNHCYA>>evjO*W!QpYDh$?{6QsV_gHA2!KTVIwRF z_$wc<`yGmhl>jKetHDvSme!5iWD6%$p<3rIwR}wWF08alcxoc9+$d|Lu>n<4)rzC- z(D*2-s`zwFma$HGltx{q$s&Oc9@$afa#1I*&c%_;!?P5Fl4>)kz78?bmFS7}G=r_z zm^6^7WueC7+a)7F8XK=ZWNmO9UrgqoU5XN|gD;i!2d*UL+|PNiLSfC$==!Gs_EFo#89D@sJQBqRd;mvmne_<51k&34UlwLiKf7Ho(K)u-A-lCZg;hPH=iNl&Xk6F z)k-?mSXpv@k>Wr4M(`_4i+STDZ#iaM!MVuG_(5AKMZdMNg_@lCcSU`RA70h@IFdJf z{R`KGM>6rP`Z599hP=W2{L(f*@F!PJvP0t(T!FQ0XIZl^_2vJzMPTpg^+zM@4=;~r z2l~)3d>M7{Ko(lKr?-%Ga!KgM z!_SnufHidFJykDRG+gVQ)_}8EHDrmDZtJ(rOKyNv9}M_tAT7&qS8-E6cYa1jA|`$dyY<5^$tC z)9OC>%!Ms*R~jP}9uv%Gl+;a(m*a-W_P1hDIyr2M8VPFu{Nn$Gi)U1A%s?NBhDFB% zw_^vD_@wNfbz->iifWkt%1jeHUKMNaYfTegRF7z#kyZTJ@Sz6A@-*va#9?<%vAfVD zzQ^W-8QskDNZ8lEy3_g_2e-fAZ@R~y$!1oM7P~+%>mi#C)nauGtm`Z#q~ICIci(SZ zzi_n=o^3jI*t+cks=;3}9ftaMsm@hT!6m#Q@l{0r46M7?K~+9-XYOCi8a= zVau$)MXQ&r>L2vJn_lG@+#ZmLVBc{B{W?@OU04Y)dHjYv1J&xTLt*Yw#rJqh4lSVc z)I!`0Tu(NDbRk192#sz_CVYYfeHp{#Cme+mtA1uEfpoAVb9LO$OoWw&Dp9B|SmJLF z=^@MM?CW5j)-iLt#bwZTdJ$E zg(VCl9_Pc8Vvxqrzf~bK91FoPt3euUSxqx{yq7d((!}b2Sd4O$SnQR;!0^gHvb};Y zV3bz_7_bW+JQU3_8NwKYi-%U6OASU*SR=2p$dpICwby<&H55KLXJ{X5dTRXhK zEoWMNvmu4cE%b*uBeF?Sd5gDA5>OX4SJf=v)dm4+X@Dq9%%vn$_wkd0ePg-e!xuj; zY#jNtS%Fg7ae0pgQunI49TpUreq4LqOK!$)Uw=N5#g~*`_CW|X zQLD-XrjByKFs(+*yK2T3j2A!U%YG@3+l!-yrBHEg@b zmKSvp9|8N=zD=&dp2n)iJLA*6_hXyAZhke0#1^Xx5XRtO^MOIVmYn@jyq#y#RW`TGyQk3Utk9q%hbES5 zrk(Gm)jAR+jK(=P09=EG(ml*7+|J7asjUjcCa2+N3t&FBAVkB$FFLe3w^Ln8o9lHjmP^hC=>oUnnw_3 z;YXT7@7B?Ti=9nHH7pCPNnt>E(O=lpuyr)XDmD6%`BApd4vJ!T&?6rLJWykwTriW6 zDQ8O^S$IBJj@0JpMGrfqkP9OKR;$P5p>7J>>d+A73$q&M(tnzXhHZn#im#i0RKHt* zj1(fZ;V4gQS|PD7Sz zn)?riu+9U@c&d+_HC75Fy`KR@#xSKekQMSUr*1d(KQ~GFZ{GM4j{30<*1;2$K|$qi z3e%v3U9;5PZ)(O7bfi+yR!=IYpMr#unj0rW78z+yj7VxHk*1Tq3WJxGGI3VTZKiCg z)IwojJN>i^$k)CZv7(%W?UicL+7dQ!oNRaFE<1XXFLtLN%50hHe;x-o8_VDYa!~ff z`ux2uh-? z$HV4t@dZy2*30h&DYF9!f;v5K$ArDrlF?4!v!a8Vs+q^u?3|j_w3baZf*n{UZP2eB zo<=~&#bMLIbp+B4<{~h(K=l=@vADM<6i#d`2ifzwwsL0Bf5@k@3u*ZoG^bw07dq6> zSZ5!*#KDtKWGuyuIK+nlG#lNxlD(G3n1fYxs*T-(2J!b)PHZ8 zF@l`40)`t71=~S}SXucFTM+35WfxX-PT|E)7ME-2CsVr4^`%Xb2kP zzxy(P1+Hp`|D{vX-U14YB!=LZ)nN^O_a=mYQP#r~Hj|JgBY9Ip$XRHhTI`SW;!+9}ZAo&U4mRY?$wHT`X zp8q2NMLtM~Fj`Y4R1V3=Ghbk@EPKrC=89HN#lF`p-^NdDrn-|sawzvCK2vFQaeCfXOg6bVqv9Y0LX z6aKqXeE8p>wY*?y^_Cqtu0ZI50zmOzTX&J_6>H6jEUVHicI81#qOt;HE+qFp?+HYbtCshU-V_&5KMV%l;iduVh$-AVf2Sd#}lz{N4Z z%QRZ~f5y13D-S~kUZAe%IL7;^&PDg0}{Rc4Ti=qpd z`yed#5JY@d&3Q}+{Ok(u?x+pHZ=wQ}@+V2;&OiTW9=kWaZXlApXtbZ1?t_0XhCM$z z05%NC8kF`or{@5;Tb^dKumv_r2L|wLR$0+l5&2J$hdY-S1V9gkt~00Yqu+rq;xF$< z2o8C&If(;ccIEp6`&ACKocK%2P37C+;IP;wQC`Y7`2;1ZE^svo-v3y~_dL917=4iH z89gGa+nNc?KN`t{Ac!=t=8~~>jwb_%w5`_TQGC+oLIZr!gYMG5fu z`3Z>D=jKax$YR2FJO$$3!e+NN=ZoH$#kD7T5R1B%ydEglrTbqcHKgZ0{z&y( z?2JpUxEE89xG*h*lw54YC%Ay&AF!8kA((>Ak1yjs46Yq>;$r|13Sm2Uax{L3)zYi~ z+@q=$NLC!Z+y+EfVM{$>x7=ISS#aNp)Uv z&*#MXTl`@lQn80U)E0ud0;C2><>DcaJZ$5Lx{Le&`=l6MeYHWjqadAF;B@v`KIoRB z!O_(hF3qF$cKj&{G+44VHPG{)KO&V&{9^`o2SvKZ=8W~gr?YJE^cUV5gzj7{PmIzL zF-|?-ZlCO#FgcD)C?;sfP=FNb$6RRkGP3zC`bH}eH=1C?KuGDzzw)^M>v8a&Z-s5p zoj)HBIfHv^xzej?_=6H@lR@;Ko}Av(4Bw#IC*yeiFPAp=Dcp-=fLamieqV0wQChqH zsL!lxZQB>q=ewcYx5l_~OdQYgpQre~5PV`#4YjVbZX*T}-TYgwI=bkWo5$h|%^3Jv z5$ZS-hL!2*mO$na%%DyZ2M~4M_|MnK-c|TV@E2#9xmM6m0l#e|(++qTk=uX%|0G>I z&o^TFj;c%GZ~>-R#f%xRPd>gGyGTS>{@5QucfA9K7d@F^-O*wHIqD#lcgnGL=;JGT z$miz(GmALKOpghY)uxOt2);W!jQ2khI&Y-a4Y9{{jzn)$Dvd&{TK%4^>`)H`fVe<@(z(<@CG!%gMTb)Wf&0x*;Nqw?Ht+&%crz zwEG4vFb@A24?#*V6{lseqgmkt#W3`Z@DiG71uUq)`eeL>zX8YBUnn?@k;GnASmD1& z88|17$GK_1Uhyc5L8w&xbaYGL@%afqbhBwmQRzV7G}88(i_EWmF#X;_1XCeiAu6UL zyX*Nb)}^9FOUs%?vrTV&zd(uWDFK%~b7#SHkNY!_#AWJnsfgSI*KK_|7vZpd@$zS1B+yBIMWbS#xC=ACOs zTcoGPZ@CmQ`SEiV?_1tE1HkzJX_N+PmW zv3W{q^@y%_kXkcGsK6@%DWUel=A<<#m-;amqVRTV)jPH6Vo)X`jUKRYpVV?xz?+(5 z%0qW%=?t^9jGkQbrJ%}wx;6%)p0_aO>_|Qh8DOqdC6(mGmy$1ilL>u*gsLdI*R7*Fq)Mt3`SCwl>9RFSh$Fxdgf%+1IO*#v~$KRZpy=jKi5GwI;DX-ztyPy94q( zPsOdxqvB;z7 z4519KygVWlbsvW}s;tu~6fyf6G5c<8Q_MhSF!Tyg;Vc}T(r$4KiTr>v}S?U=? zRc=sJsYj7VZp|r^HZK3Z$2qU4yG3SXb3={G3h-Da*Jo`ghsEvWIkeV#nLWehTtQ8^ zAcMe6dMz7o;4Qi_vZ=W<2%@J+fCT%YpJ{})WpXQA9tj09BX*&~)0t*6GIQ&AUX;b- zRz(>6iNZ<~KAX06E4iXPw3?w>9?O1{yIJ7WBGuhG=WKmMm;+(iDl^M}a}x#ezc~2k z> znf7srRZmsNyQ}kVxGdu&v9V~o(s^DX(>-Ydw6G8$}WM>p{Ph%hk2+3cd7xgzi2$*m^(Cj;inRz?6EVj|4hSA9>zm z3jvl*QTCpMl2#knJ?_$O{z?dOpr?06w>Ra5$`2+aoFDLd&`mXvHRd3nGlRU6u(4kf zO7D)uktH!dn+&aEy+!5FdebueH?vJ5TQ6vrb@vM0b{YBSZ@ExOUJpMV<;7O(BPX3q z@1TO%{(I4M&zEvKZ6}K}_!PQWzeFQ59?V+Jo`svQ3)g3ODy5knq{W+kR$UQo%HLC@ zG)!(JWY5hvX*36=a? z*6EljONwmFtaYmwBM>fy*D=}l+J-Y}fSi8(x0mNB0;`H;Ix===q5s$JW-TbHg3*@q zy$zQz=`u=-V{6-wp^qN9#GGL5^Nd`)G1_66*w{i+$Ej^Ut{~q^?K$Ws09T%h&qK2O z%y{l$N3l4>cHeM;n4o*;n0oE*ryrrptGJ8!hDSCyKlqcZl2oFO8uE>bzNu&CzYUAlu zkLh(w4-7H1;f;{a|x@dO42j;gw_Ja{xY_L58ftSUW_VdQ}er$sTv3l zQAV6)*$>h#;u-|+3#k36< zg+t`LXaCnbVb62^%zSvhh+B<>KyIcA!A4GiKyvnBc(^%JJ>Mv&RAao>1ge-zjLvzY zLc6IR_Yp)xtDc|v0t26&zG$>L%NEQ>nKmTa(fjN29_+381Jc)|hV-Fph4$hLiq^~z z7(OWaC>eQ_P8p8W;Eb4BTL{X@;^1fN#+U`_)*1yd+&#w;Y~~XjzV8+tJxzD*EOY-2 zY28(>xMffSA+n}PMg{*M}pi9q+Q zo;DGePmI_u-8b)Gv{Fh@7}1_;5vt6S9>}h#e<_)tW*kC%;}Pkb!3%%SC(6xda8IV% zeIu`Lx#^tG%V8{h{`7<&EFov2yzDL8fL9|imTOSdQGJ5}50b-U=#Y0*K4^9oK9F(0 zu>fOddT)xC8g=E&jGmoDofy7+CwLyrnxIa*8nO1tsurNt)SNySeHu=D(wjnTzi&n9 zcteNZl($Gy<>;x>J{f|WO{|?h4E2PV!M!}52>w;s2LczOM8e#ps$*$^ zw7PgD8j$OU3nr}Q&<5gDd?L7*dLXqKvKLuUwj;6mPNXCN8rm&*9YfVzaMyo&&xW~K z4HiH4DT@B~FTzIJB;}^^1%!nf^3g0&|6V;jmuoalO3zk=J>tEO6$|PpX>#cFSn&0A z(nYZ#{q}6QuBhUT4gVU8BuE+;X zTniZOI9)yfx7u5$it3AeNu&39QJ@_dQ~E<4^-Q6kEOHTYMo zn46rXLbRm=iP7E4x(!lAW>7(Iclw9Z7p=1CVvn*mZL2u*WTdv+Nz-UC5$ ztK3A+6S}T-W_lpE)tTU#5g1#08(Wn#b|PoFw3LknuZ4>SCbS?t^Qu@(Tq*ExLjzXj zPyN~m_shMitCgiOMrm%7q$nMK+H#9kJxO1M%o5L)LDtcAThR>t<#0iAC|Xt*deKBS z5T^I!PVW){MBsiBPxQ$+bvOX3afxVyk>FoD`M`WyaZsC~(I1dy;<*gSO^hiRaSE8B zgn^&~*&w*BT5kfUfs4LAq2=pG4I_Z|M6a^g+Dn_P7>~r`VR9h0%9_XQrBTo5eAe|X z1J_XPOB0^_{e>CUfDfRC)q%1}UM*eFc$k4kN#(__=A?~Nidz-}HUb1nS5N(be!KFB z8f3z2h!bC44=?jNHj1osTs(F~%B|&(F?5pzq%Rv;S;+jwX?KE7>xZ4s|Bv^QtrbL} z&-1}X~QfD=46i|bb^_NP%{z<{e z0Tc>AP)54Ed{R1{@?4g8ZzhF9&!xp1|63G??UthC{>Oz@j*_M~r+19;BRsE;T}Mme z)xMzq!0rcqGL@r!?#*Oi@T1jyVE4$I+*Um*2`eY&&hup`#puN%L zZLvzc(JohvV44S!_xZOV$T|pcB0m{T8tQ-hJ(8^Zwav=fd>uAlpD`EhVx_ef-B_oP zG|+K^eDujMEKa$AeK8A*@ngio4wBQ`sIG*G1|yVZc%rZ=&5DJwS??YNY_8$v`xm!sw&`2-_12sp1U4)>RUuO29+cg2qc z{|L2?_|cLf{Qipf(5s*sU-tOHOvev&wjzp(iatM6B9m1|BEanglitB0q&1trKivIr z$Go|Pvr|jL+r~%YPWZMDyV|-?ZxYZpYh}>nYPY2E-aL@dP*Fj1%PV8l{~USXT(P9A zqF~+T%uIVEag&m~4`6|05{x(gbl?(xflm5qA^=PP0?W*0&UywrAhOhZ0|1=cgfI4e zPr*%cZM;Rk0{J53c_M`Q!f$zro4I$^L(%Z*=Qu*x`-G`%w0M z{*K)osZe%TO*Swmb`Wbh!*H!awbmaE6pgeF*H$W;Dls1K^&usR1>BSsY7yv{)trn) zjfG8xF_Ommyu9sRAHHAQ)Vp236u7;-=DJ+(+WV*`S6fFSADJZ_EB>seS(o~W@Nv)p zR8cwUa9hdE?f#s8*0?53xx0V6_#>ao&v(I4`=~a|D8Ies`*MH0ZkPKY@4M#R*1pcW zwXx0n{`}RZ!0SHr{lfC|>P+K$FEnzGaIAUYQ*$J;0(jMG%T@n;Y8TOY+lXm5JDbyE zEUH73AK7|2JBtNWfuv2MbIE6C)KKbrhr!4P0n?V)N}k@IR~a)6U#+emVvo%Dh1h-h z_%jd9!tyR!{KceI;C_QuPO4(sXh^S;W=or8^hK7SN5p3OZ8TR&mvoAenS3_B_E{=K zSX>{*6&LRZq;}~N4Ue6!v}d0ri}9ln^;>f8QZ4g;eq2SFdQco_|)XV&QpK zqGh&>{sgc0nzD@+3MH8{1cgxbdp=_T z{N~jtzaC1VFPqk9LW(dSV`Co)=M|0*-rv8v86=rwB+8bn11tD_de-g8*)GD@t|A7- zdz!K>MyiR_QuKKhse)c2l*&Tu81j6n5H4)SGoe0c77@3NL>!Zsi<_C8DNaPusH6}X zFtcr*9uUK{dB9qLgORPNy?zaHrIhQ(iQ~WJVZ*v%R(oD4u9uK58lj-V{5zQaqj{Cl?wVhrana7O&0L zyWj0VRv!%&X$_b00x%x63yqX;p9jC++T9P;v%N-Wy!2KtE6pYx1<_!0^+0A(J#)OS zeYyY(P2pum;oMc$k*h|I*#$JT@tXv+@SV?*1<2SN^7=>Xe~{iL8o5jL0$_J5M)1BK zef=(<2d0%AYOl1I)@9N^*L?`l7a$*71=hL>0$JP6E=qv?C*ff#{;z0S22!oC1HxB5B zqf)ro3e#eQ{DE~V^Iz__mxoghLO=>g_2cQnDC6;Of5@@kAvJ@2c5iaJCJDs5|Ik9e zI7cHL4c^nYMcLi$-F#f|0$|DfeZX^qnT9U#6R@h!FS>j?wupzp(s^vV14)jYVhP{| zPu}@km*TzaE{^_kvE4q{8$Obz(VZ448gANUY3|U=iMmwp*deaq{bktyO^fhVGn+nW zt`vp_7O}*Wrs1xbCI>IQmLki~HFtS6;OaJFP;wsG%-+;{JmC)YUeJI`%bJFhJdVZT zSOrExt%xn0%v{)z+aiBw`sjyxL<*STU76ew_VR$C`;$e*j+Ij?f~~=5E5N{%2~3CU zN|IQenAg+@=P;r(VV?;;Hm<>|oBJ^`mtB8oFIzcw8&d%ahzr6UrjIdX+WwBf4iEWl z=2jMT6Pxt)PS3zM;W*>aXM{v8YF=&j;hXS9jM^J#Uq43xbLj+#3enMxQ*}aF##s`H z1`v@$qp?*b7E+HIy1~&xDI%o9fF*ABpnPk9UbBbLg&~8%t<=~y>Q627Dqbun4krU@ z8+GPGV3E>TszFMzRFR(;;|2$`3hn5TL&>Rrb7Xsnx1N}ULp-CmI3ri=({si>D6+4cXh29u9d88b#~`^C2J>XsuXu+@!8KSk`unz9q2(yn?w|5f=O9@ z8v6e8URx$-o{-H8iISa4`v6CgR%udJXG6t(kJ4z|swMwrXneJdkw~19q1&0U1*-@| z9X&5pxW%DNf1Z8Adeb&Tu?hQX5(cuAIY$gux)j3^$kV&Usd>y~hY3rPi3nK3LUGC- zSZD9s;_AS^)$kaC&XJj;E|^M8Xt#3_BNCt|Q4)h5Zn9?$4Cif1aP!&gMyPJ3qh}@a zN91 z0gXT@2C?p2y&uhIEfM~j?QcxNYB}s~^OL=Yx3i;LR*&-ONmXtdFEAYxYvzK0)PTp+ zJ#;-h3=X3{RNXq$DOBB?lG(pKSdM49nlUXke4}p*P}Dz^aN#{gF>}R!KurQvx7?ky za_D%g`d-p%-rV?h$&AqeUX~OB04Y@UpPP@Pv){@3oH+gv{I2IhQiw4H`)#RO5?l^U zq~Mb4{2Ni25&+vb3^}tn|KWrvuC10r9b>R|j-}cR1wU=Z&fa}>n9JHtoxmX)sI}0IP`xr22`pBPAqY_UH&J6wrkNW$S#=`sf8Cs z5;Ky3_^i|{;zUNxd!b{z{4Du97J89ZUg$Q2&9wmOztu;r=$j?a*cf*`xgxC%sI~*_ zyHkT*GA(CCS}D;H=MrAYjtN%nu&NxAw!6lk>b60VXd5t1`=*sjPAcpdg0lA0BLOo< z?pujI-H01bU(-V6#@+{~A0)fEcBEh^j<+^1m{lB;Z&s~LfD`6EF&7PfN-aF;(v)7s zlOdEFjfAV$G*$9=fGWE+{h9^bX|Akj5 zz@;P@L#jLfOq|#MD@jMW0e2+aW=>yXQFstEJkahNbJ`>vaa#ToK?|kQT+P|0-n00` zbr8LDZD${PB*R_Z7uQfC3}Jx~{ynpLAg<+P7>k8I!O268tQ||(BmJKjLit#?dpfW? zI&~-M-p@6)QwIU>*)W)no2HfBOQaa}MT15VH}Z$Bcf=+_0nbw~s!lC~jv8F+NNSz~Ky+=|YXrEJ2cP(?lA| z`zZH@%e@HJaIxT_=3yH`mDve>EDP9aCBj6|1!JU)CacO;yNs6Z$Xo_nPsa_l7qyAT z!CZy~_+-KB2ZV)ejWh?QHXM%}GqlbLY6lzSQU4_1j*P2kJ_f?Hts2JjZdtt1cgh2C zEw*D&HlT5Hg7}zk33iHB5TA_U3J&YUnj1O<5Krk~&N`64)Y9l@Zfu#g&wXBPSV3do zS`suCQ|bdD{Zf&f<#1P@E~XhM2v*5P6Dn9NK-mrzm{E~x1pIPkT0p$2{%^{8MTE8S zCbrmB_padIGw9Af8npOru1GEs12zU&<^~k7?ZzY;hWep6oh9@l(Z?n35ltI2NpctF zjCH1`SgR|=gHK=05~lGf!oinGQ*xZG3f#eixeLiC($2z*8|F;SC|3*XLs7*Ux8v;G zIs?;gkXj2eyHC$rA5b#ay83PEMlU{MC8vm?0(#V>x^A2Wlu@Q?s}cwB@V{+pTYF}P zhl+!HKu5|^In5_2R$;u79kCAI{E!I$K?RPZB%ecq2&wJ^LE!=+1;Rn@o8M?vPdEuOD_2+9 z=O}?7-ABpKUIFlMKH#mtdQ?uW$F5}at?_WZy+d^e_p}ua&J!vy=Jv@4++5T-t+q#z zuiej@^|N}vyueoOxr!MhyHAW{)sUR8S5(71gq7t7n%!-5YI#1KDE-0nGFuUl4V}G2 z+GeUIMHn?udF1Vo&uv*?Spss^D&@9}78Szze|>TsiC&6l8cv3=R7_(Q3cY;X_Tz_n z+r`v8$6?@w(d%nIk3Sy1PQLH@Ve;k~NI8+{rth~%2sfV-w%m_o{W-lQq{>|k{Ch); z!xG=jUpJXC6s}`g!6ko$<$D)ZKEq3Z+_Uy7*W6iubfM~b{cjN-mQ}Wc!o9W#v!2;UhxLTT8^x*ORJSu0b52d{3l%imVATP6+X z0#x}!jExu-VpdgU#cH7(9LLVWGKB|vs%S0jhc0N2DFcFHC5Oq79SB%0!s_l66u&cP ze9%`6H*b>fB5bYC`$v8m6GSAfr{oCc+rAxjALB7mtp=gs+o+|AeMz_t<6D+pm1Q`Ch+_EOZV+B*Rf~Akp-FD@y4o@Bv?;$>+E`QCPiPHj#3c)GCZh5; z;1I1c6q{?(hUhUJCw_NhWLVU2-T&HRzUL{ugBs|EX5IZUB6Bw?BL-|9RQqJ7PDZm<^hTyDJNM=mU<7{fJMg7$dQC23>B$jF^-m zm!?(QeDSbF^m7!e9<2r8DZuplS0CP;rM4RMwQ!qL#8G5)=}+{W1RhNoq{Kl|#1NBy zX!SsUqj*VAcSW@21k>roDE*2rIL2~!E5rxC>7A_k#C^g=rQpNo6FM>Z&AH zVAA663la4vFti1icIkw!F6qw0Idf?R43s?e6=G$JNL;a+jbh5yVOpiX%$oi^Gu9i( za(F%89H`priV2=Fqfy6j(&HJmI=ey{`Bga(YNAiB{fky!nx5U*Hj~bXkd^$q9iG0j z4CPaS_T62qxS+bOI4bQgq5&**wbtDkLQMJ~a-{ngRyOt*f-#Q8A@`9iL{acS zf*6&OW5@|gt3|i6OU;7eB9~fIQZ{w6K`7Y(tz4!}(ya-au2TyLVR09vT(SL=+bGR4 zhIWPB?1P!$2#rVSQH#PUt)*&|%|{WognR>8hsPH0;ct?DNzu7)bN0DxgbC{B?{IIs zga`Cr<*3d_9?pu1R~Sow(gWhlAXs;DUuF_;U7ekBBM|v zW7^mzT7S`ubu@8Z_o*DqBb{MVmAqDukTEPXq1)IrnG3#@M0!tSiM44vB!b)6JuQ+jUj=%#Kwtu^g^69=WHRO6|PO2k2* zoX4m>LN$zGFGwHNSxJ_fp9AFBv302~`b)Ze<5#i?&Ml z5P)lj6*xokBSM3Eq#11$eIM0yQhwf{nL67bXl&wN1p?_}=db>>xRGf+i42IaiBhz3 zLoG+aB?gArT(CGE*k38LvkJqTfaeY6v{Xvwz zNQU%N)T;r~PEz<%>PbqP6Vr!D9aybQrA)6^~(ZU%DYqm1cF6LV2lOjbkALXwl; zrYI(5_tlrEcpM2fU_!N$bZwf!nk2tLp!K(UF+c(xfuad3h z*tVLX!VR_PJ*}UUaq?rQg zc^`nSc4QaQsDCj5wH}plD4lXZ5MwKt?V!L!#7oB>?ZqW`(W8go$_d9eZy?}=J53hE z6Skbwu{9{xb&v?4VOpQt_2e1+#mtkrYos=|xGlKnUkG5lw)L*QDq)lkX0!}vi7vCG zS*xs61(_pYe4r5PAd=P_P)+yhg?QC0M!jyu%nUt~7LY5+eedqTDbcj)Ux6(e8zW5G z4X~}AjD5=p?qi`mMX<)c{4-g1Accz8m9=<(8?IrrVf$!y7nDHG9^(p^y5yCX>Jp6* zOBI}g|FeG!D1(nzB?=SBnlK|U;85-QOAbFcNNvKj{|fczAF5HLBY<}rPzh&H)(@nK zl-M@o7C$eW-!E>WPgGX!WbM*6lpXI3Wvgd=>E7m@&GWM(mm|-hx`0pyB+3d$@r1_e z%$L@N8K^(!xr%g>0b~B@imggER6elM-1oC+A?e?3Bfh|brLNe9Y8M-MPSR)y5~)0= zQwC`qtrR<>Ttq3EW6re1g6yEF#J@B#v#-f%Q`hf)ge(oEt6M)Ra5I_4Zys$A?x zr^@1V2PG!gx+zrUL+EZ&r#lUF2w=S7U&A=rK2J~^9ayey5~)PwSsaEUohGxRg;#7? zO38JiqGv$S0s$V;aDfYXE7nfo%iOS^+{u`sU!g;QxSf^@b1YkqbdIqGR|Z`rmk6;w zh+K+tfI@6)50j*TzgGx?wkCo#eAMb{8E~xY0s}xRs(qVHe#vu!2o(&zI0Cr$5x*GK zE|lKzc>ln<7qt%XRM_{67urKcqb^}ilghV0D)sK*;h%TIWN~7&y%x3csYucVRZv$h zEgs~CVI*@f{zAzdcxVr)(P*o13oOmRr@VCzcf`?{iq!Hl5MgKRvM6Kt49a4d6|W8% zSpL@U@f(xY?5mb66pQ}1hccdEXLr(d)d190xzKzX-i8t-+ebyEA$^G7j^8ma$#iU* zKmot!8+~o3xD%>*cRR}?ZP_&AA?Sxvrr!0u9rZKINHIK_3A3cut>>kKq;G=;;nOW7 zd3QhmqhInd!`pYSwqed~kXZ(kjpd=q5w#``IqP4kGxj4{L}F#%o%EuKOe(#WQHH)`y$rVq0#QY zqxVCPtylj*RUI^ejYcsou}l%JgPG?7%=Gn~XZm{T3fGsujHGge(5Ga8bI|e_;B!5? zG|YvFG&#ZNvenlE>hkyA#jx<<9pgo@Wf-rBn*sVlz@5Zx`kwuI__Aqx}Ay9eJyS`YhjM%-bb($-cIg%Y`P4&?-}67 z#H`KVmA^hEyka%q<3|)Vb|cufH_L~^pJ?0lZBAv;dcM$3eC0bE3ITTfqjsozup~v~ z+R$<%;pGR;3MDMRA8wYjEA!fZ2k2N)TJ>Iq%VNz8G@=b~4k1h;iF zGTd_9$bsv2=0Xcx0g6k(WmXuXe61x~{8)E2_)}4pFt74w&IlR@U8kqTni-40Gu;p^ zNDI-K)hZCoDvbpAI*^2rhP$CwH#2U6m9*yM>5EamsT#(39v0>{5Q6kse6tTOc`ZsU zFN_ji?@Ya-_v3*}bn{nPvE;4J7hdmO;RkrtA1$Za3r$|)ryC=M9r$tnrcS))43O$d zzwrC>*Y$G;FRC{ySOEmmID*sGK`R83!?PO7bo^!G34(TXmMN0q2YA%b(^_TYwY2lCz=HKN)2QXOn-G${N^wS242D3mG_?{7XbgSVTrtlv>!p+QQJm zf>y}Z+L&GxU}S4-0WhOivj7MJ94-E5{+|{iCXPl97Isdy4us5%jNfV%>BTG@9G!&C z4Zcg5=w%H4JVqGr|+Q~2?ETlO$Zt21s#n{08WJLtPJ%3^z=`fmgzeqY+xs0 zVqs?fZ&iXWX8#%)AuBT*{VxNzf6Fkkb1?o(soK9wGBD7q|9h){`cQH*u~GeQ@-G=7 zAzL>sLRwZv&VMN&WMpDv{zt72;lHZC&%jNX{+ai`R_H&^o9X`vEB60{)vy1B)&B!b z|62V2E11~-PniB`{C^A6e;nTbPY5wF{zrsZ{%!UD9U+$g8X>0tgb)Ms|3HX^;lDzN z_5YkQ%YT^ie;>`j!OHo+MKd(dWRUe^ZTnAr%e|=NZ;aWpa_w5wq^K@`~ z{)l|NK74$yq~Th}+se$*P{DYdRS{KN3k>L4!#}O3IX%2SIekBWU_UYoy{av`I*Rr6 zdVapV+`GM4su-w2(A)BQzu29iC#b>a>v6lg92nZ{$Us2Q^ZNXNr~j~XzBxTzC=d^| z{ks2qjWDRW*CTr(>|VOtaKe)JGGhx*Fg?@l?e6ngsE-M5cy?p=dU1VQa@TN`n~^g!^ZSMo@qV+3!CVUsTs=2E zzXCyLPMsTQDtMphSXe7nN-orI#cCb3{1O7G=)>$ul(m>);_rdqP}zbQM*FHj)}^w- znaOSa&ffhhvVKL=JumLB&-&7IY(A~jlWUEPff9C7)l^Ltl_XkiRzd?UbN;6TfR>X( zB0ofng)E{UtR-w7Hk`DLUoKy2elX)C5a>uJNP-nEh=VFZsJycdyWpMyD3t$Xhv1JP zq&(Pimk9GwS_CBV0obN;pdWX5CK2V?+iK1;H>@r0(Z~Y)5u6>oU=KllKm5wO1WwC% z_Aqg4c)|DjF~@*H;yGlOnB51FH~}SyaQcQ}OakT*qs!ZZ2M`6U;LD%4dpfG?W3(sh z866!NPd7RzCsR|KAv%;yR3_{BiVX@M_b&rWWBoh$%Hlef&*jT8%rULZh2zAfYJIZrr7<$9}f1Ws(Y9q+W(_g@o1*go)i^ceiI;jNS%v z{?8Nn#|Ey7D%djkD9L2!x&D}nc3_&JlTsf}-q{fXxrdvf6G3k;CY*i1xu($o$5WVw1Djk;)wL7EZ4rf?o5{{3&{C#GC&JT0tSbM>If{4PN+2+Sum+M-;@> zEt~sD+VR94y?{#p5tkb-r7MP#?1e3dfI8FwD>QCU%5)(mUJj=L9kQ`oUIFv-Q%2zr zgD6mwppfPbJ{g8D5!~Y~uuRQ%O&?hYSMHDEFTb3>?s-ct&WZ;&yr1naLG0a`?VpE3 z;!L~vIZ1ro9uH79?G@`SN;hbEvo%vXyI zx$cf7m5hZ26;YKIN)NWTU`J!#%-n8(YI;tQDfcUU#wk@#(&wxJhy z*Cu;Yurf^I>v%H(Q@6&T#3pJ3X8gL;9)#bwjk< zxzrd0TRWT7ou;SzRtogd-$#9|gV|fRh>+V>a#YdB7sMgktk`U=XB4MyEKEOKLfpbYf zgG|pBy_WC*U(SJSa)FPCELX5Yy;N0<0Hq~NQ81JaR*%m04LqL`2vu2Z$1rD2su8HQl@UmmYtmP%YXH2AuUbP^8RId!#X z2Ad;MgN2kB73(_@Ot@>4vvOs07IWu_6K-oIDnOzm%TYq8Q+LPV6qG7?X(?G@n`rlC z7)SHqnZow0mPu0+>qox&a?~oRCU5i(^CGxw`)I zwOii-J(%S(TMXB@@{`L(Q24RlNCO$ctMPLZGqf2mHn`tXk*jp5LedN*u+!Rp0%LX_!UH72>oh@rV(TYXNZ3(1&rUKaqZtQT zIqbnWrLR=_8%#R|!4-h?ObUM@)b&_*OLQ}_iiNT<^Az)!yQ-}U*I1s5lEN4xA@b=? zg5ftw)Z%;xxn$W%USNF~Yw|GKhQZ^cqc?YaQzP-yD6p#U=5uh+mYFs#{O{rzzhct- z5?x4o(yQP?QKlPVbjA|QpU(qPOsydl1D{7quCOMpPX@~?wQE1gl>2JmxENWtlwiZg z29VpL@1l?m(_e9D$gXS)hgfbn&>6`WPNVpn-L$dQZKNx%ir~rP*T}_I$f__%tP`8d z3k;oVC8|MwMcAMEeoyvGBGP`(pTHr!8EU~)l{Z@<}V$Jl;^6Z@d}wg zls8c;4Ph}c4owEG#kFguC_}$Y z{@5?zUgo7YJ?OLiUHl6>+H?<+|J(g9*FkqeXthQ_=&|Q%Uq6~0XC#^B$@0a9Z;Tz{ z`6r-M26%=-B!qeJV1LUzX8F#ORLtoV z-m@wf_VSWl+AZ3%-tl|Sbsem%jn;2HIA&A?J-zjKiwGIR8!AU>q2i{lhkoGh^OKls z4q!Fcw&UNT{nM>BXoeCbHa1BRUkXahjaoVYDU!nE!7b?$DSobxFk6)u2RJm8EFNM# zAgIH?0WXs9%oLqlqFDzXPdzo+G8?p5fMEzByA|jYW;&VDyK~T%04@YUv(w0kF{rAM zGU||(aSa)wu}>8-v#SC3z=ZqpI1w%d?-jD{ZiN1Em)O^b*JuUV?)DnrGKqb2vPrgC z=dqH6)Xd|LR(zyUSGr#P8F%B9BHL0DGXeXm%j!^cT<2e$CU?3^)4i1eof$co2~eA> z6w#NHJ)zPbd&kRB)84B_$A&M8%^w4e8dAAfypDQ_i!`1!TBP?Z7tMg;(fo^4O7!cH zi`^V2k5TNuRYgarClI`R#R&X(xeGl6VKb~sCh%9mR}pl*s9k*gp7uUs7_`&j$iLYA z^I`C0n5C0ryi=d)Nc15D=%n$KX*U|lk0O$s{MW&uoLKfa%RW!C7LR*4)xvbu3Cks{C)Z?!wxH65nJ+SYD~BX@yH1`S3fwLd52H{-l%|R2Sz9 zRmJcNl|_15ZT*i({%G!j!)>zhsHi3Qc@C2%@u)GkA{&)C*6DX#+;T_8?1=nC9Awpb z$DG>nDDz>8z0q&#qq@?43(6CvwS!RZg2vWfFh_Fh4Dtrv817GX9aDkE5(%1*x+UpL zG!!(p`@|CzapgoDv`4W<5=+$siA-=Jsy$XxX5{%cmMOwhnYm9iY>(w!vF>}3U`fg69R^(Pz4r=XUM1#W3O#Rn{uUQxkTF0S)m7c2fsH%#oQ+CKjfcT6sL z!pTTrj&3(U5zMuL!GT%cY)?pG9MO}=N`}U=>#?d#hDIB519Lz+R!H;a2E5e%bZwA~ z63d-Oh||Vy=twRBRSEB*)&~H)Rz7=)5&M>8j;1n7f<-AECA@lEk~BTzP&A*KwXSR4 zjk&UhAyj7Nb2+2z;uFcDd z5rX+uQ81fbY>t|49`Ou&Ldf#e#Ra)JVWWb$rgcOeIJ*JxMqI;5{Z3Wf*duC&v~WRo zp=ZGedBW(MAg=9e5d=MQFO3s6il;#eJz0-J3JuU%oJJ8kvHIHauCfh0D6&ZIRWIk3 zJ5N5WN%%W<_M_5>B^TIgdm`jx%dcJ63{*RsWOW-!^Dpmg0|BgRZEoH zgpeSUaV{gRhHbPFS-l)IGYNKJ`d2S)5J)slU4!?N(x=6>Astr@hSS%k=NNV|tsOu* z;c?)9+p9ssKUN3o@ojbfxV5pM*Dk zIAo(!QjEY`3^J1WB?X@UWhh##z`k@?rV2CkDLfFktr~VJ@&ph7=ck`;#DJPU2Mt!&d*o4= zINCRfh5qnWIQGN9U6{eE`=V?P8?GGy|K&x2K%|6Oxr|b0>$)_84yO+OSbzLuU z3R|#V&dopyt?gxtWgB=#k;Xv5%c6$f44)#@`i>{~5xwr6$wZSvLHmJ&g?6Jh4sV%e zj9OtM`={bXrQORl7&s(v^><0FHRm7siod+zVasYXEsA5>`g*aEc@s+&krOTI>)JkB zorgzdM}u$^zxOvSHXS;}f+s?8-94S>^Oku{ph*8>Gziex5Tf|M*U?Zb{caBKSt@|Q z_E{&7t?YQG-P+5sYw7Pm|K#@$(xYBc=&m{eSUl6|mgmxIjXjY+KgBD$)x_f?s=bu@ z*GMUd&%SPr=MD_(D3dX*T{zEo$a^~2 z(BrMdiwopH>p{A=QONjsm~}-ic>dXnWXa@sT+R@Uf-T0GA%d-=AFd0Lk)(OXe1c{w zR!BLkm40xpzza=$up_G~jsYFesi}qM&CW{8+t(rOKx;SmdSF`iD$TM^SIe^i%J#Eu zILH6^_{OAV=eGW0>iEHzvo&#OJ~)7|<}y$HRrZyo8*=G9p{O|>+CH4-WXQVCxKn_s z=@JcK&uN(xQFEeQJz7V*=D~@!ooaAwgXLIn-o6uC(-SSa@D#spy<>`r? z$H4;8-DrYp&w=jP;?wtt9i(6U+qS1So_A)ASixc;K_=zb@j4# zpsi|(_93ruP(UIR5thJYt_75HHe~=9>L{#n?aNaA%P;CuPcW^-fGp?T;WJ9nrPdon zhMM_=ghI@Miu@W#hg@1TS+v=~^-MB4*p@pwnfxF*W>adCM3$y)Lv( z8LTT#=a>tY?*bXR60naU?YqPNoInPC0M(dEYFqLdTKPM3nWYKk%IJp;Q_uA108M?8 zCc?qe)6SxaX!SFEU9Q-Wt7e!s_7yg`UN32FRVRw(Moy`IF?=xOFgGe?pUg)1&(1L! zJ|hIjvKgMU=!e-Sq|gPj_P7QSNH)Jjf^(but>@Zz%(!DGKd9YbSx^@0rk(s1c&*5h z5{YKum=Ew{qA~F2`Osu`3+KohEEExJNtQPU+Ia(@eCFk$;%<(Xl6KOU?!PV^SJ<4G zi79JvIoXY*0-IgV?P~I$N5Q>NRm1m%5gP}vj7`9t0OKN6<$hbJSvvgK7R}k7ooQ}P zX+E)wt95UsL^{3NBq~<^0heo#%+%U57{_*(^?S1hwl!o{n`k=5M#d zL%lG5v4;=C7yfm;cz3#V<1+WIF^|-Gvl4`R-c5ZZZZYQtk*zot->s(DSo7sI+l0kz zbB22*OjU~aABH9AnAmYg4OjUQ*)z@TJvMp?&l2kC*=k(Rc$i-)AES61GOh94qlV%R zXgGmwrS-my=cE`vznLRqvUy#}1X^K^H0%lH29D}0#9y!Dd+PBEUCGF2OiJKg8N(El zoif!CvM;fRKhKD;8jdh@3PE3cf2}Mw@Vy_Nzor^Xr|x3QX^zz6_D(%}A1dE>*An^U zgdSgomSZBlq#?HIZgC>5NCG){~GoIFgo3*+dt*x{g45L{GQ zp6f}D3QjFKRe3rlAtFzj=-qVxVoWN3;h2YB8U3CWgGOuR(&-2sT&0E!n8G6&ommWt zAx?4%*^(qmGu}OkPc}jlqd8LNVxF#(7^uXRHaim2fwm2iY*7Gm8}j3SxxHO3fKJw5 z71#bH$L({my6Y~mP*7wPs?iWw)$H+Hy{ue6LYpvTETOSd zqpe5(0zf*k+OFrR*gCgR*n4C!3Zt8iHho>W*nMGrb99W=`C`LC zZg;A7on2ag40|c9`9t+}sQzo5d9)$iG_FcQf|EH67Ld+2QAW4h=TKg8He5!x%s{?W zG+vgH(4W3r;I)~Scx%**Os6}kmd%vS&tpQfbU&KLR3={maL()OVVr3?X%_PI)@j4F zkmRkIX&RD5){`;U)L;=$SKR(!?B4LR382`j4U#iBqD!uF@M2mObhsiJl5n7sH;3kq z9K($xiO8r9dw&!G!*?qd9TpMooN-eW<@)WyiR#WjtI$a2zPs7&+y(<^=5pTc3QJCl zTsr2kCkoWcYEh4rok!o=(nxMnkB&-2_i@!uX}DfNri4LgNUifb*f0eJRxUIB^!n!U z<3_j`cUd62&vM+?b1((*`FOkg3b0YqJ)?^GOiWGeiM9^5U0)Y|q#__7&?@AB;eR4n z7G@5|8{3?KMtGC4>S=$CTe=;|0vw4HNRElT6; z4KK#}ymB$6;pkl-b@;qeONqzf8>2V@LeOTyU&tqi@t)e86Iq9~b>im#oe7zu2hm75_~jiYp3K3Y}6_hPV)9>{(yIS?k z_D2Hz*Rpa2YnEW-{SFWPC2^KOq%%>SG8u6i|98ngU_+G2`>+Gjbfz%U>2cB(Nj7^G z{ti3*Tmx6&85PXVIt*l#kXL{>@X)}eWH!nZ;>-aajsQ_rqxkL_iO3=~QAFwB94n7> z&7@(ShG4x@b+M#hlQaZ0^l1QdOOsIRCzNPKpiS-5At)p_nJ6mCNlyCT% z!f+xjx%?WhxpcoSy|J({HT9KX{H$^!Vib|Z)(Vd1=XId{Qy)ktS19<5V@CE z5SNi=sD7O((8-z&aK{AvrB+l+I@I=lnW) z+)5GJKHtp~ozG^pQsYbYAUUmxLK1+1l-_Lor_!_Hc5O19oa(oRQT`vZKdt+4X{>T{ zFc{*c}5f#3)X9=pKAeTNk$ zGDD_V=Rz8Lb1?|IAt3$S==iA$_s11{ldkIcV%OPyh+_#P408!YYopvBdXz{DIccj^ zD=qKdDO52DgmAqgoYG*rwb3${Vi>W$mABr#+7ZZb^Ht6XB^U}bDi9=@z)McZ$ zfm88U_eH2f9rbB{ow|G>GTFUu?4l?8ym0La4@2K^$oI`*yU(NdN&Rm|gfYOlzbge7 zBDP^oX6kps{ugFDP7!M<6OBq*3Bg~x+#37m;ojvzBSG6ha30lC z2r$cacsw%O-m8Ab(}YaW@&fiD|A-tfGey_uEG)1H7bqKkc&8?FT!%cHmt(fGH5~YTWhFk3lt;vC|Hioe~l&l=sSxf0^Dq!vC?2o(U^&urPC2^FM z))sv{ZGuI2_!A!8M~3aKU~}9M*Xz{PcN%!Uq70sjOE>KAkD*GX#ZS=0Dq#|Fz;0cR z#5q~`jpD@jXg;0XbAVvIRKrfX&|rzsZWGZp{h!i5ayM`KT?Gkps!J75Kq^PJ7*;w@ z52hJaoFg+fyks0NKXBJi+IMABq9O-blbXk?tX0X8k)W+g&!@$`CEo+~ME9oy6}lL( z$>!~<9@8a!9?~V~?!Uh<)7|R#Zz2F{VjDQByR$wpEjQl3+Ny$s zJs!NI82@~on6=bjfrvWUa>E-HdEuA6Z>Ahj1?9pi%uDgsou!J~|Di`W~B)<8W$NB_3pE&qLd2P+!~<9~jwqKS7Mus>RN z1@cS)`BxO$Fx}gPS)GOrbLR+T1I+PqH%Hc z4?BsRnrG+zvWK${zt7jVmZ`II{;;OrFDju1;>2qWRpo-0BZ7vh)kw7zGkUtMgvv>< z#MOhTRTyA9Q8A`I$23Vv$Nc&UdIqEf_{g$J!}^}gu4j{Y+OI5~&x`XR1cs^Y8QrLT zW&sA*BK z{e#?PyDrx|sA|rC-C=F~;mZ4Qp1+1zSg3FL130ZtyARs~2wmJ4n0A>3{4Wh$3SoKq zDd7zRdN}xrTp+L%i4Yd#k|?UfgmB>;W{5*Vpx+c;zXQ?RQ@AyU&!~-20d}s2XwGIf z@G21@5aB$Gh|59z@Q&LBqd8q;JSYQr_6gz>~O0(O@~GS{q@P%EyI;5YG+(g!@7}uG*oCi#4@?oIBh^H4`Nq_3>5NeRNL^#~<#ISlEpU-KI7VAV}L*b|d`Yo)o^@n{-O z156>abmPdC>(1G1=6Zx;@X~F7*rhY$^j05bw3oe*{ z9i#u4fIdns{LLs)>@8$Ks`iG}2@RB<*H8^bEiC;<9(@gpg#uNm(&dap(;iZ3J^MaiNm*czR-ygQ`mg0{gi` zH}xpf`fz9~4VxElL)|(NuSJBO|}$f9x(hzd79!`H++jcUivw z(%uw`T$+z&^h~K<@wfwa`3|gS&eiFmE@R7c?w4|jPGGuIxECl=9!u1TQjSnPRi)L~ z1>xG+-Z8RqWC^42_+;E=Pvb#$D^)ZrSIu6U82_LSasck!$Tj-|$$0{f*-o)dkn3R> zQgb5TA$KFJK|+)|LaCN=gO@{+Q2(&}UbTSMDK~dESIiUk*iWDxEx0L-5)JGm36;bg zLj7;NxP}O0G}43v(M{}^I~pyPtbi;fUm}+%-?5R#!2t+L5sVXpRajFk2=eJ~I8`B~ zaqu-toZ)MD`!R!9hcbb&_ZR}$zlA+$m$6?LI+XcK15kr?z_$^F6It4P6j%3zMDi9$ z9_qqX!M`Hon#Un*4GIoGE|L(8zHLDy0XsVmZ=v4v?eQXkeoIU`RB3e1;DXe@=!wl) zF?eQDM^JFwN0czBOvgctyUc*ges2GM&_$bDI- z`~A%68{MC&xnqAq{UfbBHyhp zgpXW%;{dYM_sG!JwO|NJ z%2Ltjz?JPid)%Ijd~B@0gSNRe&OTWzkK9*QmgGHNm| zh5^Rmcourc;&;~SBR{vV-GqN%;R~P`LUR+drx|+nZ@LJ*-u!uy4Vj0-T1ySgO{_kP zl!x(%ul5E;e%w@&no$w@fy^>_~c=CK5{0ufOCS^@Q1T1tKSPcj;pc55j!)iqi(^z8%Ff~Z`hNN zGBUL9e%$P(Uw<#m>nW=muQDn@z1I;Pn||7ZVG;jgTbXn5&FY@DtTIZzs$9^Mxx?b3 z0NJ>x96)b1gR{Un-LaiNDQd8>QSJj1C>9759&DXvS1mq9v&V}nU z_)R}1BJ9%XEN)1c+&Yp$_+UN}L!{WINGbBg5G`z22T;zNcJ3JPLe)1-PZ}@vA76z< zt#1hP$6sd;n~BAm;;3Ngo)~W$PRa_p;)kO!wv=*{AKi?+HdeM;D)My`caVCQAB7c2 z?VEMe#o~!b4e`AGkzFL9AMa-QwsZ2=F6)SVH0@bH?vyg>Z!J@mv5*7&V%(Vk#Lpvq z`8edDp$+-k{`{`_(!c6Rd`lSto?$960TdPRmn1yN*rO|u{E~K!v#bSZxR|VLGJ{}8 z0&s2cP7-!e&t|@E97}Lu4(^Nq2O2jHMz|+^xx6C6@$y7ZXt@%Eao_7;@^It;;JXBt zCQ+dT@Ofk_A_%aPa!V>G{6L2Qch3o*>1jp~BSrDS;4YcpXC=F@XQwA3*^jksKz z5?0>G4=fK@`I4Kd(+fmFeA75$F;LQ_Y~q9pNKyPzd1Jr!Dz~3(yR4`W*-dVc;&LLW zt#8wTGP?7!1jP+tAiEhToL3g+)FswWk;4fovf>u}WX%~29kR=O@q1lM9zr&@#8(`X zlvCl;c72SyL=RHbK~qLbXz+-T+IF=or_@S(-CDcyF$fUp&}O+PL87M_29qeKIOU#d z9ZS314PUgozN1C8Itnd8_Y?aIC%M3KYoy1|%fNF!&S{%eo`HE_C+<+opH1)J_Y#|; zNx5bYdBJo;=5gMLDYJwK6(`QMf!K>xjZxsN$$w@~+GVp?W86!N=5}Kp$|HU&k`trW z4kwBPZiRX7ha8jiog(Mo)TZk~aFb$hRTV{y zadds7qNXPfJEPTLF&b#i*_a3suGAZBO+^B72U2OalGPN?_mv@Li#kEQ0u|0{+efc z2uJxi9HXM3dvF-x)iWh1!#HfnYHM=GAWcJVx#3FKf)_5JBArYdF__62FrcQnY!ahj zkXbuCZB7H}Rgqo@e9kj^m%*kIz2s~VIW2jz$#9`v77C3}7 zod!OZY&NM9MT>kcZYCK?uhTz^UuC?mx{r^_;3F|t-;vk`&FfQ6Tb;VuDFE)DbyoH) zrc#cGlE99{BbHPSkM=B4^lbu^7($V zX~_{x%Ag$Msp|RvOp|OMy4abWle%HhC6iijGgqMcGr_{mq|`uGi+7({7e{gDf10Gv zhOS(~^%@+jw$e-5C(Deo8y{pA0GJt zN9opb_jmjXi*Z+EIb-Ay#KMr~D=nY1fX^zQ6j<=xB91Pdl90~9Do&6Qes&LN-p%VT zN#7YJass}ROw4lo1asRkOZAokFT?M!@nOd?8{E${2M5x&D`w}Ku z|CNDFkaX5Y1_Y+sT1tj48%g`)4&wbIL(t1WCd%31EDt&{wj)E+6R4P+NQD&?FVZ3L zN7xa_Jkh)-=opg0C)BT2otod;u952ntc6YxwoVX_SvKO!MFQoX?^>B-M6Y zaeD^kjz1uzH0rw8yAIR{-*S;zRQ@Up;PxVhwn=vWuph=)Oza$lyWlv)l4DTDjYS~6 zeg~R(jqisZ+uNNZIMG_}*hHUkUTL7!rgf1+K$y55%;7sQ&^4U8xJf3ze|qKeD26!u zDB`WXefR5@njd-`9t6fj&!oITIg2fUaBK&&f486nK4z7DbMkIUikve0^(-f`Y|0nH zI9$iOvo0MX_*#^Z&p49c(sSy_N0$Ws27d`xKhar@jliUleX@|=@srk+9|csyaLf0N z(`5;A>g(xwgnK~>#1|_*3#Hn~%MsP`Y8t8vzial0hYBP-jqv+1HwZng@k3`gsGd${ z@oSn;=7!!n%4sdfT<4GON?<`7)u&<|tjidfdT7kHHSG?O+zd<^k_lrFhQxTykEX31 zg_h~5DVHA7rT14QL@#y$HL{LSkLcfC`nX7nlsJp9Ot#Yb&4=UCmU@F|1I3BH|UfTbRxAb!y z36FKD)rcK4YjUU%PxUK+`GdaMA`WZrO@1;@ri=SrHU(()V6JVtGC5@<7UEF{akI zN(aur^g14%1v@Y`#$#}pcv zj9J$o`CLPUTB0B{$m&xWU-WMx_<}(8l$bE&Xi+#R=^5@aN2Cgw2qfaV^gVS;R>%KX z9*)WGY^QcDu?l^>HCH)ve{0k_ag|ah3B+9f^e6NEQL?DybrX~4zT10F6cfG@duT)8 z)qFcNcdv&+1AGR@JG-@hdbvEAtn~UeT*<9}5~UDA;Z+&0Ib2Gl)_}!y;Lbnk9ctD6 zy?x()Rul2IEf?CY>O3Gk+s=ex$A6&nsC;nq@#nOQCv=U{aTq4pS}=Qsf8OQ2>`t(~ zb1D4cak!m`C-As%v#y2Yq}(&_4YZj_FP3i!y85S?%aF9iJ-%UID=olzcm#M7e9V(ep3VP-LLu?lVzXJLi+;NE%oxW_#5} z541G^JBHqeRg%crlZiTqE?tLYe`iHKRvV~W)8NZ@u41oR##B_2c?^U(z`Q}vZv|3h+g;`Db z1Unu0OA%$-)~bOk2jI%y!*|R}k54w!u@+{~EdX>zrEclY-ILfD>{XY^YN+;FqKzD8 zWcu`l#)Q}V-*}(d{;#~xY>XUC|C{$&M=N%Nt=@-y=PP&QQnV6@KA%*-&Tsz#P!FNO z5k8Y0YD_@3{|F{gY*yl#`MjM&g)XV%hE#S57xyR=IrOS7s=6dDnVFFidn9*ddo{hZ zyxmm}`1~v==gZ9Iw>lMsUkW{%AysOs3~9#4)?j>2nUG@rRn>labN#;h#?R}k+9f8r zJd^X~b$9F4-Sy_?ruN}<_xOB$mC>Sy56^B3;P-0p;q~R(+J1k&pTO#QJ+ynfynasU zQP%rGpYhU!B@kUs|e?Us`{f$ze2KR<5)( zsiG=!n|eLHu$@H`3hjesNj<%q$@yrkc>Jo~`rLnMSe~8w7Opxg5Sx9P9mqA&f?@b^ zb}FbMyeY|Pk-i=i(p%AuPOAt1qltQTNhUfl%9ZHeeNQ5^f-M%BK8CPW~vRI%fCC!PL`Mp2m!TK<064_nU&~H7|X30qE z5zHLz+ul^(x9s0^5>bna4H@gTOyVr@XI~`)ZG zhMXxQSm^Y=n=`f{uwHpSTd&){=mL+qY%L8BFr?knB+TZkB04axyl#I2#Z_SBqMN!s zJYJUIVJtT!rmdkUr%ZMvfk}wZh#Z zFnj0O8QIr8zq|?6dVfaqspy5=-YVdnzD~3X`r_ZEM|ig9(?N2gcQT%Cj$^l7Y0A&E zW)a2Dm;O&0xP? zUbkMkJk3V-n^W#souBKvf-hUA$RT(RO9(x2oNrm3Xgx#Y0Xej9_LcI1l#}>aaq;r< z@(A>ZFPeJxT_NNkJb99tZf_D~(GA{iecC~cCw-o{*@EZSSPW^d+9v8Y8u%2E=K6{o zY*Lz1J%8f$bpS9e^8n^rGfD{NQnEht<70A=3P+_K`?lt%q5Hhr!jY*rIaJs@R<0bz zoDU=`_ZZf0uMWg=31FIG$n-KTw*3949Oiulk5O0M!4>@dYBkmDmhegWiFNipt;PBH z%K{>EJx)P>MEu8`o}Jv8vf`QQvD26?(Cuvzj!CeUM^h*s15@n~@6j^iIzIU)6~2bW zyBM_5iLi%^ba^O2#T9a8_@#+C0=`>qZN8pg268}G{K{{9%~YBajB0_ym;-V6KU_t7 zn90tHqbUt9W;`)()Bs^UfoOa_z}uVt)O?m-Z4!3xo9-K6$s=9%{Lou`y7t{{c$bGF zb_0`4bE9ICu)t4O1~g%(1Bo#hEMMJ2kxzGFHUYO-YjVZjUNL;Q49ZcFyMRnAwqt$0 z3>aA7_x!UnJ}$vOisU`2r`AjI()_xeTN$$g6C&$T=rsY#_Fu9`aL+e6=5q~A7o=#M zuJU{V8b!70X@3QW$e6EUkbg3eZeAABpP}L0oWTeJIVpcdZS{reU2uz0Kr*qmiEeLs zFM5OHp4EqI{3N{X2**g0ZQQYvL5#f{H8_MhF65L@@$aawg#DzRtGTeEsj9Xw|B20(@Yo)RO zvs2`fA?2QmTZUSCdbLnds0m>?>Rd=)B78+i4q39rRvM0>3j~Lw}8Jye=>!KL( zsMKRVN$hN6V5^g?UT`~w42jV~A2b_0iNyMPWVa3Okom z-gl)ueX3EpY`2_v)Pc?n;zApfybJZV$ES~*Fqar|>-glIAR}(6Jy<#8w~*?%Kg{sm zr8>dKnsmO@w51%I!GzT&29Dc-Blh!1I92N`-g7z^1?*2|4))EZul$GWeyp*Wz8q** zC2dX4e0)U{o;iwBsryyDH{5_GS)xro*R(C?>p>{Wj?C=@P9&KUFmmbu%=eB+k-Nh- zmg?QG0!LvgF71gvDBSZ8c)bjcN%}inT2Ll!@j`tgh$k=;RU`T1`y5ji;l-Y# zK!YMpT8*j#UGt<+*W5bCTKw3eGsgFdYqQYb7{99;8FLavudlT73iwVny^`9#Yk2qya{{w8qJnjn-B! z)RIp)O5F$6+ol5iQX2v{k{WI=o_<=>=YdWqosQo^T#IKDlc{Vmv$`jpwzs=zI=4e{ z!vzs9SQsNXEt0mj%_~!=xND!^R=gHB&lgB~3N}r0fHIyPma<3znvu_{+a#A} zvIYA*rG99ottCNRX3KD$!)_N>2D$y~9L(ZdqK`Pmr2|C)^=xpWD^Adyoj`1M63(s= z#za|$B?Xk6G%G}GOP{bYxPUK&nymCQnm%?o@4j_Zm7ie5Ya+$j*xT6DBIKbq(acJ) zlqegO>6PSPna)@q`vX%L=vNYPy`48+9qgvwh?dVk`o_padfw(k$DlGozXc12%M5CU z!Lgbq+Gj1fqf^1fU80FMLk7trjx2LR-lj;DSQhPzR}Mx#dEPT?2^xu?;rfv~ECIi}U5pTBNH!2n9_5t&K|!=wv0Hs&8?gQPHrus%DGi+Cr)m2*FFI; z)tBYj2YGxjT_~JnLgC9?>g0cqGQ0kc!K;(QEq~p=F&UOAQnDl zRGG-2Q>XS|p*SKmlI-&9Eg}U>_=akiL^n_73=W@^j1uj;GIi?Mhs;AsQoarhf*;n@ zyXnamLb28H{7#IIRaL_Q=aO|qwdRwyH?s)=UeKXjmHIl+k77f45wL8oIYGOnvaERD z$yss)Nr%)lJ_#1$$AJ_**=~j zT*CL0vB_kNlJ&s20{R<}*kl|vjFaevgeYcADSlLjJh`bd&6u+F!lG6wd?Snz|BhfS zW(vkOUO+VcX|VRFL7UG_&iJhile#B$RzbJ9lNRi#y{~~z+4I4H+ri+#6&fdU!=di| zZ@g(;E^xkWguW!}>6troMspza#|S)*RB^=#F&4O(k-%{gldofxt!&+5hC5%!M0XUs zRf~V2*RvjAqH}>*L>I)A@xGP?h_H@@Kxjr^>$)Z$EWqKd>$N{PnNJ^Uk1@Q}Mi;n@ z#HQZ8{Lt5>w#i$dY-!9F-P+s9vllZ(4N90CZPmye8p{`}uKIozxkzn5xeFSubr!2e z?um42M8Z^TW~f$5>VMl}zQW}2V}MoVeeBHss_<+!!(0fxPE{;xCZnxa%9%00Zg;{9j#7S?t0QW-#peo$(!a0xyI13S!Q9A8Fd@r` zk&*xq*@l!vnu7sq2)n+TWZF+BbhGVhi8S*z<7mZ}3_3C@OQRyg5-ussM4sNSm|H&? z(9Jr_V|lW7DaH{@fAUOvB-0kT0hmQgF)1CEXThb;Axbfn&A1e{`Mpl@xSq9k#uKbW znnkCMR5)-ZW;_9*M+Q{jG;mAWctqCkrkRb^q^;lUU3 z#OYJz9&RPRTgeWDDn&%={^3v6Rz~V-$!Q8{J=^buc&Wd!PdVY%h8FCNJ;($yamGZj zmu+Vz2zIW~5wRrCTzsx!ti2K`3|#W^X{7@oy>MU$UAL)a9N zFf|fs+rFeg@EH7-P0&C;gW2WlhE~S|>^HwnD+FLi#`U8a&Pv>JXlxxJv?h!hS|+)j z={YY{%kW;F`WbJ`$Zsdb2U_xME^>pfvfJX_NOj514gp`R?LP)@|4BB!XWX*kq{d(9=!JX=J4@`hPWJZkRG*r9#7E5(AvbDOZW%sd z2=%l=yc4@hz|jJGguJqZp<)LqLEKS;p#sZyO`>pY5Z?d;WwNwNA$153ho@Hz*HMBP zlJ1b9A|5Hh43=G8clkk*4q~rFA@<7517fcN|JbXTbf>3j7=#44$;2WXx8uT76b5F* z;c)<0mma*m+e_C6qQC5H&X|^trNi_0x<=G{5j8NiJUZ;)xOFyDry( zTQ!U`St_iYuR<^Q;9(-t@&Mrp=_H z^|cVI$q9rzd3nb-LuD=_&Yd;EFQ5yWX{Yq;Aur7OS1hDW;59J_f*La!&@I_xLqPR{DZPH<5xOz$G@|Vkg4Uh3ezEWXbED6X2v&`gpXxzcV=u2ONA}DP5a0! z&3Z%iY6!?(KQ_>-nh&m0Aje0yC>R(Hcm!HLbMmjbx=VpQ6|$e4c4MmXzJ2CjU)#OA61Vg^_%LuiL$KeQyY(o6-HtRw-BYcC%wCx@=l$UO>D z!KrJpw$@Mh*}W3F^p=kewml5YOP#_lDCh!qN85-Z?(Q|G(@fj^T{WX+-Epv&Dn{U_fueJ$%mp3D2bNt_bl^O%<>}r(@m4fJ--jTj#0T; z-~L45-p)o?MQR+Zn>BYL#s_1ALirV>@1_LNefy;j#|Je&E8U;|()&z8S$145sdnaQ zPc0nGh9_c7S+5{?;F0G&O)jQL=?fEG63LMx>mB7ue7}ZImfB31W1c`MpE^kFA{f)g zDbJ-AX-dZ@Zz2<>v2?`ovB)uJkF5TqXH#sJa^{YQ(Nna>LupugJLVX{XOmw7;|E+p zJ1#G8Eompi9sQ9+l6Br|AES*$R3;lrWRO?R<7Lb>OP%q(&fa}B7K=gcN;d)Td(aVF zr(o(>QW2QePPw?w-=A{liLj%a;8yDOXctFJ8Ap3`ugF#MUE@QGy=`*EG_8%5q@~>D4aK$UiysAkiPufSLBb4Hd=R;&)>kNd9(39=awxjRBcY}v|^^|qa9BhrN@s<>GF&Mu-y9Mu`L}*CxPm5k zf3_HWKB?8GYB+qZX^07BuNE7WswqVSXqwcR#&R>AMTKzo3wf-_<&I^$0<`4$$&>qz zJYP30@FX@*SjH;bP{Be?g-*O2*aVmkSU2Wt0oq4 zjGR4r-kOQ2KJ0sQ$RFNW()WpCz;1T@%-|yF;?Qw$?8C>T-_NTo{VM1dGv(ETYknVv zD4TUZz`UJ64BR(h(!x>#$XnBD!Tg+aAzOT`kcZeib|)CeI7wSI5QbCow?O5XE&*(& zP1r_?{&Y?{yi;RE?h1vWs#u=HJl`p0M<}XcN{uM_Krc5}3CILp0hyqqQk|W?;XEe= zk}mI_OA2sU;#R|(blm0s661(fxOuT!p>Jld{sATQNc{k|`TVx)Y1RdI$2Coc#V5uV z*93Yt4e=_X8wz=py}GT#qbUDHJj9RbfWK_myR)mqJFY7kbi+923FPLv*H zg;1B5;f1FbV~i{z$S>>9t@ngguM(%BoAZ-x0d`zc>3ez49YJmaZX!W_h-OMgSdj^G zbV)o%4&%(!m9`9{xCpDI#V4EWgn~;$OI}tbyt=QP!y^-i9ENX;_wdh}xo#Yl{+k$EyEi+nMn#xFC8k?S<iHmzJbC)KBxQaKtQ&#i zDr2Mi3@c!kFDI2ikM)yS+N_>5CU8i`xdDP?9LAd;qspm%Js@^xN)}*PV>NaFf5nv9 zHj|*18n5MHSW|FzxK~E3T`am-txXd$(J0@nc>@dvGR9s9jv|)Fn=mkLl))g;Q?;Hh zPX@-j{_=j;vyCC5&plhP(d3_t&GIY681^VzT;JmghqR@iH_KGC@mndw2r359DEWh= zA0CH%8rl*meRH_5jYlXCL9Tos9_~W8{SezK$zJp19c|BUIQr+Bu=eIQm}c@%pE~Qf zf1E6lk9UEWoJbjKlVSeiF`g`vj}KaSk>vVQ_3y)@3;SkicvJUkC%SyN*)ZulxIQth!bSQ&3slMFgQwf8dNw)jNY}^|*gf ziQ%&KSut**1ss_WvSaJA4Pv!CdPZb04&3kWxC{F-iN6wc&1arjp}e%~{GMJ-x|~E8 zH`@v!mTh?9pDN{gCAz)3H1FaijZ494C2kQ4U2v>Q<$m11Qw9&F6C z!I0R))}tUvX)NR};66D$pR19`D}4<g7z%JH*~fFgg#zL`gDw zX*R$4(x)6}AR;?poTcx9^0Q1d@=T($1b2eq&IJbj10R8eBPqfPJ8@Sv!n*3_HM?2$|VK6!jg3xULd+JTgzpX%&&G#eEDuH9{U{&qGIyeX#q z64RG2gC*v;3iw;&%vQ7hM;QaAMeuh2YNdC*zGL3} zSWUeFOG!F$Zs6HQ{n8cbw?6LKgk^u~pd-^F35o zaTLYxk6DpS=a8{wjyO`M;C7})G-V*Pdrgd zvGV6%3IkO&R5wR5yOX=-oNGTsLc~)GQW-EwHQ__Y`JR(hZ&>|aw|fdRv8rb`h2A{M z7V$F4$a*wu!;H-w4DG~e*s zk{TWA_zfQZ*qRdT(qbDDRHo7|D6eAvfwfN}q#dhT8IOmUrFrk*IY#>A%e>83=mx`2 zmE@ISfFBGtYt8#_EhcNuT=Ms)d6*_Omtu~lU2AaEQbPqJ*5xV^1OxLD=N%mk;o5OS z>Chw15{xy5jtl}wbDfN3X|xu~o%VLL_+%QCI^%Ci-jEiKEv%7GNi7fuqPv76}PcWzWlY<5a!mI3s+=l}cIKsJwb6V^SDqKl=RhSQ4kc{?)%^`_clG2soanLMZTNG5`WI?hSJ_6D-7xgb_ja=I59 zdnXZ;YeCo-^faGq$onj*p1W@b)*Wh;7LMk0zZvH~^5#`vLusiY^k!)ZP)JJ{3mHBj zg1~`f*u0yal2YK7;8P~b6Eh4%d+jf6!t*EY$w{Y3cMpm$Wl|_fiE7~=o<Ry2Xm2#nj?_RD=rbZW@Tqs*lB*6rQ%L@8~6YrXdoRIZGjY1?^l7qk} zYVVx_ROe?*@@@xD#1Da=7TfUQa|lekb7~1sO0Z_Rf&Jz1xAx$qvwAz*v;tMIIA%X?YFxpBj1&t)-aH^KN%b%mUX{AExAqR`*ZNIu^-4h2zXvCscNZ{A{L%mEtnkyV<)J>44I!e znh?#fYoIM}Q63Hmv$vuWnHmQ{om~4iSG6PSY18^o=rk0>eF*+^%}?P~FK*x~!jZOO z;6K1SWmoV4#l>oqmNHef5>b5hllv!gMq3|m$O(>`kwH%hkv&erl-22lp*8I~pOX9V2mUTc-YWsPx>zI}G+~JUqdm(&tM_*qUc@e-ty7N1RhTtfmsA~9a z(!>GzuoOa3(?(B7|CUXPRVyVv1AP@r{>5a^j5yYN0xZFWulhN|0p-Z8n5Kg1DAQ+w ziCCO1N9RdenOy-aazg@zZE>zk^Vm;tnzzNs_nqF;UL|I;ra|?Y%QcA3rzQOBy6nZ= zj$){Mr6$}{L*ZOwF>iNKoxO;SC_(_RXzWS^l`43^H3??uc9YO@>QEfb%_ym6;m39~ z<_98DhC)_FM>VYq&4|3&a>uv&hlef{r6f-XcE2rl#MG{ru5VLDgBRzD9Rx0|eMpfs zy}N@$4Ia&i*+$W23873=Wub?b`#)6T_6KI_T>f19d70V?EqsxtT6lCS`K+TX4VaE+ zefBqC@5&f0Pwo|Sm@gOV5?jm4s|bRjsEH3bU4QquOJ*L(ty;4&cd=f_edC!mFFIs! ztT9?ZMRS^hA=n^J;bbHDgccQ`+_J*|B;n9cauUxNOSRg&uyBO4FnGLN)r9|a2{#|` za=FZ^yF&LMP0$xC)B#OK(dvWR^D5_!S%R&c3Ok>8{=KUAl|W4(ULjuJl`IWb%mn9-19f4kOllu12)Es|0#UZ%pt znNs2KF&5H*@t_~un|WNlHsfgT<7d(~gay6@ON~Fw4|P4uQVZrnC%xIMmYa2Ufn!m; zOYr!x1f6C6=;%<2Dj4=_I48e{Y<&K0y7b{75w&==;@chO@MBVFH=r zCG)BM;)%0#Jm^LVgXeo%A2BJSBa?jm@h7#46YX4!s+#lik0?&)Pmvl34Mb5EN`R!U zeG`#QQ>~|f0ZYD?hIvAsjjD@`P|`UVsP&Y~-e>G_k^3pEMAQ_wU7J_!uo$`y&}ZVe zF5bl8Pz`tMN>*z@Jf}^y*74bs6tl)yPHqjM&jTkxNYIdzfs@-`Y6?(FI`wJIa8h{l zIqbg!ig~f{>zO$VZ$530qiX6vYktX-9eG2$mMVO`risdL5$!MTs8b0;OR1#5ZeSFj zV%zhB6p>ClW6&uyZRZ*F0}%sTKQ!#K$BtJ=A<=B}PR}WpN@LunJzfTrH(P>}jk1Zf zQKmJ1cfJ+S@bt|9*DU)X#W09o`??h>TC`q7>z<;|$3jYG?t# zCjw#5OZt!@uKC2e37^BQ{7gI*I9>ALu4mjA5ORP0$;+gh_2+Vn28hhP?wro00agh| zy}BS6TJOO|STpP)jOq99zzXXW6JP~U*~7K-duO>HhGE}Hj||PU4`-_{Xbn`i1~hwh z>XHtQDCLuvqSozB_1Gc*?R*=+mOF?rFOL$)n%t>Jr+4Rn7!LN$NBHc_V^MBbx;Q5a|gn!{W{*4%ca7I}E z2dY92f~t_SbG9RAV^K1&b^151Ledz5<*;z}V3ha=qr=$1*7*-w1j6%x;57aaMU?GS zY%L%V5|cj&jXxFt7dqrms=xL#v>*tOKkO4l9n!zV{wwhBpnvXn{3q(h%uED~l&u(F-Fq=>A6J@Y@MW)@K+Wo3bYCm@kZ9!?M@h@`El9YlGi)12$p#pGEy2u_FHqPUXM*;s2i1!OFtL`yV(JUiSaMsr(i9Z=MSG zf6_X5+5X?qI=KIn*1^TX2BCF8XfdpRI`mKB{|!|6OF{l;%m0&5^1mPFz|O+K{wE)# zJ53C1P!QeI+911I%VOw%?QV65A__3xNIDweo+b0B+Yv@#d)Om?A+|t0QpdOASi63C zC_4xfuaVTL5fhd+cA3|?c2UTHY;D;xnc)yz{9cu2yWi1{EqR=d4Ns`1PySrekrYr(=f* z#2kyiQcaIcW4v?5GZ2w14w*}<4wUKW7Ng;#QPMOSWCl@_h#NnJ$oTOCJW!UO96Z@Z zC(ygrOx{XKi^3-P@6^uZ9VsV9!J)Mtd(T^^Y9+=_L2;X{(P2v zhOTB4dGl*L?lR(~X8$|+g%#=HFsO1V6|mP23E#^!zV}~Pl4)W-eddhQW~e`3eNW29 z3tj(V)7bOep?CKDXj{02YWAZ8HzvIHm(P5>+NL8VX9)@12L5T*=g`f z=bw7el}e&3uCJ}<%_pYkoYUa0!bLV(Lj5O`x1p;*M{Kv@Vl+`d+$L$zL!#VwKDo~RS%L>MVN-t4L_sbA5<8M0OwI`EGJ z4A5Zq*=CxeLKi)u6(lnz_I{kqG0B~rk`EkH028-(NCgTJmfsC;K4B7u&wdQ}9my!D zdR#?`M)RfCAq#VS-Z!6K({|@mR-8(f^*|G~eBc|M@1Ea84`0X}C*-tSR>46f3oGR`0fX!*e@!)_FHm)#!P#BgZY%XpHDX#|WN%it z`jOjdQ%4JBa)$^QqY|9AemOZVER8N@E|b`hI+M@yeIKG$8r+M7Ara2Pm?MX*L?bYR z1zS!RUuc9IP4IK~i7McWSB+gytpl&V22!s-PH1IZK>2a;wE7qsCVMA;o)p}hD*%47 z*oQO9tKeIuMsNSu%&49H%gi6CdR-v!9O!NgknF0<% zc16PzDA+V)rXX}CqMT!UT04Wc1r}ZPeNZwY`uhHEz-y${nbGc)dNvTNEvnI?pGZQN zpZZmeF>p-}nQ7B_u%&OIjP|jzs*Y~6`r{I6;*hGEtH%Drm^^lIA>;eA6NJ-W=X^bn z*N!(kuHN4VX1qB*AALt~4;sT)vinYZ4=Y#FaAzK{4*y9|7ivFmN`i|Bc9@|E*rH zybD_l+KBje8@pCRCyR=`vWLpN3F>!#ZdGXgaWeM}0%2?Rxv2`)0D}po0$s{0$tZ=C zk`Dja3=tB-Q(XrIR6?Nu<;td0E>0>P)8vALL{;y)83(u zq_YQB>FMs4V|ZCjs=#SjJvc6;vmzUFWJ*-8LS+Qk;+l#0ohD61VXy2bhUD++j~8z6 zC4C(e+UNU*TQ0S@hrM7n-Fmx(pqcVv3ja*DlPm9&GDw~4X**ppW@!9E zUth$V7E?U%7|MV-lf3CK@Qym`+c#GF_jaA|*q$&eW+!;z=$rVBbud31y;+|*XxyyItD%X4$jPL*5a`nOI6-iIvEJphVx`Oz4NulH*%o-Ah!sVs z`>`t&agOwootDMWg!i%nib`dZS?u*bii^$VvMJqRDFtVaFo@*hv8MA?q!VWYAOr1z zLIy>5X3?cdOARL)nlt6H1|md9;_N=(IjtR z3rN_K>*!xgPABSAPRsU9p$W3w7=gFBtyzPz`64)Sv&a+B6b5g0rWj1t z9~H#6%K2$&lW8_3y^*YXRVMZj{`gOh&(1i}mo2dVUu=H%1OLQfB1!Lk9wg;f$U9vOEnh}#8FdQPTRlC})aC=up5ya<{q163=c51O zUf6^2t<()Oy$Qh*w}feRb*!;!@Qsc7c1HQL>h2GRwgT>y+YZEzH+<77^@3YIcv*hSKtNxwbbeqtne;`!06_(JfZ;jun^WCwG0c9BU$m%ifDe!>y?c8Ffk4l$rsc;nV8HTpx-AfyK5127IU!4?FgqOVz1dNB;aQAT*0cFx$q=D6U#)a zd4DuSbliGMS*b!eWGd*h=YlOO{iU%0raN-bc=v&KSV|FY7^}}joIMTn~AHa{}q_W1+S{XOp&*McAas7I^;IU3C z7qe%(<-D5$N|D$;pT&8}3g3(ile*akf_HKZ;|k2*3zj~BR)Y0fDBXHS;xKkc>4v$40c{o|VPFiYAxn>gCrSsOT;keV7;JDD&m$r?CWLHxwOc!LlaqctP} zGsN+7HW4xT_pq$7R_+k|jtv(Sw2~5U4$W?=e+xG<;;7TL`;HR3E+Y*iSOa@20& zr1@QY-sbS#zIXWj748&kWOjABxG zK84THuu_yz8<_>A$GX{=jGOKCPTzb;fOhkY zImcSkN>~xC+}%;l#KWID|Cf)yG|9uS;1?lz{#WLmN6j}QhnJbJPjy!F-qg0`f;UxB zSTDv(`UX!7e@BwM-VG=L0XzH-PNF~s=_|~$unef{Pr$bw`?T`!|3AJw%O!c<4;Fed zsF=U>5%s4O1yWbHv^-+V18z@NwPcTvj_%r-KA}j`gTWd~x5|ceTeGrRp0=ya*9RpP z-wpO3L*H;hdT#sh@w5X71SU6wESa4S2xVm%sYM{%gi=HmH!&6Ol(4c==0d1|0xPm<{sSr?m{pfQtMzEpUZd zCjxvczHdS78LF8BDfWuv{r_LwA6@#p?~*#&+SnD)t*~mHelM3K;%*g~vcz$o zl5~H@WLW=H{j~b6~~;B&gNXa%x`D z@3v)q?0BsJq91FchNs1?r&yB`Tmh_S&4lhPqh_v=}ljxjSXn~0N`{wEpk8G2%vDG%61u+|o-T5pIL<8iM$c$>cOr#0`hSXZ=_H z_KC5@f;M9h(`xKD!*4i3x__PEr@OniG_P)N z+sKSF6uo5zaGu0AF93*)9qf4?#om)GX9h1p^F4NSc)NEyKfSNc8jjMZn%6j)Fs*57 zZsv=1DoGhhWPaUz?}z&WUyCPLjn^~*e1VVI%uQ@Qn^j^D%Tvt*g+xw8P(-TWF0EFw zU+6a`(r8dWPfaVtU6I5LMbc4+zZ18>Dsqk?_8A}Mt?5l!NUif*jvmCu_=~5&(m)>@0 zpo~-5)7!1bl{_I>p9}F%z%csi^V;R(va+%w<{Uam3#&TF&7>cqdMT=dD62__4j>PB z1IGsuTz(A`Bb#mgw)4Ft0MD$f*|OG&<({8M`3Xo5Re~(odak~kNjEECn!c?QU-HWJ z3m+g^LH0N*l8k-GXZ8XAnYKomJsrIGoUUqrq_&a_xdCOHs8lOKi$Mk!<_mrlbEic< zg0&{OIpoP5C|;J-PBD}2+g+6l>+6|k7{<>(gTLtA537BjxD{)TT|0U~Y2a2FaGlf_ zmI6|{7yxB8IW%l`CCl7_fE@rVMhd#d)!H=WE%vE+@h4zJYTgvYWI8i=S{6?# zvD@H5?zgKM%)0w2K~?fyesIg zokMx1lsfi{iWGr;u|Ppdpib_eIw5u&3cz_4mw_z?w2I0ww7i=6rR1rJ3n*(VQLAWP zKBJiH3x@J+w%;#WSX*oNzOT*&NQ~c)aj)lr;)Q_&IiT(a(D#g~>F#}t<4Gl%hzxvBDIiiVs7@Tn%IRsj50(H{Xg6KdRX~nu zU)Q@kQ0r)}uKvwkkVyAy+O_4mhVb@uU5JN=#t%&iQb$G(%-?xTSnf~#ULWUMQd8;7 zOF9UNcC?ReZ!18yQ+h3K+~io!zi%g6iXbW0SKH#7c{%_Pqn9|q*kHF#ygZ$#>uKTQ z;$mZCp92oTkOu2X+aKaO~ZniHp<3}t%aqf6fG5S(fQF_cGwUw|>rb@a1$N#@FcdOjmBobRqLP&Ep#{3o*YB67niD zvWNF-o_z7?>FE&>5lCwM0s__z%NJjwD|VQ#gs*1Y_bpO5uL3XX@@21spAm#s> zUI7e_I{RwMk3U^WthdpJ#NnCGC}fXHL1Wd$A2{5|Lbcg4ug)Xpa=AW8S$b zH*>sJTmDjN3cJvKU^uCp*Y$b;gD4Y4nUqyyH4b)nO}nl^V!$y+fpuvhQ8uWcec~3| zxgK=FWHsqUC%U}0#{KAi@%NBf!20SHOqvTgf^jl)A{70P)?n>hoI6k{e9nT(9~aOnzfPk51&2ggl#8SG zEyLEOq^n20{9LQk-uQl>&s`5m#*kCJiTCrN zp5JlZe8HVZ+gUf#`tymKj&}axe1(wro&C0N{jI$|Nhih7M&wum2ec<2t7nQTg+la! zo!mu4WcSPXGTu>ZH4E}1za6z4oLtkPw)GkN?ZpVQ(CvzohSbB*(J)FJfF{xfbEqsf z82yH7#O5&VQ7#r%42V+0pWE^*Aym@)g|B<1xeRcRw;2mih(g z_q0Wl*%5fff!ssutGoU%*I?}A;*c)%xHyrKl4%)F$$?bdW;9JX3Iu%yn)s%iv{s>N zg10z`mcJKxm4yF9pJxDo8#JP-F;0$;n-(_A=KAzBMptB35 zqXHNWyYDUh(i*0f(@zpyEsLSj7lx)KfU?cM?%dmN`y&Xd%>eFaq;}sR$_Y_X$qCoU ziCfcK3;om0t6v+D$IK4SM6J1?6J!h{`eL|9w>9~QtC>LoIqI04UVBhy2?fOJ9tqwu>bZtWQN2;CJzPr3#P!{+w(47FL>wW!*bmXXJ3?Oae2{;4?I zRV@@Cy=L)7`Q%f`RuPa6f)_sUI&CwX0u|>Kv*|o{gwvszhWHoDA#VPuCJ#eUt5t%aaf$b!U;_6 zZa3nYw7giK1s+w1r9Tr!X+YYavXw!#%7R>zUbq@^EXT1(NqLiKpz_PI=HhGFLr?Z4#Bh+sK}?>SYM9djtKTUj*yoj3@ip& z;11v5aI7wd=fS+dE@*1zNX@4CCdzCHP)O-g7mv($zQOIH>AE-wl1yt9>v6Kr&i>ungFwYbp!`}0CHtmIs^3u{YpQY?oTkg~`Bp|v+j%wY{A`Hp7$zpHyj5iGMP&<7r1cide_}{bMM^=HyRK=P(^u#2Awd z<~=Z1MBdD_ze#O(+`dzqGMk;QY4MKU^BU=e%!=#9zow5WP@RwDoIQP0L?#+E17;l- zW;Cvqxh89FjUmYZ{WHI&r}iz<-H*UsT>;_!7|$vzN|0H(wPXJ7q-a{rE-VW~IXTUD zK0AHE%6T=z?P=M1GvfsjnlU0{E+jEyoZ?UChyf!yGE_f8z9xtP4nr>?qA$8YscxqBR9fC)VEcc7-Agk^MkV@F!-NBa(1nT_N;{BpzBOpC4WR)PD z3vyx0%ou)0o+>*e%^UWQ<@>`p|LNc+#No&^Vd{hR)IXyBwx< zPzzF+E!#Hi&GC3zYTsOKY;1G|!BI}!d;jGo5Z+!v8vWeya$Ui;cZ3gMw3x~mmZN@O zHU0vqsM$_ysIRAR;bUpKVYCO-mxAf zGX>Jd>DC4*v!bUKVq7dB$$XG0ujj)vWW^G%rmNB0Rs8$UTo<;i#E&r6Zq7Mc$a*3B z;KOcHf^x7m5GBrhb+I!ds@u-6-?W$U2(d^S-p>$^@cH2y?5o~jyP9$z{04basfG|> z;(GuOu}aS8o^;VOF*63hItK9Vj35?+_=uwP^7Ux)Js7f=5!&jlvCXTN|4pWH(o}y#;e%o#N1jd{*FY zKB*U-{#i=h+zt4OqbO*;D6At*#{^;n`5`bRf^Roy7T)6tzmBQ)Y^fn`&DGI&xZkXHK-^^xei)>fU zW@h5$*vt>t?@2R?#O zf>a-V)GD11|5;_P0ke20`o@_FP1*p z13B(8w_QzPQZxMc&qy+eun$Ff8d8wy`D8zN4H=?@n3TUDW&&*S7AH~}qphQ1V?7aV zd3jlJiU4xUb@=&B9SYDw7Vm%g3Xpz=GUZVmBGP?|rLkRaXQTx1@FWe1X8z|K>TiNu z^e^3nI1TFGqeK5wi2skH^FaR>b#DPxSF^2&;w~Y$JHg$Z;O-vWg1fuBYk=SmA-KD{ zyF0<%g7wOO&)@f+Gy06z{rZjZx;KM8wymm~RkP;&X04iE0a3qzwoQ3?`7PRPe3s7v zOSb##-D0~ z7sCf-^-y`hB?-x6!tezv-=a|lX3>A}fGY-;6ruQZh;Gs3rj>ILJX!>QQDx>83u50o z`A;cxipu*l|2hK8Ew+ou0yCMKh5RhPjm8H#lJlb>k>9#Ju}70yjeAo1zI01I$^ zYH*LD@{#%lpYN)Z!F;A?Nw7*4ysZlT^ls~5#L7&PzoNqwIyr1e8O6x%u`XlgCQFH> z@^q_3NJ+IQVy%2HK|O3EOLuA={+6FWIdji8*WI~JiBrL~}3P;t~vI##@Zsk+FlTAFvu0_}~mfa^Hw5iId5p&ey*H>Z<+p$Bw%Y9lm znfWf!;S%F9vp#e#VqY-}e$=y(lT}mEiP|r2Pewzz9;y0nCCs%-eVd$x(JfKm_nR*{ zVkKlmL{-zn7|g>V{5+J6M>C<2m8+gtyS}+5;rpRXB_&YN2!$f_k13n8?@L8hscOuK zh867D@}3@TB0Ja(Suoregm+NIDc>ozZ34?uXCG$c{iSACLN^#yICW{Cee=) z;u+K#U1e4jmc^~TwLb*qj?9UpR7&_8l)4x^v>-^)%VK~0Sx5$C2|hNWfqcpPQ)TY& zB`IK8*&OBnrk@JD9?9$ZJnc97!L2SWdcN(`U)LbYWXq2A<3JQ(_#i=Y zcs<}i1l@1ZK>V(MQGtN$Pb-6fjN}8W_etXNpqVsORS+P2kQix5!s{o?zUl$Df_a~l zZ)#m!0D~EoqEw#)l}ccm9h+e;c?qewmEC zOkiFH`8H%!p7qp?dX?KI&udoZFn`>Mn&%52Vlk4|GOP2_tWW#dX$`j1&PKSUec7nJ zhfWMK;z0p(c)eNOOpWr&^bH6GJ|MLwJ6p2u@?Q9xbjA@&J;_%jiu}Y0d1qT=pY#d! zvzIzHoHa*J>QA;NwR5Ll17q?g=N7SPllYLF;`08%6w#x)Jop5Rq~1I_Emn%YO5E2$ zm&1$l^Q+ z|9Z;O|MqP*aR&o;23Z3qClhU8LnZJB>H$1^&SARP#yMzt{+W3NHktYW;LLEEi; z+_Ygbaq%vMEGscL#)qWS$V5U?-Yie4````Aqv(VUO1i~PZl33}DZ_KBWxbQTHB>u^ zTQN!7r2q27p8s4P=;*=y0I@iOsa9VL7*P zzDsFCPk$+A+pr($xRBO@+S_%1sL3+~d~5FbB2N{4e&+U^&H6bK8ol%IaA(OzCzSs*`n)Os$#;EHUO?ujE#~aq znS;H@n_Kljk?&Y>?bGpTh1=bM^VKIqx~tCgnmk%Guj6!V)=qC7+L%~f*_c?l&FRmm z!>UZp-28bU>KJu#0VSWQ#J;M^@OO(ya5E}vn?_v3#PY{PTwV0GLBCUif3GD z5~a0P`k52MLZc|iTBy#6z@zxvz?*66i;6ZG>tK9n{g|#W&lq3$YW6P3_Q{LIebsNj z)F>0B$TcTsK8dp7TicJV>)Y^;`uoa9Jl5F0k_Bp)n*N2o#XJ4SD<$YM(o#xlQzJsX z0ag0Xm5n6zCw1A1jn%N=TWJ=;3gcb#BoJj=1z-dpK|)Etcl~0E1tlLf#4y%b#3utC zAZ8*uVlBzxC7JWDXbCekhYaJzp-~WmrChpKkSmaSF0~+gOR_o1hsxO|L3hm&bcP87 zDS?Ai)bZY9a?^xH5QDQOLx~dIAus&sy@%p%j!Yb(?-14i!o<^cf8u(v1HI-D)6u!? z&cU!6s6A7@@6rB}w3)m?mwJNlQi!0gq9QUARDJ>6Y^nx1klPDOMj%*Lei|dKY}OoM zF5v+S{?TD}i7c?Of zRS+ISSB737CT%4Uej9UDx!is)>zjcG3~2#k8zGT?-)qAZ8`_;ob^vzTz| z&DZVqO;(XztbJTzFz$4B2uVE(H9g||E-jW{W@{Jo@!_M@bL{58C$C$mEDB$lt(#Yk z7$2QRL;+Bv9O}R-=&LN@`gwJRC&Y#lRyN`eD-I}YeoGpp4b~w@ zlq(m(uMs}2X}W9C@@3Or72?HBTY1DA0jC605XE29F?T(jLUkOy0#(0Nd(;g;1w9*k z-hMSnu?%?4!}EsJxur2`K6r(fX{|BZGU7{dfnwP=92+5k-$dO-Vtw5ek7=hUKl zb^y1+*+Dq0JVb)g(Itsj*0;yU(g3^c>DC}<$(#A%4hF>_Y-NS#D}zkw_c0*R=gspL zx&T&FyPv`I-1=ZI@4HZr3Ib`CC`5$DT#@Y2^q62SO}c-qt5Ny0@D;VC28@yk52Qx` z10N~NPsHvZNGtv&zq>ahyCmyu;G2mAvtmig5?9pDBYM~Ds^=r>P zRa8G%-s)X@IBmd5#5JJ5FN5#&f4^vIdax3=;j}u?Hi4cL8zkmhN77C=PvicKG6L0B zr}>Q)Rs&Dk_S6+LCD^W79v7m~ed!#E;%Yl6*e+fW7ot%0I9KxJ;|b+exGBPpavvk? zENuO17gnxD|8k6&ElRID$e;{C7YYgp0-|*se{h17A-|HUgd3fX!H|W-*F>b8Y>{rri@mic5_`Sy7`q33O^sf^OBPni zDW;P;(+oPF??~94&-|Q5%qbO1R*r}rC&r$eL9W}@R?FocW(c{mkz*utGjMet-NPiw z&^wh0l4krJwxpzNBYQnyxLDvLYYigHrpjgh?A`a2h2J#%aBRGaE7m5eKV>_0I7;J0 zi`t;S!E`4S4gUbq*gdfuh(3S_k$CWMY=9cap-YEs~@dRS5TOT$)r0uO4Qn<*xerd-j9C<;T#a zK-k#grX-P@UihLQQC7C^!*tYliIcW!3!HK#JM8uSz-cH-n{w#rgrXD%8@NobuxgiK z>$0e(YAQ37h`}iD%sTX0vdV^&Tu=tiWJKf0#6*ggN+#C>xWy_`jz_}w`^)`p-M8qL z*_z(h$dM;tt%Qrsg3dG)PI`Wo=<#{ku-eS34aQP6Lf7X_G#afYa(?m%iR4!I$uL?8{K7(z<)WS$#dMOUiC-o#*AgKhXya-_Yq2_ZX%$ULeAr5E*#7kO zaUR5H2=dz`g~kGY03x=;oV*|QFfD)H&8+QJ?aMlS^>a~3iau;!XnJ&^b>xhDPgTq8 z&i&=qcz3aav$Dnnbe1an<=Qt8Og9(UrM!|eC*yV>4SjP>Pq#~qRh1pW!w1JOvoN@-|?CG=j1tD^Ovr0!3rMh8ot`A?S{TDWS* z)qO5>5z!g4f3)}UK~JJfsk0yl-v(Ri#A*kAu}^5;NX{OCXw-OZH(w6S?iRfR4<0kS zp`li~PTQQM-x_d&kbHsqwOv0>y0%L)XQfTC9&|!nYK=c^@da+-2V+yKmi zmWP~f{2b6B!)BaSr@SkYF`ai3q%E%w0%dza3TfIf*B>65V{x@K8OXa#Q34os7?18> zINa~^O<^%Q$l*i5vsK@8FN}LC`c0s2nE*$GF6qM><>A=UU7l|DR(Ji(m9nB2a3Sjx z9D8GRUCm+*6Y(JNZ0;%5z8=42x!o?c2C|YbvP|D}1NXSE*xhbyC>TyX>=B}9JK^D` ziZah9E~}jVWulhFV?ZYPE2@Xq0mH|$7+k^N^TF3TsZI`M^$(}@@rXHm?0Kt&J{P~* zO_t}x%E+d1#oFD=$2n*B22`wsDJS!)j$4|i^YbG*7>=12=y!UajZj6#zfj_%cfNw4 zaykoNqWVIUAef)`+$P&Mr=;~-mpKY(Tj8|KQ11zI62U@aq&!f5fZbm&SzE2h>&NM4 z!4wYzrLVDHocQ9OxV?XYpq@dmJJn(|2iI_JZJnO{+-MFns+9Ql>)6oY_J@E^9GX0Z zllUB3YtU%yXFsc`Ytx3d1}H0e?7Wdy#UdKe4;;PHZ9kK$m4}%eBZE{_L#vcaVWbzk zA908uRUa_6B~Ne+26ea|G`&}cZ;G8=;<)B()HhZH*>#4w>mG62G`sTRbsuYVaej%g zOU3hy_Rt@9jAJq2SV<&KemgOb5PM|5ORey5kdUX@FfB!5_(-qSX@$!@WYixm-%dM= z*-^5E2&4An?Ig2^LUGCD45U1r>t?K~#$45?uC{FZ+s_RTO=-)TNj2CFHaTB&)ABd% z3d>Vl&BaR)4T25ct2ZeR5Z^Rs>kAhuY%Qgb&{u|Bgd08Q$95=Nk>Y2YmIy-w&e{mW z)SnESA~bMIIzJLk=Dt6YR`FcAh*>Z`en?T{Y8JfI!QW8B-pG=>d+C26*%JBvI~`rH z|CaKUU_1San%}F$FSoQ&KjkyV$dK)e_c&`1xsXn{$Q1OG9{?;nI6O2 zVtzxNK4z-21iO-l)xqLiSfll8(Agg($%9)u2oQwBwHNoBe9gO%IJAg!~yd=Tb{hNcLYGyR6wX3onfsRC*$ zq~G2cmLciT7^ZlA3~_(|k@H6eY|DF0$Oq9!WOJaZoZ)l2Edx zPk+9^B@DxEKky_NFK{Xxy%)$n=ATc;&#hCXAgoUYYX&!V>6G=Bm zHKPZfRh+J%Uxw2$L5pT+orM|VD*h_a3+dturanm^jMh+UE(&pS0LB4 z;V3Jkw}`p>#kUC{Pb{5dIlp&5UDM9QCU!hqNF<|(%hiJ9lN{h)v8LOg;aWZo@2uP) zA6bftoa}VJdIigQ!pD>L(kd*e$W*qJR?p6u#|&FAB!x9N>lD(y_N_l76&fKiuJb15yS z8*(zK#V4ixFw*X>=QH4Ldh_jR6kX*RR|Ta0I&rc%m7^#_m0uK%UzF8OcY>lbyHaOa zCzie#UfVt3Fw))4DeY%<_nXq4GG)=&Z)w#@R)(c@k&})TStQY$45jIPt0&I;P9jF% z>0KX!p?a|=!TS;uoA*8G&t{t&R|3PLXmbB1{{5fiUt?uv{pX$E<9L>~t76x!pzqK= z+!CSb2mF#4qW*odIGE-c12#9rbYN0>giRtd;YuDIdcG{R$Vy&e9#dUUfiiSvuBM0W zt+g#))mj1@CbQ0$*N5d>*MqqDYnX>-ifJRPO#%XmGNH^8s%c`(`j^?Gg5ruLyZXu5 z+3T-2FSKsKe6O6F-mXuE?Gr`FPqdG2?yVYc&cIPaV+@-n4}ceWZA#PI02arsJQB@n zz3yHgxAS9cMiAk(3QBe3K z1m_$!WX+FjKK}l3PH8e06sRw5KKJ%$QSWdzzT5(yki9Q1J`1svAl*ymV2LTzXkB0! zp`}POj43r>Eex68z>pIZD0Q>J3>TS^zR`c0mVCB7_wOe`d)+$&Uy#MeR)>uc)^eqx(3k53a0G1&e%&TCj(CPxs+$l}zSL z`bN`TmRktKMQQZ|?SZ}pu-E;A0hr@g5DmO>P>ITQthm}XZph>!bHwn_Y6+MEE(Ev| zT$B+(a%T}$8}~39){xwUU?TmSkZ^^g1J5Gq7{cq7B}&kw+OIXM$~l%0Dj;e1VAIa- zPDXZZ_gG=@s}j_IoiuJUl#d&~drvQdo<=X?>8Aj(wCUT(Es*x(!`J86fz^u!*N}qL z$UBd#LLTaNUD^fUsPPz0rf)$JY^Ha!rdhG%GUuP8-TJoU3Arb2`bvq^7yMfW6kzU9 ziWQIzbo_iM5zftr5+V4LsmK2uHNIv46*y{KL<=y9b6XD6%k0{l;KSXJIgp6RHpPVE zyaX}wYW2Vd&^%Y~h%PqHg6%n;Ca=(l*PHn}WFe=j24?-AOGO_!e{yl6(-0zoUT*Mx zD5Vv#X3Ms~i|sMunhU^VmM%;r0E@wpg#QdxbJzyGeE@fe6E*+K!;4tVG3^$I@OoY& z_WY76BZlFYk}!c0kqdz*3KcO}U$~iJ>`qnL(w1=qzbuI}&%7rSEdK-EC(QVnKrzcQ?nC5ap(C-PIXRTMDxE%vvX-L zWY0j$q{G9W_7k0+*4wT}4E0LQ2Cwhkc#B<>AD2ZDTi@nMeDVQni8gkeNR;kgvn;!7 z3yu`UOSDRq)AVX9yehy6H&et?$^g3xy-?kx>hnkuBQD}_pBEC*(_AD{3uv{kzHUP2 zr>D|sn#cQ;eD!Wx6CqN?2LbV={WHX_7r7eav~NjknnFd_hf7tV{ZQRtJT`?SerV+J z-s33!wC@oP*&pA(e$@2Wi3siAytIv(RO6?$2R9gmEt@m^{gdgimjzc1UsiW=>ZRrx zoqOpNexgYx+H2ts$N!uI!k8H<O~ut949XZaiY!|6IVUXtI-%I-x#wNfr$+>eRej=E@du5NA=C{0gIWtp7dmjY(+0v z;4)F@5Q=OUkvnUfy$G|_1YBDu6HL);gnzHP&I)If*qQ#+sIl@FTgF0eyLR=3kM zbjW2aYshh0LH0(zMiD8Uqz377E_%DQu>Cw(Jh&K+MuxV?-0eO;T$#_{&l=G0Az!At zw6GGx)OZsIr7p=_CMZ90$6%A=0SAdt+c~z%!BLsZ4d~#|Lw();Y~Ijkt7ui)D*jz# zOt=oi3pDE;gD0U5Lb`ER@03sW?hGy3mu2+F=WQ%wx7u&^%faTsAzm$lkfiHPjlwx8 z^kGq@g|m=c$HK<+Ltx(NVn!w%RQcG2$v)@s*dA}RFY<0!Y?zMNPcp(c4kioe`ay-Q zm2_vp<|S!mSmR;8ehw9s!n!6_*o7P^H6FP1*;S_W$1%B1OmTxB!W*+pvZ9!DLGsFz z(PFev9>b4&luXeYjDIZE(N;h=s^*H0ZK61#0A(N^A!A>!#_p_HCO5I{8-mo*Zf%%* zkiYC7IPjq7(pg?icMwQzq+d%1{U|imVjCJY$(+;{DQZnpmhFCxHaX-}vBeTo&vVmf z#9V5Jj#I^ng#89@@`V7ZiG>iErbmQlX%0Aj4p!xkq`@OsN#^;BfJRt(!OUcSiHodM z@(TQq?F=hr+Q=`#)zelXRz@M#7k1Jd!rH7(whKc?zo z;+Kh3aK-o_A>lYz2?hqPUwYw31c6cD(qgNzC0UY+)%1`OCI$-Hma;ON)7ymFGb2c} z%2}|CUGV|Mzj7fG9HuAUA0)at&qzenOl%sme4FWo6~y`uys&f$#jPEqXL@^(=yQX( z`CUPHPyVP1%!`WQXrkehj8vwiX!a*a9Wx{}R#g`b3ZvDSIj0*RmGdg;joW;lxO)%9 z_?*pBXu_4_S5PA&?>1j&$D1SYpfSrarII5IfeojY*?fr}Wwm+HnT?60L2VM{);6kc z52bmz`0#WNI+LQ`#uSa-=Ew^=$zL#>;X}d3gpJc@8XQMGu2}n&wyBn=@{Y#T1Yr$s zRXm_acf_V+lc-wA%M~hP`a#dw^;nwDTF&TK$_JYC4MwO{=3fn-RlFMKxHa29<;pQb z@7AujToJ>_l=bi>69}R?->oS-o2|;FICk$_$yAj{)hp|x5rfo9tdRD_Fbk~yptEtB zj8m;<%Nw@2yL>!WHH`r$7Zm?c_9zX-j;W4kY9=?RGW&jUx`qqNnL@#8m7d8P?63WP zd(_KjgK@t59!nO^Bq26KpU(Xv)8DK*Rkm(5C!5+<>)5GmlkYxCWx0Hm6f0`Iel2ur zF>2=?Fu(W%yHeJly2F*mowZ@>`Q*pB38#yrrP}wr!ld<+@IvBPN!nB^d00eHg1k{I zX}?*P&+(rV+HA$b?(RJcgX-(+&l=U`mTDR{f*TgeMR--l^B436Ft2_+WZReCA1&H* zd&tUj*bY|PBm1_s9Nj_>#`kg}Cl*9z2{ZSU4SBHiz*V;@_xuKHC8_Pmj1!lIu7kJj zd^b0mNqIM@n0?0!Uv9}p?W?89sijP!UP?v}P`n|n{mk-+qM2JPwHS8Y(MBePp9ZQH zrsRpT-=6X5xXT#+j6@PyuEDBtg2dNrvzcnFJ&zGrgShCPVf*a z@{Ym6EUrA~t5^=4%;MWH0g>z{$FEf8GY3H?QMe)`a^6(>SmyYo4hcerqn(z~7vpli z>=kXx*`EB#`mpqlM*A zs{I?9yr}t=-WtpRekwtZE+mk|A9yspCP;a0axnV2rk*Yo;@M{?WyvNE;p+#VOUZX=~Vj+Ahvtz|^ z^G~if-p{G#szWqi!h85S=kH~1F|?hGuA`3XHg;7xP2h^UbJ&(q6&SV6@aa_W9#`%f zN?>DqkWEL~EgFfU_Y{op((qQjO+GsnV(D@6^_rWtRmQHq~?N}>*9Q-=K- zO$^$H|BoK>M%pp?B;XU zoy6o!orQCUxGT?@{5%g|LdVdvLu@GPQW@tyTfy)L3;J2e;n%ZpF0fQ13VlFRe>B=t zae3O`Gw$c!vDeh<%)5J_64RtJ1cTPNkr?C>(-!}0ux!jeF-!6KF8RD*Dp)#~hSb=F z9MZ2+Y}wumZcC-~6l44Oq%~(z zKY85ZU|)9^6!3RCX78arfitcd>vXIhPAd)3dvj--vYZ>rVJf5h20xzecR&<37@w zzp`xg!{`3m>3Arr&%`1$ETP|kEYnFaiP?YO$<>Et$rk^TlAc5FAwxV7XCteIX^0uM z+IGa{5lL?`HfBq$lb`;~Wt9gX7IIIYewkTt^+ocECrfHof-`Q*;u?_tUw_o<>iq_o- zhfdRN!fw8V0CEDAx;H687_s3S0Cd4uiyg#8bV;+OYW0+K1Bs`!I$ zt)=2+S09Xvx2zJ03oSn8x8pOO3kaY1R40*>$hfr{@vM83!(E8r_kug3n(f-yT{*E* z+15fD#iw+LSMk|AT=!Wk-bkqrM@Q2_Mb+EO>?aJ$dpA_OerwmDB`_RPqQ4^qo>dC9BtAI>$(>w-!hkK zbV}`eB>7~f{T2l(5p4;23$tzA% znhdCdI+|HrN`x;{WJEXfN=RRd)6SNqgsHe%gcp5ROb&v5r8ub~(47tW#blwh`Kml$ zcOyOQ8Ra8f8C-RGfYyQ>k6OhqiFa}$P0X#fr{N6Al@r4V@HWPA7aT0&HZEYkgD37^ znEsnZng2>?_csxojJb^^K)Pn+q)o)k%tFt}Ma0C#LJyFVF|#t#bFdRJGqTb%vj5q8 zq|D0;u(|;pYz$&VtPDcHyTA502^l$=+uATFGpH&_{`sf;>f~hS$j!iDYxfuHoq-L# zt%DhZp{=bY!&g@Zb2|n{J9E9SwvJ8=<~GJAZuDQBtgWbsfMS)M9Gs1u6bu~x5DNU` zFyQ|rj{ENnctFMfgT}M4v(dA2{@r+1Mn-yoS?~XSj0^q^;&v3SXf{BF@sw z!p z?=t6l?PR{Wb02&S2P7p}zsQdLNPB-3tl8f3;l`8MU^FcGm@b3a3ku#6$CyVpFJdXD zDN;nEA5_+BXxNB#J!*I9E)u|C{Cm~z5@*Q^3rTL&QKX9}Mt@pK5tKqdWFWn7PGXse zFLi>>KQRohA34=;rk*wF$OGkji!Y^Z8j4D$+67*X%^5)2mO)du%aos*2SWraq6z&a?CT^=X{{q!rM84QlVkr` zO8O{8pan8{;Vml%N;WlzMZyZ2v(tx=&ZkO?nDIgW)5THE>w1b)wr%56Su4OId~=4b zQ);9tBc@WlQ0B90VoqdWZ^X7k9IEYe#N7%x*ppI&Gz|?EtVZatdYt?yP#58H?VWQK zB0EIL(#}hYL}1C|Lbt(p z@&#&==#Rm4<9;kvOlO!W6sQ}$pW7Ej(G-WDH7o{C=C6t3U#^LYn*ENKoB5|xNrOvS z&ZI(zQ+ly9VjNqs3d6#x_p7Rw<+Wty`r#vlX2G3oyR1I;Sx{Q4b45WK{l)2q(??qS z{>${I>_bCGm9!Ffi|A&#rM&jH5i@m~8$H%Z90n>~c7H5#H*i^&sr(9YRRBacy`3&3 zr2Z&XmlwRz>%Q1KN=$U?8$|}lH%_{m!owR5-Yvh~^G$b9FW=zq=QnPaSOi4dn!_e} zlbpPbyiwn*u9yYmQV#4Dd*$Ct<7lAp4{Pb3E8g;QzR~NlOGJhDI1!Ab7k~`46wnb zbvK24o5Rjfbx(klY4QhOqy|=s7fwr;2Ks^XnC&%qssixl`BZd-8DZw4ZhP!sJTb5yTt@%KtZwmc3pl+ON!U4gjGG0-j zuw~jI7YYPb20d)KKXQNM?`b30aC>oh=bpj2*QV z_t16+qwiB=R!Z)I=@fGEv@>FvEWX__+985GK5}Ap@bTuy4J@85Mp|3zE~Xw%z>(bR ztNM{A`5QsxGfR$D|&uRzAegq`X!Jl*&#GnV)ygg zN<%Xo4$QcD{8rQKs>1}!C!B*f>V=YR!W)6Mx)+v8Dv{vMq)|I-51UPEsx2Ow2&;~w zWV(?92lFt$)&33aPy~0gs9!_Y*Kf&K(|$Sqs!TN{UWU>=BWCGQxbEc6Mw2x%-`@wh zD~zCU%NeM@wO-g^Jc9++VeC|@a`#3qRk?0)ogLz7IX<{#)JuCc`+=6*@XPaowU=XS zZ?-Dbr3XZMmQMx<=SSF7w;;34oi49_lCG{R1BZx4Lzd?&tGvRULri~Yx4=f2pa5D@S>@@ZX;&edYL@c(MPyH4?mJX$-ofCoB#hFGnyzIF1 zAbQgi>HgDHBb!>3=Jjb~0UI1nt3PQK8dPq5ml)ymw?LIJiVt66)_IeNTVEMCQq7|q z)^i~~q94@+@%q%2%z0Gg6As>U`^~~;5h~o#(j_|xE;{%Goi5M|5h|T#vagyCBl+s> z9^IWz81?n2u1(f81ZFBi>Lir--g%!9A>X@W9! z&cP=3uJfGy5w>>LoD2ebXi*=RJ0B216PFw-2aru78wsUHAtR1tH_p35%m+E;{ zFQKjR;Aed9(FN`?wP+LEcpZ9`80_iXBGosz*GElkzcZlCIT27~Esx>q<;RyA-*QP*VE>Q%^tm|M|E9xYXZ`uoT^yB1WWBQj} z!Kdr4D(Gfl4_6$^cLk@zVzM$!l~gt|hO4SfaB6X)1(YO20gzPpNMdNw)qRzU`KD*p zIUg0fDL*2}LWqi_qZu&JTARQ=^dP7-E~t~F2cvV3@)7-y`j z`+)Ml^_ZSjR9DADMRnXCNgfrkmXeXNvawmX$D{>Hjju2^GXv7lI;9I@>g%1oz1tf) z^~1x$!i+_86`M_-HeDT^S0GIo;IOck-+MQ=vKkv5Ri;FHdU|rB#Yjm_H8V3?oS&C0S5;Mg zm4;wzv9Oa9e`{lo>V-`IDaNI_RCXz zTU(oxlM@mFFBUpFIxa5F2Et$`kfbV}ZDwK;J|DMvbaVt{TBh-N-rpY0YR|isn_I&F zHGmSrS-}8kqXKexd`wJC92^|JeEDK;U!BweywmuIgQKOQLZ)--Pto_AatSDLv)vQ3 z)vuTlCXa)bc5HLAGcWHm4xV?UP(ngN6@SR*Afw#Rxq{WPKw>ALlUT(3`~(arxT1_^ z#>Qa=KssbUD^LY93ky?kU0q#R7>rf<8AmmfaFmsyA<4!^%qnv$N={BrA|epsdXHfs z&wsUSfC<$KjYX)^h(gQCVS)3HW{2>?v-tqUQz;jPNps4`%IfIoz{9~+;SEO*CVr9^ zV{dJ0+UmtH$@g$~*VNSH`iq3=NnW)oyXO1iGa@o0h_sRV`F1@7}oLY*RO(&ggh=*GX;_{F)>h3P#GMyVo*j; z;i#XgVt`2$1dCebbujiVI5;?V4YMN3ediW55DObSI4DR&Of1hdez~-?G;RCwYL`ip z*XFKAjV;E$Dg(%(PGdK1vR-AU(^Z|o`9wlOG81Q(J208W_uLIlNUPh%$;*oe`+GlC zPq*Czo$GjeyV`U-y|1tD*RNmZvqjdM9qkPb?4+dN_CA+e-8(xwk(dm}Kw7VA*wVpcw0apWZ>yPF3>5OEU{6RQJL zQc?h-1=ZK}$@{+l6g_^5&*K)L#6cp+uU7x5>;3xMd9KFaAC%zv>4`Wtl~yzF=g;i# z-|;_wocXCIu*a&Ez&91;;0hQ;sIq_P{fDxFIIEj|5wqa9aPTUnX(M|hR^ z_Qi6oxK@DK`r4XU3|_eY{lmi`7Z}_NFdy;;qNAdKA4h^sj*Mt^`tY&;9>NiS-}NG9 zW_GyV8#XmHRa8`jv27D+8G~XG$!pZv#af=7y}6kb5O6ugGTk{j0SH7QQBmig8bAH5 zp`>gvT`85XB|tBqk6=WCfti}0cXo8-ar!ltOryTD zyNgmjG`F*3$jk43O_p~B7;2!Y^Yimzt9yHUX=zwdTZf0Ce6IijNT0Rp@$vB&9i71_ zY@lm#BRw0ww7H>3+rh=f#ra_xekgdJExTG<`+^XOy;A^!D!*7`X?c2Asjh2`JsEC@;CVqtCRiHnPi z(0=~i}P=*T&3rj>eI5_%T z0CfjQuqxpY!jiRs0$zph#0>$~20yEdjveNCunk+&v@I;C)i7A5;r^Z?@N92;eWIk4 zy})Q^aXFd-cB3~0QM=v(oklVLKU$4*?KSV_=;&x;a}MOn16Eo9aI5O-ivR-I+ut{T zwH+MA{o9ZI#IaR`ayK$E($T>mQCNdlTxCfR_`5DwHo3FdfB4r5d!@+#UtTh3MRTyS z>dOP(TR56Q6;0G zNJ&Z}QU)GZ#8AUSLtRAUrZq$5y_!+&qfc^K^(ACzC4Bp@0m*=!*8sa0jf*~}_ z%*!J|h$P3tcI?#+OTM|juKFT_j12g`>P0t<=kgZ3#O|KcQ>)W}G}wVY8(>D(t#JRN zLv>4V#K}B8JXV&MH8nJ_u&_9Jcn%K^@>FcsnzpyL7=2#cjEr{OZw}Twym57Y_aX}r z33+>a$M3MQvGKT^F4bFN&R{YSG8sb5)Y)!!07J{d%zS-yC3Gd1&MGM`ZZ=n0S(!8$ii%feV}LcdHI|`Xe139JG=`*Zcd)IXN|wd{_I307IOZe0p|<@{)F6 zs$6{8T&vjAQ)@Q4V+_i&+371##H8N^!pq~ZHw1Vil7`Wdkt*jgyP$-Qj<^0u%<4D5 z^;8w5rm9EQJas-DWURNkHl~C8Eo~-_t(BFC*2&S)*>dgS`Fd-0bv58Qg@0{y`V!)I z09>d+K|z4+bvjko^H$Z?ZnfVX;Mnv+J=0NA3b44lyzFw|m6MZ;iHOju`#K#*EDX3x zz=lOeM9j?2qO6Xl&_zZ?tu@-}b^5%<^}+1M#eKljC8JjZ%-x3;Ox4&pIa%3$F@TvP zyI`O?8PIm4kR?_U!goUttUbVFVDSQZ!Q9*{>+9aPzoy-y?ygFs!o$Dud9urWsLB9r z($WK9Dn*_%xg6~({e$i8?SV-ssAE*T;d#LaxTigCId0R5%w~WLOAYlOAa*EHd3Q(= zm|h}m>B_W1bc>6NqzQjas4=>93;`ct14|Sr*=;u}^78}X+I?OMgZu%8U>;WaX=yFYBFsAx2>-wiL<*48lg=c! zX~24$#~n4rnpm_E5@4j*L_}8nE_7x%SV>5@-0dxRxw$>Iy8JsjI?CX$@Cl*;HruEP zG-&`1@xR{AYB$>CHdmWm3?mr_Gd1`V8CeuQ&;4Af@(y`yh5hM7o%!rU zwt(-^OkuX~8}=+J8^5&qbe>fxy5( zXhfVKUsX&sRaN5tRC=8VXhTUQr5S+J1_-|VH(c!PfuSk`WXjIo97(2Gt+WRR5A5)M zMk3_*>I*}m+*n!Ba;gaq?g!9pA&!9S`C5r$zQW?WVl-(3U|&MBMX>O)sSpBS!*DKU zizlm%2JbI6W7`F(BOivf36ysM?CIg*0f1RRXG_le`a~3WIm5doM*zhI0|#F%xkAF{ zZhL=w)(H<=(K!SvaXzkdz0jS17nKkpLuQbR26`e)PhG{^vaqNKimJnS_@n&=T3BqX zxVxCRcz$Di5inAZt^k$*LLIBt0YI&40a!_+UYVAjUa3)?*Ve|%z%V&6VKZN*x-$q3 z_cRnwDkdgI`Tkxq0GZ?{B?Tw8Fg<;Bb=4jEhpRDuu%V%02{I}(Ihp)yWw%lVKpvFT z)MY9?6(Z5Q`%Cdhv&BY)XJ==G>bn4h?3!*B6q!56pwqe?z_qQ=>+Arcwayo8L6O@E z4||KYswz967Xi4OvH@kQ*m36d@@S97922Nf0|@B^@V_;b*xyp8yAQ9 zqLig6e{g6hWP;43q@X8#y!qOw_g^jcbDS84f57siVb_sx`I%H_e|R)I3QBz|5WsW* z#tCqSM$xG8FKwJ`^gYk{vHaJUmzOs;@W{vlzHeT-y1G_YwCM}|{gAI-XDe(f4-cEZ zvB{#*q~R!CCBFn-wvpu@wKD+|VCUr2r$$XfQ=OZ|=UJ)O$xlYM2Oz26zkl=d^FIy; z;Bc_8Ja2~*egFcUVYlX6(m$k?gg%ekQ@O%dNg6;{7hn&t@I+toJM2kZY_!XN=MLs7 zdBZ3!3kU$K2){vc;vv{#E!c0w$>#HPk&!`Y2xGEWBbnzEqoJew1EfNc@YO0brY!_F zl!L?S;5To%T~3Dpz^@4m1K!jOAc>lq8W2(KMDL7^$)Ft+N~c!Yoi0@a@c}S(>8PoD zMkF2perb%&CzMHle}9sOe%#R=-A#a*QFJFFK7Rk}3hF1hu+Cb?gE8zR-WY39(S88Y z08t@;>IY&8eXn*zAs81Xhljs$*#3cM04OpINY2m8>w8`T5V&xidIM>_!}t9yJw3gqhB;F<3Y%qMWd#TR zlYABs8qp^B0D&9lQI`!A1cW|h#pZDnum&R0%FD;6xcgW%4#@oEo@>!2>JBUJ?#>l~ zi4qBc4jL6abO69vU^X26{!l@&p$bJSBCsob)Dv4)MvcdG^bp?1Mpou)fjSxC(m#oG zWy&TN2Q_G+!F9s{82y>e{XSzfI7nR*Yxtj^kvE$iKM@my28LzXD(c?G{NR15Ed}&Z zIk-tD>d`a5OuIsH;|S$lDg)z0qA@kT>QD0kphac`Ad^{NVAV!s#rgNG`P^eVo8_O+ z1^xk*R)TRZ=1OM)wwAx7Fo;gn0^s~iB@kZb3;-8 zy|-)Jyclkcg^Ln1&>-Y1=_xpO4b2{h# z-1l|8ulM_XU3U@eDLCT*u_Pp#U%$R(o|l^&cy=1N-BMqF=?66pjV74&8?9kAV zDF%q5IoLhx67*EAupw=1EiD<0VZLHiJ20?bq7cOsU>;Kx=?4qiJH};&O9x9&CJ` zbUD5ne2n_if(j!b|20`jcd88I>u%q=mDSwr3owC{l$299$I03GwZ~UEzI)G4t`$a| zlU^tL=XDp>e-_ZW8O_GVX3#e}I=ZNwwg)+2bOrJ{ldyw^v2mJNVy#0!bVL~{?Js0? z1B}zXwTJ;P<9)z^<_W+eBq+kMjgOC4QdS-xA7?-yfWat!@!~!(Aa8s(S$}SK!(_Za zN=&S7uf3LuTmnvy|NiFz6we{_G&DX=O?9F$YCx62n%}rF3}rjeXE5Z5u6GZT_UH;= z^b7%OG>MU+;npSU14wbr_hv%7<~suYfwOv#abxv;e}6B-4y!3EQ^yldM$^YVft#v2BT)s1F7e+P+mK=P1Rqeic(P=eS?T0{ced}~R z-%&{fy(EuQv5-+dHscoCR_I-UO}eGP z*WbA+#K#RxiKjU4!p!gAUX*`Vg=El12Xz1mT3gM*oS>zx-FIhj%q8=Qw@ZkvP)UpY z6)VGPc6@G=Zk)Acx2^%RKR^N!MPLgAx8C>#{6&YVm%O_fd_6ey2hA2N0qV5()6|%JlDk{-G1|f3c;NVdHMH1euv3yIsou!&!&+E4VcvajI9d3;S&>Pcja8QmD*7nQ94Gwac*T5LZE!-vDr%Bq{Q&9#mn^31$I z2$*m8e=+{?UfIcDDyQ$yX%yfIyErZhCMG6tw#8d*Zxee1;o1Zaoy_Ft&y&(r!{M>B ztVNMuO}xqrZ!y>IFX6>xp;9RCF2T#o3)4Vb?fQsZU4MXXV5`$`XVxR>g|?9FJ!*A) zHto$xd$MWkpN%el_RCP!!WtYO4cInXV8G9Pw7=PZOAi319NnrX;~pI0?R#*d(zuPb zX8Io*#R<xM9bDv88=TVId8U$dt5XXOK(uX-;hvGs+Ll9JT`zOOIs z0q*>Tne*Gl5qgYI1uU9KUMD&?oOMv8Yyl1C{o_-@IaJuOobrS6IU)kjkY7p)(HPm1 zjv#pxrZM~az9V2cv(S=0UoTEhPG`Ms>Pv{_65xR|Gr4MR-s%i$Z$S~bkS0htjsV6^ zJ3q+JL@!d(U%8U#!UVMdEG8Q(>&F$iK|$T1nd?lUC@id}P&JN2Hz(SLHskF#MSy_- zQ`eW5BZdpmLO`>fS6IiicP?V8uu#7+m_IFDXuYdBc+7oYgU?PNnc3ZF+$@H&F9_ou= z3>t;Lm3eTK8KQT&gQQL+K>Q`yZ-#{gu|6U~zn?8F_!pla#(#CVd)JPuDkS8C{^QX) zjt=v>P_s~+YY8P<;+;tfJ3pz!5wR6i8rC-?-(!TG6W zf!`SDI`Ce%w8#t!e5Rn3wa)v2`0(L_^-DWdI6$Kx20YTXU=Q?dnjan<#9xs`F!5G1 zQd5V^rq^uKf>3czi#QBEdG}81C2qmdAm{TO`QZ8PZtT~~5c=%w>fL6WAn_LT1Rt(( z_EtAHH^UL>=m_%k6bzDLPJ&oR4mwFHc7zfzLZkpks>VLI}9-Pat(^;c$CGwg#CH_#-@G zTAgh18;7rcFGe7P-yS3}Qi;t2$hdyHwM|)z3CJ=KAc5LQ4J^Mjdbaer(X|W(n&qjc z<@ugyMsLeL1X9hYL}&Z=Z-k`RQiVxnubWV>7zr%9BEEKK=S<=8QT(*gQo}kk5bFR6 zfPYV|`KXX^esfIp!v@~5xpCk$;&SBfexQunQxWwY9Z?i=|B5+VFGvvlKCRd;3>GCx`u$e(yb+T}TKCDLh^`qs)GN?euVQ zd9*fm4fP`(c(^zOUFSx$2%&Z{i*h7n_wtU$xD=p=f?;TCR6n)YDdadzSzbOBC-73S zBDFWI9^zRWuXpIita2+P_-@YU{u4ruKlwprC3Szi^z@W#5XQVylg8uHOx?CA zt%o(=-S&fHV9|8X;N)(i&FSgUCfxM^Nz*)Sl=u2{3w+kz7%GGBz{tY8R##Q+?Cmwx z)hQ&f^?+(c*$xWCK+pt|mGMWu4*<;sQ}tNijn6)iTZkf*CXjcdZ6SCjQJPO8o1*m| z$HZK8v33yWNTMlvBT(^Zshv~^sdSs?;n3y#6rF0Hr>CQ-XbmvaFBHS@ZTE44!(QP} z@(QMWX@9ia{X2@h(R&W5YlSF(N!f1;2e!83kjFKrEb#d7M{OAjBH=l9`tZjBv*@+~ zN+>%!dw#<9H@KhS2ow<&TfmqMfr+^3zfF!KzXPiibiCaU`aK}EmKLPL12Z!-AR@Pv zyzlAjQ}BH5>B0E`%3(os@o)@6{?QKCY5H|xILZ!oj*iX#`|dzK!T4#2cvoCmyA4iZ zE#OZBTRzv?nAvf4c_2fSQxgt>+kuzlfCLH`1~D z3qri?I{+=~T&LM4kb8LKrZtu^_i=|hTUzK#MJk$Y_kaKHM!H!_)KvRd$b@+^dWM4n zvw<&m3M9p1HbW;dWy-Nkr`Y_&g!jq_6K)a2k(ii4kn!L-Qs!{E$z*3`Ip4k8WZzFV zY5i9*qUP2sd|OGEi85fH7OoBWsjNNaw>aEc69GPhF;?>a_oCwBg}QipAtjP)2L}iF zJwWA0p$RR%MBfW89CwC1Y5<) zOGaS`0`_e!W!QPnWo~)K9<4AJvKSWYeu-Pah*%ZPtncv2N05JoZVgi|n^T2lX zV*yenQ&60z<|Q5G+l*=)?E+`+dV(o?)wU@Bw+%i)LH!BjrkEDs4%pstzxP65Zw^d> zzz)PEEgfA{R1_~Y5j8jV!RqJ>p!Be?uo8HD3wwOMdLKABt-|4=Tv<%;=IgDj6Xf7f zI}UX)F`*&4wOjJ#;lqc1w6!2Vxat4p6`XcAG`v)yvs*!56~dg9l+=F+9P%Q+Q+(vw zg7Q5w3-XH>V`5{wkBd7Gxbt^Ffr@mK9ZYBw1g=cHq~cL%NCWzpjRoMuv2-5(ckjKn zZlS&IA;y#E8Tz*`l98cZ;5dHxc7lGcScNKl0M+2msQT66Od;h~XMW0*doojE^G(32 zY!i%|NdJu}JhaGhtBMqs5E42sa4?6@#pa1%_vaR%D+=rYtKF=0&fk|K;m(Zh4KRPdVm z^hsP?yr}|}^Ba5vodcYKD$gPjo1-uKVJpIp{Wo`V-DY0^^l@6+$ScV%ZD2kC^lvuM z+#e&#DJlxb#_hA{`FA1zhg%?C-T3y+Mq2s}oGmG7=@;nQ+i#j|d+_-n>WuFFj9&VA zxclSWi(`Np`+9?e^$F=eR6|BSZi#Mg1r*?wm9quP1R!OS%N^Lvwfa=-m$4U?>P{d} zfBcuPXeoOA`r+4(K)}<7l@L_Mv;|I1VK_b%kgzKoWd&HX&VM=nAKIdY=a_RGY#(PY zcHp8Cs3_@ZXVq5r^Z$Nk zKVE=nKPD@uv<2Fi78koaNi-(NiV#2{P3>7mN9PIuvk)G|O-&DgnO!7{YRe`vkOnK# z!SSCDK+g^Rhk2^6-TwalJ0yZ`&X6-5P-bX|`ao+r+WkSt$e43l)qU?TL5zmD`+VEq zK051jg6!#e{rl6k4XBX5SQ=WrF3>o_$MO}!T75TbN=qdcZ!NE`W~QdT*ihEq@s36S zI_Qge1%4=%owV~MoSE`dRK)tcTf4sVV`{UydH!&2GiVATAo=GBkg0%EI{|-3(Egn7 zWX<2tuC*{yU0bh(10ArE>-*V?$|`Vo5@-o{nA)>ZPgmFe*+s^)_gPpUz5DkkD=+7k zm6bKk-P-cx<*fwMj6YPxaN0U$^57f;@15<@@euqW;-*xt>py<@u6|sAf%$H=4w?Ai3JxvxWZsQQO%Be5MhnXAC`) z@Ui@Bu`eAR{Uw(o90SRt?pwFFnsioJm~`sR-E98V009UbP-!Y^NmmlC!R1P@p>UdA z>T&`w7z}_{kgq_?wFKDI11Q7uwHuGk8D3(e0 zs2+O*f(AYUcO7TJQ(AQ37Wl6wvu?bj6R@(Zcep47a+HYw-cJB16Xs?l`P4v1@bU7F z2fr>-97EcD0;vjrJynt{m9EBbIG*3xQfvK2jBPdWY+w&b7rlP%+8w_qqE@*|N=gdL zX=&7})H{c&2D-XrHf?u@5h#gWVE>XILiz>nsj8|9pA?anoLu*4*9CTHx2+#JIXQO0 z;_>k@#LtjLD6wz?AG?hdetvuR5-6Q65lWbrYzUr)hudjwGhvLufUch*h2GnPhuhA8(Q~}BsC+7ZO#eGfsCh#B%-)_1G zR}1DyiU95@r8dWUymX0zLLrfWjB)jA=NTBAd=?2262ii)!!-D%z}aJ#;jtgWO*3u4 zg~R=@rA@FoAJ2oa$@PcgSWDW(kBpYA5Je8k$e6XKl%a?{8&H2 zzY4K)T1-U5C_;#k@t1jlNb+!>@rp~D4iZU2riiAU%&Fw(u}RFYCgd!<1U}7I}{J-wTo z36Q&~?t_E?Twkl-wjF?f5FQq;IR!vw1tbG?qIB@-F{GmeJxRE^tK|rC1VDR*2?c4~ zU(3s44g<+xpi;z0c+7{dtH;wTLaU{22XGE(URbB*@2v32O7ZRJ3cZ&`ycNFSb^tL> zDmvffWIrBP&S5)vB8NS78)edXAl1geuu}ct!Z(uS{7AR zRTq7&I|fw^E^*go^i&``X`V^$~2k4(o;Gc%D;?Z9*IUIMxFVmd2= zOXDyUT~H2Bv%zhaR)tzr_ZWh(@HKeyZ*YcKZ+KaP%VH0Vs-IeupAatfi9T+-piQY{ zxbe9$E+*!+=R!C5Tcx~Lx1N4PW`ubS!=is~aMr0678Wkkd0iTtW~^)!6eLHX>+f#L z4VfL_m4sUcP;tiXup@nV1Oy0LfgOq>xoqd=CL45o0D(cqe~-i^^lRBmurcfAASuJ~ z%f8J5p;RVA(hm0Bd((AqLH$4e`-kT>c`y>3%ksBRh<3;Soic%Q;U2cp9(7i7SHa- z3LAy}pqtx}@ZnD3ZDa3+C-dd40_SBzGc(urkSg|*SH2(z3yY%Te$u*%3+#!aszYJJ zf#G2gc0PbkH!@OVJJ<4f^gvtVpV&qhn1EFQ{8T6!%DCcYQ0s*SH4zzxCV%pHo}jNK zXlu4pf+IYEN!nD#rTWaX{rsWWXTWYWfjZ~o-GG2LUD%r}|9oK;(KUDgqL5R-jR=aG zkEJ=su2i4uTgA4Ia$AekYE931OK-BOSXq}h>qBlgP6rt%Ep3$zvi`r`LF@A6%hrLr z=`k^y;El*`Qmr6bkpAu$bbrC_(VQMuw}jh_`1_vs@}7-mGPg|klKQkW8dbfN9E=Hq zWM^`Dp2EAm7OvV_TJUgs-@NaGhJ2aD`|!}FYE5`JIo0`2BC0fuNLMUqJjmn}(4omlAD4{t ziZQBN`aYapF--HWvCDY(t(zfO$MBzi1t&cY5;k#KS8JCc&{iogDJtu3@H+LJt*7$pz>gq}jklMs-bUcM}_1S=gb@KIn_ z^#{`*KsC@f0SSdIgLMjwduO1s$C7U7J(rUWJoNt7mY*L&H9Rx~KJ7tnxQP)C*2DsY z31lG9=umku2s=clrcw=A7Z~q?)`d^TIMBQ#4MdGii`1X4FaV#)9NhYz#Kds}0~$P7 ziQvtn2V;0~@iJHoTU>f&?tj;TvkMN8=nG5AVwt!z_yhz=^g^NA6qVPlJI+0Nv3&VV z=IAnZtbqBN8HW0{t;+q#lE@IO_ z2r=>VL#pD0Y*zzQyEgiQ;0#N*h3S+t$cGku$O^9%xJQw4KI_!!nm*M4tDvd?EiPno z5MkEWy)oMi|3X$}m`NI8%Q71WP*MOCh&fhNeisw!VD%CgjO59_XO4*gvj`Ih@k zeeuheLQN)IIV6?VZGI__F6=`2r#aKtw+!k@Z{~U{%fd9i28h{tlGx(~Ae7FY2R1LP8+N*5Lgilb7$5`sG_8!1HjiHwJR> zlGG{lWJn{xqe$%UVY}KGXS#9D8z^eb@$d9X+=R`GUynA=gm#zK%tmVlMtz+Pu`9wRK}Q!pUF+RzOAQuoWx@tTkyhvKNg>q z>2Io?&S|xUQOQYlSy{^!B7tkWY9^baiOsD5w4uj`7P02EBYaqYX!;I7dRyCJm~f)n zQ0U`Se+1>Jd@S-d!}Twz6wZt3gzVO0Mq(6SD>^<~-B8hQ(72^CuH~9}SY4-5bRPl` z+y;+1fqM8Y$>b$g{d3_0>=PB8-{Zz&R3@_IdYE39N!rm5J}FOp=<*K4`n|n9hyhC$ z88?;gU+=>C8!g;1k$zlC@&s*NXg;rm;4BB$GA!7kg5S%^>dLKqvrRWdFPaYk=W;=n zi>!o6(B^`t_N%EeBmr}{+_NWrfJZ_eW}4n$q$WWA5Z>;sfXu$TF}n{i&z$(N$)&%0 zvmUCfQ?=Ipb+B9P{?ys_WFiEuq5lDA%h}Ej`yG&NdRf8|TL1w-!vXB3lPhJS6I5^~ ze-4UWGA`3n1;R52+||JBkXbeXf?!vL!pxI9 z_Ym?CmzR->=_nFR}!(Rspr%RzRn&rgllg5bO!1y1A^vi9S$d92fyD18y7weEe?t z@KkD+CDnHq@Cib2w-lt1Dyy!rY1n`L_`zF2$ad~Y0BF1UwexCJO(K2%XV4!Vho7mI zEMaF+gtEy!_?Za}6>@aeo%d#hNzjABPcN|sE%xvFKYSQ-rw}Oc03ch;TRd43O#+WZ zs7Q6O?t=HPZ=+>hCHGifRe`z@Yx=^)TEEmUTTVGd1^UT4>7C)GrOnCcti8o;et!PR z;%`b57j(*Mt`yt{5mC^#D1EG`k2STZh`qI7ZyuRT$OE+u{;;8&_Ms?%SJdafkgrzr z06_Jw1pBxp5f$}}^?BEYk4%^9T@_-f)_3O3#1_4+yG0%|9?w zJwu2*Q2H|C*O3sL__l)Qg{G$1^+~#f@#-z&N8v36M-}?CseGc)O%fGR{dFJ$Iw;La#uMIgiaK70YQ?s%d|i^p9ov2mo7-_|!! zv$rY~sPjW@#RRODKcg-Nnf6YXCH;z2$I!g1Ujg>F_05~{hE+ThT1#~gmIV7Nq!$e* zZyhT{6~>i|>h|OdiOk_&QiCd6xww$90H;C}NVpMhW+4J<+%D7V$pVzz-mkfALdb0t zas%(0y|Z}u9Ui@JV`%-<@r73CZD~>^?Qi}URH@4#ArEDVLT`AYo=;1wLAZazBox zhyU+hK}lZ)tGV58$yv?4r=O_qwhUtpKr=^e+u3R z$#3?+(AInbuC{HMRGp7@=v(l1DbKWxczDRZacXGzcBG$S?r6RifI%2&YHMX<0MIky;*M(>I4~{+(4iYGwk1mA~qB%xxC=i$Dk*&-%|bNL@^=GXzZT~a2|6Ge;+h|pH(4(;fUaI%^Uqa zR;(@h9@-0R@*dT`xr=aYD|{}To3W23wdkZwAdbnNwVU&c7;^%<#mmp}eOl=g4a8y& zCvo*#zt_ftPi4pX=Bh9cl$GMiKYJe>6?=Ux;KcX#)zGTwaLO6qwmWyh%olXCeS6>K zvFqqQ%^(F}0S+=eiNjwqOR~Suqbn5Blx_MAFhv zcqY?__xQU|QC2)g8-N)5=E26frxaaFoRF#t=6KaSF<06%P;1Wn2bBY&Lq%hBBH*=n z=q{dIWab1!F`@(THvbZ5#;alVDthbXYkK^j|5jkl3NW2mf9U_feRchz8s^}HyNprFc zH*#?b0ML}_;Oa_Do+_uKI|K~7pfDlAvExFAaI}Fay_q&zQi& zqw`2rCXtBpPN%%fww^XW3)w0iClxS7R7^|>DUD6n3{(#no}d}xf{YM!=Isx9UQ%)H z_6&}`pI!OA%qy0YotsNVLH%>(YT4<=bmPieTsBe9=3KBsHd%1iP1j(r_3E+rH`g}gUY2mrX_cZ&X8sI*U)t-I(a&QO68d}@r61^MV}SeXewAd< z;Pb;&Opyt}i6S5%7-8KG568`khM*c1r5+F@?V6!kEC(pKP_M4{%G0D;F7 zar4LWa`E-{kEN)wD0?8bLL&ra4p`8#fe23G0EtPBYpce@r8a}Wn<>y;!m4Zh6Zg!S z(K;#4@q6Jj<9>h4P#~ACu`%_Q@}ijKtsx7rvYRL}=14n)I!=|MIFOcUz-E(EA5Yiq z^vj=8UJ5ET1l|-RBFR6B6}m?OH6LLgP^bPp+}qG@j@Zf9e|EKG3=Pe}Z@tsyo}{F( ze6m?tUdFm8$|8lG8ycx{8 PZSX>EZ5%nFepqQ*E&PwJPSHbo+ptQ34Q2LBoSY|Y z$J~@aT~f9EvTifbVsy6|me5Lm=@j1&pa{?BdmKQ``_g$q=PWEV)EH*Sh~R}4UY43L zv?qD72zc_c$!gdXuj)5A-9jZ$$_Er7!DZw;*V+ihmFUO?yyjG9&t{4wQOMg+lD^MU?CN3HzT8)`2+`!u#Cb3F?evl->FIspp>1Uk9$mYE zjp+Xkd{>IUg9H2h@UE^d^NR^HRNQfn8;$(8&e4x+{YYhGBsbT=2lJ+10XwUY zMiPGhn40Xo8az~cbmHk|1v2AkNso29X?vp`y~BZB8uY3J#vq=$AS=|*8)C*!3(X6* zM0)V9YX&B2@^y#b-fjY^2p4hN(vm|jFMh?)nNfhG=DA6@yz1;a=?RTsm5x{2{zu}YT?r1&72G!cNw>vxDy#Vqu+Y4oM;|PUU5%sI?FrFbT;v(6M!3urM2{8P|%%y@=kN16MJaPsn!0}1{DKspsoHtTms4?Xn zsUVyzD-2e_8#uIj-eZPo%V!HnZ9U62#ux^UB0DZQ#zu{iVfZCe71rSpKiqJ1#PJC^kBHyvH9 zuO$$V*7a@vhR(y5QJ$L>-&R>xAT#}t(JG-aA#H})6z02qVjq$Ae2FwyNmDDi;Q@QA zITa+@3*W;0ntaZKeE;DCxqJ9UZjOgo7Y;?;ll4%sb3snz;B97^N-QCMGOB<1UB#Q8 zk1b9)gLqZ%QGfr8-I70(Pp@EkYn^t}WGrNjP}F}{_36$diWD-uxfrb%5fqBvvi11Y zil!+7Z1MS8)nyytD=PENYxJ)ceBycCEl;QK`x2{B;p18oW-0$NI~E^}Wt))Cq*;Xa z1%44_F0b}9iCQnb!1`L@u|?fYLelX^X+7x<A@5w6f55Avgo3Tl-QYmpM)}rcuE1uODvfNJqIt?)}O|3;v_=o zpvnS9HkBzXsc2h`#pzft_%IcO$5TB&LyvUagv!p1)4v~r@A~y-2t^Li@Fi&Ps$veT z5enel>arQb{kuih(tYl0+?;0$NBf3I*%cslXQrlZenf`bJ3C*L+XnxH<4aF_#etqAZ)pX(QDthN))jDD)tuU_Ns< zTU12E;}rGXW=NT5oce$Tcr@SeYL_FkrR+-{h{GI!^p^B9^7HFK`PXltSxi_`= zKROk#KG))}NUK$g<84$K9b0LvG7L&LuW?c>KIUySd=a9BXBul%rl4m2eTtm{y2j04 zfNF;;U)vb_$+@A!kb&B5!YQ?I7~y8nVDf?@p~)oH5De|x(ErpIbGASp+*N8+36dZQ zW?g{NkkshoLI2g@FXcv@pYtBb@$NRQLH+@sgx|`;(Q^5tpRa&n0&>yyYY^*XvPsfW z0+7a6<%NwYlf!)PrctzLXn>!IpO4S;tv-Q%6xCb#P*!GEQhdTCwtml1sKxS`+}?pW zH2cz?0Qopqkcavubn%sT%9Wn{gyQJiwY9jbjoaCaiX#vTsIojYXzH&CFSK|u>Zgm6 zk^kWzBtmc|a=nM{oo#*gYY*;}mwo5f-LAS!OJ=B2LV?}REF*J{ixeooryv+ve_7|s z1tLaR5NX7Awlqb)U=atf_ADz)ad$EIk(3-fYDwZ(Yw@3#mnScNc4!@K^>O`a>_I-? z>O*|E{8c_Yc4}_ z1Jc3q}|0(O48oVfRXJRuB^7<<)MhDN|awOp#{DC?6yv zF8;Krp)>u*cncw&M>R0yW2 zRWmWE!#3bQ5{=jE)JD@m&5|lIC{hElN+zE&Y%oamh^O(ULAcsNY&Ug;OM`k!tNO

5gN1GIlV_tcYphuM2;0`e+^-Kj!g%ejhNZL2qVF zCuRGblSNN2K@_pF)P!q!n$`(fry3E2N!dEYNo}2MFPIujN>n z+#?BOQ^LnP0i+WPU@L zHnG8FqKTk;B6|G}J$4h=mwcL1%9EuT#jl*dXDAwGidYI_IGDLge|M{9B*IF@5;(H7>)y|F(!k=f5x7WgYUbAWYVmKo-hPdXjlt z#T+#lGP=6vWqzg@sSv)ip-!1VRqBk9=hQs`czGFmxu@E zN(qWwPk5_ZSB0%0!kU%Sq3bx z|KAGMUe^+G9xDP8c=O9^s?(p)b_~S@%x8E6Zf}^BH1o=_XC3@1z@%V%+wOvP9#(5d z=gxI|E=r!CKjH2S?0yCFFFz8aqn*Y}NH0Q*S~l+_2$7%(fD$eVvqa3ymR45o(+YmL z3EsU6O)fMhQl8%wP#!sIx(@fcltNyE7pe%og|zJs2ZK?ZZ&b=n9UUDo%!H?a`9)Mj zNyWo_X|u}vFAttlWpUg51#&@9fRmFT)y8HWx2TlQQo4j*s#e5L_6gw3bCcD( zF8FVS>1Dx$&W}tQnwZ+_iWuPZ7{7ol3iEV6e?A0nQ`ZXEgCQ)KSy_=SLf_aG(Q17s z#`(z9$&JRzXVzfd0gtz>Uj^PHYEd%H^N!m6;Mc9K-IKt-P4MgA2(>wb4CXutadiF0 zJu+AviMvDecBWjS=iU34`Y%0U4Or-ousj5cFFP|c`b1T;9~wWyU{Xr-18~Otvxj`6 z&F6kMXd&okgaqipOYtdI6IayT(XoGRsk<56VE|gcf`amc;6LZ%pA*24zqQHy7Rb?t zxZ9GEST^)N+QBcqOw#?@OAu!JbRAPXU}EB_XlS6mj*a(YU9m z>m>w!{F#&}G>lLI&KDD52ST9N)a7kV{(H;`Uo?PN>zd$_IS`6D3&4 z5@|%Q&$A8Ug+{?P%*@E(q%BnV4o$ZYJUugkY@xd?7V~9c4?Z-p9Gxh%`0M?-@HtB$ zJR)Lrw`J8EtIOh>=u? z1yaB3_35l%u&XA*gAanrwL3QOg!99FuXAxBf%pa70yA**a*$Z<6kHT|dzmn;0Wx3T z4VepfXPewu(qrS}!> zwuAr}da*P>^K-nEd~OR&GvQ!}x&)2fe-F0pA3cR;3uyb%$a;!n4y^|;y=&(4XQl6E z*S}*6sAG!sN``Js(EP5wan9&~9YFJPCIm9B;?h#g#{yys6nGQsB-rEQa)j*hcd#$| z!4K#-adIGs;|TQ*l1VC`s;=zwO( zE(YvQ7^^a^eg}H2z$=7X&=*TRawoPz83W@lNZdi3qOt#kJ^tL2@IqgOWmh_3oO z?ZE^6e4wm3;TQnF&J1lHO&QM<{ zG@vID{DYEA_#rF)JFApTOv7uIfM8a1GX)pmY>O>vhbDuQg5g3@SsB+!f4|rL`yf%w zHo5}TemRa`3U)|nPHKetZ{RFEXYTW>^7HedL-R-HA7j{E+8+;~3WtGbIIn1T76vy0M1r1N6NJjc*Xou|x2DT%8%U7TyWhk5cyDf)rqB zeVFn<{k*NH?8?MVoIWj@kGWxxoe~gYM8thJcY47`KB^8LSQXrt{I)hW-%6hc5#1iY zuNlj?%25kiOMP{9HP+G8pFHd8|IKJqCQMFu?F z#k1>jN*MM+_dfi>0O%6~%BcFfQOH*?ivbnk7YtEC;}qiQVPvDr46e5oHVr!_!vcs@eGyy&D|;e1VsQD$`5VB){s;6(YYdJIXEotCB|P3=bC z;0^~EbT(O4Ph_#Srl#$L`I`rBRfRw~Zc$Q$rPtBUXLsEsvJto(a(clF#-@%Y6Bdy6 zpd;PHp84`!tNHnPJCpciEzFW`vz;&l1qE{u%_DfQ_{8hzNTNGdg6CckW>*quZX__m zfh@deZw7TNS6p4r-$p;8^e`C92iXD1&K~Y*2kuDol#C4ZC?9Wch=X7d3$CV91CJz{ z6`+bCK8GfTR2_T@&ja_eT7yhz$}YSNxt#wEoQKS~{6wF0FfgJBq8k}8apjXMwlI%~ z{E2WhHpgQj2rs~AmFbEiG$BBpciYJ9vWKO@D1pg&l~EXJq%H(w4S>Ub%_t?oNg9uK zz0G-M5p4*XxerWE(B~4#gX@%V(Ep4g@rY_!1bKwipr6{x$8zI`ly>XZ!Qd8neGM`A&lr+GWxi>;7SCY ziis4DZ8N&QWyqEjn3CWBzL{1JzyPFbe6R_!wehxStZw=?(WmW zsZKky$8`pw2?;mw2o`f71EKNgIh2o5NP`ju&t*$ImOlst0w_D%aeH=>nU)3xnBXOh zKXWjvY-#xgOt;{ySCJ1j&Yx17jjOpjSG*Ob{m2d@f4rq!x<~jzAxoe5fjfmX&W^$a zdZrBpA-l{z^&Zv_B$QJ?Er1(}@ZwC{!&lFrUnQvty~2%M!ab|E-Y+;fVggW1q=d# z`C0k-%+W-qGSEQZW67o=Cl~5j-+T}Y`L1BU&G}EUEpsIH%+2`R1;`n7PJ6T)4$vaY zAk2oR90t=G7o;0(ZeNiLh^~15{=LuxvU@lzVxBgE+fS=0g};64ZVvHLC+b$71XaE8 zRS`SR|1Jy;pX<9cZdkIliJjzVIfQs&MaBBhpI;^(;Pm`*W1JTT@7IHODFRUfRCwpp z>dejS-*D7l{rILHHS;F+RZY#vkOn|ZkwPN9 z4^oOdu_DkJi9I*adoC~Yhx?=RtEzN%W;q!$Xa~?2mC(!#!TvD*Fr4Eu0|Q3eR)Qo- zP!x4Dc7Z?Jn<#8-VxpnB*<&$}p}XW+7aBTB;m%uzPPm<2l>F-&w9CPK-f4S+nesO1 zqm&Oi&KwN5e_tefE!GYOd~T1zB)M_DdyE!r{QRUnPbVgf7w%`zF(-(R;G?Exp%6;4 z&B)350?!wQy6Q9eKS{)0mHXU*UHKugwu4M%ET7UQqvMLC7p}h-boZT!r6gz*J792G z?2{8ZW%HF`Qrsw5d<+fiVXj0|)BGz(@>X5?7fb~6&=17R#U=A-hcF8!J2bn^mQ+_K z+`ar1pp2q}u8xk1BgkWhR8*;e>xZ*M2!0K#F#XzCSP+8Uw){ThPv?|87M@D32NXNdT9g` za|`sMisj)SWKjn+prV4iSJTf3e3~8-rfSr^6JiASAhaUF><0S%YhLV-Wbezk;Yib< zk=~|K zzfQs6Gz3sDCGiZp=@qUltTj?_Qp3=Xet)r82rwbBM+XNAU9DLX*ZsxkEsEZw!6A17 zr5MX+AXGv+?MbY<#v(QoL<(iuA`T3r4dCMK-kTeLTV?G()ARO9Y+Rno+ji5Tuqz}? z4bS|71{G8AOV_X1yBlYo1A~LMQ|T*R8m0yYCsF7*rGZ;CU{BBu|Sn(lgdbmsPeIypLuf;I$Q#xm-oOK)N*)Lf<` z%T{5dm~q3xU#&-lCJPOqzlu*Er^3W;@syBaQDPPuoVM4pKj|DtbGjqqN?xfLPo0?L z2;ak)1+JG1}pO45B4oF0K>*E)#yQku8HEG#U{t$?puZ3LRI zWB5e`1jy0QT+;O)RXeAv}-w{Ico)hi*SO2i40@yNPw z2(lJN(I<0hkul5ilKIalMrat{OEMQ~OXiovN$w!)u2bA}BlG*IM8?rfq0~}?-ycAR z+_EHVF#hT#s0Y?H@tmwd5h`c;U1&)%p0z09^mBzIkkwtDnSM;lHO&>4Al*T$=+_`@9&W%IFmq*rWsH!rwbQ>;Fqp;; zy8brX_vfYEY%(Kn6VGC~KIT^tKYgnFuatv)+Y_L-6NC}=I5%*BR&CBn#>K_>GmKm= zWbWjJg-uNIgK08${d>A4?_{~DB>fFJ9CqUbIu4dn!5jb3(87XP9yA?9!{v&<(4DO` zX0v9t{A|y-c}3pM_~NLo-|zeWJfFEd^ltfU%^Hne!QC^WBTPaP%^Kq1hE=0i%Np%=G0Cl+)n6Yx zg9C2li^Erh9SB>MoQ7bw@way6RvTE~+krbJ_gS;SJbo{^`Wu`keEui`=x*}{_5_9! zpW~O1na*F3!#cmB?befA8;$F9+HZUZ`us z%#)v&p-l)JdytTO#2@D<-#wn!Dtz%m)qfr4^T2qxD!h9+vWj`D9-tM#VnFX8bko`~ z@&w$xT#s^o{I8IOQsRT>TkST!>#qSB$Cy@q80riN_Ss*xT3@524lrD#L_WRw4!WT@;| z80aGI%?8k_EDW3u+`&X1`FdCsMkR8MD9!p{j0M^~yc}SAU|V(S zUU~T}SS^^dqKkX@4z&S}F9D{S5_g+k{P%-Tp!M1%FHeLyl$>KKwcs;wl+b!+t_3#$ zaGb%5*RK!42-gfAvJD$hqzDZ%oHdel^fjK;fa?If(KiRW@7BQiX!f{YHE9m2!I zKb*v9cYFzjMzaPK2(+z^;(>?$_dGJ%K2N)P^=^JXS`_cfN7dCKa6>_{+t&W!6J=VB zo2*s+>(?*Gw&Y_BJH529n`1E_rg%#|ZEf-z8iHS-3>Nx|q5R8NuTT-VA3tsfw}Ltn zkXIO$p2AQa@nL*bvIiy|&CMfdx_uS}=nenp2H`m*AMTg~5>O6m8H(SIU&tds!LQ%A z0p9U_NY#%WW7-edCfAL<^HPMq#5(F)y#F3HS3;Ot*zm>8<`c z(nWI+8i2TOZ3c=+J8!4gB@7SD+-xUua2y0IKZME6=)!3?~Gd=65?NEsj? zHz80f_X0lc?JdK`07G_6CeAw8sPO3v96kCKrlaDl{`$HB$}R%b>(k(c%@2Df)Za1Q z{GG5@f%}52U3GK8LQDaQVhYA}$W#CS`u2Eho}V5@?)=dWO10EJ^j~IXZQb2BI+e$H z15xI((Qs|v&^vlC9WF4KGMajNvI5#f!^@+#LH7kxf)yOwC@SO8rF;t@FnBMfUWE&a z{}ly}W*SAafvH|XV(GHns%>P%5>8GU8#{K&YAJsb&J!I&y8;p#&zzx`e%?;)#}(F{ z^{&9-qwrxkk;Gk7W4U0~R9B-X)-1}u6KeoACdK6|k7<9dKfAk_DBjTfQ17>p9Im2c z!x>;DEL55`7zl;HtkjWjBLgkqA-S)R84=9s)V;7=VBN?S(?v`=kziY0H;QD!Q8j}WIme(TY~6M4%TX` zQa2X$SQGH&cRqd|9zO(PZ-P;tNB_x&=uNdS!750|&#*4r(7e!)q2 zF0ySK^HzhSSiFZ2)G31TV1TEa8)?`t0-hJ>AwSS%^}@sZiJFq(NdPU9$sW572o(V4 z2Zm8kb;_A+SdSea$NQfxW2$uP?UV0o%J+g+{fy3PI}^p2WJ3~66TboIoLgM1DBmqM z0`V)jI32dYh4hZPyoF_XGW2oS2O#s}$BQ{TFgU^hlg-SWGBJ4z$mU5^6@}E{DbW)< z_+$>lbY~~0pgAsM`c7rxU`nbxk(CIw+x8DfHY{lTUQ}g^O5WE@Dy9RoEU%y-44M`M zV_?`Fvgd3s?lxq0@m_?0719_bC_rLo7JR z1n=o~nZPCZ6We7JlZH3O);Re#r5xr}dFDu~EgB%E`cyw$G zCB2ASu8yUI;k7lw`hE<*NQrz)GFrG$W-Aze!v^lT7-v}~TVr&~IG21(^_@E;pu_w3 zKR}AO61;R9$c`86Eew>`nDObSS#7P9FX!23YGHvC7jVUw9Fn$FxyG+pYi+qoKY761 zf7Er`Z{;`kF}3>jDr%pb^u3G|+@IFF&hr1~_Vnc?=CpuPt#EC8*Siz8&IxbmWI_&i zFK*-gdge@jhI>mzha$C>mu<+E%H46Y=}(^z^~g3y6$EL1QqKt)yghfa?^YdyR<(!= z86reeBdcwzF{cC`(a7}y$jllgb9QddZ#$}WVak5a@jL2Pw%`>PHcHz0BOZh=Om zFdq&HdTkt%HzYC#$7UFF9joYdArBWVu1}ucwY#^kt@BuS4Szbt_$HfKdDwsY(bvv= zf7WkG-bp5gy0>yaa~%%WPhlBmn=aTlZ0xeT;`FKFqQ2phV8JTk8QZ!nN6Ds>4|*dT z^yfRH>n$uTCwjw28a>_`-N+|g^PV5Q+yCR{m9$^fZB|y^-ELo#Q*TWLRjkpPVRRvn z7mwoBgJXu$uHLQtUSeq+0bS{D4E{P!S)E(Wiz6i2Aewym_z@fl=2Gs>bf?x3SbxBU zN=iXswe67jNfsfeXfd5ER2K-NQ!FLft+&TUM)=i3cm(SnrA0D#D^oW_IDy%Z%u;yo zUT2jbd^^XGaUPYGt>3Kr!O=g+^F|`9qq)bz0Kssrz+0uZp??q-mm>5V5syxfm>};^ zEJ9F2ZlQ!OB^UCSFWf<|R??EMSseV8F`;I=beul3RN1GQdphw5wbRnJxcLp9m4R(0 z8ogDU-Ce5gT~Q0Pv#~tx>?!EK>Ub!&oq?9#vwdw39+SOK7JE znuH{sMR*;y9svM>9z~g;5&OiPFYc-5v18cpawRoY+X-Lr`L|Q+z4>KvC6SIzN8#YM z-L5*G?n&BKD!#b6tnJX7;6lS|Wj05^iEpRdy88EcE$`p!ygEsjrEofZG1oH9X{~V z+>Lxg#(QXpbJ9%9ad4LmJQ&Q)OP}WWDiLnox>#%&UN*^n!07dB3FFWc?p{HpZV8F6 z{YN@0vo3m=wfzV?`L5ypuSzwko4ZXC{GMQ6gJ-)phSH&le33#6v?WuPZ&HK{Q14I- z$NE=SPNU%R&rRr3R^S_ht(;+iba@9VNgTiV$iUwO)>sf>wyCNS=2=ca6A0x-=AAod z8X+nxsMk4yh!^_;m4T_geq7B6N3l`bjxU&rqH+U1B*`)%CUP3hoP|{mM6^i5XmfNs z>L~n)J2Ejz5N3mvQ(u7ebP{Dk8SB@*JGbCufn#p26-OK18)^sB|tJUcLld zkL#5N&Fj#-)bo{0#SLEgblq#|N^n%e20k@6GTx-hm( zWAeSXa;)5Iz1J?^sp*4?6B(z^zq_Tre|OlBg1VH-}!F{{vL8a?EvH(u3nHbP|#W#mU-HHr!f;Dsw- zXsRr;Xz_tGSke4~>yQu|5B7CgTl-atPr>gPvW5#uNyBf~2}xAxsS^k%mM}<FO&sn%(EiS|$Yp;?s?7S2M`w|jReMXhu~L958g;v6q@&Pn_#)Sbg` z14K8!Ow^uZHJg(%_E+B}Boy)dOc>`u2e!!Uj?Hh(SbHdQCuwcCUVg3t>jkO(nJZW9 zi5_?<(t+suQzj4@#u7W2O3a^*&#=bT5PuV(?q33kBBvcWkzlMW!JvKakB8WEFulk1 zO849Ox$k|a*$7F-U#oxUee+%|DenG0;hVKb$l)g`(U8!krn(w1%Jd|ki8c&*#@nmn zM44}d05k2)-*iAk`!}B|>vLOwui)PNvbaqD=3P$So)=tce$bx|Vmt_>A?|DEzVAtE zg&luKf2XfFnL{rfU$yIP!24(Wn(3E&NW?kdvmje*0tN(~4ea7~pFRznn3FYhZOqL% zufLq`hkJnv@`E*ffMQD^MB3x5kwsHzKL=;&;qG3y)C{G**JZ{vOYf{K?d-&3CiSk@e@fIP)LnX-pEqY6 zu$AjwN5?#qlUA{kX7U>9$OzUALuIXRz4`SOEcvy=2J7kO#!x{bTE&LXYX6==y(`|G z-!mLl!lV>|aPQ+s@WZx1VFF=8;GEl9Ss{|UiuEA!NDZ;#`56a$$jO_Un)>?%#ic_m zH^t3@4s9wPUdDW^>9F`)EB=>>5m~Un_y~`p>h3)dMxTU*nFxK@g3?&jBj=DvWgTtp zWR^Myg}UMN$t(2}(CJ83T?=hbIZ7<)2&(F3j0#w!oF%cznT*n}A>TC^HX7+?oE%8@ zvSmR(PosK!>lKtLlz;SyR$rc|;orHlzwd^nqq(w*3T3AI zR7O@-e|<2pUDoyELh-lo7}7@=cRwOV3FY#lLDT|r@#)!vdKgSU(U4^^%D=~M(f(mF zyv)yUx5^PwwnvAV6cm3gGfo!3)go36Z9v({r=PL424>CAdsYHej;VVOvVUu5pxyA|-2U~G)A88`u;8H0}iKDRc`LSR!AuqkR}{hyFlsIjJI zEfoB^7cK)Q5Bw zKcC!-Vt{9kKlA%H%FLPL+9mKrtqVrViRGk75Dc#gNk~+H2=F2%a`V8=Hvurz!58|5 z8p84wQot^NqM}w&v3OuV=uZ#?V>y9Q4c1bK1TUyIeR}sU z=>5g>f*N*nJ<4x^bFwk+u!Sf-$&~^qvN5BzoLMV&f?Pv1xKq%+zkd%a-zq%zaG{-? zok0S;&d7Mc%1Rk^IJ7i_x&c3sO?<|eZqktKY;BkBh!TB#MjXgIIgpG|oX~-9eX!rZ z5dau)LB?ziA$h(NFzx{ZwH?BBjP3W>y^@Z55^(*T&Wl<+a&|YM~`Qt>d zIY|kLIFqIl*syJ5dV$4eT-5VVtT%s%GEV*?jr}3rmTw-R9!0k^r)?Fpq>n;sau9}3 z@zzQgazlJu52lC4*VL?nrZeC4^Ox|_!E}na6LSa965y7v{Uqixu&BQd)}>-1gSU;% z^|NQsVqYp+2tW+5t9swlvreY{{d)>8pc5hkkh1{=W(}e8#%cf{y%2t-;z(ev98;ti zc>;xDDiLETM6~BkO?YnGtxISpu2feq;baLLUl7nR^&Dw$HJb(W7ex7VLi zLto5~5)6t}yqU9u`IlE(bO8}C7UT5lhHLI1^mTNMeEv+EaHbQIYAk@Tu&~e+19=V) z?qxskchF7XFa`9j)mcFcwnDAL&_u%c5;mA3G`gD!tm|J4YHp-=1aO1#Zi9Z2`@{V~ zL8^Ts#Sa{clyg;g?BK{*1A9prC!OcYtb{j6-gXg@DDF2RlsB}7YF@ZuMAb}r!+1*I zW8C`YmoMwT8?}49r2qdXV-uhLAf`*Is;bF{2TKEsh?q74{}+eBf`%Je+T`TPX@n7q zqe#!}Wll+@Mr8uQ@)1*{=9;p;BFE?sab^NOU4nsWPF!3Qg|D~AfuIgV`T1f}xnYdI{K~fE;@#Cx?@rovP_f5A@`g z=H~AsXfCZ2{N6``0>26eMv)(&#}~dl{C(aExW9*j9wX=d0i0Uu=M*;-)l+%c8!DnT zh}|vu4<7iQIt5d_y`X`Hge>9L<6%s~^msDy_yc1^wm*jef8G3$xCVSfY_G%JYshPB z#$W~Tt?=y_GMXc&qxXfrz{FlU99WtC$wDvw6_~UK%ul;^V6+>s?er{gm6}85=74^k zV{CF68G-X^k zbh)K^3MDdyQp#RE@HH`Kl#>+(ywts0mIR-ROaq&Qt??8A03kb8^i((Bz(9jC$^B1u zNj{%=$kI}~n&LkoAi&C9QCw{OGziO^_8uYtosH84q=OU=!n36Ow|qMgu*>oCP3g3c zd3nVol7E3r&L(yY8-O*>0EfP;0;@dS)W#ZkCc}xakA(kV)7L4GD_3~mDy{v;6y(on z2TD~`fKGYVdthF0pN#%i9}EO}utS=!Cjj|l4xGne_>MO3!iEsI1-4){EI5YEtC)5J z07C70&XOt;>Ib!B?7=^kJ~+9#S=!iiy?mV>*$<#^{ijfvd@2M1EzJy`GUxC7D z=Rt>8J|`{KUdb;kJnC!KdQmHSp7RwOV5noWv_6eLefg}Cdr7pT_48^LX0L<^JRd~Z z7_8R5q;5D|t$Fx&Dcks_o9k%L7g>unzkSQCZx(M(EkQbrKQQDMt+N$E3J}&19b}2j zrytQEi2^eQUMqXr;?mz&A*OIh%nr3iR6wF;4Mr~#va;yhet^)*aN$`n!=4!+mppO~ z5m8a~pncjqSs4Evz5g0SRd08AWB438X9hm(#SK&81Gg<4eyb~UO&Z$G*o6=r?DhBG zqoj2TN2f3r*ebu#lAFD3 z_wQ#;73ka#MA^l`q1KCEPpcV5X+ocIOm^?m`TvhA)SWz%Kc?K;TomUwq*!=8piUtC z?5f{{V=uot_WOoE=L=O*RAju`BEr6shpsX!E3!9J&#kuT{I#2#Lx@X2$}Mg4S&qTci3zI8ZT=P_w860*ATM{&uTnt-`_7S)QQa2 zg<2neB-knhJ4`Gx&a0|YMWav%<}Ov|o->$jfPKdF^a|z%AgERmJ{8(i7Joh3kD!LF zDb17b95r*vLqiZOH}2abgIUwPhY!8J5MBZFo@#@KCA_^jI5{tF5(b^MZ*VemcjP$)Z5Cby26V1N`8|>A!U@=N8ED`Q)otf7mtu|BF9OiG~$;1r+s#ghWn;w!Wpc^^4=H zYR7vj5ttC(HOn2zSmcIiSwoD8i_7t(u<6vEA+G|075Q>oRLcz0DQ%sNf`Y4u`3$h4 zAp?AR5E3!AvUbwZp{d6#aZkoGtf<5^9n%Rk2Y!CP>g(&WUuTa^}y+a8zd3|?yb;)jbyAH!6 zgSwHL5iRJa>K7SHgm<3I-lBUX#LXq8WoP5rTcUw{;ix?EZs79}o6n&p=EZ;VN#IX6 z#Bl@V6J%)}bnafZbB1%CkEW2ef>>i>8ZlPbvOjfXYz&(Z8yc+LLaIO%gdQ&M-aQ;Y zkd@at?Q$la#}U5Dnn{@}>K1CAjyBt+Fbr{4CyWn^al_UMP` zA17#g3JTt>l9G~Jw@x*w_h7X|IDi?0n2A~im?mCKNhv+vtNMrozkD8B0j8*fqjGXk zW5r_m);=Dv%&^NK%Lh+Yl5>GpV=!)Yl9R$tH!+#x*kJ+^ag9o*5-RY}idkI=gFX^^ za4hfQ1ur$^S$wB*&~0Q-DJdyTc3_gQcL#yOFSm#>i@?@NOj_lWbsh5DabtnW!CQ47 zOc1a@wF>jjn4V9c{E@U!{KAGB>IO{p0!G&a(NUTQetvOfmsabr4eg0Ir>iWdtq zofQxX0zpY;5V-Q-$q+oyR<|h@P z5<{=i_FOb9*FggxI=&)hZZ3eJg{JVfiAB1Zz5RtVuE-EYTidpxkfC%puIsN&qPWNE z9O^}zr$LGjXIeFjgBbGY{ljg7}?D9GaZ6&B@Cqfh1=4kMy|jHqV4ECEMGf(xaLt}jsjQTM_Z#o zFRwdH=f)V-xt-4r!i# zQ81)iNc$KMoU-i=j5feT(w|83pE!MrzVX_qG$Drp6`GxydHCSLH}OlWO?`n82Z^_d z;VI*#TW>USICJO}HC}sL7Sg|vnI}ouuJS@?T-cVLU__jHWi-lYG=9#ggV*AsQf zX43IDI;2Y~pe5ikgE?6#@foY=evrkm4K6_O0zc&__}3qA3q!??aUaD2;mPKJdqU#S zzyr!=OPl)nGbLaPsw`oq&N)wd5o|z=LpYwH-_UrxEGMxG6LkpHu}186%A93o z+`-YF=@M!HVTH2#C~+vmibGIP1FMH z;F?Wf#T2y)>VcJzUxBr^&y~BcX@U#bd`8SqL_IKkYTPuhfvMrBhF&z{UF|mLsz6Jm zEY@k>sX@IpcbSl+m~{Z*49joFaN=QaGZ4^1LIwSqOipQ{Qp`SjUy}Fc^sL|qmQy_- z(7;5DkAnlBB&vw+>Y166_Ji#oKIrP{WvNb0tS?#XyFuNU%@Zjp%m3yu2IcF*8GM3i zhX87yJ-3ZtO<8OSS!eAwtB{YbAAsVXXDSPTZ&a$e{9ij>hJ6fY`G?nVcs)LR()T2Hkl<*a?hKKX=&DIJ*{Ik zn{zq6(*w2xP8g!o^aAHHI{5)uq)(pgzhEX>uzZMB>`s)DuM}Kl2=1*y<6IXH13P(Jmbygc>PktqZ&ZMM^=PJJdT^SAW$gutNF{W==f^NES5fiiP)c7pMNVmq=- zz&!^WiXD5QgmAc5G!4NUrs8Ju;Bd_R`UP~Xh`($>5w) z1!W`*MW2fq*3LWZHMHH~C)L1P_YIii<88%WaEK!1Tp4&WYXA@ITX6G!A63MyU77;L zTl$`am-w-dVZ*hgV&jwulBK(Q?82w{r+#BLS&4&5NAo8i`N7-d!2>gQNuAk8Y+TZ( zHpZTD0?a0}b*t7{01sIfU}b<`A)pa<-bw3mRwjCb#+Rww8-uokD>=gCdJ=7f#_rwA z=HEO(uovT zdp+K?MUzbv2c~l6tx$~c`JlkSshUuoi;yE+^QOJ1Bq@pIBdpy-j1H5%)l%Zg=qLPq zd^9`0{1OmkyAEOU8-a}x>7tF`SMK{v8+WGiLPUb!Tr6m)K5ITG4SH?4X6WLQi{fOR zBf|62%Vx1x<+MAz`5#Bw)Y78v!^t#@4G9TR{c;ylOH-{aJ(raBFmk(GkK0ythfX|r zUHU@5%|P#kQNa@t=MN-tBsnFCB-tx7sa)H%V_K!dM0T}dDqi$zbfEIiJ+mYAUP%u( zT+fqnoPhEU0Vx#AF*0d2?i#g$x2GBI^Dt)N96#<5L^KOTKbuv=f zKop4QlfQpAZHcf6g%}UWVB*Fj}+$ZTKImJ=|JcV9bC}|KZXCPNA$rFfug6 zUA~HH7z$vh31n<5C&6{O34tV_cC1B1#{Mkc?FAM_etm6N#`V#c$Jp79;n2Q%;v4VBg) za+hwjMvOD~x~l_o8vOCp81&A;pT8s6Ld!mh;-&n(_wbP;n~Qafj3#_pbvYkiXh}}o zrcS}2){pzk#PBByQ?E7ONUJ{k$ph_r?N?f}Q5XWx!!d#F#`VW$MBVKQ&pWO|BiEfX zwC-au@iKQdH#KGd!@vW{Rk!b3%i?Po%HoL(;d2r3=Y1RL6WO1!=dvB>Q|VZ(SF2Wafg90kW3sQbOwmAK7DMxl~XHMbx!-cJL^F z;}V(?eb~Tci3MAR^-%!IlR1^wSQ6yqG>vB`y5n}&dk=7!Qh$)YMiWBQdB)3uG5nmc zgR-PMW6wHb2+D|Ie(WU~_$ti6-lfcUyNQss*OXm%@^pXbZ;T++PK~h5_N@r(pLHbJ zu!c#q@2GXT4e2vy}yAojtw1!sql=u1RJe zl{lqmu|I1YcuU+L=?mifM2rQ$X-^l#7o@auV>p|(4eR5zySQOTaBW-mtxVp`=Mmd? znCTjw5!|8jxh$Dcc>CJn$Yf1qh4fGZUfHlB_1RX7W`UhgVU0Ew)%4*z)=Dpf!alH0 zuuS{3eASC=&uynh-^DI(OId&TD~+{-%KrG>B`q@pwSxnhKJIxxmG=x6SJGo;`>Z;@ zBoi0IIXd3+z^-#1wo0!DCh+A9wXS^jN4-Gp`({P%A*Rif-j@k8d|TG@HErc-icE=0 zjx43|YyVQaRfjp+ckSDzKwakO*|m4MB{ud_rGI(MKO#EtA%{BiwziRGsAj$u7DV4~ z0{h79V5@rOo6NmhU$nR(H3W1(SH71}Fo)b9ldkDDK;v%H<}RwL)10-zeYy3s_AZ+z zhq`$uMQks$kcbDhc{<(_9H}Ql!V=DPDDR`;jdnk1WAjqnqiEXET#YTrL7B}hPiS?2 zU^;6$R|o47t&!m2X1A=`Cl1o4YO`!>Mg+C3KqT(o=*7>@nVJM$ZR8_mqjwrVuQI+7 zrIznu)Joai%lzi7+_`I5GyL4`E;`j>B?+g}eZ``lhH@&$cvFbs7t&=5ZJ8 zn|%)*J4Mp^5hL^5KeW><~)P8IG$T)UxARODxjT zj%U*_kmQ#bZ>l3PMg}n-<8P7BAUjxsfcN%-N~X&@%g%Dgmyh<{2{fqpv@=OEQC9!r z@Um$j|H4_pXxCdT0VSeNI+=!aRIKx!tOP67(F`iQ0^nK~3>6U%#g_jIj&8SQ>%ywtS=JDhS z_P59a`6}U&^U7G6=p0qBW&43s2U{IG%RDzO$d1V7%&tr}tOqQq*-jtIKXa-(yC=;CXF)|0y9feuus)Z`^oo&_nmaR;MC$FIU$sU5_OA z-QRa~`>f&J?H@7@(M39HQ>lhCMwPXx`{&pJ;WyZzF z?|&X=qGG1%ZE9NUNJrcd$)k05nUmh4k;HzQ?fu~T_@H<7XWi)zohB05{TsrhK zroEAsRz1Q{n@{W+^ptz1O8wd24>o`W=tllHxd||D<7wSvUH}kG(v#EV`$$B0IZ1{- zz7y3`8>zyVzuCj>W#Tl}ze7P2yt@2bV{U+kVEV!!9O>!DTTpvdnG2Ea)I;iP^S+eSr;R%~b_jC}b*$EW*) z=j#WMomGT`d|S0Yi1e+){NL0OOU#MfXeNJ##S3t9vESU9Zfcd{23fBhl8s}vyKn zC|hBTNkc0p1xD8M{A0eFMj$BOKHb=@e1F5GhVMdola4Xb&{qD$-nIIp;}-=NIFnZ7 zmz{mj&dY1wiSiON9d;1X5NKXX-YUY!&BeNI-+7jjL9H{ULV;#n*Ry8ag?|KBcQN`I z=XpE%B??{UC?UF1AXHVR*)?|VEfA8=z+3#4GufaIrU+Ct^y$jP?A^Z4WAl;{b83a% ze2$<8H~4`}JSDNXuZtuc0Du&zNaJ3W)8Erkrhxq3acGBk2ud-EBshGU@J2iXgb?o3 z2bezJzWw~xQ)x~wtWV=2F`th0$qtUSqoL*K-8M%Mvm9nGdr#7Wi)5-0O|yWy&>@32 zB$Dt~4Ifw{*$%&Yc{}|1*`Dmy8hn&HU|hYPQv0?b2ZXhw9+HuD`VihHc328YXf=JJ?C=bO+20C3hZ#~>0l z8)be0rNv6!D|C+5Cs>uFxE!W4q{GT~x%TI05!HMG(>-dGmPKoqgT0pGcgn7bjpn zgnbBLe}KmDbz&U{ZI&{sH0E<2w zjVU`{+;2+@i`uGAnjsA65oMb%xb5#y?y5j#tF6A7pI>MYP0Xzwu1qcI+!r4u0y;Ok zCg+HX)ay+&FbzW8Yd zi!L8GGUC(l-SL;{``_QHSapboqID)lC(6{WnRJDY?$OlP_3Js`Z>>LU*Su&eWI#us z@%mMG&){Q`_*t4K+t84JI#K*gSZoQ;_OI`)KlQz%n8psvsj6XjI%5y*5LKINzxFk- zpYPsyoJ_lXCcX4*qZJPfCThrhU%$b0MY0%(YbyV@@$QPZwY3quCmg|q!(3Y~#GoWC ztrJ7yB6D0d6x|3gC1P_M>?v+7Aaoe!w`sM0`h=ZRN6oKZj6`693HuNtsbwuZw7)GVhd|^um^*EN{24F;GH4h`W&uf=}7*pKT4HOSdby7@d%ByeE zY?&Ns6CHi$c_ERLHfx;n-kIFV+*hv5-nf}^$=pszraom<^+EU9(`vg8(Pl~K#U}!y zsY?WMkKqfX&GfP|Qc^=tG*B3h&pVGBU2bh}ZEb~}t9l@C4^7QA!3sTp=~B=3?P1yhur>4Vk_=#x zoTgc?ZxvGj=y-8)QKuAyV87qrkMy0pSPXP~<+)CW2LPZ?Q!_IzCIQf-em-&C!5nL+ zP#!1yl+(`FF$o9=*zp@Q-+H*63fM#*H9(5`SEanOWkgNq@E9 zjo!HY`}d!Pi3$r%o?q#qLk=A>u7BMfSttDa-Odh^(ZVK)T?=o+-<)xDZjKn=OJ_AP z_*TWhZ>v;QcJ_g12ZZCVCY|dD@O{O_s<0VOQr?$?R@H*HiL6QlpFcBscrbWk%L>(ZbRb-ZzI+C9;__=EZI8gJiuQwov4# z_c7`$nY`q+^t=CC1&n?JUlC3S)~Nj45EFAtM|bkqdIkHMHjR-MkD7=}mkx}#z3Xzj zHzm~`9oKGSMYb1fc@g?~(C_3*)NP-+PXxQg8Q@PJS7WB|66t330ink99@$vH39H|buJ*rxvqdys*d*$(y#@yW7 z+bo|13-pga@+O~Vt-qVc9z&h2N-(aLqrjd_lv61Cg7p5!(UxU@;=zxCN+w7AL*IHW zwO-8d8Yj5As5>my*Lcc&S{oO$GIeXDWo_wji?at%%W;CYM+2$YdZen*-hsH6k#F|# zjmuueeRu9xRVAiL(Z$C4{NQ6$5?o359T;#7@C(&xs7OeBo6e$he}CI*d2zS&?rQqv zwD@L?TenTEtv#w=N~>%s6WtdtWVZnW2Z$v9|HqSET^u{Mli7duRCiKu5ivw^^%tI8 zlC)JxeHZCj<|J5DH*fn5^@@f3s?2n_54Q5QW8~zomp?pWDWCnhLHd^}@qR(U_X8hX z^}kMx-x|MOmb$|mJ`kSMkF38bg&p;*={(kOx?t^k;b7*g1(l&{?EQ961Zw(7cEb&8 zQ}eBErcXDWGLMlFtKP7&pNdYGO_t>kY?2sdN{6r(d)0-!_r+G<;q8#8!w^LysFWow zvGhgq?c1*3q?KstGF%B^i^fBOCiL;=2o+t;@5-AzlG%~ut%yjj}!xt|? zKd^7X$6@~OkK;ZlqZCqc>iMyszxa&Wt?Nj_Rcl!V%B@Z~do16a+V_5OQ(A{8S5RNn z7E8W~$-yuZjk|y2e8K}mnMV>vX**A3y)VD%RggdnBER^9_TxoyEtsdhA;VWdeQAF0LGIw=wW|BSC0xx0pf{hf z=hKHzkds~USzTW6jBPpFV`VkB-)uNqT5Rb@__q$oLv6+js_xJSdrep+Pqv?9`aDR~ z8Z12;-kACFWq-#@5Zp{|S(N!pAN=_7@r4z0OFLsJV@V(*|2SiX1A*k~#Qj3)N`1VT zG^syZkuaX0T-DRHV|y+2P)!aiu3s)bT9vKgI_svmMe$eeZx2W9>syQ^H~;(B)ROS} zxSE5OY137w4X%UU+B}fu3K|=k%pFBBZ_2g~o%4MYyT7eI z=H9*Ey5{UL+7v1!W%m9@M9GZ!F(k&IEr4Q&q9xYm7W%XD8B(MOn<-pT3o6ufRD`}9 z{q+!3pb}1#HARW^7$bZN?$2;A!pqh=A`wPPzbIRYK@!59*=Ig9k8z!r{+-gdVp>a(J5xBVe;#-`knsT?)y-cw*`)!S zc)(9vr8vSvM6{}T|Mf{n4yB)j5JADAcFj8hZQ{Q^6L_(lT$$IecVW7K;Tr9f#=m~F zne^o|VDOBP=@%%s{_lSf zI`-R7A>rAm!m(WU&urTRH??p6=fR}ztW2jwMc~8W?tl!ibO~}+7Cr)lXBcGv4<2mW z>Ho+9w8eAww9K8{y8I=a<1YQqWee=w4!4E5KWpwk1WI||lfhjdMAS63B8 zan2Vm|MSg(&TUMojCg)wi85pOFiwk}mUS$l1UrtvnQ>4A5m+w0h0uK_@#p@`A#`3}R$~&8F`wf1 z>Rk9?@~?A~ocM~dv+}^e_wVYclcuLnjx>P--l5$w2LpNVE~O6ppd0QAfa$r7T<-L* zU(28m6-70=*|(5zJ&TRXo32i6IP|Y0Rzg%kl^+6z2uNZA{QNy2c#e!P^t-)sBvY2C zshs?rw*g1F^w>wKKGNVLs7rtZ^5kxg>TPVRt-Euz`dT8SGNGrKVWhn|tomOU)rEXg z8%Px8DPkUuo`E8*18oko0Mx!WRQTH8y~7MMxHSsPNPWDPfa1z^LlrI&g{W zMZFXpSw#ijFp!MbTuTJVF0lA=xOUa zf2`911IFqRm~8Owhv!te;ZG9dmcK9fpT~roe?-38BCiP}Xm}}V$8Ic}!c4zN;@sEI zpU?BiiwFs6I+2Tr*j==+0kZyXXEIyx_~k8un`|hYsK0~CpKqVZI3QpSM3Gn)>~?K~ zH4nzv8%)GTpDxi_)SVo>n@HSd#azH(iG@0mWDlTWGSdJ8x)c`g$UmY5)80FgsBv4(Wn_3y2M? zn__376Z~-T|N51bxUxE6AO8&;I&E0z!Ip3TDV1qREvMr%fA+`PGrQax?09-{I8{3t#l z*yvC}ZG=wB&Tf0iCri%(Y89V>H8vk`8W9+te%M&?lxM`&tN&SVZS4R$7B~WqC^86g z?fJic;gKV~Xdcnv4SvSd;xW1_+F>q zs?p#x;q6dXylnghl$YXqE1@;p;Sx|nyp3FgXvV1bS8_779(ac#8wd{=-zIm+@S{EO z7WR_Y5Ng8;Tf0T$N_ z08^nz%$v_*4_{~Hkj}rKd!9Jp^}L_%VrUf+8KU<)fRPAtM@4!0aZzqV%=RI+ISnf= zFdODJ?!|T)K~Bzw?f}dqlaeT$b@lIJec`L29dq`|lY7AQp>UMbp#`m)Y_W-$B^+VC*oq;U#ZiA z%_7)f4#OdMXtWwLg->+wn&#juVz7iZ53|YohQo^!5~W1U$gP6N4{kqv*z)4VJ@{3C zWDM##=qG?9-@JPD0Pj~;_HH0~AJrcTkMkTwD9(hh80F&P0xOoL#zu^tm#*r}Y}$$# z4I39k9WSwT;ho&2Q;_jLl5bhrp-IAU5F%N){PBX&y-Xrb9ijgdQTx}8$P>z&z?2s8 z60rs!rnk%!OYDz=w8*!zEhz+hlvBD-x{ zia>?LAGObye`bB|Tv+U@s|(b_fi)gfJdE8ujvX74>cHx2bj_akgeI-D4j&E%in2OH zVU%H#2PJi^?-5l`uj*SlWhY+zCs;{v{Z-U!({0_p9gMM21V_w)p<{Hs53v&jD%jk~ zU~AxZ2@nt*D*+xvM67veOS*XZ@*|fQ99G-ae|q|-CRVWgZ%D`!fA0Q*bCduros_XV05N~sG?nE^O?Mc{42E35<{|;&~Ow{W$fF&a!Z%GwY z^9OGm9|s9iI~isbTil{4PH20fHJ!6R1p@H&e+8yIAqY*ua6#E}bmctU1z^hBB34Ai z2YSc`db#1y;9#T}hR0uIZbHbs_KzU`kLR-2(2!r{q}ctZq>RXi+Sab(k%?+5Djo)r ziS8aAa6)VAP;L|c@0-$$xrOOZU%JnqKfAcPLM?(Z`YS{D%~>2caB1;qE2$5_F{EXO z$#*kB9R8oryu-&!`6o>03T+<`jgPO31(@h%avvr{9gCR0NyBh%-8y_@O0@l-zs+!J z0U-lDe9Nz!2wbk_SVfbTmv9T3_HV025&;y``p(uOYok!E8 zbmRW#2?A=tQ^QrFB*p50DP#g~FRwip1dbpgFK^fn;oeQCaj*&^A#g&7c;VyRE{GLSJ) z8ey%(L2Uwe5m*hPVExDa&D{FjJjJ8#G?$BS=>3(a$F7CMA4J44K@D_rd z_ippp5w`#S8mNBdq@~Zl=D@1H7tPHMCd?*AMu@NvTf`9645Ab}zXB3QY+flX*)>=c zzPf@3dohN(6jWhMa4#m-04Vu8v*!sRPno_4j2i;6Up-3BG?n0>AmbYZBR7tRa?mD$ zkWg~ zYT8Ad+M=nUp&^L#DBCvzJv{CK>jVzS)kC_t+oc0vy_icuEvX)2j#?~%X zTnz{!7ome|e`qcG_L-?u@=>6S;5-JFH^6Wa5}j{dW#7Q<6MKd|kEVO}(A($4e~tP_ z`^6p8i99NRk2VJrrZaCRiiyexAX+IU5{wJD2yfgud=U0!YnC{^Od_t{Ib^J((}2X~ z>Dp!!W?DbDfi5ZjjPF(Og3{79AyvS~6EPd$CZX7#R}7!LZYx~Ahc*t4XC8VUroJX@ zb@_C;>v(qAuAD}px28er+!^wt56`7)qGW{*w~7(DCeI9xJRx0>c6?@8_4f}ogW<`a zwaJ&VVxq1*?Qf{;-MMejNaq$5u)bmspVAuIrt2uJFR9C@z!;Vjr;*0zP&y|)^-NEA zJa$w&Ifl+MWMB)6+9fNa?3O8s9cL?XN8XdZGt5UOHoQh$o>~6g4|E^#l;Y!*0pl|@ zRF-LbuO;$r+T>UU@(6eu!DwIR#vxXVJhXvtBiiFeFWUgK0j4VO)6PqyV!rP3G>^-V zJ9XR67qFqptlVK=g*47e`)r3`I=`vGA+ij%{5>06WyY+V%o)w3v3;f}hi3%`G?|E(zr2z^(=rMZn!5D_Xn)JA|F zNx3Fyt)!O*`_Z`yn+|Qe#yzwGZJ~&$=xtX4Tg;I>ofhrOFfgTg=*3>wc?=)yx!p64 zh!E}S8;=>jlgZJoOPDc(cx-;;NGLel@#5b4zC*Eff%=1Qy{Y^nR@cFSmsYA07aN@K zw^yju!(qhl`iS`9F#p9OOP%Lt-Ert6w3%SFFkHZqIZGi9;%U9x+xlATdFs8(BYv=C z&616v#!4%xASF}J*-fv_jvp^L#WCZJ{xVUKs3Hg>k=;Tw)L^ZGJP6RL>zXTz<1Gab z4#OK2#Lt~=ZR`?B9^udvo=j-T+!Q$$7ZcOm!^+3Eu}j%lwL~6ESphM)74$N!Tc_Kx z8AV3Y=GacsmX>acov11o)_olxCb57gbjBY(lTI0{Q7A3(w{S_QE2rgAAkHo9aC{@^25FxN6YP#LjfLq(iyq zKo_a4>_cnoV3XQow{g_ZTp)$D{A zjkKQZBbSV+OJnQmk6;HYjqfMa^h9FaLGhqmFxG}x3P~#UZiBPQK3+^|#jv!^*FVGY z?cHtWpe@>baf?|;)mFzy}xDadb-*acL|f2ksFTQs|hy zFI2XtXzl(ezXNxB@vDl3oI42%@QwB%bs~ijE*-KDJ!os&@=Quv8nY(Z;B%WBX`)`! zm#rz63z&2W(DO}5WVWY`&dY~*8=6;-vWS@4+npxVu?F4BPTyCL{%DEL-uEtS`Lz;f zTX4&aQ~#)I&qfB169W+g@4jbHZ*R5Lveq->BKAKIr9<&wd&IP=*NnQy^ss{D45<nM|Z>B*Iaq3|I^cktYX8q0Bohz ztF$qZ_tVwar%B!*{a{VaV<*V?*%d_D)d2@Q#c(nI*w|&vh1s}pwB<;QRljO>do*7o zZF+7SUq#{eQl?_Bkn<%qvWQ?2 z9Ys1^cI^4OTCbFg7q#@o#Kk$z$8W5EnL(0H$t7;}r2MBeXt#Th=A7cLU+A^wz$s;x zN?7}SE=3kA2V7C7U&O)TdW381s(rlRK_S9>Ie_W86fK+VLB_L9^xdm7%j>y+17K9)fiT3)fG! z;cOx*lY#nOqg|!21v{Dqe5WTTTkjCZU_Ik0eh!+jxHD&9+;fd|S5dCnW-mE)-@D4o zWqa`->!)D~tTweR5J?OjSGi14KY^EnRupW>8Yo#{n#-j@m2whL751X^6gld+xea5_ z4(7eI+|0ajuc4mxDM4Pvyn7rajfh+S5;5S8+Y?s6G9xgx|(;8#1oG>&GXqu+j{ik6mEvkRCijGpUSs-HeJKX4!- zFm&JHEMi9qUId!Ym)z_S5lrS5%X`MZN7%Xu;8=+F=&)l5QO1TluD?Jcb>Ss7*%;A?<3l2e=`u41o{V;_bX!dHcvdJ0f}J*KOMI8BX=t>?5ubg0+^f zo34>O{nqE#zC|e%J>lqahe19yYkAX7H}^sdb_IZRp>T;~W@ne8Twe9 z^AMXNZnumn<`Ao`P)6aO07tn0+r6Xa#*J=Nag(r%21d9FmEgjRLoL$v{6^yq9IZO> znNt_k7n=L}p5Y+W64tFr=Iie)_}DfFg|Q$nuj*qImEP395f0lnxFJoB&he*Hu+B$w_piVd(?Kak zIl0zP#FFkg_SFf`W3pgQ$EIK`%h&T$LMJF?Rcds>UiIFvxvj02A^CFZCO4oVsE*MF zE|6T@chb0PJl}gUlXz$IrL)VI74FL(G{HKc5(56S<3amb(v(x>D`YeZ7jkv~Z)l=H z3d1$Jg5ESaxi+4Gk&!*27>cf4P$cJ}%LBmW4KRI^f_p2xN<6>0!?q?fJDb`~Qc4Q! zLK&5m=&2JUn!Q-_^KD{cv(?>?4-UP*MN(k>h$*TI*XY-b@bc193PYlp`Oas}BcSun zyh$v8Qcs+OEgO;jK=dbn{kmNm*7o9qX043@{Ysmw>3jH#QWQ_Gh)lGu2`0q*@07hu zfa9WjeFn+M2ccF|?#QG2_PvCyDHcP~ro31G^>{C92*-}2d80@u_b((I;3&|$ck9F1 z*|kBA0YSRsoCQHL2YK(_&>ZnrZM%XG)MqJCYp0ljo2N+zDcH2Y;xP!(j*6UgrRT<| z`OG;K`tBIB zaVwEc3EFu}Tj4dbw_ZU*I5Y#CKjDTm{WsDJDOJ@fJkYt&=x^t)NIm*|g6ZS^e2dZc zLP6;)6H!~4i&0okQY_eXBY6ThitlMwZYI%b+fWHyC!oH|vnLdX=-Xe?wXLQ)A)$PlMd%8)W-`0aC_d!PHPgWg$rbv|_Ij~! zidGq|U#@Gak6NI=EnvZY>MRk;|ly=jf8rO%a=IoDwgT_?sugJ*! zejDu+02cm-jmu##Y+QgYbsbEDp`jR+-v?FwSV!JXg2QTUS>X!AQF%LL)r+ zU}v;d;a<^VeitQBzC|n-MTLcLoad0hO6iuQshd0H0he=nc5Gi`r&;CUzSY z9%##$-JwtUGe7TGaLYgV+pEUskb1a<9s|3J%(q7NM!dpRqN!b+51j=j`}p(7lgQ^m zTN?Md+5I|{%s(T)Z?}vigI>l4cz~?dcdfvNO!`BG;v3|TXQDWN26ZcL+jcc)4gq>} z-$(I00k!4SM~lZto1)uR@gC);@;52Ruus9Bwc&J3Q`8$5cel(3@{bi}07kQ%#u}`G zOjJw^_MoWyP9@B?Y)bfNeij!3HH?3qr}?S`g6*lZXW#npt|>vDnX?)gKrlnGUHsI+ zPKik!n>CsB$`sWotQm;ITKQ=3bf(%ewtyMDyu-Cz?`2^!k^zOw`r2*3Wx^aC_%3xb z#ddwN4WBF33*uk_WOJ;?ifFcnGy#Y&pi)q5%jV4*h2&iH@u2pEHiVF|8xnxbjcE_| zgUv7exy19m#ZNj*es%t8YsSZ$4psD4xL;l`hl*wpLRazXMCjf$bf~`bg?9y`UPRQD z7ot{iZgjSxEf+l)#SZKlpY%Et<-~G;!+;dm&i+oy8 zjMB)z3FO4UST5$DH}`x8F?b27VYN8XJ4)BjcAyX431DlwX@sq(Sn_;=s#mkv$@$lRsx5d4Q ziej4zX@tfqMSPnjV_?30CT-2s5YExJbhuSy0QuOic})4Qj2dt@V>% zx)mgfKBtLA2lK0^H6B>+w3o_c{^*S&D7%KnJ2x+@8T{J2wu9JXT|hpRw910H4G!9- z?^u|a+$L^1X;a5zqt)KSK9eZvuR*_Gl#-!UJ?>|6v28WxK zl@*dx8-xR?zpx=eH_O1HRJ~lPxKmvq_tgp1E>J0O62H0K(2pjiHNPO8i*=l6{$I&~ z5VFXuzjb!)JM3aPV*BI0xRylzv**vtzpg2D@0@05XZN-Ub)(f0ww>shN%1XLX1$aR zqyXI{_WE?>==dd|wbgD#k!o9|xHr5As07=g@4oPfqgMpNfh7zGyjt~GJkh3WzPN~v zoR0yrs{#T7v?Vm8dW^Vk?P8C9S`lrFo{hauG?#AI>jTL3K+@ID99JtOmyYaIK9FaI zK`Rm+1l{h={X~g*Yo3R>sEb%l<}m25J*S$hb_H`1r~Q4EUfC^-cSBlk7ALj1e@5DN zFbX3Gyb2LsZ~TvZ8`@HkFJ|GzMS)M|i{KW;o#fjff}wD?kj}hA-eni{`q9$w!?wa_ z^@vD5o+>wAnI38E0r3*0R%b)QMW1Rnv;pjy^nN`vzE7dx0SF)y8=Gy7k1=-2SFj~w z4W=cXM~8|W-T9iB3{Jg)DPvE?@#jK40ZbIkMGmq*)1OJsMbH8ak}p)UeUrI`h2xnZ z!CUVk6s%4Cur~wpo7vuLWo7k-2$kA@7gw~}HTmMuIx%iGHuJKN7(QX7h_Tc16%`rlp22t(z4sJCT!*xJo=HJb1cuUZw3eeoP zSk$tzvxh+_DP`YP#BR$_Dh?zWa|#=Ev-AyX*Sa90J8|8-dGlf=))f(}p$)$}OP^&2 zP^jhtf^|0(duSd71E{{*Z6OYxuHhlpbUSn17xGvcpxT~*IQL8z=~L3#z-CLo9)~zE z5b{4bY_;cn;@t)+-@Yc6zQ90w-J0-4$O1IS2-hDAJ7psOkB~r0Z-KN`($%Zg*ViEN zqC_^0>)C)okofuEfBu}d+;Y%M0M)KMg{H!dR}8s?`wyp(WO7icKx7P-tk}iB?K!Qd zvd)^M5hZdGcWwBA$6Yrnfc zJ~J}#Ozr6aaC`#P+l@o-uh%`1R%>rM>E#vld;B1@EZ`Dz@6MfZ^nt)M3h655@#39H zo)`anR7_vb%?<|*vhv+4NHu2) z{jM(6DV#m|%jhVB5~NA}2b)%y_;eESV-cA;R)xuAYRko`HkXR^ zVqRVO(U^w2hNGD}T;Zl8RhZ7bnt>*TYjgVcWh^(YmedlD+;9d6Wrc9XDzT^5ttmX( z73|g%pFTxMM=#}UygfnK{CCBA{Iy#AHvsTQ+|5w#`g(iM0WSnISJmDM;SP(E&7herTMPr@R@{iWAt&8MOt}m^Z8!7VcA{#F|dipOT43gO4L< zwowXyT|r;{{yRrVxCo4W`qXl;>Bi_+1Gmc)$d~6oZmU&j;satdsxUVsswXwFQi*(4ZsriG2e&mqVMYpqbcX{@eF|Q4)YT{th zUKM)r1$1(gi<8R)#aJ0A?lf(FH{cKGNihIic-P+@-j;q|boDc!w88Ii-7oKTkc*!8 zEICKqNfQ-__;Hh_nJXYLv#4e^94(t{zVWw4Q4P^^OczF<5G@<9DyXXR1Jq0dDx#t$ zfByz-BqeS}_XE77V<*w>itP=bYX2a`uwCWD+{vAUM@)Zb;0?x9m?#G6B_pt+qd?gB zvH|*6AXH6O|6YG|`+4w!T8a(j_#YF@UJpO3kQQ5koGqIF2R{@Pj+@@p;*D%kjdo_( zCszCBvX#i5HvifWK9Hg=Kh~g)#DJng=#@o_n&(xd+H9>O)i*ecXT=1RAn*WEUq!3k`)@ePCl|noQX2E_Z$L7;eeq2pOBQ{l%k}ibfcdg9 zMdaMyzkcDsZ%A8!IZyg(Ha245VpUcRDYhjvB;^h=x;7nNf%$*5;yv@qW zoxvl_ZEw1}wN3x@BwxOKjAuIPz~ZFwyRMThH@tZkRBU*?E0F;Ah$-AguZU>4xxt9Z zu2858fk5mv@yGp~9J=4_FJHh*9z+v)rRg^!olzN|rC z)N<)N&z1e&BglE_kh6=+sUz(LbmH@1yC zS+YBKZku-?+#@g({N60GvfpUYcroOn#i4fv?#vs*vz`LdBZ zvTyOt*+>Pd z1NMkj+WuWuqEfd^YGI}@y5I6T641%8D~chC86Q`#U5dYM?~MWUoGtOu(HBEd5pqmi zUH=Y@9T>xp(dI{E97*&=+5(0U%(*jemr+QziJm>h=d0#B=;z=d<$QY&6`zR!mE~SD zCZmXp%|crd_bTp=`Rb=av7uZev8k!xt1jrOXsN2c?pD3z;-1-|ooTk;cZ1TM z^t~N(NMSbanK$WfDHI-lKoZ^GovX*%YUjTX-zdp3Fs%bM=*iQJ-oS416i>)g4$J+W zy6`p>jj3L2ly6%wuwZ6uaK~;;I*0^`vWC_ZZEwbGPY%_d1K7YUi#;j477(;(rZ~`! z4E0ab(5Iq^nFsoN^7L)fKQK%?c{?_=p4kKxJqSC%Q|knQ z?($yNYi!2Jr+K|1t^kYqKUZaHE2Tx}q;~}XPweb~fd@^Lhc}_wh{I%RW>)z0>mG;$ zKnoNqG}@jFioX;+;#(9KF@<*fiL{lzXRp|?0Zrd89hJj@w@W7?ZFlD_Z0WDYKCY1| z6Y*AM^0w9vS|>QAh<7qOF}yXr_}2~cSkkp?6y96Q2ma;Pt9C0+Q)z^!KaJzt44+#2 z%wY=)Xff6I7>ybf^Q{2!5pH~q^jn92*&ly%v1sU zz%CPsG!D#bWF3d-4TRf_yx)vPJ>Iu5N=}k|Tt*QalW!FLvs$6qtkP z3uZ4WcQ@CbuTiBbuwsKJpLfdj>#|u`L3=46hh`BgpcML(XPkL=Y&`rRZu9;nFT5dT zir%1YC!@OiJEhBE>)TZ&>w#} zbpQHj@Safb(Spu7+ORV(k7j8P?Y*F&mEhoDU~sA&UpgQT)?)>1;mQWsK0&b4Ee|d; zQxb{XFOU29--5cBnK9MDL(hGumR2B)4O2Ra`>ky2^izF4FpjEQ!WH zA5F#`L%hLo39b0mH)kMceR?eUra}KLwRZXCcSaEB&KD`n8l~Y6!^D>NP`sL%71JQ4 zwS*SjKaJP4iZc7{?F0rUyB6*Dd+yqgiss)ibk7&H_>(QqYr~GS{7R*C5$DdLO#-vR zXaaz9Te4H`IQ}XC=()UW9-+=LE$#_mt+B!8+6w_2gfyP`-CCpHDKKZGH~kj$D|I*R zrf1-4L6KFFMmPX|hFrnH^XcW_)>7g{vr(5GIiIx zi~sgHb0!O%3SC*p1Be{Y5kAlP`#<@>vgs#Cxz(1<|E)4^w-k`)cwUJJ2}O8e=u(52 zzkIYrzMh2Yh^Scd+29e$LXl7~1${R#blM?W3wqR=`#jI+*oiShTx@J~1#|cQdK^#y z1v5(>`lvV#_h4WISrTNZoW@Hu}@?#xoEy{Fs%OIVZ{;7NKx}D3%@+B)3pdE+R z!GrVrWZg4ldd_-#KQ`pIdWAt)gZ!G$ckb$uIgO8*2q{|q7eS|(P*JPFrtK>j%Z}6d z(4#jE9+dRqg*uuTaX+Fd|F{p>ve1wh3OL?8$8mcFv^H!oAwAIEsEU=5VCR+PB`_~p zDqm(>iK&6@!RYWr8gv)*ilDIN`e00m#!X*z?k#@1QZNd8u-73j(%6G35(1nYT;9ao ziDxm$IxB0B=3|UBUpPMw!$DMpT@gh$p4LL6K6B zlk@&sej1-YX?-}~Q)HNzDEBZ%uoM3C^iY!`2Fzk-yO}AfvhFK6R<~CvsQ)HxHK3xc z=KaI3VRT;X#s__Q{VMdY;9z4yfygSU>av$ax?{KXA1HtgwToCE9WdFhJ&4K$BIYdY zRGCW>J2b$v5~uVUe3!2gArz38gj_kDcTZt;zCc?tP!L^aP zwpC-kVPw8<7;MMP$0!%~@Q`7R09G9=9W_F~g8(+tIWrLgell@)9%ZI(aOLXiG zN-B%0XuE|W`M@^H8IY-Y9hPeB346}^_{jc9*jRscF<12OM3hS zzoFsA2G!h#Y=z#=95OfeB`^*d%mT2`&f#iUhV2iXuXd?f#~aZ?^ZKuKb#^wlPS_{8 zAG%SJLs4;YwLYJ@n34c_(!;>vV36P{x7xhCkXQgoom%L~LF;b|A9l92vig~HeHZPK zY5gH#Tc?6A4PBRSNP7RnsdY13u9Y~l+@Ip-pp(0J<@n3k1|=osXvw%RH5;0gG<^9L> z9}mk{tQhi_7@6*8y)*iQd^ll~Gon79fWQanOMxIxAl#lk>lZNRfgn|tyIV21l$6{u z)$HvD?XW|Yo|>RgW2&z$3lIb&&H_{wfFc{8JaK;kZO99vRc{bvw>>A#tG7ACw0y0B zLSOKAoI1F$7p7KoBs{>Z0TKco7htaY-mZZpAehZY*^qkQiupwjx+Fbc8GaGtS{}Pr z2C*Ag@bGaORX=Utc$LkdPZoS=N%a!~O>Pe|#4I!nsrMf`8o4)rJB^E&k#7Z?Lfj1~ zM4%JFCf5$g&oS2I6-$C+b}(Sbnt$fx0NYF%bLRX`xAktBC8b(w_oQv`)b3|7SBrL3 z*-r<~`TUmwp}w6$eVKb7^oHQW$N{+VED^b75Lg4}JNo&>QDiGj2nD~+7sFu?Q)dW^ z9ZevVS%?V}ZYcQy&(v;%l+%-)*x`UQFCg5tQ{a)nQwcJE`I7IU5z9dSY96a;ap4W+ z*m|4|eI}=H4Wt?*X2>bfA6q)+)}j^&jvjasIdxUQ8SSml#4xKZKi~4GgYCtsfUb7r z^2a6X7!!3d_W^gN)~z!ywff=>k4|FA-JQ1P=5#WAQwI9_JX1PZGs1&V20H)H_Wo`e znLoGF4^_B1mOPg{g5Ze#w4t0ll{QN&t4+46Wx8$EvMyg{q#Sc!5R1+hQtuSEaZW6QSd2Uese(w1gbH&OuPyu6heC>2kH% zJQeC7+PwvoC&Mt^P~`R)lNzTN&IXR_=o(hd9mu{TW};zA#ccR0st9;ML_NUJZ1?L< z)Bf2&_Y;keRkwFuV0w#IDr5{>Jc9!R`|sJgcQk{qBli=~jLI(f*)=>=x8Y5J^y0rD zhZnD2z4iNEc#C)&MFpq$$IbhMqPoO7h@14>;(B;pPO*191aOX7UBE;~E~CeDDfKG^ zHBT?ESBhOaTmOTx9KJ+TiGz~dQOl@m24O~uwT%tCS9nnFjbNLSG33Sk5dozL=e7)! z09KdDE$1Z-*QN$;NsMmgAD5Q2TE0zo&x~Ep<3HXG+0z5nYPRYSy_lmsoZONr?7QBN z&k!QctN$d<&8NnHd#gZb6>`t~K^LsZGRS(ul16^?Nst8|O6LR9%(YC@7xy~#acj_+ zeF?VI@cw+JB>2yL5$O3?U+5Q=T?A{{l)j*-|klr*RN`z}3vm7(0%^ zza#9L{U?F2wPEZRax-R(Ad!@EQO<;!&SjBP$HnzP?|UvWW>xntT^nB~&o zJ^Q-;_saWRc0P>0$X$G9tlN10eXwF8qoc4aSBHFv(VjggI-GvB6ih)|Pi*{VNOxe5rdwaK4W5pzyg7{@#Nkqg61-kp zR*Gg)pZ3|<+}5@zdxg9X0Rkx>!V7#%{t&gXO?9SoMYvpCS^}IQyIrCR*Nr!>61mA{ zt-GDrjZA2u1=rDU8mqeS=L|VDDUU(Hn&Xw3g(7EF`xFw9lE=dQ{8jm$g{bwY`gFQk zHlB!8Go#l|cii5o<-3kkwvmD+Qn`q{P@z<$_+UT()|L!4cU{?&)|Z_D+V=`(a&A&G z5UIGLDE7Gt_&cvK-3U)=?|Q?PVjyQ-wP0qoSs7`oOcLU^`+SPaIk{1YajpG(2dwWX zho90cVOBFc57So2_BtHu75RPC-rh`0ij0`mk4gL`G5d5&R zT31c)B#(ymslW5x(6nKV&ttx{ocCRbo|6|)p0Qlikj=}_XL{Wnj2M1&Mc%lVv*36{ z{)g2ZLxk8CcMi7z^u>i|h|X&zK^+I`I3EalEhax6VwL6P$9`PldPqidO&j$NoM%dA zSff;p19dOvUc;96N9V+`t}(X&-3%A6s*tM?#%qCHk_y7}@ny-ap5noqT>RGtiR@ln zUPB;kC_LXDJ`4fBFDGji#6dmN9J%{t0j0BE=%UGK!vU1+!4yjjA2$Nfw$(eg!eI>` zhuG-o+}%#Ip)_i2wHEYXjsBI#LC2Xa_ z*fnpRQD#+^ju83Tb?0C?w2~W0$R7Uw{w#Aon|nM3c%SJ~Uxe*9qN*kPc2NG)oV{7A z&312%vQyZy{bk!TQNr(Fw2;j8bb3oB(P{zs9g|eUBxVT!t0HW)lR;E8)YqTf2IA_+ zEvc7N(AMc}D zrI57ZIWG5_>T2a3H~W>ST(Ql0lf+&nAF&w|Q2^22nr|jGjN6_}Mz&9QM+F+HcO(cHdqBPq@dk!CeF zH|-+vHi7MjT}&nNXp`~wrKO3Wpl^38y%TAG3Px#|(78Y<9rSPp3U>eW$JF-SVBWr| z%N^JQ`u2m6{3Fq6-|JAsUR0skhk>%=&sP>-tVu4w zN1)MSxPaN}x$^DmJzu>}FIeVo@s(M~DeO)STpXX}JA8PNJ6x-W-SPsAEpnz-I--3V zPDL?W`JO#ntUx)|g5I(zEQ*70TsUo!A!e~hTK(%l_B^7FEpNJPuak<_(1RE$;J1;iAl zZI*Xy$66RJ?bltH$hSB$@=H9s)`hL+t^ui4DijgDmbrOR~oIGEpjuOo&0dFJGQB0AgG&ttwCb3YHO+vI4N$D993aOm>V5c{2JZpzk5bcNA}2>mb1TZf zdd6LByC&v>#;={Twl*Akq^g|$EKQ$kyp!y-_C$B5+$pX#%@W7AISgn=Rh6(s$s88Y z7cjRrXB_3T(`FWAx=6n@!jwtC{I_Y!Q96m4}9&!`WrY`GUc^LyLkdi$i9vk4p!6aHXife&fVXzo5>!<&poO3 zGpUZ-CoIj)OYky5&bppmaQE)&f~Jpp^N!qK@+G%!K1(E2-oFpSIaRrvJG?LDnCD_# zR^G$;Cw`?qe3R`np<-v%4P|8N_)!*_*h3*&F9m<-XpGg=T;?>nxH?bml*Z6nze-^V zS}Avb8`vpq(#7yb7&vmojYQo{655q<{30ookpSl=H}qgz0wmK&tmo#=#lqBbABj< zlfg^)^75{2Y2&+hH58%YWL8KL4NDO|6RvrPtN#SE%b^n}H@4{9=yRWDQp$DG5=Kyj zX2JTwG#Wc-_Y^Z+^{lLXp!GXo86l$)|A@y=Lr&x(gz}yhIti6Nt4dNhH3oaC?9Ev1uji<(b5}T+YhrQ!Cxp$UN7lIz^EPMjSxW#z1L&wbd&7op zV6f<^)YYZqRF9CL9lDL3Hilj_JNcp5?Z1HtYl`g(y(MU~dHn~paowA+9-H9Pw6->m zbV>V4`%dxF(&Nq;V?9dJY=cfwh*tvTC#79u4L|>N04OP3ht2(SBF>&UbMNk511j4= zgLfCRp*m;2g2;0HSC}PM8e*4N#p_{c8bkMDyFLm2-z=r){QPo6q`aZk17bvHVbsn+ z%UX(_Z|Cly-+it{svp0Y@JxeB^Ag)qPepim%+1ZE7LSHDoS@zbjVQEho1*j1#|C%i zx!;XPQqLvSP^iujwHS>7Gbko|M1MEI@R{L!WbDJLs&#?u1>={mSsCO}u4jVYunYqu z?PsFEDt;`1qMQ3<^WuKy%}glsD_NMajuvWD7CH?jb6a+kic(1_3PyaA4~eRR{= z_5MtM6#TKfDW;wnG{?1{;KjdpMiYGHnezOD9VL3+%jDeV-o#{$_z%#C0r?9`q~N+q zFL&_3XA}sS52^wl>8ZZU%`IR@cI;Y0oB})b{KI1LuSW?_DOkhzQ`X=@8iDML3UE$8 zspUYZcH_X^vDEE*ycBYRNsu>=cUJMl6-=G-BpM=r$Z22&03->Zy1l8UPs8k25NNQ$ zyW^F6u@TfIAVL66X7f~=!S%FVh z+1bM#3UK-O_EhV+6xOoe6P&3#nbKK3J_#u|9T=Hk2LWH24ax&fM`+MYiLPdyeZe2d z5GokR)ZYb(R~~<3$&rI3?^6|VjrXD+gc@wllw^KKoW7*Rz@!4w=?{+?heNbWyBilp z;4GLzm_m1jrLwq_#N~zRj4)i75jp@VLr0JdsOOB2&g_#q_56sAofKH40~=AqgW^U^0h&$Yf9UB^ zQLzsiM45o`EShXD!2WwdX|KJp@mIvfC9NAVprK$G*_Wu>)p7hw_-x(_?58$-KDZN) z8>F>l`2+ZP!0e8f=DLRc++sosqshe~_$kQc84+AJ9M8!LD|(#pXZyBz9d|0v`ngx& z0)xK%fhZWNrU8MRaE6}%v$W5T9Kt&q*g-BS!|(~B7%yJzO;qI<7w3%px`SXCK{jw8 zf}FFo!%BR`qgJnkkKVuU9Ap$}n%-p#*u4NW)YWDAyD0N6Z`{e4+Z*fu#3k_)2#~ut z;pD_XK7Hm)Jw`80e~jn_goS~cr<|KWdvt#5Gij!w;o%^xZIQ%e#IMD9+2#4Y>+OC2 z;rhO?*q%pAPj#?W-LMrql+o+DTClQ<#plrTNv0C7-##OA?jZn3wLEYD^aCd-C5o>+ zm!eRfRDgpN56;3;Y9#$G*mWPo27^;@ENJC+exG8r?vv#%fcXNbJOJHCo&SHQ}X^p#G4}RQ;`Ahc01f5q z?3nDF0~HJSqx+-?cNi-5l~c%dep#Wqf+!9qmYyG7mO1v8rh5bs_DbQ}#0Yd0W%DgJ zFQ#ny`)DW;f|eFeA3S)qrp6yNYsl~cu>g&D{zn(!yJ>O@sQK6) zVAb2-Y63?dxkXTMtbhBmG2Ko8GWBF|!2? zu2hMT%j`$5{Mp;y^~#$q96Bi6+}ux%wG~!BDfcX5Gr!yK;nk>x`$l)F^!%jNd>hn@OK*^q^6 zbsd_9o*+w`~3DLw6vhxn*ILn>_}rcHp{@@hl)~}pgqpHcM`fd zafBLv{?MZqbl#ohgJ4>LQH;DW`uQ^owEjWkZ?ZH?-OksqLk+K{rP*!>qsakZb~=8% zAnilgK~yM37T4=RUI$Fye8a4j>qibsv%FouDjzMG+E+f_0oSg-E_$mQ6)_S=4b2E` zOL=)aky!HU{thOWE18*`yy0td)}5(6Qq+&>G-m$@*mEb{+&~Lxu^%IOdJbVZBqpW} zo2DOjJb~EfjL|Xbmot4(CHwYm1twB+bl+TVtTGgnl=Omp>e|PBebtbhL_9|0pri-A zkz^*f^?U1gy#66ec-pMH|7o0PXkbH-K%)tLjIjyRK=8VhFBfu@W=&YwM0K9cPEO82 z;=i@UAC{Yce|#MI^zEllz=U@cKEV;59=#>G$SQi1y#avYyie3zm#mZMO>?0aJ^6f&pFl-&}oe64p+rc zyuA2yU)X%HBCPz(UfEft!0l+XBBao;&-~aTIEl{Gx`@BBWthHmC0WEB`%O>ZZR6x6 z{NZ2)tMgUj<{a zNK!x!q?}~`6GvnW2@^bV8X(JUJ2P$zj0^j9m_$LL7`)>GP&7zsD8U;!k52#oy;)I_ zW?(xzn@S}~!yZ#lL#soYAo&FgvkmP%PAC^IGoLa1D9fA zMkCaGA55X<1dCjL?b^oAu$6&!(8qPh{{O#Enr?0ktIOaZ6cjKMF2%;;^gY=PYAyA>lf5dz^=nzAg6GB>mK>Szq{6A4f>I(dLdJblgDG5A?LiCk;-in+8IIMU%*WZQ4T!^8 z>P<>V6`S&QVQt+L1mCmFWhSjH(ezAxRdJA}381OoM8#>u=P~=h&)l{?pqfH4g$f#m zFR=2AgS_*xfewMFA`HkLJ=%4c1xJRVc^ac5i4ggV;MAb9s8aaM<^!ktlPA9cMtJs7 zor-s*T{v0B|{gN!T{4GQs#l2IP%JGCm)A4WtYtw^DTFb{^z?^O#S|SMq@&a z)6V&NRAJ+4sj)j3^nI+39)0y9b4kvLt5=xARMv)tgUDXw;kRKIDnfdBrc@~vm1(e! ziy(8y=OcjI8tPObY!i;mBzu~qJ6Luhse~Fm4^pl zCs&%qYdx1^VosnoyW^BBUw-oyXA)%0nCqQ(G%kMIG@$mUcX^9+Z@mm5{D}$VeE#{& zw4|g66IMAi4`0A#Nq)ggh-drUJUrA@RCI^HbPI%>1)ihZXSBZ#w}2mk*Vp;>EzFvG zE4?H)i>7Z1=&d5-m=;{VYz1LK{cGn`7N%iWyq1Ah%%l*WOqHpBgybVAsNQ^7BWW6# zj%vbIAMza>eg^*Hv@4)99yyi@|9CSg9wyRaI3la8k7Kl2WgyqvJ*2 zyG+PDOoHxv_HZP@ZdPjg()ZRNNFpV>Mw9Gz|0kt{k?cgurtE_mY5Vgumqh8MYpM67 z37^?P@B(u)%vtw2$XV#^Smh&TQm$M9xQQjwl|s6z?LU}F5RC|a8W9#&1#P;uPAT!( z5E4t-eB?aN2No~TmSH>kYJ3$3?avB9? zruXnBpdd#;27I@QSH>MwBWo!)bnRA{)FuXvhrAl6*UC^@4?^ETZOl-9H!k^O*A90Y z=9mpXOC=Iz-&tnKmyt!Gwfa|uRz`M2*w(?jhiVGVbD>vYmkBRkTCh6`RH5m(TAHHx zJRIPgSC39i6hoNW!lHrV{N!jaktEtiDMt7~6bTzZm~u+R+zyLw08esjb@CzWiQNQn zQ{e3Q2=gU3TW#&fz-A$TrQcHNonxk*3qAK$HLrO&OQlcu5_e{oPoX9Mz<;sN-bmmq zM7k7(_aS@mjg{F+dx81zMQUbdW8yzTWddcDp2m=sloG0P(DYyWPtT?X9w=oWDa3H@$C8-^O*2S?A^GpC0ps=+9lCD;M2PmW%rA}2We6HR?tpEwqCv_U_ zTEb6kbX1|@^0KiJB=tRe_7wuJgPI}}Ja@!ME-n$4^genoHd-u(zmt6c@K9JtNcWFp zGbE$X^K5%6BraxFRkC=QGAsO_M4^)7A%52b2e(2FStw@|fsuG-JT2Q6Q|q;+>1ya% zqb|-RVAbllbZ37I_c^dB+{Pf;@$vijOZz=+lMS@FyiFZ~GApt5vpc zU77!!jbi+DS65Y~`m>fl|AtEV`M#2<&nOYvUvy?dTl=^P1>fnY1Q!P2*{PgJ8_{RE_-N~WTsqU_~pngHM4 z7EcUR^Sh3tJ!@t3*9ZhJ9*lFSqvB*3qu#H70Byk++b|N^wJV!cJN);};3XJZg|K|Y zwcs1xrrU}d7Uv;xM6$O%%ba`|zMnYU`Ptr7C>yK@(G^qEHS}9OT&12MSwmFgHpmP( z>tJVJt8oSIoutK-bPz?mPLa?Fpi40ehP-mX)1Cts3;%1lEBU8E$bIRe{!h zOUjYp`a0wBWl$*r?yC9!CV9#aL#PO`V#I605-fahJ3)#(Qsq4YzO}!yr}&l6NNN4d zY`d-@0&n?GPbbo;#ABK+`#0M(d(LHS$K73)G9$#}4WfNZ>Hk8XMR_qm+HLJYPQoxkoHq`UmI_!SGo zfZF)t4cKS2w|int4n32PpmCeWX#E*42SwtXslXO|qIu3eCJ_GuzTjzTy`yMf#|=0s z^O3NswS?w=PEkRDwGsni!4siSIdH3nmfO9xfeSci2kstXzW&1^ZyjIJLQbDi#e)ZL zUcNLva6mY_rkosRxOVCnR1{2%jc>vuUDol-CbKorj-8~*Bg!;t#JA8^L6uy8ixck3 zX~d)n`WTSH1~6uwg?NeG^;i49X@4t+bQ)Yi)$Wke5t|sQba07Lg31W&a{dVGJGW(X zH*TmUyfdtU{>S3tyiZe{kxjJeOwx6uBTK1-jnqhyE+e7rGg}k3b9) z%AoxG{NiGs=X@UnvXcJrB~(@^@MT-um<4Nsrn{j|bA8brI7?idg9gyEH_Y~sl<@ znwY@TB3|7CjmP8=&P97Rw83(R(WrMQVfh51;2gNRsO!gg)PQE5L)eQHgtc)4{>sqM zn)4BbDSdMoIY1MIR|=aOaSAyS`sJXTz7e92lB|z>!=n+;-5XCgPOkL+6H9S>nkXNw zn#nWGaA89ghyT**R6z}lqM0@|*nE2DAXrA$3cbZRdI9`gOfcpYy3^~-qu)u&ug6{8 zwhc6H0f~TnGL0Tt*RHLOrFpO&k^AVsxlw8w5_!-{w01KRkWbP4wwoT?I3KgNNu81l z>u34pY;A4*;qc_uYxc?OoXej7P&o7I*)yF@{jY2PnOVFwh6V;`tLA8laOUaM4jUS> zZelpZ+NzYE|Ki09=2b$EALf1pLj^b`#*QYYrUo^k5wyI~TLtADWE2Qofh3KkpNf+u zQz(tsD=LB%gqZ_PssLTiEv$gx8RU&gBc8a%WhV&~Ket?9hjYt9IO ztS&!apVyVb)5_AnL*q7q1`1S;NgY_aRx_-l(H=BZYcRT-B}S5Ijm=9`p&$r3Olw{f z9Ha2aysKGU_%!P)Y`@pqQuiM{OJg5Ro)A*g7}U8|Pol0hfoS*Qhc92!u3e)k#~{); z3Tseoot$LUGWz@?bH3_?`i(ZR1CE^IW>7MTq-=qsAi}rOca)g`X>_}52oe+}+?)<} zUnWrO)zsA!Ib<$UAcV;`&8-00>8+5>yxK2TZ~@Xlb%9r7zc7z4j24$rOm@AFZHKPei1q3N!Vh7-?%e(V1ree;7c6sD6(>I^#-3P4}@es@Lz>vFo|H(lXk<$W;R~YoWjzk(Zj1 zqI+ZN{#lKBEWKChBP=I@gSURmmWf578?Cnwqc+F6e*Ws!#Pf0kU-+XG;}!i+qw1}} zrHQS}rq9@1^VuQ^mqGktYL2?lI+xpx9&Xo70BT>EBhmzF))>?Aof0b{z6F(%93E@1Fa5 z(%#w=^$%(bl3+XF+ErfF=YOr=s)iBZcd(Ul@pgK@*M@NEAtC*ww6r-?5L^x7wV>8u zN4$~h7^bt6?M0r!M9!T%ajQ*rDzFe>7lVC`$)J006E0sa_a4y|E9}~Wp;64)hL#;$ z0-iliIU~LORlH1X>C$XeQ%l|`Lu}KFa98q~czx&DH9G&elrorw<@%tPciZGYzHtEh z`ny|KIHXnF!j?FKKFK&<_VRudbJZu5`BR>tXZxfL(cs4oAfVtjkzg&EQ1?RJ9P>WG z(4iLW9b8FIKM&5PwUyH5AH$Blh>;h*Z!%YTAfOwWv*oCb&6Sjti0|MWHeA&?otl_P zHf3vib}JcqAGCBJ-$g}5NQLNKa~q$vwLSdp54o1u@5l>9s?`*u5F4vG!J~;xo1a2@ z?|lA8XR69SQ*N=_`G5--;Nif0@$1ALW0Qb@TEHNC{3o*Xc~u(u2`iPsn|GXdriMlX zEl<1=<=~Q%cbJIQr$wk9cpv09_RG>yoUZ#8YkBg33o?gkGBgpY*WsUt>(X9d3 zU)Ui$v3X}Hd0ihsiT6hU4$71tO`>qG&C`*{mNFn9+?K)6^TnVNfHws+{@L1_*B=H2xp3QVwI*SCPKxdDM= zKGFfh#$&_qZiDPDAl&z=fd;gMH?s;}uxr>H5~Wi>S1?eB;> zGi+JCb0`Z&T=2EAC58vo>}nlMdLUUg)xcCvV+lVwl-mR?V4#+b;k#8Up?ix&+^XuF zp}9}{5hp#WAq=RiMXC}{Lkq*O6D)W2GhcZ)FPi|y>kDPMt~I{+=mD}Z$fgY=)tgGl z)>$AakoX_-g;QiBF&vAc4~%C}tc?%V|7S==^(s0-T7(+K%9jHj%eq|-G#Gygg0F~+ zh~hq2O>d>Cd_HSoWqr{CkPvctU%!9X1Z#d^z|L<=`Zn?Vxw#`qy0Dj8b;Y0+5$aXC z`ZxS1O5Vu{C|hpi=Y!5#%4;g4!Id+MV~B@N`=PcrzH@>FCWLGy#}E+vEN5nC4I>5E z8(P%W)Br1hpq`nT2?`Ok92A%6lm4d{F+}E?Ngvg%j2~zF2JM9@;xi`P8&} z%>t|)K?d1y4tVB+`}d85g8z9_fv3f3I{>hPO>kj5ql1o;nhQ0qxPIXTCZgV_a0=+l z$=C<_@&*z7&AlU-NcBpWko~GaH23jQjjsckcqn!^&xH!w*fY7Y4y%NCG^1EZy+%=; zynpkiqOvmYAIuKY{@gbq9N52qw^_pIqm?DE{jp$A;8Z@n|2SNmZ*Ws_hy4HfVB@uc zOJece9m6?!$0qt#i>ffGgj#wWKaQ}5&dY=flX~ctJb0kNrn+#DCQt6=^|WWJx_TY< zUnc#$qAH;MgG_kjh{S{I4?`cDRFGK$hR~j%d>wG&IMP3d-b}wu5L~kCL`gwGKLlbt7zuV-Mg@Td~YQ|Ayt8BK|b3eGEM4(XHtO)(2{?@hh0UhM;d@Q3C!jNWUVT<=-z63juwO7vPAO_*l; zX^wb`(N0ZGSYk`_^5&W(?awXO9_Wcgnl z$I08=(h?6}LQoK%N8Jh3yhCw*f0e*p;v?aiGt?0Ix|rO4vq> zCSihg#@E+5=@n%0xh&PTZgq`@<9DgWW*FF!+#LeKt)aSJ+8k8?SCIdGyd@WFDi&Vl zR8DbS96hy4=JB)6d8gQ)PJd!6ODG#T_b}Jvk#X|1dw88Z=`YQ6n~s++JDVLA$JMQ6 zt9ldf9^6>V%p0TMm?A#!i4hKEYCj1a@E1fDVesjQzA5(ot!KZSoJyH_ZMV>zZGZTvt=UNS{7)yH#0SLl*a zN>yvk4xTV!`%|Spzr$n$gh`n@0(c3j*FW&YT#VFM{$Tt>O!jqmMNM6=aAj^CwS6(9 z@dtyP{IG_~eYf)q6|?hyH2;Gp!4XF3y#aQ!@g8<;Xu_liJ`o5Vpe3}A^CqEye~BT% zkNuqI;0X;R_1zNk5XRfZ%y{4M%R6=qTvwD^hYlU$nZv4#5ySSj-v)fGdRWAmlnF(u zCygXwOBeGc0rvJ|r5~}i8dZJ&J|xf|R8&~^{-6nz!QCQ1Ds+#jY4d@pABfDVYRLno zve~2`#7uhq&6cJOnTb9>1}kyO-#a9F$aQvfJbvQ{dv*i4-nr4{RpQpLOn5$zB;+;t z5Q(+<_XS}R7L^!M*^lq;Z6Uu$k2&gphG`h%9m8MKb5oaHL#BR`R{hB8?_asl)$zEE z-P1Tg``NkvffqeSzb;7*o0;l~C@Yt{o1Hvy(&DGLAlr`@@I|6zc=$|D*9@#W-MDML znwvU}PV8=52j14kYcGp9 zFJTu&GeaUiRtY@*o3e*QiXlpj9P`#vk1V{=)*J5;Lu#2#b0sDHA3uG93`$Q^lQWhL zFhhxwQ&qK^ni2?*C;n!o%=jaFZKog!Kn<(Fz!87TqJV%0gtP=Us^vsk4c)PvZ}- zki1AI5)-e4E1hFzW^r7~~m`jvKassm9(#4YXMrHo6aokou_$tYfGFdqJRoKgq z^Ka2sN16fpf{lgWSljJIqR*YIJt5;T3#bOfW%?5mqN3ZNu7KVG^(&eT513rJUGRPc zm08_q*ub0={K0X_;DwRINNyERV7q@JD@LHKtgKDJ`Y0tp&FSNJf1uHJ%jPx@yZ#Y~ z&+OSqqIS%IRf(UI{THqtepN57uNbzOY~0+25AEh+Vq!3kljid*;dss>ZmFloXttR| zMahZ^z#vV+J{d)8$aOqRW$aO7+fKukV zZ5m@Q&cue^&hQ6>M6wI%Yk4UV;!4H*{avPAl4pUh@U}B=Rc%uMbpD`sN~th9Rx2AkGn-xURCy7vNqTm!OZdHGW}DMc(rapa#EMa19n~If4`BP zhz~TW5Ud2u4y2jxd69A#bO^pFOWrgJv@&^3UH@g)@4zcl2=$m~Xvk>5>&OqwY8kprpE( z#uImWkp|_1#@$c=se?lC$g|RDdL79=zeD{;R++rhXxO!f%kTV?mMLjX)suHi`yNPa zuC#jH&!pj5dHV1fv(16G?%nLPzx7kYL~@2FDpxL^0@4`Rnu7cb0x2`Z7FKQneu z^GC7$($P!r`rGSwU?9Ax@VwXe`nX2?!s?|Cu=Yo5a~EA*T*O2~_QHPQ#pTr@=0F0& zQ1=S%bH&f^v^!MpvU=wjX!WC!LfYn6l9MrW0UHzzkOm418=+FN$XCQ^3|j`ex~8W; z(hwWKOnz0s5fxr-6qzAdsBhg`zDemsw@52v`djRE+=4-4O-f4(FZ)i>wU^46=yz+C z?l`ntT&yfI6csq1-2Y?mJEN*h*Chc(P!JPAvWg0#2$Cg;WFtWYB?yuv3rGeP6%_?G zDvAgqQKICWQIQQINpenG1(YaJ;?(Xwea^YF*8HC}Yx>?FeY$Df`}^MTJXQ5nZPh~%c0G>@0hqa1fglc!P;;ZlQ!%qC@VX`;QfRGXbViBH(9(=o(t@dEV?Sm zi@{+Jb+SP))}&g+#Z4Soo=H}2b>e!NERp%wyFb`+xapXhYF%_r`A{_X_;Y?JVfZ`C zKtk5?X&lzJ6=<-USA&I4pt3(BXq2L@!RPQRFgfqG@KqSaw@+B7_X8Njge9y z3dvoIOX4Zii%+~hR8%MuEjKH;qDOd)%&Ul_b}QJJ8Pl4vD)L&Lbgz0T<;eoRy+tT_ zRs1&`P4L`SMg;>A`|p( zZG`I|``6bN@Ec;DT(!1dnrk-_gui?wWFc^x*!WDv7(jX6uJ_D*lOvDGvA2UFYbvU$ z`j;tS9UAeXT z~F%|uF!8D-!8Mi z{CPy-ho+?#t938sO1mp_lcx}obmvnQ5*?W^N1 z&T-_hIz4KiIlcMttPbz~gW0}6vyk310~P1$pn%W}I>)1mCejk|fqRzN$jG@F$uA+B z5WC~PA}rqWfhRRqgG%w|PWrvxDb3=LoJCw$f1c*a9;%_vvU44xzmS&G=i2>qjwMmLRgLkd|vO?EuVMlbCR?$<#^M) zB*WEke0j{Jb2+87&0;)zUN1v~o16O+Y`587@xFLnMVz+#*orIcvuOFF{x4tXvbI!R z8~QW*s?~=E{b>*T*Aqa%?U15{hW8P9G)1Yrv%_6SrwwYG?ZY7Yz>mWH??rGh*qP}i zbe7foZEr&A3^sN3J*9`uc!8t4K{MGOUaF-`s$^pM-%@?CD?6Vb=Jw0!+e_nOay#t`!5gs=Blw@*aM zKJk^^%&YFIR8=x7wD(Uoj+G~QMy51ZymzkUyrH4}fTXYWA0nvSR+y}?QGae>Weo*h z*i^=^EULktK>MJpU}P}UF^3lYNrq~o;L%oeifGkEx;}4Xl~5y|s_+`jgb?i$5pW1t z(Y=wls0cVOzaCF>h(|y3S&~@xu{PW zmW;tmOP;pA1hQSToXMRL`1oAMkVgRJzElVa6d!vK3H{JR4?ns}Iz{K9B2ybQ!+&DpB5K+o`2Pm80T3Q#}UFY=z4x#839FhAz44D?!+bRCjnB6a83U=`zIdlKa3U0S_8F`BeQIv$T*n!z#wT4^Df_iUj?JD9ux7@-S zIToHTF#a^`<@Mo#ix9kPezujK=8`cJ^_}cuqKK@doI_|5$v#p18`KhIj4)cO0 zJoNT>NjgL@V!1mi{fLBn=pMSwAIMN}VZ?^ojhcJaW=-kh#>>Gt8QlUwRoGaK_lMs{t{oi(cPgFO@29@=S0C*2 zzVYEVvxIu0+;OL%`~wSA@~e-zq-<|un%K<4{5 zmjCxbAW!}1ppRFhr0#m)AI5%aUFWRMfsXo8xqARHh6IN0+mc+e2|QL?LW@|6=yHFQ zpRXGWNGu@9$Fv&k@p>zGCwxF`-a^EUW}nr3!S-!=BF~=R`?Nnc5>|Ik4 z_RptH>o=c%hc-{k$2Ouay3n^qRim>Kb^bI#Yk3cOZNC=n>(|eL)0O<*GehMpV~t z2)th!9E`0RKDyYuwM_Np)mUe~zt`ZJ3l-`7*|RoQLIL-uwL9H+4eN^kP~HCftZz_I z6ZndEqmwN|6uHx`fI*Hx{$^|(osuF0x)n(4Zy{-kec6ut9e<2@B&^bK-#?L+*Xy)c z?P&YwJMsKk_Ts5W)s4Q!2g0XkI*bdyS{E!(wkx*f70aa-%&x7jK5Z0>f9-Q`GMDkV zwfGFD&hSmaKDy0U-uA~3a5eWAuqGB)M}>%XJL`^b`A2QZDGx}R_y{|(WafWRt` zFuQ7dhuLa;c)#5FKKHqz^=GEM&qi{MsLn~)5xn0_^{?O7%V!iXYwx6HpI+)ME>T|k z5;e5HfK;}D%68@SqE@1`1;ZZ|N*?VnNtdWKb(K%#p^3Q=*6%m?D|*~Kh&B?8w{D+! z)Fl7!k7(sXh}8w0URz&}I90+9eYoHJKy5^40LdiSQ&rVr$klKgy?K)^es6LgYFbdy zSs|h^;(g+K;xP0GDH)rTb0V;ZP>$RKO4mro?Y)hxvdZ3mYbMRG2pUP>7~5#Uab+C$ zWVc(_E4%K{ps>JjX(?{^-SfMb;mar>ep!Cre&;n3rG!1VgX||(uJw@7Yfdl4BaEi1 zOnkn7dmOPa`K2wTKV0?F*!a~%g4TTnToKbbZN%sy5MiL$$fXD-lao8{)oz2OpqADy zLklab$0AYqD<-C?^N%$T@E0I~ZOzTa0K(QgHax!D<<5SRd+PxMU0n~5*dw`{?dtLA z8?SV@!-Gry1NXZ&+dU{Vcw{g$<+Z>mIesg!!~N7qg*vH5f%|&rHBJ3xZ&O<8Zuf85 z!|eIC6}j74=WY_8tY5PtX}7i>)=9m!vY+a+?CqUpF2x5pE84#P=b@((kg}Ts8v|$~ zp#KU-1()WYh3o~yZbKXw|MqQEAyl1o^z`7?$TpZ37OCTwHa4-|dqDwy|9&9JtvZR2 z?+5w-mlV`VR=AX)onkI|dd#QVmV@}qZS*Jq^SNu?u6mslCvJ>2dsy7??L~_^k9PR{FM%tW71kkt7~Z}8rtYLD%ivwq*`wf=`9YXxmlw@21TbsWHr6L> z^LxzkFNl2~nusS39S`=7Tw2<+t--WI)vNwy^Z6gu^jVDal0EL@o6M{#uHmgeXoxyx z#ryYOR(s~IXHK$YHO|0vGbmSg$cnp`^fKep(gIVOl^C|N-T6|IEz)`vxN2j<9Z%$s z@r&iMEUX@z4-jrW;p+Uq^dW0F)K0Jqrs9G5)e>} z>Oe|bkdrFcQ5GLGj2a? zJGZS%8~$pL`&D|Y-f41%TjELJWs}EEdjDD0HAEDBWMY2z?&@>vmmzpW-K_M-1R2B< z5)w$4vbC^ytB9vK2aX-deYbAjY;+yIaKa}-Sa^1-}Q{he+2ibL&c@e~kE)awW;_@>(&+4QWsrsg)>3hirF-w;UT%IN^_<%m0Z3)WKQmH%MtG|pAk9CC~ z^HU;kZq$E_j}zX^kUh6GV9SB!eYg{0Z;5Z;wxGa65>M?_nzwXWyaECQf5$D-8u^Id zP(+p>%jDa1u9v(7%KmtaO@6WWrSK-ZGky_ndTOs@}p`zC5u{S+`FOE!<3Tt5(AUESlyj%mKX4mi2d<+l_M&j#_p zFGBnF-81J0!wpvf^7K%S!BoWlXz1f#9?NIl{|t#iUH7|QmI9sGA$N~gn7oyG`v8%H zb0g;ppbIlQ&i)c#?9om<>uCJVDVZ@lj!TqEmYB|$GKfk=et0Bu>D9hC(0up>QQ)3x zh!!?(ysM1#0iP0((OfWg(M?i4xqagPc2{`NVvDNE-1CXr{Jvg>=D>@#3H5uBiS8}b zd7!Wc_N1@t8-#uEfKt}Ftwf(Yt)r=}?E)VZgA;sAz$vaBe-)00@#5dl+Sr~1u?G0q z7tfvnn|}2^Y_H-&c*-6+d^mfXFy{W=?t>G4s|7bq+mq1x!LiJQdm|qo-|Wv;8^l_T z`9w*41*bAuzRo4KVOawQs|w$JbMB44Po6gdf6+1DmcYh)^Dl!=IjnJan?Hc|@QC0i zg-l*dmZ00=caCAg^U?hGqVz2#z|ZUbERaadoL=aB78GP+XGgZ&1Pp_$-Ivj@|3ZMG zbB7DywJ&=xyuliD&BWy1z!9JQyu7B~9K|S3m+wP`h27)8h0(wd!nE}jfFclS3j((G z1RPB=;DrQ5JoUzn8QWYiJ_2$#RX1Bj^t5U0XS$pkNDgHFG$|AfMDMxB^gJ`Lf0?v zvk6Yuk8UZT z!^%LDgPR+C8msPa-UZ4R()Jslv#0awiq&>({r63PV~(ALr|cwqIus{mZsk!?gnc`v zMY9Utev7QzxeXmJ1R#bS(2g(7kNf+-14xX+-`LoA<*>_{SA^If^4uG#4`TY^LgaO3 zn|~jgSTy2CRc_uDH(V;S9e*gG*gXyJ!+29xV!h6fV zHnu2TR}y#@*Ssf2v@OIWg8vRqGt%O{`iPm_uH5D7>F08+Z2eoyd3pfmDJq9#Pq;5e7FNT2k4*V;5JGA zA&(gqVScA$5B@$rr8#NUK~^nPtB4-P96iXYTIQ1LtzFFH8&3d8bAk{HQ=ZQb)Np;< zGVHr5%WX|+Ayz(O$eNZYH~%Fl!hc9Wd1aZQpm$4&e>y!IeRCS#ph}6dK#t3D{Y+Vi zMvzfux%lO>tj9BTZLOnf0sMjWEUz+6Q-fvuBBj#iA5XyjmLl%EE>u`wA_%k?1ntX zx62)}`ZQ`?&AUsgXU_b5%{+o3fuora&@H{Su#o?ICBC6cu_p)hSTw*w@DFZy_|-!y zH>d~V2~_r8|A^S*KBEw$|CaMtI=KraeIdk+unlKrV}m!{<}6==Loy6YQ--eE+jFz9 z_&x-!+}hU0yz>;>i50|7gccThu(mfej&SZ~WtC5ZF)2)Lp>_?uM(5$==!o)%p@_cv z8$@@7`l1~5IKH0JytRb#zCvD3;Ut~^1veb+X0O(H%$VxFV}gl{yY9a`Z@HmxX*+42=j>;obYK?x{Hnn+^^xkZb{Uv6N zI}9yYlpfv7tn7)=Wq;znR14&s`yeosiz?)TXCn`*b zB{T#sBIM<9R}_HAL=owFqT_=feorAVMRecPDRlLioJ zfzpV^G$>ClVj(bKA{HI&0bM2D{9w`383odf}nvO za2Z&*=GCWm&aBm`LO&e#T*4(S$$^aYx3nJ24wty?+F*l=0jNbeh0mW@PkzS81n=m> zfr~KJWnp3qGj-mM2uI4`2Uk83i9_QsTfwvgforQFk5@0lk9yfP3cs?(+`W5&(f6}3Q@yn&@ckOm7;Rp5tcR54>I%*rYtYKNxe!22J z`+kSU5pMNHdY1_vZoX2Iqsy*->YQG&@mZ!}nu6tRT-SxWrx*G^P7-3P2+w1qqVC+Q zb5bTzeM-pCh>5M!IdVQcY`?JZPIGljJ-evpDuNGW8#X92*b^J|ihLD!9QgaL=_@>G z7H)p^|O*Wdv;QQ0juYLm3Ub5zL1^ z?c8dPiBv;`M@LuQ{{}(Z^W|>vIxTH&KV0`_NtHZ*zU$CVC|ER>paVJ>$P2Xwd|g;7 zH`RG&i!)5({Lp5AsIkd2HN^7h{oX`Kg1pRML#{H zZ*k<3Aa%+?Z^FC9m&nbO>u60fQNpC=egpeazgsj061JUrwbdKN`#KgET7OZVU|FfZ zQczIqFqfS;f*vk!yvKafp>J|$i|p^tgyjZ7($JI0-zt~CTq#ap-HA;4DsB&5-NM(t z!*TE0w-tG$bX)2eYHI4~Sr=HRzFQtVuaA5NqrMbE#a;osG1tozQZ8K<(Q8>D0y3kY zH~iVLU5a4hp*@l16 zi19yfU~hv)Km2$fEx;gbD)Qz=5pdoWmtvEW9(QTKci(10LiPWkfgDmMV_cG9P9(mT zbbCLrBBa0jP+rQFVjbwZBLW2A3fZF#%jooHaj4sN)~PUPDqyAFZMQW3%J^|&phCfp zqvX~y^EBjzuU1YNYHOQgn8Q|RIp2(AY0y{*c!fq-1P)l?yEty2KK&VD2}iXl<^+EW z`u(}nFSAtb3`#}{j%zn+Z!2L(wYk~iAc+VV&v5Y_f|mkx2h zvJ9%%GDN<_o@7!g^Zd54)P<3&<ZHWP_r%fW?~^(f5~2+RO<6B^38P(XM45rJePaqL(q;23(= zK7T@wID^GQ7xaI-R=pqy!hra*oM+E=EC}XV20r5x7nbsS3m7|4z?~DP|HUY4Ga^&8 zcwk#UbhmwdCnO|T3_;ZwnpFD1!kve!+G(rrHP}Tk>viBj!}I|Ml}Tb+no10S*bn+< z>@g#glSY_5+X!$A9t#A2mVK}6!&xQjH!PeAe(AnmHii}xKuK-?ult`=j7@_yWeiIK zNDL27@m~%(im6uKuQAs%^YXZm$@r7`qOjzBbeOKAO_g9dwm!>`RLgV>r;$3ca`s=n zs5HySVGdY?kZt(MHi=cj`ANnPh15}Gjhul<=1$Gajzu8v5OGRb)N?>gBswA^9w>mB z;r9sujn0R^ZrX0ew^iML+cIE@qT8!dZa09Sz_Xi5Pqt&vO)ih?Lp`t18}VI{ zywQOXwEtaZem=f)Z={%ruYp7c5ooBXtt>53p8==_*srgp_56`2+Z+5!6!ExjF>Fg7 z);jmIg{WV{mFqaIuu+_AN$AM2L!sW%Y4Pm&RQ--er(RZ9*GkRKJoCBERom}Tes=C7 zQ8|i(OWGq$nsJ}U^75ozwM;%iq*H~4Tl@qp^)T*1_GB^P_4%cwp3ouroep&=xkZ;| z0U7g+`TFB_vlLSx2XI49nZtq+xmJ7UPH=9?>?V=xC3$&dJ9Lx|54C@HxWo4bgZC14 zU7uE7Y_{1fF3b2SA0Fj|JVSP%MSpK;3>Nl~l>&$D=n~K#->R z1$O&GUMRu*1sw$H1-IO0=Z!M_JvZ0d)I|0iw$5YlJf%fO99I6>0UtXgQ#Lo9W!CVC zS5ZEqscm)F{T`+vdL7hcIM23wK%swGp9n{La0douP~3WFvgkB${di-?rOkJ?kiV@PPWlk)b;pk}wS51i9IA9GY23C8i;+ zd<71dO@g8H$kI93ohorY+@!qzV1tQb5n|A385SP;LaaaZsL@79Na(8bGRkY8h(>{Jt(iJDX;^X5T+R5Ww>LZZA zqIg(jcF@t?Brgx2K42`$>(6c}pwH(U-u?;$nDfyqD=UCq5&;P3n32v$E|2ovMfC_A z5dM2Cs}%wN{n&ycBkj%1K)`H0t#Wv5baZp&`7>u=Z*lC{vAw1W)gwFjY|cv9?qHU# zxU@dxfPe}2L$%w#BHv_Z(Yw@C!oe|=2tCiYE-f#Uht4G=LLP7YC`V@fH@ZjcuGF`@ ziSUDvBf1|u1Z_F4P>9M}&aKr^QaVbW@`UJp%0Y4*aV&7FU|si3<2l>ol#KXpW3*lX zWA|@@vfKTthQ{`D!J*qIHzSZ?@W_Xr3=tFJI`~O)e4kA3X;<>-j%t+dU4Q}Aa)F6h zbr7UfT%Lgy1tjcD>ri4`-t-{?%@17S*hMY3FW--fIz{`V;l$oOJUl-4H!*OWYBJx& zJbx5)6~~ZF2EA~A6W8`FYz-%>F(8AK;8ujw-IN~NwxzRT2PSN(2rc=JAQ!`>oMRMa=jyCOwA? z-#mYv*R)G=qYIfWhGcd-&jivWkkrn8(4rA6`OvaBX0Vu4y%q7~eT3 zfq_8m7J`gWg>vKjz3)19FS45L^d)Bol&Hi2!9;f>GrngZ?^(7T+w~zF+_gDe4uB9- zI~e9yRHwUi#vVTRsm56SZ9HZymxv_i=pH<^I-Bd&hrQTU??VvknYwY6b5_1F*it(Y zO2p`jETqZL%Qxh_Da_xKgXN7e-V4aobLvD?{`U3 zmM&uAkkJdGC!hs;YauLtSpFUXc5Vnx| z7IL}7MA~(ICd}&1>gX}~?Z1D=?kw#UCd+eJf4MUrr-4ec0nH#tVAR|gJmTyyHZyAhIAw{^vEGPuE;wLdnj9rF>6`b1=cgcg zQVSLS{@T85ue$aT-K=le?<4fW5?l0aNcW*H#drWZyeF(8MDXe7X1jL$k57}3l5#{+ zQu650G+cJNUf39z|A35a{A(=D{gQ2Da60boTNtWzUr+h4264Uo)3ns+t@8VKhi@54 zMDyaQK2v_8kt>?<)(mURcN~ORsg=}y;@EnjUb7=RN@#OG;HugxO@ZS9?G@KQfX~ED zL=B3FkQ;lA>zFQsHuGgjh|~J+UVip z-jMV3p|WY=4<}x8`H%-a#aA zLRvc24FK$zmYi5_EAi`l#Ch&&M*?vyR?S?*+;2n1Gt2*i{m$s>c0%bOy&QPe>+WrR zJv|1f^2f<7s^{EKF}U#q2vxAs*aby{ZyZ5!9%}}RWCy!-J!UJUGO1a_78*Gd8H#w{ zyh(D^1cAoN`q=e6TyaBVxSpT!l z64!gGZ+qx&`5t-iUzj$$y>VD$9<<;8IGBN7&_YNUoH~1!yqs!lZ82#vRz<*MGVVpX zGZ}~-fwbhZp8%RxjEaECzxxzZAkg^0_#%PK4@DV6Z>t#j3lAVjit@`P8xpEL;2oHz zsSPr#YvN~D4TlI3I4@{EcrpLsd+em5S$31WX!fay2m0k?W&K7q3ymkfd(WITM4#O2 zbPa=|;^HxS56!Vwg=|J}McwTzhc{%me)7A_(wv+ZyqmMq%$1d?g%w>DV*tX-6gzH{ zBQgNE!G}aGDdXGtcq0uBB(4^i&44M)k%X>As^cy{TYx0v0;1i#j+jhxG|GVGBgD|J zEX~=V%$OHv;PfL99#p7@i2{) z)nGuK1Q5eKq6KsQyA96%5dr_;7Z6~E*f8Ka7=eEm6GOHw$6RdIDz+T07zk<*pO}g{ zA9;e4cyHIoNKc#`+QZzp$`P5q`ClC zp|PH(-z`MZ{sS_f-OneXGx%1b&={}@%i#G!@+`sj0DIw91-3^bkc1Wqq98x2D4UZ6Ja#Mn==SHIL@IULzx zO#-Mmaz1uLAXhjv4d>4js(-6Qi_!rg*Z5RPged?{$6*rbIXtz)!nW8wY>u%y7zv3U zsktihE|bVhe-?NUhf2F04f{w-&#G*(UkD>41sR^nwJ?iv3G7yyt zx;DW8#n}7Kj2-fi2y!2mI<}?6i zzsYYzJ}#7yfT0oT172hBP_0onz3(DdXylc~XBa}0Yheia1D)f37iZu7@^%J7K(`La z!B7a>0RP{eX{w6&;72q6^#wKSAgU1#q)gY*(lU*A!OT}KhW5Sr#hRUp1l0s^-&=c(v)^Y=7AOQz!M6XC{~}sTqdrLF(eFrxTOT319vrst&etR zHy(Qgd&_UJn*mr7M-MztoEn>gsANkwh`ox6iq9XXCE3w%DM&Qe)&{Iy7Gy>pb?&Tq zU>FCdI^N5bE4?oxDB?7vsL4p#BY(mSMKS&ml*{xUbYESZoJjp!6|yj-3B*crbC+bq zQfL*Ca^FP^zVh)6fDRO2!&m~;bP#z&jA!r|L|`U{v*<#$#;0E5gWr&eT)XxI;U0~0 z=05`Y1O*r7=L7xxf?6goeT))6y8AY}>b6;gq{6d>1L!_jm{H#gd`1l9`eTPGyYEuW z8xVPFXV3EJA3XQ!D*l2q3f>NwOc9CvL9*KyRIcD($57N>t)H^{J!`(JiioMg&sX8- z)&X#&A^doAYiqFgMQdrho`wc;8CF-Hl8eO+*`n*j`Loufpp8di33QYuz9iZqhMT|B zH1)t{Ytp^JJjaW==%rz|gYc3U{{ClOUGv`Rm@sDk)$k5kk{pteaEAiyX<;1wbDUUM zIuCD<93Yw|@E1KcJve1IW@~K?9pSIh(c>ncXlaFx?doucNgPUKOx0ig+K&y30g5or zW(8Z0pqXZi#(gls|J^OQ5AqIU*Y0c!^;@@AA$P-7=f|>gjKp{KaSzXBC9q4U@AVT8 z4N>Phe%Q{g8cesKVt&H5Lx_hb79Dd_^r07m%zwSnHw5HkA2BFEv5O(i7oCd|z6a~= zPVR0;laBWfC=J#J*e)1Nd=(3E17zd8HCxHEX9yls#=(ih93bpZ4*`MHm6e+jN{Sst9w$x(FgOB0gc&6a z;1yuhWR^3G$>kY#YY^7l1U?PDEl2C29@r!%6cjAd>E_;5*g^5vaa2tlyht9|g72~Q z)el}DiF^0+&0{s8gj`ryusDGu4?f6`0t2sG*AwyVK^V;8CMJ9Utgg`+i z+ejbz&i$Z6jhzNi11^5B@njpE00IFm={*_saLHo_55_m^V{ry;%yo1GM)F{v@n0+8 zi0#UHzT2dxX4_^C;^8kj=d@bRTyX2wYuAybdBSHX)Gqaqr6o`4Lc7r6N~%mDr8-f; zxS&fHH)>Ap{BxyFw{$$A24Fp4&og1(fsLL?qRCXeX$5A)5P zFX|v^622w|=t|pD(|fgLQuS85WO*EUB5;J2K&}d}=jn=#A&}kHkxpHF$OKB7^3kuxNMj@dvTDaH&)UutHJIqQS+__>CW?LqOl)adQMIifNzB!&S z`T~tj^9%e}VaH5WrnUYy(jMs~N%3u-~C7x=j95$j6DFc_Xsvq8i?)b^#l=(A7K4 zb2^!5b7rgL)*PYdAXYgtlYpIvHMO@dpdVGA*5X1s`8Myx3U>RFXTibdQr{=N*S*e% zzIk(H<;8`Gga;7Oh*~zD6f?xr0kXkc9Ol=Girb0b7gag>?2EqrP?Fbp>1ucqedk{; zG;IC*aXff8UA{VQA78nc?!9`Juv#xYxq`1+ss0BNaH|?bgm%wT|MXs8?oXaBUzq)iITDp8{?=hfrfs-Ju@sR`va4$qK$A1eRR8&@T`dLky+H@g=9n)9`{@{+#T~3)ew9ng<2mPs># zO$M<~l3}To*aU5&<3Ha|O^cLX^4o9zhmVH}=#<_t5sN_At^eakS{84`K#VWOK*8{U z&G(RXI9s`TO98(9Kc7mAwD6eC4bO{0k`X&1(UCXJ(IqQr47e9c`~%_IFdHv5JRbf( zex@pk98C;);vfW1di-%OTn1Z-VZlMts2+KE6rVG>U)2;N<4~yVy}j2_wNX340(sOL z+6Rnak|JLI_wTF0Yx@2BVgPEac6vJ_6YXrwStf5gPglsNW<-L12zlY-eWk@sMYtNk zcj{@`k=6DAoYHO3%Yi1qcb8jRG`&m1tW8?tj>7JMFTqxrX)-We@6H>?9=fi}*da!g z{dx0zk_93F{jUE~>$VE;+EQ+NdtEHegIUD8XV*V()m)!+hHO-ZiKI)%wlgy3*t8#w z^%XQKD}h!FMbh%(;<<21O?5rLksC{F<*ws;sKuF28?COaEG z!#K3*>FJMT%=slbo`)d)t$^#d>K*5I!Q(SD^f*+@XwKQvGk@um%K_v(ldT6VEirOR z_H-Ig!nI&^iA_0yu#1y(^)qkexd<5#c(hV81TUvJ3J_7BlO0^9KSFo2bJwo(3)KH! zK*_c?2383sJy<`eS)r)u2H06=RG4dAv8i_W&|IwWFG~N@lNwq{+aN%Sk%p@$O7Qh}%FfQ<98*zmJEc3N z(Tk;N7rRdn;c}Uqf@=ulB6;)|LK&j4N{Ti4+ItldaT}*~j5Px2v@0Up4ZTv8{h7hE z*VoiEt)hp-W|Z=rP5cqdu<-l0u&eLdaeN1yg=S`EyQMYV)?jaekY-E)5;AvTL?qrk zqt{?Ti{zQ|qX%}fFJ3VALeZTOam7@^x}@cwy&3F50MTn5!Cwmb+~V@`yI)&wx&auU zn3%v-lG$e!E^aF|T%$osHu*k*T)VU!NHeTp5HzPB)@>1aD_%AiH$(T!K5w=>$uJ;Ee)m39t#-KoQgc(Mvhk9c!g<{v(1mrceXv2^Q^SW{&65nb)^~Q%)ii zdZCH-^hog+5t%S*sk!lMHYC!xAu!Oa{p)%$bC(bC^jO?0r|(MtI)jpH zZ4BZhtXufRf`5=x&$~O5i-NcOR5^b&)hO2hYrbX%WprivK0Q`zgLkg5)yGrC05F;S zg0xH|@3gDyJp3HU*=DS)Rw-o@n`vns936E5_yAV(Pj(!CfRse`=H)+swsJ@|y1R{| zYsPzEsw2aNAW_HB8Gs_3F3NXYhle#A2S-!9)E)x~k5#W=V?* z&ky+~X@@QiXi9j0nb#z``FnO2-WN0Un{n6HwJ(__BCge{B-!IfExP4KoP|u1pXGb| z5;b`$E8w-{9~s@;QZV;oj0$5N$&sCy3gM7+7G3o>`MilD=5C!Mn>ScTGM|`J@K4>OqiW@G&&Q`VMryz4uC2x`i6B%b%?9C# z1B?*nqRwL-nx(gjM{JTu3Ss3^Tw{VK*frMnCQ(2H;lx;A(4e=??=3R&eZC~OZ=V7N zhOCr~w7-DHlOp2Ih%VI~xbfXB)sZv+Ln!_q=PA2_LHQya&u7yfJv}?hTsQdNJGv|Z zL2XUDBF)dA+|r)-&+aH7X*}o4K{XOr(F#&<|(>=gkFv-vQW`>-~2o3DUjeJLfts`$QSzW&TYRh=u z_X8TZ|4|oj+_b5y>_FqsG_kbKpOL^}J^j+jcAV*D7p{buiMdp>vM>QK%eXO%q-iaC zn^sY&Mk*wwt$r8u9<`0u)=7m;`3D@~TKr$nTNpap7)a~QkP|jl%(|p;V`&grxNapj z!K|w*&Z?AB9*ClRkc7Hc%A@fo#pV?_lCx`8gnuwe1@O_B#v zrlCmpp8cx&(=g6GbmQw>BHZyb1h#lt~U>qIH@nh z-%NA0q7yLOUC#S=b=l*%8{g%EbkbApUM%xsyU|S4X-R3Y2!<meKpA_rI2Br z&eM0LWNf6$^@qxkR!Gpo#Qy4zM!mZIktk`q7+YrNt@mAfa6||HI=EUj@an zMB$iN1s(%(g}YH~LXxoqiunuo!N#aayfC9-;1DW{W4Nf*nxd-}XJMe5c9QVxmoN8i zNg#c3i};F(H*^TQ+j}x(welSZ>uCJg(F}qgPHAd8c@<0e!RzM4>ETFk!{@p7{f3<8 z&apDTt9cq^W&%udA!ql_aUYEfG0f3eF1;E(w(>^V)L(^pE4%p3zK^Y~xpym_m>aqp z!{-bXLvlq5o*B*A0K;R7cL^ZNaT};|p>jFB!P%21gSj%YM1J z49NasoUJff5w$9BKg!c(DMb`LA}p&xNwJOMuZQEO2+Y3K9m@~NI>xf<`gX^yn)aJ_ z|JUg3`(T10r zq%&1yH@#~89LwoXyVUtS3Go-yP^|ovSk|EP_V(VoC1=0&GKK#jGoTzjJ=vA#>L)fc zFmPE-;{(H>JOE=+qM{eSBr$Q@Om|vD64)iwHteE)%Y1s_CTi_n0tfZaWj4~9Cs*P) z29XMbs(9)~MSeWJr!kQjG+S1C*I(#lK^-Jz(5)pv7VeLK0>{iQ_!vo@WQ0XnxXInv z3FZ4&=Cc!zFeE@AfU_M%0ORbH@l`f4YfC}Pe1w#Q z!NzH8i5sVsb7NrJvCecOx$b2c3#E)7Cq5q86KPK)6)xH#_?g$5l46X&=El(`qMenU z-5PV|45@-|j@=3U492W3Hi8bhj+%vk7zbXhPU{Fu{`5pWS_{lO+(v^##!TQBf#dy} zjPhMJOlQHW7hYLu5f^tIKF=;@or5-jitd`My^Ms!0@@->F2HXw7qcv$Sy&x7``Vh~ z6A})~+h1O3-&duQR=GY=oxNE3(KFfUu2xj;1js~yFqhP=g@0+Y`iDGysxes4E>S<+ zpJD9tkax*AMJpk2(;o13deqHx0%YTAy-f&!N5Rq2m!81)r&#h!crH=Q5`S1QQCDvj z8^qx7yJzj#=V`tEC^trVm1!b~M~KS@Q)Zns7cVC_o^hmqq0zbaX9IPmkQe8{S#jO;<-=)4eUu1DQA54{Fc<9ifE*|(^bs5YXSW{4N zZM5!j>}V_aC@3n5kchRP1k{>`Wn9NPqLu(=zz8xR`@8WOFYXV^lxv?Yj*y z1c~c)mXBm^9e0WB#bU{Pl%51i5ys9G#OAUxTF96jSBq{tl1Sgj>^_$U(=D1^ks%85%NK+nsY11RIB|$+XX5VMvOUP>i6bBPS zfY6RGVan1A`naXteRQM)VGoh6zHM;^hTmu?qG~ls49ez~x4h#%D$d#5ZD0C9(p%Xkreh1YYaEr8 z?Oo(~R7P%)@%D>mu&-ope0${h@v(T)HDJ^?Fm6B+iLOZ|imjuih3dhI@iQHXf?^nO zYl}~cBY}}jzedUXpzKMSotPU8&LfH)1vr$Z{jLqN7rUzKI@H!Bcr_>rF+)R3Pmh); zD$i9|ej_cv&&<5ti zb_5(*E|{{j9{Xq!z;0`E6HDy8AgLEFfz)4@E+K@P&viGE?XAxINmigH_)kA5?5@`)dp?vjX!-(dv z`?j1u4Iwc=3fMDC?Ate##=}5C!M_hkAlGHGOUT*dsk3tk$myljwX#{s`#y6SCC-(W z*T?K^$UZIHw-=-Hw8`!q_wFHddGW_P&^$fZIpP3|y0wySA+;dv#* z$6KF(mLVLcKGXru6?Jt2M~<9(ttT=G%n|o$(=FI7AlCN^&e3aG2&fpZ!A=1r*yl|^ zmYPCCjJ6~MHc1v#6nA0)Spk$_ho3_ftAxFbUT81fNG5M4&Td1KdAsvJ%9 zH%WC|t%eJ`F?OJy<7&&h=L9w~w^dYxq7(X&E|P^nz`|AjJEf(iVl>^XxSaBB+Uu*T zEJ~E2keJ>yh-HB>2D{Fz%2$ueUbcFW@&$Z-ucUvXrFie7inYC=EWTzniu@-{LnQ~@ zMQeeWjW)?|9DP1`4QHeQ!0p{CwHpJ4L~lBDiJVNy*X>@S^O8DvP$ksvCi9bH`IxQb zs}_5X!k8RF*Pwk6VDX(9d?PO{s(Sd$TL#7!#z>VgG;wCH}B00FY?CGgl!gi+(~7fcQ?DL5k{=-(pS@}Cc1LJ z^!Ach3E;)BK_tHzBH92n19QY<$B5(j`0uY^g8^~ZCG@ZNTqJ=%MHJRJ8{w{CMCP?X8BpBuyZ5gjg8BbC)*n#H!!->!1ppfV`JX?*K|vvT@GsmR#XUs_ zK9E+6Bt8|z*!{oZei{l2R|P@^qnH{#9fdSrYx2j|0`SBrt)BZcv9ovM*g#j0_@vmy zLulmq&yo2aEmu61kJHL!A;ac6>mzsbU3jMm%nSTm?x;9bEB@znS{@_*A{WR?#eylNeVSNq-K(=d$r8beK9_Znn}PFxoAwIU5U z;6OJNutOz^9sl$2U;jEU&7crzv5mNuiYP0$Y~K9mdx%lZ@*S*82Y#5zL)&-!4(kOx zz5jdvI(Z-ydzs?o@jr47)M?9Gx0qiyb8^06Zfy70-`bm4Z`~pyBD_av&tHElBO`dx z!@*qevbu@2xv8_DmWzoq`G23bGqx}nykuqOY`N#Sq`2TIb1Mr==RM-0VuFhHw)Qu) z9E?rP1(nQQtxU~TZy0+Bo;P-OHrL0m#s6K|BdB2I?4)6SL($&O!T!4Wb>}^jf~T)L zo8NG-w>5S)-*e5_*2!E@#mW}{NKl3RkCeg43{ znW3i@tY`MOQcUYLeGMNDKR#R)I=vbqm_FHWmzQ4o+WV$<&-$FIu1v&r`{b;_^V9BL z6_1a2e0`LapUT%APzw!ZzRY|0^u7;$izaDSCeW|3>Tk`hG zpS8i6D!9nLu3GS|`?X9OT&q$qFB#JJ?zVSb4v||gbf1~KRGD6#`*EF3!9V%wl$O}V z>_5ZY{uSeOuYJ2;FWaArKJ&qBdTL_+A;lH#rwUUSm@hO1wNzG!(BF^o5hiHHFa8>@ z>(FDMa7OBApRTjjA9w1Up$mT|q(2x1 zE1X``ReW2a{@E`?bzFy5U~f(GLpN6US0OD`Wve+y*_WgLu-;5DRoHbou82`d^OZH_ z2HlLTO`Q9vzVL9JTo$XN6gaEMq|_`bdoY41{B{4d&`6Xh&+OgAZUh1od$s7QA6pd-OGF+T~;N zi$=exBF6nqKPMv@mc?kkPki#zVZ0p|@-y^WkIkT!cqaLpzmdO5YtZvL zs7-8zG3HH~lhO^JcTJwV@10iNLF0Epp{CM|y?lAYBZD4F{YO(n#>=kCufLC(DM~-J zR_h^9Qw2YL`jT#PXib`a%=_ltc)PNBY%pVWlb}A;P5}$*W~=l&pEi0Fida+}tESpv zzm?zYdx&vj>+Z#@k9&Mrp1uu;jm`Jo%~1a#-D~`<7DLQ~cBa$sR71iV?@3(V$oqo5 z<>PnNyOmo7H$E`gqNAd7hPEtze-1;3uR?Z5y36Ky^Nl~BQ~f@WzVux5LU_JkWTjke z+2GdCaiQP3x!Y}Xy>A-!e{EfxDoSf`$umCt#qW6V*Y4z`irQJ(n$FxH?d%r;G0$Gm zkFsP^e?Ra@s*>6JQT*z;yZbM1nhoFEbfAx7uZP`vy80;kGxyR&?q%4YLpIbJiNlar^zLMt zDPvrb+obB+1>!3%E4kE+F^>(S12c!$^5jxa=Pp@s);;cwxzKp~WnxHS%{e-|`LDY2 zlC*JDy)RTQ3uh}-X5TxU8u^BaqfAEp@c$z3Era6f!mdpcEI7fnk>C*AX$T%1fEx23o;O@cQg1fs0cL>gGo_edkZ)$3$W`57FRGOC4ea_kY-uGVXT6>P_DYPOz z4dHjixN92_l(kQrcXQ+PW|3VayTcdFRX$0%^N5`joc11QIrS6rwy8H&EmnprJoCGy zcwVKdq5SEp-v35kQyA&?dQ`f!BGk49KIz#b&+bkBD2oW>#oO+aX=miRVe#{+3Mx9QntAoLg6mXtkkLoJZWB;BZ!}a-$a5n&i{`y@xgegA7 zJ91Uyjs^^3KCo-}x?xt09_M@(_I$X4BCN$18=XeXY~Ok4h+oq`j@x#EaxUnbQ~6X$X_h znupq_^IHt8bH;dxe#OFaCrqjSgJnu64s6cf;CP>_SH(FTa6OUcFD>&!F{d$iDT;r! zV``6~%acWJmCrH~yW?yZ%PEKoq4wEk7KsN=ZmCrMpqML$d6U6}FW=Xf?Lu-)n?@h$ zZ*2O*{smKrWEpHqX;nQ3(!lSZYDUBH^!itnPDKQXLj$bHL&L0AsGTDT(!Nz1PA>Yl z=cz|Z@5@NGubL+IaiEr|M{9FUGsy&LLnv&>qr>GV)G&vi>Cwd99(c`~KLlbPN|6&J z_S2ar?0g|Pac*rAi;^R0V}G-0_An&vx4UIb@cuHFNVBuLn4R?yLpss1&>3f&_Q~qH z_44KQimSowQw5LNctKN-J02u|$ST1!L?DhQQ5@gTLK>?u^*|qGS^@u(9ntr_8O)3$ zcMED;jpSREn{89>h>z=2h9zF_ggc+y}u>PP90GY?ftIc zDfIc494HmLe|AsaiRQ#AiHot$-#$tHXkJd-1^jj*UuqLcx`aUAzWS?^De8<8jr@8h zwP3JSihyrj8VB`{KqGdey5H5fRAZiEdB~(qOW|Du`r)@DMQcN@Z^#;l6|l3T;?-g1 zH^?wmlbXN32!z9lF?o%NT6rQ-XcX09!Oo=ZV}R!vH%VsNmJ*uvGT1=x+W0+o_F7ss z-i!7OJfXE5-a6+mHKW8c{BkdUGE^iSqeeTMBk`}h{AJ?Xc;t_8LE^(>KY~RA84gDg zvEjJELxw+aceWu8RD+yO&{az7{}1cl0`_Oy65cl5~}fK`JhG-RNwj)>Db)pKR~RK1t2hMv$%6Vt|}n z^wxtg-q!EjuWhfSEmQELbHcU%(Y|gMpq!V+QU7(Ghn%Sr<5NR340mPn^}c5AaN2JU zTZ+~lxq`EWN(LJW^)49uP5Yp}KNmrJoS9XT+vXZKYwpN7@z-}NOM1DUZtV!A=k?XA z&v#q2-)H26vu~HKJjf27Zj&7)QQq8bTX)d8KzOp zPw1Clnx@wO+%FC|UY#$B`bq8#YkO7OM6NYnnJq&^eym(c>KH!WY@HWXY2Th9CBCC_~vkksu5m8wy&Rv>`no95TWcS!(p@K zTJG{-TqzP0twI4g;*K1-+oJXfEwz8l(I2}3flA%z8>dz6wR`^VNX0(#Gi`79^_Ux31R3TL#I4etv9*c z-9{@$uhv{nk5`|p+}r(end3RX#(nO%y+$hg=#0!^;Yk>9;o33ERiIX89pPH8NcNDz zd#gPktCDWrhW}2Vp%Ynd&qD$ot1*egk*ntN7Q3`*fp(-o?@EwVF)^=I^V=<}^yMCO zi8x`9>=hT?i?8{Y?aREg>krdh)tzu5NFO?omF!Y*-@M9OMe$=w3`G8dI90vyhQA1w zKH;1!n{s?~*XQlLtjn<+-u4>2Dd}W+11kHarDZ8|sP<(uVWis&@jOHgwF~2|a-CQpiq{z2 zX}ys<4VV(}_*FD}@anplvvVLxUvc2*T_XuZio=J~UR>?Ub!!M1EhjTLA z|CB#KA?)Gor;~fw*2%kaczHX+97znj44=rf?lj>4v;M71!0cZ>#&V&J;oA*a(eIIc zXyTlZ{RF=+#Iy-8DmrzqgFi-?lp@_DTXv|nmsZlr;Y+>~w%4raBXWH)Ds}lm!*65> z)dcx{GXRxh=*L!nOTbfzp`$h_$IG=Z8nX06oj5iKzpq`?WV9Ca7|3OKB@E$ju80jO)Pv%OR6w# zH4~?87q9YAr@o&RjP4(E-3e60(xV!+65eJ+H#3sd;#FC?4i#yfPgdn>wo|W)+3mZD zaqOk;a#SR8Vs^+4)iC$1Z_Io3)D40yO!5geuNFByX%fA#bYh+udLT)Frmdn8kC!cKYwwhv=qPS+vr*$(QSm@p&>9 zW!f#SFucBrlk>~fDL-Hu>1QmAe-E0xAs0gVGU7W+agRGN2ZK3g9$O5T75E`H*#S&5 z*xfb%81)2@o7C)as%IE!ecFzazd2NTuG5ji+n^aK+SJjV{9`cf6qe}ydd41__B-j@ z>~1ZCBHo-%;rBz$lX$j@(d7at4r;+d>}fT{IwNRr&4b$9@wMwNl0z*59GHL#~GZtWGUWB=%RNhdK8~+l)>gJ_Rzs-BRU@= zLW(d!r?Jz~)wcs$WcAf1X7gFt;31Ew=|9-nT()*Jy}gjKSDZ4-btF5R;rjNJioGM?mZg)aCxnSCbs`GP|g zn0xF(b?$%jvz_zMiRp&tPxT|*tSY$_I-jDYF&IVK89qW&$K4E9$^1PnRN!Tk+{rXp z{Qnd&U-=w=H9%{8x^g+MGY!SpBMYGzl;nE-QM}>DhPGHm$DTpyRn_o}XfAtqwPOi` zzrA;@@N2C-vD}v-Y#p7g^IxP7xKskVR@iYdF+U`~BP&D#J|~g`g1e^|J`QL5|2O?Q z&i}1n_y48w&Bn+3e{Fm#zZd;~*XUM}H?XrL=iuN1pRWA>(Dvp8X+UiM=f?Me`;Cg4 zR{YV^iHGyMD-0H0`);5f_xSXBitDmyX6n<@CoLAsokBTA((yriaa9CbB^y!Il)p%r zgyJ705lNIWX~o4wOfaKd(*7ph2_07`kg}Bj>uc7C*069FAs|maE){flJ9N0xT3FL( zB|t^+ZU6uIKPv=)2m;s@x6qA^4JxSWl@}t-?zz&G?=|ucKl_|1++~3NGL3fHFcd803*Hu-{RqTia zc26T`XU+7y*4A{tysE00?ES`u1`ybI;gY{MH8BCp;GPE5qsz;>?hw`BE=Zj+NKn3o zVxpl+V5Ln@tGh!oGBdsX5XC;E!(INWL_8Fu9HV-G2Oz@elZ;g9Ci2OU&kg~CzP`S< z&{4KQSNAks+6x!fE$INxIJiuka*LgF{S)DwOBW>;EC_1H)s>?=q|ntnucSmiy>~5^ z919X{^GYA-O%!^l7z5Hctqt$K#JaqLlT*xO$bZ*H0BKtm;C1*uT>*m^PzoK-lYpz{ zI$0Q4Isg`?48`W|>g(=yLtUL_KP=E5{BY52^>7EOYc^?M4B<3`aZ{2T^u>t&Hcm`L zlpXg{5%3ri^IBST=Y{CVx7xq)01L1M*3S=vzUa+18v(IEtsp))v9Lf4BA~YVMn>?@ z_4fgdi)9PwBwn9~hKI}aVgS=N3fsu+Y@+==3`k8G&u9m7og65TXT3&bJ6Qmt2JQZs zv@~XJz+7GjiCoHQz!w&@(Yfk9qzsV?A_-P0UdXsysbaMo<>@NZg8<^_D*Ru7qF+EjunX`_yt&K;oUckiJ7*gK8auYsZs;hSlJvq~V81bh)KLQ! z{Oj}Q_*3-yL`1Zmjg2?kd>;3_x2l7_HS9p3I0)QmXvy7B>pS4Krrd6yf4k*f{{3ps z)DUt_1xzl6kR5~rZ{?r@VAt(Zj#%mkRvY9TtVrPpFPLrg^~yTZ z+=7Q%{{q)oSC6o>Mu|5306aAR63DW;iLIHe$6Yh=Tdvnp3TA>EvP`>GTkn>RyQpAt zIdUTs5wD~$di41Lx>I^^0~jy0wCK0_fTj%yF1w&WtdQU;!n)lRgl!0M9zIF$hRz=T zN{mI^3vLgv0t%J9&30CRfC8t6&nqV zz)N_EHR%dW0Ln<(Knrm#j6y|Z1RGN41&0-8yajH*b=TAS)1(*iX~4u6GLZ7lQ@0`N z9f)HCRFAqrAjBwd$4O{k?HeA(OW*_iBTh+Vw{nXg18x5C%mzRTG)~$DWENlq>^3GR zvX<#K9(_$m!|aO?+s_-%SNCF_{p450>FWd_9z|=A(*dF=64}H$RB_azsyb9E8z2B$ zX9ongNIe_EYY!l~k3vu@N5V~LABSc6K=OU(@UZ(L%5}r~Qy08GN4#hO5Bd!*3*)HV zpStU+)v&D8?lf)-G$+yTJp}30hb&BtLQqw^=qr9BWe5XwByC^OAaW*9?>VvvE@c10<(!8?AZ4_lw1jukH zsULNyLUd55j?Om7pi`a`-yjiil+!^NXL##7EUFSR0FqY8c&&wX5G=QVH?+%`ycLN1 zuoJxRWn5U5D%`LVym`>;u@iO=4!Trv6TGQ_x+r0tJrdrzWSt%TZ!A7Os}F~=)py`4 zAFpH1t(qk6MHju@doizcOGR2G`8}321RnaifCoZAU}jpya@hmo0=pveS$iwGWXXs# zqoj4YZWlykOnO&Ou1oS#Cvg8T9he{5x=(g2*VUn3MN~}@zXwNoALh0ZX;v{glFbvo zQpv*{(CD&Z{Up_NnwUsnPKaeiVgy@?V?vm62)G)%!LMC6lp|uBZETV-CZB1x1$AwM zZX4tHw1>_cn#@Z>#Q5o2HM?PeFL5p%XXSV7{`jljee+IlTR~&>Vyfp%d&cR$py@eX zT*YNW*-dD4w(jC!ACZVS!PSc_pJ%wj=G3%;hnacfTg53r7XE0q;U&%&*zN`cEyx+m zW!wgj11Ol&dC!`B(7^0z4_jL{l;a@G^KwdKot?YcX4gK=z=}j!5sP>QsAs`L^yz_Tz-?;zQN&IvN&l27<^JF6{{jqjGGq&D4hw!Gf z2p7D+F)ueWb7zH3c$gyMRnNQPS>9@V1dx%L1rOK#KYy|zMC|S1;}+U4x1K3t{I`rA z@w;@a#oGqFuT|^7?eS9_u9IhQf@iCmRm$^$kr3l2*oaxBjD&@}3;FMaF>;3wYd1Q+ z{f_k~b(Vf>7C?TP!o$w%!O5H>BK~W)q0IE|`u2WkyP_f}>lEz<*FAtI+_OyZ+fzmF zz^(dBImB&)c@?}2_4z=UubHmEfiJ%0X1?kflLy*y;RKOS-Hbks-91aA#OWm!r*l75 z{291~KH3##1o7qt6F5}~c-H*J6uN0Gp*PzjxLK=Qj1P|@EBixCn0g|lUcp!GdJn|R zmB(u8QTxaPv}#?(v*248Sfwq}mCJ5|>w^9(;fZ}B7> z*=@sz{o78MU5kwXT^aHB#2GXVk`;Wl%ZPA!9F1mDXTb-f5gFHsG^ZpzK4W)WG+%e$ z(h`}iu+F8QaTPW~1(eL4v})Jc{r37+_ptm0K6*L1x!hb_Kr8;Enf&?B93hH@O3mGU zv}$nwec<#lzw@6rXU6Pq6*08Xt%v-guQdFgtfb& ziF#n_#yxK%?zN!XZr60bzph9`Bs%*0mv(!u!YEL6uj2FSMiv*6RCOhreMOuxbKZN3hFkn33}j7huq807h*#48J3u)|;?IM9bQXX}49tfC+q0 zu^JQ-^08dso0B`-0AZk;CWu3oH)Wakz? z4*LY?j7hme_Wh0F2Xg9k+klGtOD{2g49pG-J;p61JG=7W`QLX>T1`6qDY6t$X*P`b zPXf!l+=YgaP*Z`^b3l}2!`Kr_HAUfpO=sul-}}!zPQL9eId|GKb_wc+8s!l(OYg}v z;OQdevlSnNHSb1H8T2KMw|9cEB3aH&M7(86Jv-^ikwsW^D8NUv`VK6^hG{?+C~ego z$P&E!W*ZE(x4U_U5UJ4=KD#a`{cr)pwl|!}UN;sR2(VDx3lrl9f^Rq~%#(MIrxD|` zyz#2l*|U&P7ck41clR@ri4epiTd-tgFjR-Y$wX0*4+~r^|}8 zPX0SEGXk8_c99uIZyoT%pQr`^lpQ@rwpc&xkKQVSDpJVqI4a8+dIYbOS$bYUf%G73 z8R`(-*6Z{=d*0?Q;LP4V7v4FTlx+y<74Na2+loS4`mdBdnCgTS4=Y0| zAoG9enV4`8L-gN%i9Z85FhL_PeDbQRRr}vKaFGtbr&R_AZ;pvdEJlyf({%CO2qf5n zPd^U_3627nSf3AnkHo~qQOA)F8q;Hp0y^`J6-6GvVY4tWGyp3r&}kmbzCoh`*$)5{ zLH2fAr2FthAC#NI+J{n<4Pt}7hvlTZZPvKw`XwMc(LeS*gYk(rAkkz)flL=X?bqRn zuum5>68(=)?0cfnnm*XMSQ$icsH;`k%O+Y{NwIku8Nv)Dj-UdOV<2_RMujSu6*n|! z_xo0~)2f-koYYB$?-;ShT;NYFG_F$24SD-zyO+1b%)%OFm->)qR7oAYh~U+?Bpas- zl$W~frO9?R!(QKDz7jFUP`gvQOt_#6kynyN+>@XdQ5~0|7EzHwTonB>%Gv+f5C6mq~L{WEW-ut9Bs-{ltBdV zz=|&EEgQNtRaAdbV0e@iB7+2FP(IF7^!(CN$%t>C!g`$ddm^c7By*Ti8mV~5WJo_K z+#p!yIcLA}P-hvuEOtej7v+7VoDot-|F*}{5{|24`SoN*qcfOOueFog7rDNcDY~er zkymoL)a;PT_U(7ej8-oc;4H|F`(JD8MQjj$NEIPbZb`FdZe>O5!n80pCUW+ftWh=E z)gVEpat&amsDdQ2l&QSKfl9ciN75bgrI`&!+By60`Bzcb@Fkk;W5l@^dlJSN^MO>O zBIA1Ke_u#pL8>{V$zNSkx{x5aNf|ngvPI9DL|~5_0-hi6ZuNK{((9qM#nQUSiBXG~ zkwo`05=qzv> zEIX6Ul<6tPQ_#~RbpteIKE#BujXgCjtyCYw%MiG?-@LY9j6L*bGb1YuvI6{|zdcm5 z)ri!GO};l_O3KQ=Oh!P(`a$_8{Z8_)x;g^VZ1B2h$K}*}Nk9?jUjAA8(xG-vXdG2) z1xIrXq{r+UV)O(IT}9E{-sKWNAl#CU3UaA0syHJ*J|3@f*s5@g3{_3LBQSNm93 z3SQ;->hzbFr8AW{9!NXNymxfuK6F9-!f$`jev(y#h>fT6u^l4k2(Btv>vOveu;2v5 zla^Z{ARn~_z79yIyySQGc}tA9ABS+cL^-b z64UsvfK^cQA3l)!12?Tx1ucl#;pf->qF9X$R%)@^vN)Yvi@fs|AV-t=N}peL0r3?I ziWoLLBI5om>`f&C%$Uyd^70Nje$2tF9gy``Z-TWN7xmZ{;gE)&yMMe>1tKm!v2QzY zIM{^VgX-Q==(7zUPYWoGpWVAEZqJ!NG&D4>E-vPhyq{hsUta)sal`DJ)Z>a_dJI!r z;Mn+i1-^zSpalW*9Ib4m&43pSILhmp&Q38`EnfoWzdaR_Ae>CO9a4>v3l;4V-H}tSinI^RmT-*e01RUe2e+~7OHFIz~ z^+D1U_@ZsHhaz_X*D5Rs6y}9*NF&t~qEP9@eCwo&>36To*Eq z;+yNYCIQ&*#|yQJy^sVy1k6sbpkzEDKtcmN&GPzDbGn2S2GDwl%4JK(>Ir!Q;2SW6 z@Q<{AvMq!HfCyN2TBz>0YQ9tyQ^6{kYR7u!#MI<{37FX5*vN8G?IR+R_$Pf_g}var z6wK-QlVJL)od9@rLbQE-5jk+qJU}CW)UB8qaUNO7ci#8QMz?42nWnw{frwKwEW(QZ zy%ctryeUS*9a!9tcRd=`Nnuj4y?dwUZQ78+Kr`xUZ2}zGU^ln?Mbfuv0zNf>5Cw#e z0{s9aaNO4KCbI|s6!@^A?v7UUQvZ|E)lK^uONjM#HtYN|-r<+j!mX_>V4Bdd&I@zR z3}TITfRNQSp=oPr1%)MRzd|4KnFrns*`LN5sFt20lI8xY9|uOY@|OVWaMGmV`4eWp z<-Zl|3HBAty%@$}D{h4SUiEE>zveI3QMwBJv@zc07t{i6yGnNM5(l&EuO*g01ASPg zON6v5Xmfv8xPNy345g{bsW~q}XelJ+jUOGIztj-?uDuwew&*)8GqO8RpuOdGHk4C6 z?VWkr^Ly=~!l99Q@~B0L*rAc|$#s9^2B`DyisjIoNK z;`QZy!b69W&g&l`P9JX(@(2hL<*5!)kto+H=6(4>mTKq6&}WT`i2x6D?1Ed~EwmAR zD%_FaYBd>{yLL#_Uj#XrU!9+;xIO-mA-sZvhYu>-k3Q2(xCV=HvFvRfnhvVio{^y;ut((>MbxyGy8#I6WCddK1XxY&%o=@72dk$V>gBZq zmcVl%oLz|`L(K%$YvF^Vw-^00@`CQ zB_k89E5#51z6Lt5o~!plepIphz9_N>9ZH$wZtRoQ6%aqSH5&h7a-bT~F(|De~iqzCp@8Q0p#$!)eevakl$_y@E^DavP z9D*Q zViD&PTX%_v)qkynbC3_DPOU@xv&rdYgJqV4%Q)%|bl>I|ko7x9G`3tI1=k~&{zIg1 zda;Q*RDROb(m}ssEhJZcH*sWYN>9Rx80}PlJ zot0%}BeOW5R^0}-VgHprcM>A5lE^{J6lHCGY(?%$lZ(pV6IACx+)?NF%=l(xPs=aL z$S5d)4VLo-!+B7{tz0haUk;D29O4Ep!+rh5kvUs&g&wdQ?ivdlx`kR|J8Uz}_mXrH zg!Q|TWwj~^d`SYgqUM{mSxdSINln88H83}q*{Kp=vQxjx`fx*+aAPQdXS^k{W36CU z#ShSovnBcPi?^wmIA-6z0gM*>4PZvWjp(s%g;9W@$mpo3O#Pr2mX+#Kj3!C*$UZ%6 zoQTV*^S-jM)1f{DFktS`K-tK^L%=Xm2N8^wg5~Y5>||BChdB~ex&XmEE-Tv&ovw8P z17QJ44;#bFC(I4`IY^*{HielrZkmvLVUI60DrzDra0rgOe0sexdVdZ&XQ)zK5HW4G zNODqsE4hz$hEUL51b(!z-bi(04igxcE%&F*)5;V1r;{CVNAu&(`y)Ggc{|tvNy(K` z`z#~ulbp4RPGZHnm8-HA_>;geJ;J)nYNOMZvx?Io7jNUtnU#|F`m)ZW2v+wq`zUU= z6=XJkx>n-ehp)NP0vSW8?X8{fV@h&NOSv1IH!|2;5dwOiFqLc{qy<{^FjXCIbQ$k& zBDitZpG>R6F03E#U4{aOg#pDh6%KD&rd>w0F7ZMudDrto|ZPSD-95AI{uMo+KOGEhu)-@ z+!mNFzxR+x?kwE@7+9NLHbEF9;!KX&PIe6UTZfYMR3kz@w=-!=*eZ=|+5O$bdY%nuRc*=} z0~@3Jo_ZfORd24aF+LTnIF`D8?>OzGu6KA_O7Z5PNow=uoeWl5X(_Xq9jjU`h-$pg z#;{uiVFJ&^m+|p%QwMBm$XS(YAP4t13w#}MV>fbv+-+b9f8~b81=;wV)wwG#@+(g7 z>Ai&94H$g^E>vxU&8pU05VS4S+$0a2->Iwv=5J?5$D}h!eByHsmV*xaxxTWwd4cVh z{-5=1z|s!5H*=8ch>ChIUZY@omQ#~agr2q+>c4xN!bw6B0F=O1bK$!&lCLRfl=fd` z=x$#;v$?|s1K=_@%Dt7*nUnAX2?N<;s~Rr(DCjT&%GxG;DH0e*_3wgu*r0TrIN5S@ z@S-_#(!d&@corK-Ma1@*Aon~Tk^j$yD%%!tK~6c7m(Yv=2UBdbylQWXVa4#jx+&*D z*G$E=XnGdHRW>qT6I0WDl08;xegKa6OOiofLI49eA4=QpSSE5K0D-at)%7Msz#u}# z%4R7601IWIxgG22f$|ptF3!$$iXcR7MR07ETjwIT^|uS1V6A0)Df>!{6}oO|py#(g zv$NM-L4E<_KfTk-hTp|4)=?Ml{Y#c@xO5eDMH?|!@WgG6VdsQk193&)=7UGB$ux@P zI*9&>+cy)uuLmyq%1U;5h?!8l=vL00tJyQItY0yuB#;?{|$`18z`O1`xyta=7cnz0A(-kkZm7+s8>~OSzmiW z>qe_^3L-ZJ3Ip(?srZx&j2<{;MYJK5>CQ27P``@KKPMPq5K+-?w3uR0C3FKlI^Gu% zF3j^MN3mofiCA!YA58I01dq}1Km0YT?&GjphHgDp$`nQY7ES7{Mm(2&-!BK*i5Tvg zOWD9@)*0fRo0*+2Zf{WZMI<~#|8J?3SNG_Be52wB^6#@oR6Ju2)1El+9+UkA!< zzBOf;Ug)`_`24b5%^~)L;HbHAmO|ulNmK-^ObJOY(;h3f5cLrK)RJh`21jH(CLgo5 zSU~+2@SY|O=i=UMZontl!+E0}-|`Nj=fq#}-tb?Mp7R#5p5BoQ*pJkj4Wo&??`;s} z{Y!o`rp#K$0O6lsvMnS~2E;e6R1Ih`AwEdIYs>91nl1t)bud27K`eh(0@N0u%K`cY zfzh0gZ0`MI>c+z&6ChO1lIOcPT{FJv33t%pa1Sfsv)%&}jz-C@-=P`?F z?F~mvW(;|w8Mp@=r&6>MRpuDC=*y{R*rpuhE`SOFG9r!L1|Qj%zo`$Kd}$B_n`zT7 z-JNn~UY_9Q&<4xc9$!~NyEVW>?LQvkTTaM`jEn^Do`0Iirv_HV_TyDQ>O-bP?6NtU*;aq3~Y#l6v%X>73IrH0H+(hno$QUV@vrJX;vZ^b|u}T@iYO=G2w7AAZ~E#a=!*cMseLJB{rY z5gtMUmmwRw2c-Vz_nkTQ*N5xPTcB;7B)IzUx z8B=aEcII34PDtLZ?@Q?jI%_vdhO&Iez?wZ#8G%N?mfaz)Y@6TYD2l9P6m^Drzh9Ri z-fg;Y3C+R$)M`2c;cerGZY$3&E*2jwB`sfreLZ{hUPEZIECoZIVK*`tghSd&T-;B= zgl|9kMd#{LK|#?C0ZysU_Ci7~LI;G&pkITNXk?>*V1Od1|B9C>Ld0MJw3T8pmXdmL zS+gC|{%NV4wl%6;agPb*zs6&!69zx~KBKp!q!Sm!XtljCM>b(E<(`wBnP>$Bb}$~J zgQuGb%^exc!9hNg3YSPftJ3c%t zxzdF5G?p^7x33=N^E^qs(w767tcB8okNsw3sN3MSrCTssBK5+~k}7c@6z&C}dVmO# zHg@_`oY0PBrNf7oV1V%(3VJcqHY0=P=+S`6|Jm5M;FRFu8>+Uk5y`M{pjIl_Nu+C? zMXzT)6Smmyu92|>`|!4go*RdDoz6@lX znWZfsvJ3*=)2@CZ*6YkF{mQilom(E|hCbldmJg!_h%?FrVyS(q@7z_R>ufu$}JQB!R42fdF$I6(L#!g;XIE9UXnTY10Oz z&jSGSV`|KBX80;u41BSO8v| zz)y7Quw>>QF9^;v_G?czmABnIaJK6NjvRvy?e8l}OIy4}Unz-A< zX|TE3_veq&@K-Y!quGY`(4e3XjS_$IH9*u@lOCyCLt|qJW4H}s{7a1Z4N&y(5TVzmAmhp>(dDI!B9R95D?F5fH5maAo5K_(Z)#b6)#JS3VR77`c3sm4ShQ;pe`t zT4Y9<6I0>5M7320bYM?F5Noo z8u}TOSnj8#a%;3uL{mZ#cx^XS`$&2$%a|Kr%k=uPyg@{$O}%I++#-SL2}l&Ke!QhLGc<#K9NSI-u*k-(uZ z#U|(u)qk{U2VVF4VhmB-@?pa==LWLuz0{e_NN=&S*k?Q@d z!8ElTL`n@8i`EKgI|S44qHe1_&j}9Q5LDI;XlR`~xfcM<(4bcHr(n33KX{8a%)&}7 zAU^n~4udqiSMD4e>OVmEQ=dFl4dT}y(fz$bd7U7NMpRT3=b-&sL>k;@(bZuz8QP%6 z0`18xRR-fxVf#5yo;kb}2_+QM)m;YgDi!}akox@ngAJkC62Lrk8-vLd{Ge}`jW)Oa z8B2E?+9t}=g1Y~K6{fTs*>wgO1%nU0YlHSyT0Qk9(%Rca_N!p?ErB*BM9?WUa^5!# zNB|m!WjWCYZtSoLkYWx+mb$Mp(AZW!X!5=PHAl{Tnhsa$^=*k>BvQ2;d>dwKXueh=&XObMS(1BK1N%-^r}Ii zzD)1_sMpOtnEWLo^|3<+G07E#C!5^K#goe|^j%5iOz~<*CM6Zv{aIOy&7hCrsQwMQ zoDH}WWOpwGdc#6Pc!$W|OG@e|%QheJQk3E|_$z)tS@qsNB__}(4L&(yjmODGH7*Y} zP%v*$p0+zcc#fCX;?F+xtuT-Z+%6rxl?nF-nN#4S@)!^pvSIx%KM5o(nBuoyf8*_5E;wdffvIv zN-EF=yl;Ls?&Ytu-0N)Q|Ad(w0U+fauvD*ML33xO-oX2LN9pPmkUznWg2b!WczAfA zz+?u)0%5GQoZKYphSFh2K07m*!=k(H0aq~prHJYaW-PZhF)Y@k#KeqXiWs<9lH$&0J8L@Ip>7N5FQy;35T z$u7i?6I4Cq__*MLCmUI90RTGI9cxX1fMnc&)BBB1dzD*S+sndAi>p4WF@7X*4)a#Jta!D zVa)&3ocS-m_0&w>Li6#1;MyTrNH39s#4-3NnC$=u7VPrk;^TMHrkAp@ZAnwTiu)BU z9!+nuXkI$vdqv?w<0B%)-krb4#fMbFo=^2-58irKjFJ@80ddvJ-Fsuh_jq|YprH2o zW#RkFBA8*tLC?fsB>czE)x`Pn!rGUYO~wB{+rDY(u;QNKl&5%|sHduokp{M<0S#&2 zthn*5e?E%zojx>%NiaY5<6D1CS1Aa#inO3}es?Ccb>%f#q?S<0YAywLt{3e^p zffA}8{lw|aD|Iz(U~`BqHSD8AE@J?_qQjps8LXv#%N*oH%rw@_v!3S@W=m2ijCf#E z6b1!{+dQj4T~bAma9s0fb~CUMghq=++)}#=Pjc)N{7A;sk5s>0N1J;^may4UO1y}x zc3$CmI(8jULkj|rjTKB;hGI}&0Ln2)uxvS0G_Y7PuU#5%9F~NYROPMh4|ita`k$84tBPp- zdjpje`AC>8mtZDtyK`5g_?YKF3^d0oCP7o|&M>R+|+mdWv11gv_Q;+0oacS@sD4Om&$S82%#4kEunQRSd-qg4-#k;V- z2wi7vtma|L*+mSojfT{866@LB%Zo@nZTO_=mAw5?zu!RHT^hJ)o#i5h<>4$W>~*${ z-{@SJ0zHafi=_8x)o7ZBoJV1UP;_uoy81H>-=d>OKq!oAx<4K%b4=uB$A_S={^%;{aR^@3; zhJN_)0TlBp8<`CQxY1JVfCgL(aS%;M?1?-;&o8w?z?cd5nRlTbF z==u6LN05D8GMx8k1gcjkMLN10-*jNJLiAHbRH(c9yloF* zFwf~968%pq5QpL~qixD&t9$t{p11lhIpitb^ELGA^=6p`qQ`IcE9S{rhaxr z7ka<3xqNpzcsDT}9l?XQ@h(|F=RM0sWOi!B=R_!ogn&AqWDvatW0r1pjqXnF{{LqstztYqCI#q|q zDZGhp-#=@4`trA!fUD%dKEf%;WaDJz^w0ixaS@3sanLbH;kPxlvZ8mmOEJX}1;UqV z`3uHZRunHaL_v1|524w z8mmg{5w0MilL_gls$P8h_@6uiX*Jl{&5ehf+vM|SpakA+sv88wFE|OsBX(;Y@Jc}g8Oq9O zAdYQk2h2jM3}8ng9WmA6Xpzqy5*zg62WG+xP>y6n!EUuOq(D#@=tP|p*J_pBuu{de zwR;qr^&?_?6`Dtd#&==evW-CytD@lusKc|352`tGq0-H)=s9Gj?|nv(9jT=HVX>ZN z_b3W-H;hsfpcM-O>7aswAbE;n+uJ@;3KK|9L{_5|*aV<-!qg>H&`9-NoHxbzan

ZNHzex9T zyCC}iM!IrL#$11pZlU5G(ybt2V&fAX$1U*Ogpb2uv&qoRT7?>c>TMZ1b-cV}G&uU(@OYNy%hAu&P=!OV ze&4uHww1BCWmUes5JzK$Gceh0tcaxI(2|CBYxnQWkrS9V1nQsefWPyM?5(4-B^Q=+ zrlniWxQLZ4J|q#6nftiX@Rs-l2&#%TuU`*k1cgiZM+`(7g5&&Ok8eMId$z81Fs*DPqB_jzkV?LTS zx8D0Y1FQxj+M?8RfJa#NL=;=^aebvxACu6W%Mb^8u0pyDP~%ebkX7@3k(O$f?zseg z*$J}e`a~n2$90M=e}0cw1hI)7{Z9I@>Un5nLX&dGH8`OT>_lW_uN2=O9M~BQ9He0E zoBdJgy2FG>K2ELL$&9;KhMnoe4|)j z11TVtzIV#+(PA1eoW5i0b2 z2W!;XkbfG~!Nb5P0;=K&uvo;>9n0#^5dikkZey6?6Jb>#rHR}0^qLi z`5boPU-gK{CNZ;Kehx5-V4VR27R;n?5`>oH#T=)=C!;Xx-TA!7pj=u%3J%4ywzp-6URe&{WWoZF zZ}$k{|3sqp%65>&oOeXr54Jx9@!E=Papf59Ogf*e#57*G9g`@0FSp2|yXygB!iIr< z-*Kn0g-Ry}00YU%DV|a$;*pG~V$2*U;)n=XNqjXAmWg-QW&quy>8w)>{}Q+kd=PRw z!i?+!CG!@QS%1n?z#kZ%r%PBUGk8+<3c~#a$bxsd`vH(t2vLr70CkqapwMxoLWe^& zu+SMspjt+xH1Il2Y(c1=D1gr*Nr35~Qi2V@4Br3fxE|UVS%Z(KZyTyA0^zvFOQ6O6 z(g?Fn=QS>S5LSc-avS5T(6*X*ow~gP*?u=~I?iLSsH=KP8~mc&r$CuoV#2?Kd`7Fa zhlh~CYd>+=-J`?r;s&lU&0g<>wdggYzHe4zAV&zz#&%aTzxxRTts+#n$4zJZ_^hAU z9rC=R4-?|FH#;TmGL>cJ$$Pl`B@;b?O5#DXXC9(L-y?k?!4dpyH|WVd3UyicZ%+HTJX%J9kvMpSqJ zWrq);qnAs`=-`+=79!;ZLc~u(t%B2)rn1)kL=6g;6#XWxzA)4AQLGk_etQg5zf9n$ zD3Z*M{=F^YYP;+c(d)G{FgoPP*st_U{*5kC8LP)IA)2w#_yo2N-#=sVL?5}UQo54b zdN$#`j1R-7M9pDR%YTEO=~qFn=jMlxbP1ND{*eRJtf+%6I@u(-JTNtk!a2m|uwQ<* zYt-z#outt1Xx;RZz#U@I(MN?K)&1;U0$}fS-n2jtgEgsZUw$DG`phCF_m+D7H((c~ zqP?3J&V34PehhE#2A2QPCwr1_<5^Gzitv#SGYI6PCMCol;IkI{%q|_KbDBPAI|!mD zfCV7(K&B0DU4AkB89uWy0hYI~mM$bIn~8%3vEt)iMVlnCVW;GT4#ID=$l5f{egX^; z_fs&$kaHE^?-_5jnph!8PG!WyDIsYDqRB%zb&3O}HYQ7?Wj(BsEmi_wr;7t4 zF2cEdFc%wxtL}f+hweSQbf3#Rf9a!`6`dF=L>K}f;j`?g`QX+Y z)RjpuVv=|1CegO#haPRTmY}o$XJE)A_-A0)P6A2zbT-_WxpDr6uNg?HV9NNIpXD9yAcdPGI;Tyl z7Q83_2lzFJk^8WF;e}u^@H}=-gDht35&|mC9-)#Dlka%fu{F|QiqSlqx6!xNMZ)&~ zSLnCt7Z29%KRB-$Z>D+^HIZxG(0Owht;{{{(eM33>>m6ijVny-cYP`}5RspfAtGjWS(5dy<8FZ z?+x5fGWW8j?j4qZM_zD)sf823z4e1cBNAD!^t`9rs~lu!KpWT?jeBrdd0XwO6MWJ@(wUz14$jS?QFk8=`c;}HP829T z-5!krrDwKEY*=OT;_q4II+#@==(;zEcJ_jv&46lc&R+%Ef&9HC_%|Efox$jYSzn)M zJe||65KEU&R0$eLPot<6=Vv{9ro?$_)D>Q<^Ih=cJg97?d=o#}L+`o%*q$5%c@Qe zEc50^*Y?VU$9a~Z>XU32p`X^+(9YW^W$Mk+)r-@iY!W>KrF-pEo}c_fB`J_75Qw>~ zC|aiC;Sa0Ongi*=E~jy(n-jKwP1I<3U^$2;6DJM7oc`_n{*o+qqQji~>3R2bb}Jlz z(D>V6`wiSgX(Wqd>M6M=Od+tpWYhBKh?;BtM9hXyhcswJ)dbKL=#o z*~>-o=PCPj1ep6kDM-9;lloZeZ+-mh2qLxI{-5^FGAye0ZTBJ)3Q9>gh@*5#!+@lM z3@sro4N^l6AtKTxC?OyXf|N82A>AR;-92>Id!x^@-~an*?+^Ri-W==T101u~nw9r` zo#*+xF#jC(e?LA)4a~UnlWb9#|DNbSTM8gx>HUM2`1kvWBN^#Hh`8G1m%;xyJX}VL zQb&i@lSC5pDlrdi2mV3ChXo?h)1oiteB=2e1*iT`I%n`FkC9i5MT+ZW?HArHohD< zST9dY2zw74veKJl-6`AK)nz9~JUe}UlDr$khSA)QGFmN>tFNk^La(E7cj_o<7S9j- zhgA`mm$N*MtzC~!cAorfcM|Nsy?=nHCY8}ugyT+D{J5}N^#ARmHC^$G%A(bi;o4gb z1QTUYcoWfEJKmXJ1*WaT*e#e$YuKK}<+(0=-#op455RF%fiZeDQkEtKK$CxgI)B>z z$$t&az9_)6e!f+E#y*L38~A;YQ4C*IVb=QbV6iPyGDbD!DF$#_3VB{#9GQ~$kCJm4 zz4yB9x>a+O4SZYInf-w%nAo>qV2Z`efPI~^wgtY%ZqM}TDyQItlH~?J9JNXZ6QJmm z0k4{TOp){E*b46e$7Erlo%{JtxJ6rpI#5OG@=X$^$PJV4f0N#y%aS4uCw$=%|45`u z-S*^0kFd;s!>v@fU|_Ayb9ifuIQHzW_gO-K_iNpBP3qKj(g1cU=VxW2$0*`dP!HAK zu2J}sXzos=Q+oW7jD|+>>}V^i+JzSR^S-f+mCW|Vc}VuynbfDLhuXsN<`!Go&8S#n z`{_wXgX~XM68lHtC_&}$f`l+mgRicM+3nE*j(jlqpkr#InL=!#kNc6{^MaZd4t2hF zF_pHpC%)iaZ5D;_j%wM(nH=xpcKfkF!}4RG5UU?)~H1`Gu;4mE*ZSOH-ET;~Z=zw9$!o!XH_CaeGDt zl5FT1B%v1c1p2vfLX3sWx27`3ov-R-(-MhCZyO$^5{EKK9e5EL^d^4B6FU|^*ccrU z2#FdG7dw^fP88-h00#5TTh($?s{gtKqQ@)jjh`4c+!_R=%|~u>uE2TLy)W#7$_Df3 z9N;a8w@`$8U0t{UQ4X?~a1CB#R?o?udu6^E&Fjz#+u%_i=D@y+nUWtN%s}D(RPQe3q1EpkFY3(S zTl&?R;T#3O(SlLBwRN<_O3eFpay5dR(PLsfyCWmxhlwg%MOW5mM>5YBoDE$voH+tS z%EpBcVpMz`WtJvt(tfw4K2MyWi6cp_0rc?gJ<9KvL*GIAPV^u;N4qqK-E+3V57@_4 zasV*fRBV4+AwkILIndcB0H5Pq4dSS(1O;4gDkdG#%E0}gasDymnrFpx1;ppIYd`+t zUT1_B9wky6!pf>((j7mjAbSDW(<&e%seb-6y|+91ag@9lu&of+6~;R?xtdwt&0wbv zXMOm7oR3pyp{R%-rJQk^v1qoC5II+Mk)6C=G}-^7_IdXfVVo~Q3~9H(b(pYH2n6eL zwUga>_0#B)+`tP8XU=bU4?1J_j zJ8N$B4}I5J41i)H1qP3BQP93gzt&?Pz^9Y}6}zN0+?(@YM-op|>ReJ5ct0NU)jtB- zYKbeC%aPWt#a%q63*c@U;|bcO10LrrVipZ0;1J*ELP}Y~2`S;g-@w!n1;GO~t=P5U zf`YxttNH=7)yZm1rWf%|Oq9#C!0kR_o_P&Axh_c!$|wA(NTC^_zmp_#CZNP)U!1KE zyoap&$6a4EY%dqhEy8rI)^DV|l97-gD8H|_d0m~M#7R=Lk4D)dsEBFqGPxSjW88Ti z3r2EXFsV2h3$JfrlM~! zJ#RsF@-gP{gsjgC(VMvtEf!D}h1Wf+XiRH!l-ed+cIV|5y@5D>g9IL z!36JJGg3AU%_LQ!s@gtRNT8q{>(}#ngEDKCh(|d+;3+-eiv|Sq7gft>)BRuuq#DT} zz3;-UQ)X=nlLiRJl3KByDcawS90*gqJMK>&zKE9^EiQJ6$7n?@gJ_1F_YsPiVDH75 zdcMg6lP$wtwS0@0z1tVFcJH(|@?cTjPkPSmD4Z$ZBU`KbP22b88jh@XkEv z{nr0r%uZnL%7~}2wa4Pn)MdFZSshEm0;ZL5{>j{j?B-B&U+ymau-O~AVc72nH zg7eAqD+1)0bTLVW|A6TCT>I;bU%;lAs7Dd?7^S{^l4#Lc#HJQ;<&DzI5N5kDdz@J1 z5L9b*NliR+RqZ`?K@|FmM}>d0`6I`#vtB#AkT0)5GINW~EnEYo|IBd0_+`1KZWW=k zpO-l`!PCQaAN_CByI$~>bNU?a$~zcLp~t$OBE9pxDfCARlSB|3XNS$&G2`Em@$6g2 z!-G)6f;_$ruXC={;JeW1XCEKT=AWFvK^9@MH0&eg6U+3_AvT>drBchmM+=C{ri=Uev@lsQ=ZEb?p18X?T!mz?iaYsO|-a`woaLX@c}JZ)nI>Cmh=Np2l*4r z`zeW_ylKzEHD}lP*?7zqI2sh9J;(}P#PjwKzh~=!Gjzv4VI;db)%4{at{K_t!P?-S z#(R01{3oDL+C;+^1Gke5K)W@I&bhMr8W^b9sq%y_OIqE%k`E1W1xdpEeqmbIW--8? zHCu1bJFV!gpyT!rHU=tg2K?qa{6QSdDOXJVMCDbvqh2tl%oz=%yMHgn8z62s!ubLe>7+N5^^;hFqYF z$uNgKcB0QrGl9&?O8*4YmiV@cAE_p`UN%5;zW~pzjLkTZ)k_DZN(=N#;QK2R;!<4D zRCt;#dvH}r;wP}YAC9l?Is9bO9nKOxpC8Ajr-^BQtUSOGK;jG;E9X^V*=L zOE6sa^EL;DecSL{{`9iL((lEWFjw{S^@0Ij#GyVg+_`%d6$N4)x;wvKatgz{Opvts z{=xk9nm#xO=;^fY~71hs8X1k3eaqw;M@ypX!B^jM0V}r&q>}kxcjg4fS z4~%m{e%G0Lk6m4QomRI_U#I@#D=bnY^U4|5!_z?;JZ)#aRaG=B?u}~7U7M8>ANJV{ zeu}yDeDnLH_=5v4VMKEu$G0_oqGDhw{t#iMW<+mh6k=AY)k4zK-q`_0Fs8I#tgTj~ zW0pcb>0qLQe zp6ZS}ak&NeGPun6(fDieRNr8S{(o7rxnKRgo6#58kG)XU?pX{A_MDI+x zOcUVB?MH~?v*;GmQ5ur?kP@G8!taX8mvyp-Xzqv9yT0k1GD0R-LUXB}rXftO&6;4K zL)iMZRtESeT^>W|u(m%aBDfmbJaVF}BBhg<+^?oVa5(1^D~59Op%g~0O!|)<>FuFB z>St=mH&XGNHDJO^&&{)NMskSmxKvNuWpN8iC(4!~Vg?`bC`sQ;5L5p`l0b2&&#p3dPVO zuFLEA7`8VhZw#-!m~f)tvu=|a698GyulYnR*6>@tvvwCggx=%6X!fpJ;x8TP{N@cU z_LkDB+Kie<1X=uu#cv-PFc@ZA7-Li zy>O|bO{_}zOs-mEf1WVB7?V3xtgXuIRc3EHW7yL`udaylBT8H;>nAdU9PO`Uq^YIZ z-HlW{53!YM&n%&G04;?D%0wB18{sK%0W69KPkU>&K*b&qkVCUlv2ceW; zH*Gl^Xpr6P23ful*kohJk^Wh@Q;)jTBB0)0F!jpx#yt;EEY=saRsgFHRrOsOi3#J`T_j;q0z1p1YIUhK8 zJ#Y^ejkI7ys+JAD?ts0=yEX9elL_O4s)ij+_IHnC1vKPaR}}-{GdiT}%!yBO4El#`t^q7}8GO>P7#tBCSNcXrp9mW41|59=&?!P!iGK7Q zpek9RlN@aVTCr){&3*82%ZJLG$n8DM#+!w5exyGROFp`hq=o&|uurV1JxvVT2$eTo z3Q~yc%alA8WTI@^{q3}2P6Gp0i>%bMPs+I#67Q!Oe+hpRgngGwvEK8EQFi2q3nFhq zQ5CVL=p1~UUZalYK}3m&j`8xZMjY-cUOzp14=bUr+sH!)%9eaB|M4Ewq}j>Us_KS% zZ&$)z<)V;q0staW>u3Q4LO8^AK;otI)#AlB*+H7<`}T7rf;|J1i|s3u5(e3gbe=(y zetb?#h*=4~UC+47W%$_Xp~lk~&evG}_kNDxmWZ@fom&W3HPQz#CjM}{<2kTFNv$!n zu6JwAOVFY?3UP;Hqm@!@NY5orp{p!{)q;DzZ^!xI=iolO|GioClc>7lYjRLP-UqCq zKmqUphh(CFLxDV&l-0^h7-4rV%Uple#*G`~kY`d7YWlSz0Guh_s*`$#SL7BzEq!`{ z-!!n>W)YLh6>#SrN`*!%&)9SaXjq`ZeBlko?h#BZvt`;9N|AttcaiDkK&%;}Q9hTW zq=o-vSn7+SyH^2l@9qXMf7q6|cJN9grO&E9K0@YwbWcanaqmeQh#0JKklnZpf^HTK zVU&f735Csb)*1Ac|1cGtYCRxk`K-G>T}4cDfCS)I3<(vCaz4d z5E#O+=^wokkbh16xdwXmx`0DIkWi5Io3hK5*5ylpSR@&`N(y9@Zo-q zBYe1;xb?IBCqf*3-T>6aWg)CNkN#)Q(v&VbDwJd)c_YKVCEq_u#>` z_fCHdD+t&ok_sIA?=Dg|IfX9JL?P|Mi9L9HT-bMs-rlEPkH*cX=aaQ0I-&ct3Q_7`liO4Gd zg`SJwiRJ47%<%h5Uu+3UjO$r%$A+Rg)>GIt;|YggHk}!LxGCweS}*KZX+nUBCV8uv zJ6WDMt%J)o6Xbixd`+U4ZGx+m?upE9w;9r6vgvVupDZEM@&at?;?37sqo zXG&tY_q*;HV&l@faGd`z*$6aR9WHo?KvN~l@tM37WNS#C5xh|NId`*LfEqoAj`I~n zeTm>r9An7%)4{;8f`#Nwa}NyH2Ru7;Hyf<6V~>b+2Q+`*(91xiX*=l#Bzgpfk|yCr zaSCiu@t7aJXfoi+!#{y!de}knpBvpx`?(jigu>CYCJ?o zWH_&9#P-b1C^~5-{X3;_@32k^7@@Qz$%{$@nyK1*F-6`|Sv|A8G+L0cPF$%-n%yx! zKfWte@Qmn96qba-CNL><(wyF*LOE`o%}5NZa?4mjVDSkdBQRl6h!EM^y|wQz4<<5J zPOrXT{r-9OBuo9h?+xDuW`%6=ase-@TpvFNGHe)sMlR)~K?x1n2Q31Uy0Td9Uh6za zacg}c`ZAu<+QPS0qNbW+x=Ui+W|P)W846U|C0i3>lckpzhg%0T$)C-B^#(S0@hw`c4z`z>idKWv<>Hy6&Ti!mP z5~_4oTP_j(oY|f)@%An!+m2z9W?CMwW^0_MS@qahrc>%1iZCBOW$vn6d%><>o3d=6 z@F^!;$c6p)7fWQjF>VSdDE?Yqxq2pe*psw z;YR^xtTPB$kbWDLIrPRSo#AMqvQH3)yF0H&7o(mhj&}EA_7ZG7^H|vqK|igIfhb1LlyUn5uxhnT1$3i*Zz>MCWY_zyuT%ICitvzuYYy7Tm&_4Y-jC;F zKO&RMc5AlP?uB=}^xi>sTi-uZ_kt_BM>t7m>DIy%CvYG_wQKhATkA>Moj*Oh4-DC@ zR7wuHzV1w)UkUhgxruNjcykC$h^Oc#?WYcyh7;R=h&pr?hH@>;me*fLSba%oC|T9- za3~lN0%~AdE5o4-Uls{l2UDhe-PUl&6^Gr#&W*$En(P{Ju5bAAw!7h_Ve9MTt63#{_1(cVtSuE(}paJ;uVhQVN~np?hF&`Npo2*P7mP9O3(7sk>MY`ub>E42UfN zDZ48!S19RfHEXX~gD69fe!Kqm?~~MfiAV<(4z~~t<_|;U&n*g@%H>k&fU+l2)g8-2 z!q~luxk?t}<0g;=`NR4qTVhC#cIfLA$JUhKa--@oc0iw{{h(Ykgt)xXZ~e`HN8N$>%zMlH*KbB}+-Ol&%! zO`>C~2K@&o=qiVR-)W z!EO`-hH{>^6cL0_x02A4qS%bcr2Q%U+;zYA1-97(`OhI>fU!KB@qff@syUtuhJ%K* zUT;L80)cU`WK@dXz5CA|%l;L;Z%Wg(O}|?kVj3$8T^ZqGAOpo|LYv9wC6>hfYlBjb z%Q6M8qZ`Yx6NRLsA+Y_P7uaJ!{WNyQ?ZpEe7(QT)3cbcV#f!N8V4vl#F2x~Xy@p(* z0@>$G+45X~36M<2l#YhRnz~vkV^$V!FR%0w>i)d|UGZ<7A2yZQ!qiGFrHJaz!d9}r z1}G-b-MROWFM$D9Wr0B|Sr*U8H|Ws|id2vwrhtlZ(+U;T(SXUzOMRvsCHlF&7D_pK z3eLAHMXe0K^7WWbFOCALQjW%~2!xKu^K=#}&51(R_g4dx5Mk!S>_%G;`UhY{pIBsn zr{7l2RA_3UCCFbqJ!o_A*u2>CzM6MP$^<1JN5K~>*YYlyeyIZP(rB!i)n!uG7k=3K zb^E(~hsJ7qbnqRYgTimh7k*pIJdoJU(a4J*vg%9rvCpZbeqtHjgIN2PWe%FO2zlG&LK8JFlL-iP&xrp%uPV<~43SJGYdfPSoqm|f# ziy$*I^Py5pDDM;6a_Si*=&XIF362JzST2tO+)e#`*I7vA4k@DN^6(hKRMr% z66G-sKwj0V;w9lgF)$be1sV310;$BPx>`#`-31mwdt7Cht{Jn4325Z^3qX~Wl^=!E zLO<{BI>Z6mNmruqaP=#F$8jXkxjNsXp-cIthsqMm*sc1GgGMNHDPHj+kM(G;!!wEtIlQLhP4c zeccgxah1IK)%s_o&n>yPx8#yf2N};_DQHy_dAZlCSb4Z9eUHlS`Q=Gg zcD7^Bq`R8zShBn9?w)k*aYLa01!==|t8AY+e9cqsy3Zr)AXhF9$6();23Pk+Fq7gS zMY0i69B$hG@abC;X0-q_e)05D^+KV&*Uk7%-=Rp4lV4)vlhrO4?GC`^*FU`J!;3vx zTpLzD(&s1Y!#x`C-Vj@PnY5^43%E_uMU;E(Jf80Q<>WAL4txB>y7hjkO_p?T)XVQ! z<_Ziw28Z8mB(@|vj_kZrR;CwP=MdnIxN#dSywIEN3}ru)G_M})?XR{($51*Re&?bV zrcl*fS_ca#< z^7CafgG$~V^e%vSQvi|Hn(tuZMNW*=L)JpJav(F|{q7rF%yGE1CqPQc8vR~0v{}FLP^*al_>qvuq~ks)SJ0@csogi1#K@BR?WitAXRIZ1^34n2S;mzodz-J0pq|#L5?l_vZ2@`Cm$&Y&AhK+G zd#x+^U~nzh4U_@DEErvfFV@^H3y4?l+BlYxt#uWlG4ii;6<50Mq#m$TTiC{jBc|c9 zi6(s8+Qp&^5A zRlxaIywH>mv`j$!xw~FNX-Rbyaa&_9NDk{HI1NJ zXOe>?p;bwLp(O26nU3x+iqwyWi?gDjh_ZlMLsCr|2S;iBQeh4MCL$BDMiy=2V9?f{ zWF1=LvX!I|%P9eWm^I|LYJIaEW1Sf*xBjOumNe-*CVfl&m`0*?JlCYC{BlVixMAGx zSE54Um5uM#)3uY{aiYU)Rn`b_M=aNTJR)syVjGP9j?<*Fbk>RQB_g(}yRkkgO>z zJSia;5?ymfz|Q@x^Y~X5ES0rG^7qr%r+H@h^-&OEoW==vC$`A*(_Ga8OQ{POR2feS zLSk)bKfJq$j~3W$h&m8x@IE`+Qlzfp>-a1yltj?r(s4gaQ6y^ZS0CC<+^2VN@(b}T z0eoVJKd4#mO<$aZ&9aj2#%BnA_VKgBuWJekG0PnOemd>50XcTjqjlcibnC$7HN-fs zOkC3=^v!mJ>)!gB*F|BUbYU_M8cs1CoW2&qvN1PjRBgcWDL~pSkvJLzbY@C4Mu5n0 zSX0DMt6|#qo)cGj(E6e&CATH-Q8N#?=X=EXrC5$#hI%GILdk>^y!5MG?=}n{T=8Li zRluI%m!YkP<_Rl~q=LF(DJ^zHe(}lF;`IgVp8Pc7HAU|>ld*_#5hMEbb16c6??D8s zkl*;BNX|2N9Hk@}}p$TmAd8f1!}S3J(+t-TFdm;7XiAFvc8it${n1O8+e#ep##`1cU$WXZ2#hf3 zFw0S65p!#&OeVgt3sh_^@AZ~f+c6y1D0<1(L$y4}k(9>&j4-4-e3A)|2VY>Cy{7jyn?GlN)19 z+tsTMLl=pmV=5FPNpAFi&mR$fpM@6X+IpZ~y;Y)A|S8XKqqnu|*&6wyZpG=sB;BW9tdM^fSQt`|X?vmEx!# z%{M9GtS)zzNX zmN?akVXFdmkP|7rS*P&8mgywE*1NxVt{}b~Ns+C!;Y%U~?!C`{H}v;60eu9rFgR%> z0M7bF;(Gk{cd=&>C!oxlGSzto1{8l6I)+=1j2M4KT4VnC?tk8_O@TM0trOh8*f4>Y z&ELf*06#e(R8IB>`Tttk1ANHM`$hI^Hf5jwUD?}P3{T%O@G(%goBn-A|G6C!u$7ux zzLk$^{JSzV!T@ZvAh2@}{@>j>!ONC6V$e&$;`129x86j-gb(a3&q*3BMcQ=b5ykRQ^+gcmD-Ugu@8{ literal 0 HcmV?d00001 diff --git a/docs/images/mbox_boot_fsm_FW_update_reset.png b/docs/images/mbox_boot_fsm_FW_update_reset.png new file mode 100644 index 0000000000000000000000000000000000000000..b6b9311392b2a5f8f0b7d79730cd102b415e095a GIT binary patch literal 95858 zcmZs@1ymeM^F9nAfdu#9?(PI9!GpWIJHZ#dBuH>y9D>^-i@Uo9Tih*p@ZkR?Z*K1I zJLiMmong=HOm|iFQ&mq_6RM;jg@Qz=U|#(`hk^MBOZfL(1(xp5 zH7{UbLabq4{<%g6dVKx?LSN8t|2e{agZ=N0-(LKCHQdBExPQ-IpgeyKUs;|QdU)d` zt?dE>^A_{@1#6i#2_1qcjEwkuHBZ>X41|Bu%`=|-9n+esTTcqE?J&u*gHq8&mEMPW z0?!{aZ~Iv$$8JS56=O-htD>C<3LRLBV`B$VQHdfl=f$kVAGIp_uUe;*6%$RQ+2#f9WFhUM!OEkxxPl$h}$ z+t+26R_iO&@4Eu%x{t`vWFM8RQ-@CU#eoueKk>m649ZkQ`9|-$5Dwv}jrPXIEXB{f-w|}%1wl8tlF-uyL!Myli+xq}R!>{j!dGy?; z04iFiiMM`<^KLE2rr5QNOo`=O$cj<3re-+XyZlIJF7s3Zg9o4D`u8Z%+Dl3Z&WBB6 zsEdih>%2|BgH8DuL)`DFNS(}5KdiIeJiI?c=)!&-RGeQHPLP^fRNK9Z8yX0wLG=sQ z^1dM3#^3*7&)Xo(JlOvqnAd+=2icnraWqV92e|(UD7|BHk;F|(&0g{w?U`FR$W{m+ zzcHmvj$v#(M<)MOLQ;lA@Dy2Lc04ui95$hyOGjh0u0o|&5amqk#%mtJPw~043k@s%lDEvY7;-3E&)I%;0-j9F$b>R2!3eb-saM)qnZ7CwVlm$v>tK zd8Y}UEg}xs5l5|v7fXL6fuZ{FRdT^Cc&d?!moa?k(1#Ii6#8};=89yD0XaQS5+*pd=k6V+w$OL^ky<(UZ!hAY;Y${Qc?YJ)DP6XhST z&EEV`hxM~MKIDtnm1jo6t$T009pB`d?wc zq=3N^3g9P{f40bg2@0nPsW!uvDU6m?wHllhVZ({fjjD+$Q$wCn)dD=xfSTME}MU4_(cZf%0YMCKDOL0%`+eY2Tkd12t zQ~cW`&KCTn@tvac^ptoyTE=*$sR)#Lg_*1H6dqThx}odSefWh@i>C7I;)w`&|B?ep zwEO+(@J#Un&otrR+y8oLloK+J&i5?1jz9{w6JSW8l3J^wX5>Mk9LorQy$LQWE|A!i zEIcS*7L$|NrSMB9IsX@W(leJ%LCs$D{UiNne{O&CZ8dy)- z!G0GmX_{ro7wx3||A|{3D(=?1w`I>WC;)@pY2tEq{P2^caADD{Z`HUv>!Wi}!J4J~WMS``vQ5vXCUM|hl4 zSD|FPz?xw^5p{}C;A(Q^%M1obx5$va$VukqL6Vee8FLZqzYrp7@)A8%A>!>Z{IdYw zh#7UtM;j1wj}{IO$+}du-TN?%43i6!#9y7K8c?~!-hEV`5$<;u@?%ox2b^fBDdkKC zXHV70^!Dh=*`k!`zjfQ|Kc``BvN2sg=FSEe!43TnyP@xRq%XdTN27TpAU^9)M&4*b zK6=R}_BJdVhtY{)!dcxyY*vV*0aEJH{)S<=*d1>l&j+B|U7TYa(WwU%5itlmD0h1YmM?!^Mc zcY131Q8#4DtskOpXh#rv7DM~*@H>8C{S!*^BrJ;ZEM~Ie*Gx^@*{t)xPpeDwQ%i4I zGv9I+?aQGC{9CLs`4W1FZoNf%n-VxUXu5NM`0UCL?N_w8b zDvA+#az;!VdCh^|f`x4ptfTLPyQAOYHheT-vs~iF!as+mL`8K8I5|}w zhuQ~?S0@jg7O5Cv!Ej6>5+5GtN{LZ0bX|wW$Fu3PmtL9Qiv1IJ8RN&UgL3LtEtujqY6S`b{oQ^n27C#cPS4%%b6YGvSD zOY7x2uRK^UTnXwk5$oVnWS=4Tr+qair<<)XckC~9XNOq6e>O~%*Xb??xi}Wn zI-JFzytS}!+*o&$$DdAVH0Oc6qO%b0iQ6Q@{Q4`RoPYMH3_D%V%o1aUH%-q$*SM5@ z0Pzu*Rh_I6Rb{RySMRx+-I%E~sq7+o_5SFo05PdK!Nr86G>IyB= zUgX4(;(Atk(9}h6>v;S3dJ9jqKR%Z%rU?r0s`V#IF3;k`;|T~`Bw^y|zDR0mX&Kbi zuS;%eLA-XNtitrwnE#3Z;69qIKgx-$aLD~sF`2(@gz6~iIuI?uT` zXAOY8{`zK}QmVM1Jb=TOWN7FDh52AgkXo#NR9d{)cE6>;38F4VcwM&gDRt2a@vq(W zLS0#*R!DZ!Z@W`@31lvveh5CmFbqD?XJj#ydj**9HgJ-iGQ(?-ze6L=P2z2pQAZ)R z%LEL#KIYARFs|d~xs%I^j77%R1bpIrE0w?8MzsIqFb56+O;uA z4HapjfPWx!lYXC0mku;|kG)ZmA~VWdoQVJQCN3RD&Na>hy#(P|Qn?Zx^}qViN=i;M zhFNv;*YJTnz8+DuH zLI7}_Nqcw|muF{2kqY!FTa#{YZ#yL_GTHG2hH=JeRfnHd7Z;Z;&dyBLI?Z>13=daY zn|Y~(0|ifC|No<#(a0O7rkm4OKzkuwcFW*4tH+VKF2nBPz%SQU4)zCmyR(itO_EVN zz%laZ3N73rlAy-1?&7_@%%jU1e#gS?Q=FJp(r>MISAAE0EKCEN5|9LA`B|Q1dEl&s z`lWvS!HXO7$h%DcTi4nSzP}S~nhff-2_6D~_{pAkLmpq0N2 z5r|(}u`4MpE7$?jFzl`$vlLSDta>}~T{MS30AxN1*Lt|Cn!4N_R`F>HFIPUjIwW02 z!$SSj2Qr-31EK;{zaj)%9k27U)|#8k z`hj?%6pnM6ej3=qrU0PizzeG;&M(fgyUO}5Xb(p>NkyfL0U>P~Y;V>xyPfmj8DCTb8x2VE#Pv zp{k(7zD05S<;ElffGMr{+mSWjtniQoO=s15Kv7dC#-5&ywL@O&f=;TQ0p_BjPOuei zs@ap3_T?g%eTk!0W2M!~jK9NNGeM~vEh6UipQNDU9hNASSGoX9@AC&8S{PQt!v@Ab zZu`eFcq2n-1VUO!Yx=xJPlTP4>+Dv=_$2JURZmTbUSEZY&!~6Hyo%pZ_4WufSh(O1 zqKutGLl@iQ4ZR=F)R4Rh3UZjLBGq-i!|GEy>*Rc%_`lmGG<5d5pUXA-jY^y^h+e99 zWq(JbNlbL+sDNm7Wb`_QV%th2fnjkbYf z1*yRbByDXOUvp16@_gwA58S3c&&Nx13Nn6{&mblINa6|qFZ>wYKS$D}-U-OheK3_Y zT9-##Il3?#N#(8f8R(8h5z8$vchG6KMxu|>39>yqd-2GDu7`@fslay92#v&yX{mg)7PTrB<#1RWZ(-mPzQn~4ka&7xLMYF8 z86#6uD71bwRn6c~-R>xUyIyEt0pn#6%^#4G^MpPN>yZ)$1}eedxd^GnT*+1Tpn1@7 z*S%g?YN(@i{wM6Frn-QuW05|j&{3-8^^AAj4T|UMG1AU7Qn}t;46NmK0v0(~86?br zfr$NAE(P&Z)_NJlXPW5+bm`BT)bA$!0s1JJIr<{Z-^0R&orz%LLB13^w~*gCO1&Vr z7o&FZtUpMHUf&!MI{TKVgOkeU z$61)5xlxBXO2K@RR91mpAB~(z`9OenfC}H;;^DsGuL2lmPt{8uFZDl|&2s6^{VG|w zUHB^Ue?yYyeG&T9tH}Gp3hjA7dGxUJU*>p@6ccdGvb1FQ!9g88*zO+J-wAbBDHJU* z&^E8~=!>(tWrIyEcNBAWu1FTzyhX;bNEd*bRUhjYU!UMdFso7YEBL-TrY zUS!p?6&fMG2~1rOS$77sYppbwjjxhTyGBSxb$?jGG>rMa*IeO}F%>V_e&;ZnzVy-nMQP<)u zrtt%eij;`Hl7T!=9cyC)g~u@xwU?12j9`(nsv|883;4t&Y&yH4x8toh!ubBtFaIDN zSxnwb%sbhvyhzd7|KWoIrq;zoNDCsp=C--z58OH5k&i5j?p?}< z@!TozPOw)thSmqOq2BHqbx*-btG>6pD;)63Eva9~FtVflp1YDt>DtXXidiE0F5I1QG^hG-(8u)Xo4#wI}vwh`zOC< zD6?z+0fU?S)pu|z{Mqf(B`k{VvB02rgoXf27bm2p4(%|AQro}Gy&gY zQ*tcfCnIIR2uk4Moo_2=AP1csDtJ0@MZ*<#eHPp(>&2y3VsiM)L*ki2VZ;=fsP}oA zbo{_vizz6O9~~XbMy^QHoFTc-F~O{@12`P7mk>Cg>siUWIH|KIcz=KBT{m;ofUQ0A zn;_N~vdh6Dd`0FTb6(|}cd$@{d7Utv7=Q~I!|5AS&Kms5#CUSli|GC zDG`+T$pQG9Vv$l?QnpIO+SGHye)B;1&YQN zzXQBKrXWSz-VqAHVo(is;pMH5vo76oy2bPp&hDB#wdfp0CUzjL^|(-?Lszge=G|j? zPUH*YEu~7^T*U)<{=kqZKlIiLAqL`v&$hnyGC*2wkjqp0Q}Apz%{z9ci6WT{eLtS> z_<21$=QPRhO?vZpmjw&;JR0~?9aS|I6}#-G0k%x_Q)H@OT&jCElK(k_=gbA`S&^Ud zgMJSH52G@damfJzKRDAVAl543z7ri^Armd52u`t~=EA3AhkQQuI07XU?d6v@5;>;g z@8UAHT}};_ui_+lpBmVN=(E-Tm|o1nbAHtPq~!gq&38fn6wkf&5+a!dWNnCtxD2~% z`59=*Q566DUT8#o5Bo(GpnhId93v9b5DM&FZV_ZnZS^cJ*G>7tis$hhH2-c9H~~Fk zIHu~H32?tbAchTgWrAVqyW8Y+j~`{?&G%U8gwIeK*;!A|VMDAjx1e_iTtCD?uwqHwB~+|T}-W-+*caC+uw_bPM5@tfsJ zTOUpW`EpoaMp5v*Jmp^>LPZGmA!Y{{(+JO&5&T|M{SaARPHTyoCPp(R#JT#__RV*l zE?k|FPkwdrLubodX4MNz7 !LR;=d?3{;aDK(xNJU4>;Za29#mqrMUzl7O`AHT`W z3nPrC^|*i=S3+#Oi<;;~sR0V9+G9xR;O3(@l7pL*rZf$~72LJMIr@b>3aIDRMAH*k z+YexSzZLoXo0e^C!`Fe#3!fUk`0E+Ljh(&Y=5S5q_oe`IeErI$(9${xua`~A_vxmIUM+buPe`iSYGQ=xb{T1= z#=#bgH6ee3_$2GSgwK&xYZk8DXdcce?Cm-!nri)O1paJvyCoubT@W35)sNg+;8eSa zTOj`fMw5{Os+2`2OJ!LK3O#ZHX2JqbF`e&yKTS#h^|vyTQ1>y&|8fTQnOZc) zs8lPA*utGk8c4Td_fjSqE33TOEW|4L%v3-l_G{UO#+bIfcyXi_I>WXm)woHwDn&2Vff^Ywp-Clv9AJ&!PM-inS+Oprqqo0O@A_Z5|)9i0an+NECrB}9TRO6#Rou$N&SU39d004Isp z(WFTMPjraQu%KlM)`NTQW~9g|LFwJ%3C_^=Ygc8K3Lr7{?PhrGMOpNCE@sr%G(kX% zf85O!2hsiKM!qeh;G<<@o(-DnL;v0RC_s{>`YtJT7gc_G-*FZ@9C#d6s^U}qQID*! zX^)wxddYuNVn^d+QRd8N!m7dTY4(L0Ut~%Ni>YKitDH*dHvS`?D6^ z-&NYoAoQ@IB||cI7!dN{IGA)b3ZKL0#8-nRBtD<`lu5DB_vTB zSLJQK!f3INM+-JQB~|u`61$^gd7GE8B{pYMWjFb1J&fL(yw}I2dU_jF6}q`m4hDR8 z_Kf@uS3QxQAPpa~V7`v--rmW~{?ae?u0ch0)Y##`VW3`>O~V#btRrB1|6F+Vc5%_= zO<$W>kLgY3C29KGlSX^bTl~vv;n}+{@2N&8Uo;#mkCNwh{vSAqc;*G&UY9^!cZ}Cd z;7a3QhOrioT{=y?y_qghyXy{a*Gatg%QbnqiL82=^({M(Byj=0or~7`Rr|x*a`uE( zvCSfR{HQTScv$ZNxl8dX-x!~t+nOeOMHNi)2<`C(PW@ZC^HU9Ff}_&?0{0ck{qPq4 zdgLi!S?P}asWJ=U(dscMyRMwger0AT-0!qxuH|(~^8EJh&QvgQ8Q8weEPzWH-Z7F} z$yJc#^3pj_Tdo4nB-GDv%%!vt*Lz@>`-h$Z`~5hfjcMP4k&B2&Rdl(w3Lc?_Uri?| zqtuRdg_a6BVHY!_`^md${IrmaqS!hBPYvkj@?HA0#ZUSncs+t|I*4)?Yv6T-KemF$ z`5b)(6TE^(3VB!ogiq#Q#z_lovGp4#Ipi~r6w>T%j`9|yxCCxhT%u2sYp#PQsV&U6 z=TgWe)SKmbj7@T|=7BQDye6?fdVJFox>djK&1(`AnKVUHwrPX2=g^*x(O&_CFEBEp zoLSaM{q>EltVO_P-$4|95EZx6Ots^jD_#6EPFD$}sI_}!)N<+-d^k;H&A%+BAjXW|2}A8~vK;#xIE`7J zJJNQ^jbj)KAY`Gsxg_yQ^X8IB0bT1Opv8v7j0uK4`>eBkoSoF=MjcSAM{E6X2x%$7 zJGHEE-*fD;v{~1*PcH&|czu68OK5VXxgS4xxgHA(7BtVY)@E<y${i3N!kX*Gt7rU&=IBPKxa-HbH8>w zHOHY#iQg0uL*TaD8|Gy)oxooPJ~&)fVq7*UtT63vXbbxVN@Wq zsHm*&n$XU54}EuPR4m_i5snWN^g(Z4xI=5}Cylrwu;*z73ofVAuHq`5HVuG55avb0 z){I}U0Li+E{j^)*sq6ds6wFWr99vIzZvAe5!8G8x zyki96*$imV0}k4Megw=_u2?eea4psleN0GoUH0U&TTl!^E_K=blH^7$fT|A8yuNlWzg=Ok$`qDHf@5+Jwx&ureewt@!3&Qn=T>=pOQ`1;KK zA08p%?dJX#ZR_G;LRkK6epTZKd;2a;F1{pu2f9IG+ZJ3SB1S)z-0B(0AHgGyFN6dY z{|R|PG%=#IsEyQv@;B`JKQv3g7MT%a{c_z$d*+c*8p}S4ULFxEhJpy=9gH~$>5<(* zZLJKRwJ>3GrRw4i8a%+jDS7EwHMhjlTLTyO+;eGq=}2U;Y|~5s#bJxtYy-sjTi2-7 zSIT9g6c1Y5(Ii20Pk;v*g~;ocD)Sl5l^fYk(N~)Uf8+4v=Zq9-<&RO1!nq4X<%Ky6!781q(VS@d+oIxs=T%ON-i^c2i654IhS@{|8Si2@rbdqqS8<0dryvjicXLTnHiK7f)VHmqS z-QS1`40d505y6X4de_swDSk8-IMh4(pF*H;J5R|e6Ws^xjU!t>&S5w$`g7~LSFsxJwrP_4Kn5_G?!q<<7&od%O7hQv%nG-7n;RE2|O(m_mX- zcAY5yQwt_kEvid&$WTVH=FO5u%z#o$ldvCPG?#WvH-DhySyk$5hhs@lICP)1caZa3A=IF`GHDSMPry}!%!zHfrW(vx30vk!XZ zmeRU5lrD;JrlBkw$=B4VMO-AvP}i9E8z7!mnnhQTWdmA^rEK$TKr!ZLN{5*msqCfc zJ}vLvzJ^%PCfEh-6Y9Rp`f>h7C?xH#Z|O^b`j+GkNn@zRP=4sBOEmXz7@oY$^jNg` z`2ftZQ+9hetwm#5=A^hO^rT5qu-QXINFzvFv;ZdIQctJSNtJQ>lJHDQxHc37Ew$YT zW@c6Lo(~+cjhpj$`v1V6q5)$lFnO__7sGX;yScgsi2-kx0=K^g|5+#!MSP}r0u(;r zKzjt`G5z|3`CP|kHA_mo$QKiqo9z9M8xu9BGg{zIMn1PFG&gOJF7!dd$7h4yQ`tHk!LhAwRnT_9iNY}n(MWt-*p<6TYo@w2(WcF;a#M^w>rGkX)oJpAn# z8E5+3$3=ytcnkR~Img3y(ktmIp8y=jUR^Fi%rGm_0&~lf^TM?9^o-QQA0ukZt*2Ke zB>HU$a_b80R?g(|pK7+(sQ#U;Q0EF&PMCuzHI%!##f-5pNnKnhunu!(4mu3SnbFxD zx>&lF+rD9Y>-!$%r{C<9q0qZKq18n-)m7)k&wQ#Tv16TRdpi;HY>F9rk^92Y9?X{2t@|BdU4rjxU6)L8PV#Ug!skHxS3iko2-(L*i9)53(ZYJsJ`kS&^9gp z3Woi;ZewRanG7lz(U;{--kUfr@-z8O7Q2gejX^{!TGx27SwCHE?UZCBMQ*FVRa|+n zP>PM~hd3APOO=$4NdewQUu>@|YwMFK>;vBMikABnH3!A2nPrV>DO&;^KRU4{fW&!V ziRIbSN;(s(er3aFh~tvv%E*gJWVGFY#)%gybgp%3%6?HvWBP1K^|c@E@anfZNmBPy z`OVBva#?yWLFr=u1!E4+!Pv4$k-iO9jDNec_9&Bh((UE;rJ*MT-`&h0dmd7Yi;q%3 za?`aS1w<+pk4tmBs?yPNaxWw=oR6(VL5aMaag*=mEJ&bkxm2Me%Ewn7+FQ%soSxQ^ zZS3V_&q?EB6pRqqaxAo}{K8`3j=`5M*`;i?SFS|&L7h9YrK$d*y+Y_5a6|NeSVz8q zo-Rz86+AVxmvIrI__Mq3fypgX`K7hZH^Fvd+QBMh}b!G#Wa2I3A8IWFU)2 z!o`(yN}h-G`Vucs-wk*jExoQ=_~971JP%B^uxhkw<-2YJo=zG^RqE~#EE$eGU5dF~ zmh|qZij244ZFV+8AMQ%oYRc%`cu4d4riP1a8jPUp&a>*z^|TAOO*E=VLb1~AYX*PK z`4n2yDCBpj+G=(~fZ(b@Xd3i3BQGPw-h7W3!Y?D^WaXJ- zPIK~bU%{GY#r|D5>BBB_dcV574|*Wpr>Pq2>qkoL*Dw9`mqT#=E*f? z4(?}d0A&2)6j!O-Vl1~)eX50**&OIC@eg=G?Uj!q)ORG;thVe~Se!S$5FLnr^_XP_ zvy9=#<$TmnG|mb`iET_4z49e`NjxLw@|H5h8xcJ{!w-|0Z4WfQxT zU3#q1O$MPCuJ$W!yV7=d`Qo_~FFsOC?q+ai4TYT*)jp!n)0irt&l@w|pXvu2xR&9l6C0AK$vx3{Y_TM2i5|jgQ@E9WNy=4C@39@c01};;%J^~g+>k(WK{UYWJ3^4Zz$d1iV?T&56T4MX z^%j8Lyxl_Pu5BQ-FRo6}T&UkGeWp8(XSE~Feb`0B_{d0R$lUj_$2QQ=R*M6fvjY>$ zlkva=SW}j}9}8$W!mO`!IrA0M{dmI5t)Up~@TtO$fjMtjKAUUv>@_JQvFd*q zFQ4yVR3Xhz&%wt+tQz@(>R#`{^~k30bS#+4U+gN~-C%C`Ly1nV`HHmAiLD`<3fS*+*slI51Wcx6_lWB;S{c5@lttcSf@o?BXcKCgXvjfM zwBG~sT(nJwdhmF_Jxrk!kMyPC)U)j!n5qk-{HmDM*DM-vvU1ttRPDn}DVMYwdDQDjM9jJO>A$=xUxSe(k4`IPV@R1&fE&+Ei+bBL+yn)}%#oR?Q78 zgjTB-Q~}<)J4Vw|pyp2XCabc&i?Vnv$uH?nr_6@5uHj zfMd7Fr5V(hxPvav(HG#F(=4qM>H3Eih>n5pcIhJ|H$TJ8o5fD(>ejK$N_?L>3xWOw z4)oL=H?GYhJM_yDLIhlTYjUFvm(OO{5=?D>YY0(;Bx&DicOmb+4AA#)^i-`m>A(!_n_BT z_0+U=VCGW7?>mADwVjXTHINRRfyr;#o6hht&#}yUr_gRj$$`lq1}))A!>DO)>10j$ zwBV=*HHU7;LN(dN{VkXKFkLSBWh1`jaRBj(JceNlU9@aXPrQb2u-g5js4rt(Sq>yL z{;7?wO;R6EmG^_KLPxe+K^M=~?O{ss}okew?-VLni{!e7txSx+W zvbR+swZYWadgGY!j$o=m?mb{qWq{19CxnKM8v)nzQl-4hJ?>@5u~>3uA< zFVh3LFa4!%$A^6>QMWmdnQ3(o;^LCsqN;n_WY4fv+(c}g@6>_`^Q#78LM{F^aXUzn zgZGZZ$f~-_eyJ*{if>u8dr0LTNzpzjat$ioLickV@hgpr2nRlyE|Q)sC0mg+kUlTP zJvSqtH$Cm3Xh&wHTTjdw$4L{r+tjtTOAJb!rvkbODR#9WT8FP$BA@WQV_i&oZfV#X zpE`!jx6?f%(|CjhO~ca4exaziFZqNwK1_!qh2LDxf)uNI)Z|UeEPCT>-plC5lOaV8Y=mn*5-4RK_h$o#B=7dziZM*+2pjYN=SV zZ>VIMXb{Rq2v0rEV4CVYk-Q`DcvtiBQiAOQp~FU&2GFYD;ipS9b0VohL3N{_0Io-Aotrpihy zXK?H5#UZ{b9Xj$vsjkP_#~B{;tpwyjH5#2OtyR)+(Ga+4z3OPhYGT)UiQU-p;+9Fk z#!}ufM}qfLEP;)U)w{LPD<2oo;+L~OdVnqjD~b~P;SP}ZzWJ(+A?8|8sb+Ydo7JE> z0-|D9bZ&*(tqmDO~1+ zX}j{J4T(x|yVa?=h^LCMEIsVSVN2vnH-w~?xT^wUqc!vz6Iu+eV^C_upB4q0tj62K zTG%`q&T0*f41c)ZfW8s`52&!hMW0w}u}Uwk%Fxj_=ewGeP!*`yP0r-CkgM=U_n2f% zXbLNPU_N4YJ2y#NjHvY<|KN7x{M9N*iLpWh#2atB{<$s?heye7Uw_f){HlG`X+sM+ zH<^pwSRLdJ)Whm#Injj*)Vy~%-d*1O$npVAR?cRr@=bUK6Z_05Ro{ak5E;uaQN6Dz z5J$1g5>A?Y;0wQv~&892E^;7Pk!QJA2E} zfVRY$UjB@Ap^;r~h_i;EjH_-O-u-p;Mt}9M2Q`Q+lgwn&WL>}{cH0kg8EkFOm)c<0 zBcTeP7_K|i$(cl3()PnbTatI}lzvCZ6|Z~@9`95~dYGaA-M~On+Vz|J|g$UYrFHTAN^@=Q1fbvw{(|p>f$q1L05i27VcRsY7wsCc(T_ zJ$N6fE=@t{SSP*Eq9JNYHRS3%7+K`BW!7rn^~?8ZYYpqfV$?Jg5YI33 zK;_IRDI15kcT(9Ccx*faZu8sFk}J$hp}i1n|Cm92qvcVRr$4M^GQGW|0XbkQ&c)MV zZz45+08&E}A9e5}E8`;wZ=AHc=O0qj&km5spK2<|L5xYAf? zg!TX;fDkgV4;z|pgIO%!L_1cm^kTA1_O;!FfpJwC#=oW{+yyS$K=dFtpjV(lrajsl zSYsqv6{G`qVA@Pa+>f7SOg8~!4${px4-(LzW48*SZE}4yqw3J5l(slkr-)&t0_6AO zUZ)KrGPt|x1wjJ?IQ60Wqc-Kk+5Klp(I@EMcy(Sn4+O`X=q`cf}iVBV4FIZW*sx8*>uk4tVZxspJwYwJj_l*XH zRI*G#EI=yuLkd3H)gR~DidBzj2XwM3{< z%29>Lew0gU&`BH5tanTJ9wDnag4$eq`W^>*rx<4k0ok*+Qv!+9)+n-mp6Fkgg0(V3 z(^Q}cnA&%;#Rqt#23G!hZOd>VqOH2s`i5 zW~SSx25hgioMn~!n$7S3LMa3!J|^)Kn@HU1y%jTnDhZ|Tf{!&TepuU{cI?`6b{8^- z_KrUb-9p8`xMG@gkLe}Q=SS$hsN(hQt8)uYJ?A!`!vu0d>(h*?2X#|bMteU~fth+& zRrgL;XY;MTh#LE0DfXLqWU2a`^|>qkk9l99yhR$r&R9g5nQ%hm<|(eNU`SI*U97=e zL*(bja@Iqy+ZF$4!|uODJEHp-YZb!MWKNPVOw5GcJ`{4=TKYJ}y!}OZMepKpCvx>N ztH|t<*Zbi_c57~w_bK=i`(T`jz1h$_w74~mJH~i(m_ALok6R?^GJm~pEf;OZ+m;a3 zH_boJYG5SoY^tzLgC+P*&X-<9Zvc(RchrSK9o>bg59;Qg+=H~@cqh@Jdo#8>d-EC| z{pN$h5gB&ma-F_5TjTlTm<>3;BPy8n1cQa{qGN|OMYV5KrDurjzQ&N3FxyomrvfC5*GR z^Bq!ur80GTl%W1DBR!Mow78oi4=zc#rnJg85g+xm%c`Gl!!ci5b$D-s8foyzy%$%J zP^Y|Aaq#03hsb^Hk@vhPZyU+grH}8sn|1BKl*<+w+ac@B-~{qCboZK(+MAzoi;QhG zr*JJ=r->y5HxuV*tgCz_+vMl$l+d~zT0x=9jCQ6G)|T!4;j#R5(1>xG6nj)~wyLEi zZ)>fk2=O~LdF4?td~J!XpJx5RQp0sxt(;7Kpkl|j*x`cbN@3De(vMM+h?iWd$`0@u z%}ylp42%}Jj;Ak79{lS8cc<@LG2qW~I|&!Bryv=3wj)Yw-QJlGVR99s|1oTzpbQ)R zNj<6zThfJN?$Sk1Fh_=1!fiA}*zZ%fRZ{4EKd|Fs@p#-h=mJmv<?R3>Lg#d0Sjpmsa_al!M+8)od^jnaK^6U$LRWTxSsT|ALwD4rxT}ub zC0#*saby|sSU2QhdP-R;V9a7@eDGTs-<0)20*8UoD2DB|Q?O&dE}6HCX)&A}e42yuI`=3jA7(?IwCEIfoPeCa5{Y`Bd=iD#bilLja3nje%XGb5)&GeY;V z3dQ0eUJDA?FKo#IS@p$J3!=Zq0wqIJfYS>rGK=nq*_*W}*r5!xBd)N;!Qt1*z@X80 zw*ongUe(sZ%5~_H64%|k#tV~o%DQJ29xesbH~kCX^tB0?U@R0o?-6GNY`IlDC)D*s!1Z^!2%)3 zmhgP(29rdY22;$jn_5I^lrz4J=mPIPNpc9qdaVwMcvCK7$^#SYy0b~bUN&n(%N;Nn zSVnROx2d@@c7>buS$M{kAHi!0m>b%tdoyfYCFQmSGpubE*B7q-wkL*d_hsbffgyiG zYUsZEK}RwfT?w`4wKdQiJ>T&HG}Y-g1N<7b0k0u=GTj(8U^ z^wCXXcE(USmuoN#z8!oOqFEo|i9f)$2gOYDZ4;89pIabn)j|Wm_YxznsXGI#lca_| zvT~p3unS1qv-tVFiwd%1`J0=HTg$?`?A(v$6kI>clXLRyG+iquF|gbCF-i&IrBlxF zq*<_c_W z?lPzesW242$RArBBXayt7m?7KpQ_8(`*1Hd#f|nd(w0aVy;#S|N42WYs{HW_ZNAM> zk^lK`1$|FvpLLOd`p&408QrUA*53*)QLlG`2IiFdM_BGm6BXU7!43yToTJ`a!$4*I zWkErKp*!trdfaLd7?5Z^j&FcwZfSn{$+3t3v47NLQ!uW(_OAZD-|O!UFYeGt3zH1z zjU{uk9S?8Mg{pi>fA4;It|}Hy#r*0&E|-RH`y>=H9+7=k#>bpCJn zbw&L0AVtiz{9Or94U`(t>7c#D$()_M{`Kq8Co`P(XVr-F@D&P)Z{%1Bu^F9gXD`x8 zd9GeR;!+&8V*+Z7=W1Uy#`^T@ z-oxJ5X4c$N5bX$ah!lENDY57nbcGys1>NhVSxaGFo=b|tH23o_1*cPtD*h;hzs^M- z&|ofoc*?iSt8)#ZVRsf6W`^8Hr1qEj7-z>6&SUr$?wpBXMi z|6YKPmjpr8v9}vKAb+|+oa*`fb4Y@fNMThrVTX94*6JoA#$~?D((H_^qR1*qzshH9 zbSTKeFK$d&?H70GVY1r6Y}u2^-r5J|SJ{oD=3(t7vsvYq*oJ;t#=p;+#Pb%tHkK7i z$BXI#Ra$u&a`mh$tfrt0c+NrxgE(ky}8#83%~wV zlfn1V5KT|ubsu~X2(5dSS<=4wXFHvn%JOJD-B-_xQ0W^mZ9-BxpZUG%6!-1bRC6Yb z07~oA=<^b789Y80{xUo&ok;>rw@5eSp!UM+f&R`sy(XYo?XBWK^!d51{2=n=`D#@W zsl5jHPi_kEH(vcB2_3*RbJ=_-PhBrKSCx2jlKKQnI=n7?n5<}$S>Zs9*3YfmQDAB9 zI5aaZTp7!g8H&PwjZx*a9!pNyQ`h4uGr--%dlWj9XB_ikLj>+mRI#&L=LTY?V5t*M z?jseKy)^% z%f*M+3k0P>k^MMo&*SrK+w|r&4K+1QW|Bf^Q7&GjI|fe3<>4HIq-(2!c?pF8Dg7iA7h>)ypF)sKIh%Ky*H)M%p0EjzQzuiy88 zrz4(!1@xD@>k?fFYvEa=f{6D@ee$A1q_>)K*qeIR&=zwssp7Vfr?(2<4HfcYmI|g8 z?hAE~JY-Qtw20?%yrhWug2_yd45y%|iG*EIoS@jS?B?nUcQH0Uz90Cw1DIp5>JneS z$?&hac7g)n3YQNDu9S=w$h_BPo^Pc<+c-lUPnp~CR7bv(*<`Z;@jEOGGgi1`O8@4b zmVZRaX*qv+d~B}G=Sj1>YjB0Csx_p`=8R%))7<9u!c`}D92xmuAEIa!W89YR-Q1_%qYCn7IamTWnv$BnPqyEd| zkTKPV=MVoI?9L zDlVY&2`?N1J?*hz;Tv*b%}?!ZqZ^ygCNrW;#MBVx4}%2+D^bj(f_7HzwBu#2c2YH@ z9qn0m@*YI&)AA-UVf{+Tvn8exoH)Nch9W!aQ6SIzTZ8Z6+9$*?vF*to5k^nUgV;Y) zr|W!Mj{ipSVgd{k{!hIRptTkXq`)0RECe$P5wI!&66>3^6mH347l#PiyXg`N%AW#b z5TASjWfzrkhQsZ>KBn2_i^L&0Wqa5>&nyl{N-mkRxrWN}UF9ThfVn<8l*PIG9AEz( z!!eZr03DCF)M_kU5L8dk%~y&7ZSzp787gl%yQ@g055_eA>iM6ZB1j*n=VBg`ylnXQ z3N_-&!o*zXr&Q=iw}baw;jCJ4pRlKwXGyhDagF_2bX3IKxOr9e`#ZL!#=**@Z= zwLdxhPO}m}WWl%k*Ppy2A-qMv+h9_+Pg$Ptw#$Zr)jmjRgRAngzgse9OG)#0eAN4$ z|NQCfA+CHbhdpcmRi1#G(Fi(W`)|nkQ=+5(K0}7u>IWDIq437ic*mD;)`_*b8~mGA0|*>F3J4Vy3;q+0FYhH{<>#euE-o(}Wv_R`rm+q2g&6-n^7n&t(Et?` z*M~B-M!xWkj}j@|mg-76qxuP49DK=PMt{4o-dFj5N@8@*LCc%$x*u|&PqC0(3E_?K56YkAM|IY@2e2@S|gBNl@ zK40-=Rf{my#-A1it=wOuC2sS?>*QqqbFnBX03{#Hq!>%6AvNYZJisg~p&rCPvRW3V z&4mkX|HY!ek3#JIC`|e@)$k?~00Y~~V#-(fLSCK#adT?v4s-4QokbWnu*Go*zu3Eu z5@*u3-%jUSIJBMb?{?st;yAJT@hsXRD*Sz;OggCQ~I(< z9i9Q)zfG%u8bqv>K+wlLlmr`rLHi;*tA`w#1#H&x$qudR-4CA!?q@-X|KCy|fD?_~ z;dt?aA<5EQ1Ek`Yt%|T>8j}nE7JB}cv?Mw}7D)LelM`QwGAV|r>rFcA&`1_&%*fQaLLdDWJ1@ zynMLQ!i){$ahyavW%A#$_ zy86GH=EWn1)Cn#5am@0ST@!NOpgrR*VC}jAcLXZW3~Q3+zYjMA*kgh1S=OnE(1xbA zYmQ*mv7N1li%fxH_r!lSTa*y6PLr7=V{ucYphBNz3n>p%MMI%4b?UxL|2c8qM?it7 z^m@C{uzXPG&0BT3MqSyIck-Jglp>bm-|W@9n0z2b07prxk&x3hD2j(T8BbQ+?zZh` z*Ai3ZS%~x>`}XFg1s2S{5CihDA*n32O$)sXNHx8Om6rZ&(BGN*&^DkKWC!Wx80#uoc)6*FfG*F87h<;Dd;>Oug)1kkTQ2^|p zYyRzu$`J>O-k0kQ9pT$J2Bn(S%08I$Q3O=AroULO0RKmw@aWP33+RvK9s=_bS64(% z#;qEm_Wpm@L_NRP7I#F-b~BM!OO59X#b;ladYQYpVX`7g{hj0RTS&F|fCLPSW^iCG z0WgR7*(|b|1;re;!{4&U-xmdo0zx&xE&Ea`6DU=0IvR5wYivG$;onIz|9CTRz*E6{ z{6g{QTmiLrPFH%f^^qNwmk-U8lpoptbBcfgWkdj%8l4;5%8LgKl8mBi9%~y_I3>P8 zzw&Q?`M+X}c;7DqcS!mIsATL)y#-Fv0`i3Ge-(}T-5zGO3oViX{&~2h23hJRTJKmQ zmLv<~Ka!@y2bh>lkfktTY@|0w=)jn1VY#JvdvliXe=Y+8`39)G^&nyR@(CnIwDVRS zqqR-Zj86#omp~-JH-q0J=KlVG5WoanbAt(Z;J;7;tIILv$g&>m4;y1hUaPung8g@$ zIDmByPNNkL3gYV^shZYy*3XO$iEk198UOM(Isx2!X0Espz@dv8g2cte9lAD;Zd)G6 ze{k`soGf24QX9%@Z4OjUp@8~vgBV@@6+TXSS6cW#(Uk-g*n__oF&y+=rJJ_5%o7lt zJ1>nHvH8~N8Ah7anB=FG)N0kwX5vtONgRa@ZnmYL)pj-^l!ACpktuZaHeLycyO#cT@0v`1!gWNSZ=Lc|C8ezKAPQ z3uN$LpN>aY3|iScTev0k3n?AQ$^u2d(k!8xXwTGPScV&=>DTF~wt~a<5dxI9ev?22 zbq<=oy7~o+O7%CU+wk;rTui`RSAc)A!L!~vn~hmQq+U>R8oKL#U|QEutXj^u@|^yq z`}LlK8E)0@oq@%Kc9OqEOO_XBvEW7Nf!>$&uBe|5D}LotuA4O{#-#pgVHlD}7RVoO zMDaB{F+YpLqjxPeMxq5Xl2amNHGe@oO)^?(;Ix)0PaMBSi2hosM&W4TW<|4%To`!v zkINLb1%c*yZu?x4aw)24$J{%_Or0lNHT(HN-Ei5oC{GP;w%Q`}E$HIyVLVZOeEx%6 zFH~`{Vh*LN`b@q0pnW}i=xW*J2b&mHjM+b<65o?3*U$9MoVBVinD9A-dTUf$FdOw$iB+sGL8>Y!5s|~d` zrj}h0jUJ3m*f%K5I;2rex%5LUdQRedWlNL12G27rGSoDX!Fd_MZXR-=%8n?+sl88W+<5d3U97C}8y@&*cwE?Lqo*92-Xu!`-m0 zJ-0AYtktkis3y&^Ox;4wr+7k7Z^xS8-pE38<)`VbHA%#KM52AA5M)ropvc=8XJh`Z zA$qM(R&5G0a=i2fjBXTAP!zu2WI+hT6ry95Y|`lmZyt5a!4`+WXJ}gY||lr705Rp9mF(A_EX~K+%DPGYttdDgFA}(o2mWxL#g2 znr4Jj1&A6F@>LZ^Z;7&03oEi$@h7y4W|ZSTHqQ_l7;2*4D1N`8nBl?bNj3_fltD$Ww=2olE2N$4HT z2~F4uG2_kqRwYv}hx`U4B_}h_e8V|vj;m8oG{M`0FNxanImo!yLQ&C6l8#kbbV0Y5 z`LjPU=xn@i3y+R%( z8hjJn(_K|qge;$|VSr`x9S+uxgm~n{n-;8GCD34Yd4YVuigJE+)Mv6_1W z!#);8+ph>L0_$Y6S@JvD6iqXmJk?6yeYrR9en4cjMBOISj9xxTG)&ycpy(zy+{O-? zVdY__k^{Cy2N2~5J{_PNs;es#UBXiKTT)qsmXW$VC1q<)5N9``ctEHl@*{;>!&fc1 zi$i$TVlk6yuk10;aiZ_Ft@WUWoeJINz8C!hvxrW zp52;>lWbOP@}_mOhP|vlm$E(g`yc?64V_^#n5K*O9JhFpeat{cx^7Y7D^inL$_9o| zP7BoC5lMg`v6Bsc0@=q_;-A|?1)4Z^AMiWw+_KbgG#K|Hh^5rHXj0!5*9VNClc?FJ z)28}YKxgMk(}<>qBpwZ?PjahOJdK`xIhn zb%%JISxQk($YA?nW}F%ta#Yx3p~fc3tibE1V7ZPcbC!yG%Q*w5G@lJ{3}9hz1YJnw~OM8ZkTMV^E;}Ug_uH8R}1iKZL#$Z$ke*<{mr{ z$!z`eJnOh@zfO82T&df~S#ajqU8oeowm8Bf>N5Nqh0RLN{=i{JzbG0Tw3jBFpUy3nY2Lv z-K}{e1i^uPpmERs#3ne(l!Q1|OIn9`o6BOWP63)2_G#Ge%G~yPsSf(euSV4j^O^Kp@&33x8Hhz?Ju@cqGsN(5UU2AQWLkb zt{SuFY_nWW>$z*WhTN-O&S!^qa(BqL?#EYiyY;9Vdv%zC%`^UPt3ZSkjS!#hJoCFt z*xpKumO4tu!jL&`6oV!alqJFrArWp%gfk_b#NeV2%(>vLI}D(}UT=<8+-~O>DLwxv zy|4(c*)?J#kT9MwJo*>QC9>Y!R%6FpX;JYr{<*#DOJ1rf3_7ap%1Kb*rZQ+ji~?#| zV~s72wColblXa(&r57wzoFHS>RE&Q#-49{k=~W@kA=V^+ajTV)zAdyndL{nWwZ7k= zvl5K8y4Zh41~AEsNMm+Xsepgk02}N4Nx1I7?(x?LEL4jU3P|nW!pv(IQPY7?fA;Y~<8<*Ye&neq%Kao`J}XqmEz+YUBLyavqk?1c z0(|yR=9(6r(Ppzb1ZLDf_~*>OfENybxnO-%c8%6Wtr+>2j?H3alty%6hfYb%{U9mkBi!#wMqf5hdmgyT~~Y z0%HBn!QpBz^&!D#@riqa-y(??(b*ZOkPLnR%=Q*IH2G#)04gHRp=N53U0(c=in$nJ zth#-P|8(^{6AJ8w)TO%S$?=r?Fr_V}ovMhQeBI!8l#fOLoX`M}-K~^g=Y|bqb1}X} z1U3FiSkHC&PXj6A*P}s9&^j8;WhtW;h=?@|vRc8%CYhZ@`!N0|;19}+N zIWSTox%j{%m3K3)kb00teIB1(MxeG~o0E)}<2iJkDW*+YI_c5Y?4*;DwaqiE_LNkR z|J6P6xA*E3^j>YkLed;-5rf}%>OvAJ3E>B2Z*$Uf{gySkRQ#*nK{3}y5n1YVRyDOn zz**voM`Fq^!Gq&2eM>Z<`+@#XIRAl9XKRp8&dPj2Uo*(Pm^7)Vf?Z_bZrOFZT<1YQ z(8hs&9u%{Z_VZ}yg{_cW-}!s+67TlYyZxy^R1!B=MeVw$fcgNVjqR6H9HvC{%=x8a zXp#{yBkec01y%2_8krH0e0EI$TlI)-PG{w@x^k}5lLW{DhydRcKb(106AuyE!Np3thWa1w8NYumO4v!n zJ{4r^DHX{3Hk@vr^XQAafVi9*1hInYP;c&2K7Irg%@XU@AO-5t&MjV9DD%|5N43zQ z3*0g?3oh&#|NAbO@ZKMn%qTok>usBp2&|z)36mi0VV$vELxY%Z6i_Aa!Cou^=DF~4 z?#^keS!l?)uGzT%SgH+zEEcQVSlYXL^yuS>Eq4X6D;ukgO+}vln$<><#5<4crenlF z3enh=ax%CL($#sL{%yQ=j)zpb>yK?%qk|v^aPSZxSS2%W3K!J!l12kJ=Yhj>z~o`l z0?`JL44S~QN%7`}eU*y;R@A+>8_b;D#|j9DcvJ7Llqow^dj?_O#nC86wK0QnSs~IX zKN`9r=}Sh~PwbmwrcjWoJSdPV;=03pl~nTirLtG>LS0T?6I81NtoId21$TC8pCAQY zb4h|un2L2`8k0=Gy5cfzLKCD#tp%^NOw)X}-@M*qWOTrpW`U5D?g9J=mhWBfd@&1x z$wAp~dEU5fPm+K9m@K1$V*Ml@@%%DD1WH246e|SE*-Ncte}`Q) z5)i+!40bi<<<~v)*+okUV<9{`AMPnDU!!U$q#Fg#jH89RmiXjq7SE;~(W{;7KPc)W za6QfJM`BMBBLsBoE`_~9BSS4);ZJcZ%?lvd2dqMqva114LSnDw?g*EWnp}J3yU{eh zSAWi_iE!eswWy6rkt#cnAq|Pl=zEkpUc!mr%F6QE!imCHDQ9t8A)B$epHZi6wxc2n zznE(!HRoFtmMTPDoI(P92M&@6L4s!r=|i&3jU&R%j8gzm1er~Geg^_3^?paLndjP0 zPfetNu#OPi$i$zOpC{3_R5`Uxu`vYh*%V53{K?_8%nd7xY%J!t#u)*`4nSG^kcZGL zZc8X)7H(7H6fW3TF*!l)X7C47&#My-Bwm{)lzWE1m~sKhQ4jJ}D_F5%6LcS=h)(#& z3%$sm%7l?4k)NF#-S>Q%)Infnm!O1L zc|1oRWbi29-Wkw4hG&MrQ?u{fa~qAHAz2iUZd}wa#>^zJ$Iu5()O9BD;YN%#zfess19USt64A^RZW&1nKJ_q_p(<(iM$~G zV=bH@$PGEHCvEmQTt%9TbD_udC~;??kK}wv?U1$40|sK~89Eo?Vd7mH5C93(egUB5 zhDK$a4p{DA)ud`4zw)SNP5bx8_gJn-om1S%@-84U`mZihNPRMiZPvOwlR7b%F`t%HLoOK2O!9P-4?J(Y9CO+^>378I=rfUMT>7ncf}edn zeUP3MqDqdi2Q31M6ODheqF*q4>0W}*^c;$&c#e3^^3&irQ>X3`sLKnQ@kn45t+z%M z&V0brX)x96j?n8TccSh0oTS!jPh*!nB^)Pe?)5_1`rxfkGGe@k_PA>R%xq#rIeL7R znOn4$YJbGSVeDZq?0b5(E_KsbT6Fkx_s@3CAfiIoKLAiH9MZ=oJ&;vnV(k)4xhoSweL#ztxiCEF3W=Qm%SOh%#%;U*if@ za*&WDw`PD3^q$Xe3E+1&3Zx!THmV8zczwIG#vVkuB`m|}pWv?hhxW$y? zYQmQogIS_B#uJ4ykC>BK$|h|6xT=_42D+d|)zx}`4rjN0K`2wF9$UWy`Y6Ve@N3t( z79N^5@aWy7qyoM3{ZDI2atF)eou7J1++eG9HY$=VK+Xs^sN3u(T&A_vUk-M-!nG(5 zA(wMidm%ll$}pe_Cph8JSK8JQh2)G41&8ApPgNWv5bHUZz){Vb)&m+%nrfJKzs8Qb zWcNlBfg?DenHZ44BH==Bqzf$WQ`CKjb9luNVV{#s2=-1OQAJEC$oo%%C?03g0zJipevlX40Kbf81a?gu%BjY9>lgWB|64UC3D*lGhN%n;W#5l}JshI-0Jp zUu3C)_D;97LGKf|J=Zlu3ta|wJARtVR3(!Skqa4{AXr3{2oH6MVa}!9C_H!h*eE)c zQ~lN;1b*Ht^8TV?!_39Q%=VCNM?r|WAj2FJgK%kMLk5$jIE3LJjCugpiy96fg{Uu& z`YjhPB+@d|iVjSw>>q`Y3E@VG*yq*&vtfn|P*IcjWdm;Xig8<@dzK`&9^YMS4q>M8 z(#J}EJMGzhS=LgZOE_;4tOuDi4(sRbGOT#W0>IsYg*V=b@F%@45&A&yMXY_ZdZO#< zsYizN#mK4zBqeM~3l$`J|B2e@eo6Nq*&S7XxEvsvVS76QL!7HYA%;1A({~)oiV#dv zQ6IrHuU;i2HKG(x*$2=?`p9=a>m@{8>zkBh$_x2f0D&0^0olKwnEsh7Gr_N{-&of^ zl6Rm_u37(H(PsAjbT1)5soHraJ$oQ$w1v3s#^@O!WO)p;t3ZR8EMi@D2Sy~>_a_ns#ed_d2*A;p$4jqN~ZaD!sZ3~uaBkz@~2!Gzp*#1fF}v|U$<-x z0U$JQF2aP+zHJRxbgB*24`!JMVa)Y`9g#DkJl@1PK{XsBjF^VCHA035!2JQ3S^k|l z$gjJHHK;Q`AW9|IeOlQjqjCzHk1r81GREXp1MY#~(*g~9_vHZo2JG{?oqsE8u`558h(+}k`X%$h@)~%DO=bxO_CwW5uW0V%O^WrbhTp# zTN_=!Fr8=ju7W$>Ue30%zT+USwm6|tDwl`(HOSea@MUMX9+Fp?FIFexhMlxT*IMdg zk6`DD^g70Vs=%&*Wc!TNjtC7w_RACbViD}F@z)Yt56DP#@dr<-Dx3*Dhvc^QpTPFb zZ1OI4+`l794;4rVxp9`14E%LR_t z`|Uv+83dl^a{+gj><~3H6qH~Y?gv5l`_1oLr8Q&4TfzBl_FW&*f}~Pdg4aEt%Cu0j z&T!ezd+V@~j+E+S%ki-#TyqgKVYV!(B{e#_2tD*uxdRbodx2oY7veYq*C+86rx8@b zjP9xVA@^V4ntDn9fGhz}u*j6?Y_dao)X>;Id8W95WxBps^*O&a9r}i9GB)yiDI=rpk95bAozK# zAK#*CimMdq%cT?{I@P@;=^+*f_{A%|6vxk*eLr>{-a z`z0GwQbf&~6BQ0+?j1$rq%!GLV_&vmfT8zdh$RdFq-l|$y#3KsPF5BV$Mas-$m_H16)|>Z(`!^%Cu3n6^XIX%B%=ILNHLN!Xts|Kgw^BjjMZAatDPO(WHD$z;n1 z90#688RP8X@u;GAp-TT_ddE(TL{GV9%i-*EnR-J=C{45I@p3~2!D?SPR&M~B78%^< zFL)Ig>Hf6s_t{i$BaGw7sN|(D665Nq0hF-dg9ok((c~mKEIdY)t<=Z z=6HW15Yh2;%0ch>>`d=|Zyii~h)mOog^qDIU!kpS6=yCi8Tfj*Vu@04yT?sSMasP6isf9Xa~MW`V2f(+`TvQ>){(= zuid6zeyp2VLQK1~De+BgUmAeswJ6U$Q*z4fC)4-}d>xf+$Bx!;oP4e_8$zXkY9f`h zrYFvILPFx1NUo;QVEOGi!Xvy-rHIe43J7v+SzS#uc>Uq=&VZLrhfF7tKT1UE39Hqa z5^H%r7)D1&<5yQ#6I}oY`$#ZC>`r6}^gf++&@MGt(Q0|UIN01SeuM54mrub)!Z|4J)>x&PQbpro&n>rzuwLs`dv{LNN;* zr;P$?c}~X*_^)?7)-?=#Y3puhTnD!n8kAI2$l4w^aXnLwO4FpG^uF=17z~0`TCFOe zetc{Q=l!@|qPD@!GD!?V&TU#3M-?4IjzHTuT&_U?<%60z3BlMb%KuC z>**1^IB8f9DJgFjA4y`|tK2bO9wg16a=kq!pU!;gM9zSZGKlhwa%&yFzP1ORg6(?h zcN-fUi@%YPmPTFodTVR_?xp2%qbN4U;do3o?RhoI3etc7%6A^YbHDMS>8m%1D4l>e zMmOGpLN0NxnOH$=J0DaR9CfH@7i~cdNvtL5iIgdXM(3MQNFK6>C_UCp9Mh?<_<6Fi zs~HvG+X80^T(f}h`JM1(qZF&HJi7FtmWFF#*)uGBk2SiV{_fmR_fU8Iy=roJ7X%RP z0MLOOq?ur*NOK>Y7WfFu;j}3G4Jsm2aF*YPZdP`jaDX6NOxt<$qZp^I$wyV+&Sr?} zkNgnw;1Z-%lkic)vC`~fYRS4;oWBzF1zQ{ezFnmXmY%AMQmHg*9BZC$jki-Op8*pn z`{N~ONkw$z#c{Oz>+{t(0~E6;EmbnSN`$Uvg%q8tcRj$9N+BL1$$;`8#qa_&ZP%6` z$R7a-y0Q|4b_ZH7)Rw-zfAd*ZY%*j58m6>oybNed;ifV9sSb1^XDs zISE~F4vDD`g^B5kfVbWN3Oaasz6J|?;CWot-?MDhL4DEfP@5z09c~M7)X0&Xmq8bW zFvr5VZ%WC?iz+s9L7eP;r7)$_@X!bAVi}Zgxu57GkxO6br!I2$qJ6(a61^lN+mau2 zsUo0{&On}fH8LEWbAUMh0c;PS$M{ z!1Arne04y8_YlQ{FLZXFDU?G@pzU~;*YZPg`soiDGl2=*z5x{}7Wm`Gj}ZGhY@O__ zaj(}a{K!p@4K8o@p8>55+?@^hAbQaACVsqxk#46=BG0c+XW6-+U~7K9P9H&F6HHr6 zB6(=GOYsKaooRq%8k2cmt^rDkRBN%Ugm@%D+x9iaT%`_zXORb3;gn z3Z=7#ti?ShvpNaek){y8Qa*#%6^eYNDeEl8k>ErUiY>vOw1_X$=A`D*%%)##I6rd& z6jc~c30}4~qS!S1W&%A?ut2^#@v^$mi%T->LQe7SobGhkK-YwFYJ(dm+fBE@W|yu= zRAJdqm)wj(om=UL*2WeFs}r9%bsZ~FMO$dm2yrq73 zY=BtjD;Kkv3js~euJSkUp-^L1Y( zzhFB#cZ*FNyj$3U;7CQQUf5*E#f9L9+05cs0dQq?jGLxUPQpT28+uHflV4YrGHRdy zP?rn;&bb-)E!tnwn7uxQ9nNo!czqDZf!?Ng z&w$S@`CkPikq(Ik0>Y0LSb{)1-on5Kf0vO&dX$h2t4f`DzH>up+_G#wH?gWrOhI;y zhDkO96cZkK_-_JqT4551qw&VuD3{+x@Zb?$p%P6L8EvD>b9pjDe+b4pZ^(!QAMl zjj^6pgh*?fbpbj(nE=dT5`lc0QFOE^0+!>CAc6#K_v=wDxkI?mQ}w)bMAG{yBs7?l ze8(fUkbvw*$Fm$B>PsqhIqtGl=}Hu-k`;N3K`Zs_UN*u>uSA9=nq!HW221e=1UDff z;=~@-61$!)ZQCA{Z}!ODVELicZsNhBC3>^J_=hMZeqotBQ|yuMalz|S4MaOq=y&hE zlOuO{(UDIo&;e7BRUF2(OYWF+{AvXKXvLyvjy$5*e5eb8CmnZdZh1oIZ?9)>2DL_w zhcxQ-l4?kD#8J{Jd~Y`uUW3fT5HMf_u*wz!*3UBvGLV9+fpOI&h{3gZqXTG`ZIy?< zKjwR@Eq;JQlZjrIs@CkxsX^!kIMiuZP5>U;XwNL+&YLLr(2cRIiqz0clNkusr2f=ln8UEBLpv` z2e`Q%fGGt1(F7V_P*Z3Ldq=hzb5%H2!>@tmD{mX^_H+@Ytip-w;WHO&3=W2<-$=0B ztofHU$)K9V0(#6c&fq!jT6#1SZczL0q)@b zd%LY(@Ru5@gR2T^kr;WFsBZUMh=bc5+@0w4tSPIk4eM0|U5C8w>1~ZEFJ`{0`wP$M zQWS4}ALqs1t`Hd4W`{;DR&ioM}NfmAj{ADmRm&`RWm3 zhDU>TE~#peXo^XA0>4XA@7>W=k2_BD!w>K@J9rc5X;e-cA12y82y@$<50eaY>ub8ecr|wzM;}*u zWjgd93Y=Hg`qZLyq2U5{LtS9oRF|Q!Y1^k<2mZ3wOq*QBwGGDO!`#MbG!hkEi|e|N zZzAM>gX9l>+KMN+paE(lIDg{R>0cwIzxRljVBWW6n9O+^-j4MAO69jG|vjNX+C z?+tUnmx@qJ#muaTD72ll#4XnVpcGnjkY+YdH%Fw@^#F!kS{Z}mFe5iLi*DhQhwgi` z2mz*q254CRQc}H(DTqQd29ao33K$7)xN*Io6RCu;+nj&NOQRcCcB}_`bmlOxNvgSL zgKGh^o(*kCNcLIu#ik#dRI|g3aFPs~2>n5a`WXOmX$GmO#wLc4FcRsyT7D7%YusOy z>j<%sPpFKb-*+=WLAD4 zZw?*1zu?J3UG3ORA-~%Rn)W$*&^RcPYAPTP7|JL=1i3)$cj4agyv$|S7TFkC8{!|zop6J|3^;_Y3 zJkp0aHNhNY7);LgOfnKmW{sc2D;vg>IkPGH0_;HG z7Th^J$bYA45BmZ`lkg4ys$0;?kUXy)bWOEUKfBf$%p+MYFtW9B!V6>XeCX!}wxL_m z=bgwwZD-R>^l|+uOpGZK1%?VBO-5JOna>uynpg@GXnv(+$v8;cIyztg_7=(KtSiL= zffB)&4$q9?4>9g18vsoeT=|uNYl^Sx1`$GO_Zy$(CN~^EINvMY#f>JC2Y^KnxndX+ zaEanyGJ=94l}`w(7AoI+Y&R8i^PyIaDl3lbk1`&b*TRCr`!vW(D~>D2b=bgK0w8MK zbk|ULGu9dC33NY%Sug;*7|zvcLLh^c@C^N|R|4zmWxFh-3Zjd!sDpVv|J)AVS7Uw9 zFBmG_!Hjiz&EP_@Q0dI3v12*QdfrPY1~m_%bvDd|L*6a?Fynt>&5C zLzKW`rJv16)+iS9U<36P#AJ1jV8XA80K8+jN}jM>BvgcVS~29@>Hd?LKCmAyTBF6= z`mD(0C#gjp9jAJU>(d?NCtEIph6Pf@6GJZwbo)jVohaTt@*Vy*SB0*wO_Y~=WCVnM zE=E|Up?>P!**+_wo2{&_$-y1WHTrHolYo0u#fVx1 zXfH8Rex;d2&5ydc|IPxK+?KYW1+$ll`H<9rdWy*Lsganzf}sOKn+Sc&-a*3OXXE%i zF6b6>GL77{q6f&lX(#?VsIzamPefu#tBvi(X zRy=4%FXkH1cx3O*naTU5g1sbkf0mvPab-3Is+V;5PGZU;?_k7?c3=1E}_{Engk4s6;%RK zD;}-(ghx#LF}5mL{H&0N^|JzZ|1S=*lwyZ`zN8Vyc$bB5Bea*HPG~2nO+s2Wk5Mo- z6x6KzZ(kxwyj$ud;6Jz#4RR|<11Y>UyIZ8ItQ1N_ZJ-Df#cEtQbkrsb?Qmh6kSHQ- zpL4?cB1v!kJlkHt`_bnRh3^NCF&{QQ3!LwVR2ph|pWS^xgJn9=;)_MR76grVCbo+%SZfGV^RzzMJqG^K2HoMp*5&s!!{=-SOMYB{G)||8kV}7UxrPM4^ zsIpP;r}ibnZ*TOX{1Y{WVk#avN+f9Sui3+R{Shkn9CHK6n?9Hf_a1%t*=96zvff&d zRC-43zU6O09N_jc)-$$KFWONC?v+ib;a7c1EZR{t$Yj#u=~m^u9h$ZU^4NyUTH5Bk z8EXMZSEm(KAZ+niz2QFpsgwFKTXAy33@vPm$_+FTR_4|eV#39(@^@VvW3=<9mj7V zi~=2;3NFCcyob#598by)Z=)@huD(A_led7q9ax8w;og#=7eEv$vi>4an@uc=L9Uc! z2~3@aDPiHuIy;X%FMvPn;H*giXZiq5SU{e2C_X~V#js)m>$EzsZDSl^z1ns&r=AB* z+b0tDQE3>EfczCi@Kqn1cJ2)mb!x~k6K(+s3w4VS1ys&&*iO-cZ%w7&o#?2h`{+A+ zx2=|@D#XGKeA7`4)2H`p!pOUYR<*37rem7Oe{lI zRBAH0)?9B>Y4o~^OrJ8BaPZp|RYs&)ye|_u7rREOJg?7hFC2F;&r8ol&Qt>Ie% zc(NpqXbG&m9^pcLkXe=?1RPI;#ZoUnv{9s6Na~NNQMlNNf<8QGRZ%WeOIpk2NkhCS zl^BHX&ohg;i^Us#?v6RldxdhKJMO(QbkRl+%bXdXXOSh_`UigFwT(eYXh87p{ z^Uy@lzx?nCb}Q6dMT9`5Bg`YZ1gVjxJB|a;)+?RMB+`~82_JjC(0q_FAc$5 zy^J*t6P@@()* z+J^ZdLjphi%DMnM`a&?{N8Oz$gSgcRvC_e-L$G0tKGz%r%*fV#VCG!T6mW`W)d+<7Ljs z7{E`nXc}l-W)w;_t8T1_SO+>d0o7(14#G}>pD|uk=<22ywLn%Gizr*VbC`qF4>iI2 zS|~fPo*=yoiXSHs`ms*hNc~b^td<66)>KiqDm=b(d%rP9epfyRTzj2&r`BA(7qNO{ zi5!C1*qSd`M!GM5QW_EGu!h$;t+of^MHWXjZ!^jN3kF_kqK44AerZ|x3fwg|oGa?f z=Xm;;Yq$3MbF-y1UybJF{3RmDHK7+>nkF+RfR3S2`%&0_6y%OJm6VkEyr`8bNiTT& ztFd=E^mf1%-+M0{%^oc$(u{gdqf)^DVN&>^@Vi{b(2a4J-4CI+=_B*76~YwKl`uf& z5pz+}Yyfr}RnXE>vg>g-oy(2I2m!^#>1eKOtFh`6{7h)xE}%-JdAIfGi)m4O#WWRB zwk~Ci9LpNs$6ORYD8W7)nNuT(xqz}lqsd1)tY~?22Zu4pvR-Dvbo%Y~STGp7L1I{c z)(p{;?Xx%hF^;M-jS4X3qxoM7{%2IIO%6yd`Fr%dDs@e~G5TS3bV%BZ%NPfa)8<@< zz{)lPbOIfg>RGkkkvw&2wLs>0Q(-q#m6)9`PxerjEHQJLajqa+hPEetogay?j;3D4 zqkHLP7sS;yJuV3APbjf+(H}&fV~3_7iCnFGqA;%oIlacBe%A)sf|3}OH#lo1*O4`j zo5GqJF&DCN!mS!z2FmjvUVhCg8M6w#Hi*@$LJr~#MV%^jWG(~)5n_SDD%#v8ME5WLtUOutSlq zB+kXgTrEOahbK|w$y-I|)fvzCJg~F%6UGH|GQFm(geTAf15xlD(6e2@8`#JCj9Nn! zfj41@T>Wdv*V(O5`_X>T5<^)43^~UW!N5hd0(tS1E&-a-4)AKs4h@1^A#JyvXp6a2 zx{9zmt(GLxGzmdTAt6aBuCrm=mw1v7mF7?!+Q_n+In;*EgX}`z0@;>ecP;@KDRc7u zed#{D9WX3!X5s=mvB3IVqowBlKA)EMlY4>2_m5D}%H}YVBTRI_Ht8~;_V_1@F!Tg; zzNx-Dr{gyv%Em_6A5dU)Cg{6QQn+`3^p{`d50(OLd52^&Rb6r!L3drqF^4giz+FF# zo_=5NX8iINH&>#YVGMB?*ed(_Yc)@g5y4;tGshem>h2Vmbte>8G`tIGN-jn?f#F*x zkRl;6krhb2AS?Tp1|WgK0FUl5?>omAy)uV<-SBCW#uHytY>0M9Op#v=@#A+}Fh$+D zS=n;b8EF0xwbDaVE!pWBUp@!Qq>$AgeI?}0>hm+1Jo`?Q#YnoJnpa74Go+4B_@a}N zRH>ucx5rReOOg-JM-P#Ktu9`9>CUS$A=>NQy3z7(cOv#CKBa3iC_L}8W^eotS8o{< zN83e1iYg?gx3&W40WB45A;(&nRvmZK>cPMF0Hg7)t(2vv{SK6Q_|4}b)@h7#L@=mi+ z?{VW}nY_yBQeWhVQxk{!n)rfcY(YkM^JA)wvSqk&hW#V+?2*dOs9*Gq* zVoulwmQV0}S`ty~xa9w-hrbU;3`Ar_VE{N^d_h9}P-T&7SdS4Bzm0-T7SQUR@%jS1 z2=*IenX0;}1Tu{4H@PnaknJ{@VJ$i4IVdoS17VTj#D8;*LtVf%85^Bws$zVXaMMNk z8v~>$bATGMq4%dsO>S2RQXxs^oRisb!1w17-}t93*zl;buh>oLF=H!bn+nP+62USe z%WL7Xipry}Wu@BreVkU8eOaph=R_y<-r{?~JxyKmAnQ`bgTfHNsFxly})@`vE0-%DO}=atA_hgfR1tilMl$*H$*Y z&ZEc;Lsz|d8D<9h<#u{d=9^oZ4#&&oiuKkftr*S_ox+UTFMN?X?r*VSjMU+x*V@sI z#DfidUBpOoqgn^NvIpeEd5uB!hIt`0ODaF(&*F9UN@hf4a%9QVhC7YUOGG+l8)H~r zsbp5X-EF5b=B4#GbJ}X-%)aSYXB8ngVQB+V%s^|Q*A_K-{g0vaQr4gpdwOZ~T(@9XNDR($W|BeDnG=$=a z1&6koFL|DfgANN#q9m9yAO}aD%wg6C31jVJI(x%O&8e(23dlX#Q5=1Z zKy*xK#X4)TZ+Bpmhc50C(f^w)HR<_@>(PL(o*EgvO9%>N$P3e{ti zH~r~YqiN`7-?9r*NAkw0uktwEE_Y}GSEF)f3U@>mEyw9#DoQ+MJ-8ux(>qvy%GA79 zu4iQEGL3^`&w8|r6ymhqS@<%>tix#$HDo0F*!|*#enRU%WXiF-a1Q{;eNl!IghArE z3bNgX9;adjjA203VC=H4-!(T*m&((jT>9w-++pq2urs-2^es;Q&zUO1&AvU09Rup4 z#U;fK%h%de$E|kZl@E~81P?iIJmu0zTaR^8{$TAI&==75V`&kZe5%kgSmdH*2<5Ac z>$QLu^&h|IvOi+S6)-*G>UyRHBG=_{0=kC+h=nOBg)l|QDXQ4{PZ-Y;Ob2o8qpF|L zx-73}=%GDd>H`yRvMaM437gCUTglIHR|=}5-~cs9xE5vpE*P8ROxM#s(RwU1T|)fnfwP;3_%F%f3Hg3`Eut zM>nO-4x$LgnC%zi;x*B!_Md_}qZbImK45cqr6EXxC!)Ecgs4N5eBx~u7fNi?=cu@_ zccUw?FPY5H<`OG`687 zMo>P_7Xw@EPp6n?ZFiZS^@erf6Z@Ezt(Osv*V8go;jb@u>wS&(+r5y#ED$ir(NY}u z!E26qr11+RXM%4Jqbb=cWZW{b3yBhHLkAVMIsf*v4O%J6bH6z7FhWN~VBL=RoKu}e zA1&__8_MTM3<1AoL&1a3ZU*6!e&7eIIc6$2(&C_6qnhyjOgB=v4!#DLl(f)(>b6;8 z{ZV)7QmOm=55EbSmTE7)c6oW6^xx6%dI`ZAr@Suzu2E8+m3z`d2SaMXq2CslqPV=_ z5w_~1vkcwX2tYtiZS61WhLNrEf2%F(`bY(Rk+_i%NP?Q?lBQV(6$GzI1tF2svtK{E zLrRKFXO8-t62U(uC`9}Xx3a;fTINE4aUewC`Ml@9zVOrSN$%nY6P3YEq`a1j9E+V* z#dZ?Fp&$484@O~4+IXr@kU8KH*74#{Rh}iG zVQY=fXNZ;-!2vL7DjKkyMr`w+=A29}FEfR8kCQ9Ifvf-i6gLlvBgt?dbU$f)%QIt2 z{nQ9vaZP)fHM=`4{*Bze${&c;A^OO)0IlFtdx#GIlfm^Hs%d#LN50kDKtZLFnB|9> zcWjFc7rP5z200m-@WvlLwoHT$LHA2zsAw2Sn;26jk@2T$ap?$W7|lvW4;34mqM-qr z`AW+{PGnw)pnBG}&&y*t1hF+j>0GYueYtODgz9fDW*63`<``{A0>y--b>@ouh<2ZA zIHfYW&}^d4DkUucl9`ij_@~_Juaw;}zW<(|Uqw{&@p8O;$4i>!CEw1v^p%lvfQP;g z+7lH+K|Yk6_cDRiQx;2>fXPwPOz4=dz)O^1;uqe$Y$`PK)>X|HpWFkL*UwN(Yz%ol z(4jP*+q>Ga+`-i_f3IA6MKZ1~FMFHzGa2?K1o2o%)QohVHbY72OB!uf`RvDe_aWdd zV?VcdJ=TCTUoEX?w0 z#`|QId=Dwd8-LfRjO5F7-%Hto6!t3y*LgbYkeC~VhcQBQ^ zo4TH6(=5Fg`6(2MarkbP9sLU7=Gqpec6r`q}(IqwkeZ(g5Vg!6mun{1zxWU1;f+R zk9yiRytA#Ppw9$0_#tSe;;QGYcVHIe4&{S{aPN=@p50%{#;-F4a{N0a^6d|Mgy9Hw z{dc*1>eoFOm%&A#&cuVF&e7mmTz_zZRn8=>FPN*j!?e0Dh)~gcd9o&RfvyOz>FeR| z1O$@cvGa>DzM814N&tSNU&Rx)AH8|BLBm}&SfROm8%d3$zz z2O^Cu`3-J*L9h#3L74L31tA-5+cm~!=VR*IvvrmsV#bp9QL4RCDtbK#lySzn8b{pnKSY}%$;+F~i)v#W&L2A;T5_Xw5&L;#<&mH!9Ba0@+e z?qd|9s`pCdM+zZN(DJ_~EkmIhzJrnjvf0TG)iSn{Ppr0+L5D__TkDTwwdgH|jRC&4gS zy_o*B8*v{E5tU$YjT^aqA=oTzW0VDhI&TP^ib1N@A12HUlKAHb;~iTV5Pv?*i;r5q z#9V;;hzDwRIwT*Wy)2a%LKNN;I*R@BXfBOrfGqhUgb0U1L_1{d;n7^17a$g>Z%E7@ z)_{(Mgb7;(q&UDGY#^icJY8w(w@An;3MAtR=_87V?LhVlaa6+ zhm{eQZO$RsNqQq6LLiGD%|o1LRezdq^KN4XksvmmHXl>sL-Z$Ymv@b;>=krJ%;yAN zV72!{fCX|NuQdH}+YH9tp$y(M_#neg4Z}*;jY0T6yA^%cSyvR)d0WxVy@C4{E39xB zTx&+_tx$dG2S=NrAMsPUEc6yBs}%fk%HP%~Pe}*)-HQZ$KsSqT>YUb_3eXh|_VDeH zrYtULk6o#CO$&MuWt#Muc`o#vBG*f724(-~66jdh6(Ui#mNWI#jXURH^ZOu0Rc>+@ z1n}o#`$nt@qoJhdbs;V-TWx}|@{`nU1M1?awDKzw)6qv)qd>m^H&J2J%}ZoMWb$zM z*|~7^bHw@OBjD~VwG?WS1y~Ut-V)*?``+Pl2%Htazf@(D@YsDQoOXDISf+@rEyHS$ zn;-7T6ISc(FJK`ZP*WAwg5<^)G7>*LCoqp72zUPRs29FIWa0?m{96}I*0_^A=4RZ^ zlZCE$eSWJ-hlMKX-ee?ERRt~{cuxL7ntKiIG6b6lZ&SCsWdnjTwiPFEgY`2u3JCtc zTrs}?=qT9Xb-NVcqYzH=v7o3n7*3~3!w?NB6%9oxXzANz$3mi&9(o|x4n1Uwg}{Hx z)q7bGPlY-xK>rwwaXFc@XKl=#ae@MSXG&SnQnK`;L>yXepg$CY-}pG#)A!C(CKfV| zeVBwc&vsgL1g{q_P%!CYEUd>Sb&Zl$aB3O%RYj4utO0^F;HmpD_cd5)0+%DKu1G+h)95kQN~rU5$oG2u$27*6;x^Ulpt7gk@GGXQ#tbxkyB8JO2kV1G7HQLT_9331a@jw z>HCk8qPJ0y(Gtc3;R8a3J^()j9{&e{5rbO7krO6HgCbV!dk3)|D>r#Hsv23d&y(j? zRJPrw3RFDnIPZgoDmz}A-*MMFV(?wxzUN?&&;3xnv^#Y!(U1QtEAJ`)=>iyTT>4PdM>Fzis-eXG&Cv?AT~>-=CpD z2K|U-ilNDdimV8CkgaSqWQ2p<(WsPOEdG1Dm%F$Gg+vru*j|*>WEcQh3DKe6bgau{ zt;*EUy4*lh0_$Acrb%3@%xpB7Ot;VA5G9Gwrcu~t%xPZJY^Z2SV+83Ej@&}S=4T;# z*OFAEBlyxzIGd&HD%fwuD3UIZnA;|u@&I%Rnn==hN%4Yx=o!}j%cZ@>_y%{Un24T1 zxL-tgiH!TpS|IQ^;rQc<-zHU(4tZcPlTPEe=E7VnqiA+1hLxu3c7l05D|;9R%N6e7 zPfKBrWl14*c4UArTpdJDCrv3K`VlE2SAJaKb?21!yd-8`f(WSb^K6^$~p zL;aCX_^?5Qky&42S=8`Tap3s(fIp-B_bdE%ITMkwBoM z=toBSbyQnXx2Da9(0%`XWCUMofvls`ZNBNCg)X;Gh*_dVF{L@*8s2-V!ND$qc zVk71jfb4jP@Et8djDOlqj`xY=vyFZqsiGGj!7HmyY-u&B$ZM;np6}c1JfxJ2mwr`# z(Aupj9d2Q^pchouCcN<4tzjta;z(FlRc&6nEcOz7ZY_CMlxUp2l~uj7kN&?LCN#jM z@dYOoGd%OuWz3j14{1@(Q?TvYou_MEalWGSe8ir{qT{^B(;5t*rCxJrwlygzJNI!!6S?yHc+_Aq) zzf&x5ZEll)EZr#ut5G@hG>ZCi7OG!ibTWnk^iGY_Kk7ebTiIfpjc z4+*UM2D{$@2%SG6h2Y0QwjH9JAU`@|kFkB`ras-cRcf+)XoYJ@guCHB$R-zyFV>d3 zOfTIDsa?xjs<2@b`2JdG$;l7?R2@aD`L#yt-y%?tu~TcL=6cgv3Q^XzWt7^9bK%Pb zN+>Je=%2h??Z?@6rpt@3MWP2g*tB+Wl$o@kC4hVi-!>X1Rukg{wPbsme!t^Giy&dM zjfxxZl@xi^qoV2R(Cjl6nL>CGm~mlS)19 zdNRXux`+4S2t4-}^>SJHk>1vvNpsbr5diu55%-K_nTc^K6rfg3w__t5^|>NI&{Ow; z7%~W7>}hD}q}-wTk6idTJGhW%KxV3G{ktH;%)+em*5!Ly%l*9t>E1ekGZWz)c$Utl ze}lR2DdQ-atn`nmV6}Skn^mbFla5X>oY>^?tJ6uVCvLAuTO&%85F>@%ZTUKl`{=7p zYC(GO(+!jd?RD;+qOHb#H=Sm+4y1+ig0As4q}zdv$9?0NdeF9ZB$dnLq%s`Tc6l6Y z3{?KsvCBLx@vU0#rC{v=SbI8$UDuY-h7b1K0P18al` zXSc6OITV{aDQWnGhX|_ADn-7cNBt@NP)%EefQ6rrD5psGrS=80fMZK`nWLK?467DI|XDD20u1k3-9(7DQI1}W-cy!G~859mH@Rf zH=H{O8yomFSLrJwZVp2zBb>o4`Up$pu^JNqn&!(|boYhVPw-NE!ynZJ2SW8ob|=+H zIipqD(ZBRweY}op6HVJ9sZIJ-gh&S=yJ=EGBs6_4MwU{paU_>U3j13`KPlFTCQe&I{?)-1!B+Z|6zliy7wSp$ z5FYlwnZ;5e3oiz-w{~C;R92drVRAY4W7wZ_5am& zq?X+##Vuc>LAYihR2cWzhL&iqQ&5U=ZJ)CvJE=%z#91>HEf#t;1a({Jz~%W2@v$MDuLe)^Zw>ROJA($PmAZtNbkpqgn+-x z9?=AkJi1J$0#8Kw8{z}NJ?ybH{?Jt-4={Oe)W~rn`Y;0?z5-7Khd4@M(bw`yD{v%P z$Zb1++tWJS_tvr}9K$K{wX%UmRvsuC4ZOK5wY-@JE1m194mM=r4NJhn*{a9`b4Ux@NyfK} z@{(&b{ zrF1ddV;G~OKLmt#?M|y!DWE>CoaN!VU3mWI1SMlWJW40BLeOHN{#~K=VINM$ihtp} zWliW-+TyRZr#rx>pt^Vwp(;`e@n-zI!6!;3S@<##Ov-nu<`k@5SxWCzCv>_&7TA7V z@I2)prbP!e4DV}jE%u>v9(Now4W#fmU1jG=)7RT&nFqS71m~O-f@MxKpL`|-A7>(8 zKLz%G*;{Tf5N?SH&y=|>*Y?|YkV=Ys6*?j_F#u8mEcaKgP)-yD)|KOQzYL9dD|5C( zn86S4uueGn|8XQSk8%1`<|uL|z2^i>@K7IFHSqi!CG^L-N6TKfNuQ9M(@E)4i)}@| zKGkUYey3Qix+|HMZC_{j>QFzETGKjVy|-bFJQAiXjt->}X+Q^S5n@Hvcg9~fDH-1# zu$)y(K^I0DMxm2p89$u+dhUoe>TZB?jYj=?qKxd-uUb5FNZ<|0+;T{ zc9s~&VAO4!C{Z^7>*kApEiTEh`@ht}AM~!zS)RV|t;--D+juHz6kd1=2F%Ju)J=hMZ_sy0Kg#%B*^#P( z6*c;VBkVsvYw~^0^Vy%_T`B1R-NbFV=YAPn;3*J&2#rz@fJ5SYt?HnB39n@a#96{0 zg?aq`NKuJ;a4)Ge_&E=>xUCX@7{%uLntZoBji2=I{?J#?4e{1Xp`u9&l_)7bz%Q41 z(>Y%m=bib%(sccg=f-_;yiTyEAZH3O0*)tp-)Yfvq5Zl0mmjo%2>9;kmUF*J@3rDu z6c05~$1SJ-;Xq)ZKEgvp#?-&FB=)sldM+@j`SR}60ozaT;NOz&1b3u&gUqF?5%D!n zymXt>Zdu1knHkQrn-%Co9DM1K`LuAJFF1g^t3FFgCA z561oZAI*sb#L6tdqpnAB1J%abk7+JHw55<+?IrG=<)>Jb{oJc`w?0D`wylC~<}oX@ z!#&kAVLvqTP2qBPv_8D|83p;VGzD@#kF*DjPf(qFMKnY@wicYum1+RbQ`;%5iF@!K z(oP>swSWn>3ZYk8GM(@a+ww-FkRWRQBhQzrudPMe6AUY55V9=eL48P?Qh0bO=8^BlRY-RPdr`mRW1? z=E|{_ak*9IO_(G{Kel%H*VR_%rerzePx5J&Py_e>exu)=1fF)$h zy|wo0)PV;FD{`>V9AAPHuZ6S*cIEGFtLcg1%II7L?!$n~tEDe!=Ev+xWeu;XkcvTN zo*nKR4ISe+zqEn#cgYAZgTr7Dlhmg$#$j7I#Od(5sVa@_?}&#Ad7!K`Y3`pQLUxjI z%#<%NDpWA|f|*z^^8`w0F1QxX01RKgL$zrp15|&N?Z461#*o;j`X34AZy98C6(qg{L;_v$sD<9tSLfyffrLjv~w709$78l ze#JfUd;6eshBM4hq<+ll>4u*T`tTSd3mNpmmD*OSaF;u)d%DXy!-R7EO@DoeR2aL6 zorJvkbpRQ%f<=H=Ag{;~bB3P=LirW1NKlHcK@jvo^XetjP%*AS`My;gwLHUnL6G2= zf<_K2MtP|2o~T52K84?xENQ2FTPV{V%`HNxGUXuWqF-3hO9dDjao>{gK>86Rh!y8F zH;205I`bYkKF@{&zqireYvyiaQEX`~j~$!FMod^N?=;5A77=0BLk0_Rs(3eka80Eo z(gUtyl`XZnAleswF|$Jo?=&pSFbLY1t><$K-L4@$QR2q%A0zV_jA>hG)Oe0UuXPz9 z0Q0WwwVuP>sH5p%XeN>57EKQ#hEV=`X6vtGe)h_?EJLdgoN|VI*5UYKc?`bZgugdT z6Z1t{q<~&F!aNxM%swtB=@c}ReqoH(9oxAnU43Ja`h&m3J79&vkD!l{4Zb}IYq_km z4${QWi1)#)o~73 zPf3zaOBH*QaOM%xdT>Rx<{K>$*o(oofI@GLgLhI;O~!GyPa*L=%r}sG^%QqDu@HtY zd$~VdpfhwWjcZ+vRlb)oa4l$9d@UlYBxYWLvZZUXJDY}H+j8~p>hwk)3Y~#Cy$=+2 zl*4E&TwSMbBkK;cBo4u zWoleox9$>ad%jPbMk<2{oMq6(Sj1H{vRcA&lH;CSEH?R?omP*U;QRZh0q7sXzxx1B zVBM{Mbn*S;8%lAl#KXQ0t(Z~0C>ET0JDMIf*t8rt{skx43onM~&*gaPW7yefaXa0; zI_rDfJ&tqOEG*-VXXw@#af|e4u6@Sp_*c{8Tx4|}$^Yo(6yFJgR5oMG4M62?zP!6l z-3~!Qe0HMj$z)}0I7$$8-LLu{Xw@@p%-AnN0Hp5r7KrR})&)*b5Ch%SZly(ueizWw+WD(z<{+-6`Psr{oSEV!_k=hiY}1PAOsV!5j}Kk=l;ex;(`)vg}+Wr8jy zub#RTvpROtOC=v430c4?mDLWHR0vDiwo{!?+rIAg_$XL?j&RvD3WK}62~xir9vp&T ziuQ-*cI>CLf@+@S zU&v=d{!BUXg<5h;@z2XtQhFz0iFx8#uqc7|jiff^A2<(Pl%!w}k@NH$L7Q(>;*StS zB*RxJ^(g6p2&dz<4(k!ch0iYSgLLgw8;+~SS6D9HshpP=1;dzAz4H`fQX9?Dom^kk zq0kQ)fvDP&6=f6G)lNPp^WBjQ3Lc!fiH^!ze1Lt~hw5_M%ggHKDk3>w=t$f#JhsYw zqwbT~&%pWdCdbFli&(e_$9h2`#D|RA-cP#;#_Yte^&8!Co@i||f|l23!XxOp=WCmg`(N&%A+O!+fYHe$`~!=c;sfV3Xv z7*(Gm=uf=Vo8%)F9pe;FsA>x}Z?sLO+#|-JW8RCDc6<(_2DlJx1IqYbVK%*=p9faU zLj?y2m_d>sL=0r%4AZ#oxl4oT1}Vk$m^^2krJF^$;~dP=uT>WvcUYBa{0~#vr^MUL zV?HVKUn8emA(ZQP;D$et`Q3zmzg(;15)3Ww`t7&B{Foo;B1$jRRb3~VxbM8favX}o z;25hq19AfVG%>f$Fs{7Wri!Zk%wv3sj4-hMcPGW<+Ixwp5wo%+a>Yzm3y|wi(^okvuh}GBRofotMy)!0D!*4Rv8-7jej|a_-ne{ljr-NZ)5;5Klr@# z5AHe2F#-m}^TIL*iv4!`6GD?9ac=r{8wT^qoCaLdDOw3ptcfSQyz*@OCvNZ?v@rB7 z9hfvA8?F&yM+4?geD%Yn%P|7>xtr(lRs05e+t9J_HwDH!zZJkGS{T$N5xhr1wE%2@ zW`Jj4b_@?hFN!AQ!PX$$z-+eFA#%}z8wkX!_PC{E56x@i2@hKT^U zdM$OV|F$AisIHviS-y9ecDIk>6Gv0VBmDFEw-+j*t5)66z8C-YiDC@e5%Gy*?7TZG z`yVo1_qlZxN)HnrA!M1>=7WNZVEaAFGto7PS5v5GV^ngJTeN5}JQ@@^*a2WHR!HPN z%`X<%RK9Wb37Q~!M^bolyA|D-3Erdf$uAv+{Ub11?fQFe*J?Ylo4ZOEt2O7^G9tmb zb@k*TYz4oVQDb@M$xV^LsfBm$3xT;;J1Ou<{Om#>yexFiMetIzj0DPHuEN4dAI|vW zr|0Q4wRbh5mcEQ-b6@$mw^S;MT-T%X`mDo^H`@$#)J^Ju!jmK649U4y!_9dlX^@yd zbyt07T(JYgr2Y6ort?A-_U&!vbimew)p_Zgf*9xqXsUY^$C6CSh>&umbbn;K+G^OH zrBn%XBL&=|sCt^ZlO9Owm3}ZatSjs)4Y_76ZiRVq!5Yi2paX1)GZv3DJ>UaBybsAF+8PoDkoSAn^7g9>P3@iEc7_3G;uNhdgZMdc zf%&&V^jX7OPiUp$Bu=l3Ae=ieul&XN{8NA#NQc8*f zV;MoQ`DiPGQ4!h2P~NiARAss}!P$mvor?Se6nxx3*3a}~aXT2+<_;Yp;I7Hux2m1_|$%81_HKoBbi)q$^uPXD1i;E&l3D8Q4$K4Z9ccB3P=9C*N@p=RZ@4-R~`A zHtycs7JbC!0IkAJT-}i?IC-*Or^BQ9|3U{*@R=0F&nwO%{9WRshUq!~SAWMGwEqQ- zGiu5)3ihf)=!ei(p~=a!bq?hPe#OI+xK9w4Ur&5qzJ3ec*-n0EO0m*>a~4W`_F<&5mY_ z3-6KU>L&}+L=5~q2?ILw7XUi6_G!F95BNe|2F_o>c5R!1^moNc-+-}hL_JkgwEf0L zg3w(7Bv-c{+nxvc{mn((N3oE#_LecHdYt2DFz%#z%E2nE=Yj4Tc7nhUvUXv7>i=gFkvD`k)@II<^ zH}k-sK^yUgg{yA3E7M|>R3=O>G5>Pg%8nF#zGxOdkSi1cvS$h%2JFi#b1ivM&AyWN zb+l9%VtC(j_{{&Y^ebOxfAtpo+qRu{eEY^Zt>S4ySy?!dw!q&!N}KBv*I7g_RWPq{ z#cNryj2n{HJ<)TV_WkKtZi3Wup6p0WGx5iZk$c&n18<0KhY4N6PojRiTr9{jxyH*4 z#cyk~#vyEP|Bwf@qX~`|UIPl-UIW2CAUNSqfwgnOfi8UTA%dhIx;(50HV)a4ZUymy zpBEMUKf(w@6Fqf1;K1MNp$2?Es0Z6}MqCL`GQ_|{|KPk_2Us9ckit$3_-B(G3pZmW zdTr($x#OtQ*y?<`U@l4nv%CD`g78!gnRR_Vwzq>)F7td2gu$e-V77I2BSF5K=j6sr zMUg*B{I{5lmtU^m|1V~^19Lzqna3k#(-6P{&cioF6d0!#2nnpaf5e2Jd!4Kic?)>4 zX|U|}xJVdC`c_jFlRfQ;FP%;K?QjYe|7rtw+lzWGH%(2xYiTj%$ogl&@3~6MD&}G zX*Ce4+@gFU_S;}UBz$9BSX_Ole*Gw#vT3i*=xBX$|!sn)= zp7-i#Y&|+SjA%7Ayfgb}vD4IL)7Mk34vGGgwj2Z^e5JI5+v3mr7|DPV79g)f8@i9_ z`R^)9R0zdx%>2Gq#}AiLAJ zJ46Hxz_my>dMQ@cGKscz*-1bX*kVb7VkN5#1SK?xm6To--FsQSKa2#l>85DOi3H~4 zdOqt~1dmg_hiAR=5cj$G*TiG2(7=y2hS7A;YSKwTxFi7;*a@^@D`VJ1r`o&p8s#b` zM<4tMz{IsW{8MMM+yFFNtt}`x=3a5_!cAdgCxAf@%Mdyqn_ExkxXT;}=vsO!LY;q8 zpLEo(8i`K|9AZIsmFIk*l8}`g8y!En#cL5Eo)^n)%J*Z%0!UK`NjObUD)m@Ub!tLWZr79-3Y1$F zIg)RD08CNg$I0!EE-7g&z6?40qc=tcAwn)G2Yz?^=P_zfb5!e&ZRxJO&BH;|n%(5{ z#<&<~?>7S7&-stiUz=mACa0bEtWEfhkWf`KAQ? zas~96qa4T1+kHz!vO-TyRC&w94r4m%=zBk07;xJ6Qj?MUmjbM18v7pUi(d?9N-j3M_rhDZ&Y}>V zP|)o@`g6ZF5V`id0qXlaJbbePvW6dD@;3_t7aYd8lonPWLikZd+6-tA(1_!IS|s0N zVCV-RBbJ8cz&g)X^`lF6SPa}Z|F&$ZtEC(hBgCn94>C@!azcpH`xH2}0&J1$G^+B~ z-0aWJ-)R|+LrpVy7zlejzjB?g3`sLM_x8yt&tD{gaYTsZn&Gmtx-Y_k93=usNKgpD zPfBhv)^C}UAYtUkV^LZs*ygK{aR(hagk@b3_;p_$HOSaQP-W#=->5Iofju);M9db= z-w4Be6$fbnM=*%p>sGg?Es>XQR>u`Z{YTl}Ei&7RPZC-P87JELx1JiBMa6EIfN+*B zw-DzMCTZ4X!fEiRXe#&(Bd`UO`>D~74T|~{i}zGSdtg>d3Z@``T8r1RN*c@P>n0w7F>y@6Cqy z8XQB0U})WVX!eUM&&=9pw^x$;m7v?n=9%v=tNZR62d3Xs>$k>%1-B4+=b?sT&xs8W zLE%a13^6exC}b(IsSjY^9qLOTjdr2|9D-QuS|4#1CE5oaj$PGPc{c-{4urfh{AH=D zj#(n_dhWlubZHs0ZQaZ`t3CZDdvW#|8Kq-S!G6&$<`^Nai4ws1k)$MMB)i=j9xi3X z!`O%+kqg-qLvVHDF@J!XkutL^dn1pLNd3GL$CV;r#`y_iC;!R$MVWg}pjzOfLN&_S z!2cvwz*I?sc#<9TL!yn~&g;t~2q}@e zHv8q`A8aZG)8R&QBFF`lS$@VVEJ5Ah9O(aZWwr0FjA^lWMg`4pG}pjFb4}%1+#3yw ze9|4DJkp?pI>Mr`pV)4CH5_j1)J~lt4T&)3G5zeE6h|D$j=60v#Q%R%f(WQ`mPFn` z&-bQOhDv(`0Wv_WS0RO~2I^}kRWvc0>4Ka6LLUOyiy`+A0yYb6q$;pXtxZ^r{_F-( zG$XAXs6hUWAp?1>-pjmsE3pqQJ3PQAm+P9JU-J;r2ekmW>os+(SbKu#O5Pur)tT1Q z>nP1P-p#V;hTf)!+_siHH`j)~z{xk={~VM6a8L#p^>c}(B>*gXjix@Ws-z^%9clGh zIZvkmlU7&B{W~k88mmEDW3}Pdr7S5+bnJiMWso?XA$iCBm>!msrV{r@%6pn==LIm< zA<9A}c4mdL2u5W~n&^YozUM8hQiPkJDn8W#%nUbpMW=XC8(r?FCCa@fIZBG8LadrT z9AFP>aqTN+vS#|bc@+a$L!w@JLXRV!zd*)Vmv2dxA2=u7RR5FrrnuN)(pxd*a5h|6Fv^1SGUK2O2YtAEEQmi{^(QKXj4eTu2o{d&uz=u9*|~2 z^T))XMNrv0$g#)%H`Q*UWOE@}6^!lmQuLolU`Pr{CqR3O&5SkmlI15t-Z0GnL$Kq( zhv*WXz|Kk0v!TG(_9sq!W~Y$;JSucMW`88ye|SU{+-H7mf8ShZE-zwdaMZ>#WTw;M z7gPL(f=C5~YTFVrXu_Q+0GdL60#ll-Lx1^toh?W5^!J1p8JY==BFq@z2)NOuKKbKc zHDp@SADhY@+*#?c+3WtF4>I^2(7fRup_ygE0!6?JISm((kVGx9slSsH3KU9R+*mhQ(Q3Omy=tIph5iA4m!Qc^zabCA@!LAz$V`Y}Kyq=2 zWjIj5EPPdx5jqFW1c$D=5JHMSGMOGD0Km+ix`KLY^Usz=1@7|nX9=8-DIro286bd6 z5PlV!7O7y%<&FOFZQ)&$p(G?Yz2Vu@`~u`EWM|ZG9}kVi%x-084wPi|e>}`f8AokmPgXv$`6iwidz-FH;no|)yjKjw1Z2$Z?BQK_HW0+0 zvPgkC`UTOWPXJ?$+Mn42QIu$j7*XcCfnE6cyg~$6=u%8js?9|nnco~T^G8fnT@@Y# z>QQh}5hMOvClNPqiQg8!l6Pf72{ z%9+9W<0HBF1Z)0N>L9ZLLG$tNrAtTyt;B`YNmjhpoO})beq~V#fjmvwdn7b3=U$JT zEQ*6MpZ!sx(u$BbItiXKuJhOB(Fl`4w53b+ah8UbPS#+3G1GqIX;tmb=7!1|zgTLt}_k`Hwd8;|OXqM#UP23|I!qfXY$t98VhX&EwGRv^a`9SYAA zBE&;}nZE-ULP8)TQEOxxjYS1Wk%oNv(R6hJRer$N^H@d-fudFI>-R&G$Dq}%Ix#G$ zZfY)mF@7PAH@BUacgUT2S9SrY=#jP5Z*|8KD+L(qe030U_<(*O05Jjl6A|N1v{3o8 zxT#w`#3f&f<$%)?`u#aahlyav;LSFdm(;7H=>m9X+4oC60EV~P7)MGB{2{f*Lrh_a zRB0iA(KFPZXWi-HrIL=37Ly7U=pS>wgb5X(C0Dy0HzgV-{lIxUENB_882e>E#RK^j zJ7%c9GLntx0z!}R3=xRJ8GnR435O}Y1!#aA?QchenuD|5RsfT+6e$u$n*wkNJ-Pb+ zfo$3sDZg*tN=vo1aFxvC>wi{^5UL_vq$^r#2`fA1zp%9&B8UaCBK??)x63leOa;C_ zSe>={Q4?Vy^)O05*xDNMkp${Q)e3qahVi{3K8W6lfrNorW)QS3{d96RY%%_SpKlcM zGcowYs!kYC(Zw&_lNP$Q@zl{ya=Z|s$sz=mpEC^`%W$(~of{5gXQTXg=K+YN%PYy+ zqW>6b8Do#wCimY1;zQm{IV6?uD-Benwr5$GDp;Pl$d+8+3_t;ZN>bSx5V?H&TU+2( znBh#d3OSp>FaHl$R~ZyX7i^Km-Q6u{aDr=q;K2zVNN{&|2u^T!cXua1a19XLS=`;; zknh#|@qR5;OYO|ud%OGe>C-(>z1Yya^W~)pD!+e>ckUNqU_mYc2WQb)MGTQ9z<1)T zlewAoJCpaHtcaTudCGN1D6fOUSCIW$XPu$ixnxmv&=tUtkYB=!u)5e(8na$XN>Tw) zzdICoB(jY32T@;(GP?P{kS)#0X+uhT08LaKzMz{;S~ zbO)`74&JPd%%O*!igW)TpCf=UM^a=1k_&-D# z{iY8y`Q>YV)5x_Z9ceo0arpfswHJPh3|XmpkFRv}TwZ39TvKKIw?;t3=;WPP#TYsB z0NXJ#YL|U`4+1=_DTVDhvo;cQlXIQ%z;l{LMpIHV;6DK_+yN+?dhK}-|x8%oK zPpx{tZFTo+oG;e;|NX=?Ax=_z29RG73;#{VZH&lfChNO^aQ-T-7x{q(T5W;1dx34jja=4kO8YXn-tD(P zEx(Cuz4=))&@8%cGq911^*=i$$OGPtuP07coIl-nzrw~iW{u-leS2T@BqL=E38?UW z$%#dZJ#KXMTDi7MO9Dw=;b%-p%5_($*z34a&-wGMY)D{rV%UI_F`itK@0b1q!rFnR z;BPijH46~Ef3ri;_{n4h^(*FYM!(q|-AJ%vACS#<=YV7?%SJa^O`YdSEN#K)(pJD4 zv&TRXOc8u2;mkL}S{G{{0r4L%m`q|&#-xDizifp>3?-Gm(JZ4F)5UL_C;`>FbTCv< z?o)eOX-gXwJs<(B4hQf+$D?jk6-LzAAABZa=bG`$RJbVDrJ-i z?!a8N-4*qqAR#PZ=N5u}S6)q*V6o|A2|(L^XL>o!scwA=U+^*rRAll#KmvxYkDra7 zMK3m&ij^)Cq9TCuBlrc8G z5ug_0Z4+`mbinP~%`o^MSKN@jlA`+k!`}Icz$%sO$afT^i2Mmq@z*hZMMSKl9xGM4 zl^~}9F_CtPerj~<6O3C^{1ozcY3RJYUP_r4-bM%V;>)3*(Aken%{E+wFcjE*FJhP} z*&lmO4WogGV87rU*dxdovVe6|L_B|`A$zEA-z>N)FEi5-mZ`pc<3%MD0xTNHDkSS8 zX#-S0p+L%(G&x0PB&Dtv<59*4K@}inrVV1d*y!=@pI@2%v=j7!k#zjl_E|n?PZ-9m zty>s6vkIyw<~Wnw2jZUS_o?V&ETQ}Qy(fCaj})NaYO6_v6k0U;Box_x`S8U(rbsjs zvIRcBBEpYOu|Wn#AXhXDW~m*?n7q@J8O)nG|3KWau?F3OyInsL=Ow&uUrBWDbj+iHD4bhv!rr7SBq)v z%DN*)QRK9&$rcT_$e6;HusN_IUxRXk+$Ke5G9}(zT=R@MChvk3-MSJMeSym#!w)JV z!R2h7`u)TW+#_AUI^X6H`2v}{Qy1!mVvMkVJ;C%|-z9NOqyNS>T|?))K&kSO9m1F- zQE!m{u@LNqb_|?IC$ut*y|E~Zl{=dM-UnP@N^te6X3WjU0K65^PSaOf0Vzc<;TY~h zDdNJUzhWY%b1HRAdIo>W3M~mZBI5wKW`b_o25%eO2tZhA2*~p=Pa@0+t&j(l4>XWt zpRpvmok0ftjL|fs7Q8CALf2)XA(lnibvUK4*B#`hzPWS+_a`9H00Jb!!CT3!23Jix z8*R;rp*cT;1#ZH6u#*)B9~*KjY(6O{Qp!_6j{pMhJ(L#01@G@ia`62?*WMb8DB49C zc>}p=x(}lNsx_x=jnz?A1!rHJ!6A}BCkZHWr-NM4?+VmI1f_|#)K{~~R_?#vzs7m)pVk#`k>0Cilrrpw@BDJ2rZ zlJPyQ{#Qv}2osU=8MP`Prxypsigqg<(3E_HjqKR{q|d%s#2qTq3cr1D&qGg9R>nx% znZ!a;o4w}Kjo(L}6wnUiAIwQuq~WLPZ$N--j|m^F+J)f1`j-kt$MtZ;KW882cf)MKBn$aX83O)$8Y? zjkXyX_zH1v&VNrR;Df#W+h6agh1`k2b+$=eUH1rz{u9us<&fev6z5`--!h~<;_p)tTg&4ScGoGqZT~r*8U>SK{S9i)t0|SpBX_@YxWC9^ zG~o!UD_4}pI7Ta;C~#+zI5=-`)wcpZ2E(dspZuHh$LPBwdD2ukcN6ZWlt_FjgYm_iqT@P|P;CiBhi2@8Jb9xyc$ z#&bN`-Hri@)MO&_(}7`!+J=t7N~o}f$`nQfMXt)Ab5^o(KBNI>b+Rseb(#Bloqh?@ z9{RU*3^RE#lIuh64)5J94)9+!M=&h}ph!EW1>89j_~}l0R|4i&w3o=8R54M(at~B# zml#2E@P1M34hR`{zapMJ)6)RgTj4*V$MPe2qOfZtAp~#|zf!zmPI)cWXWp%;?XQkK zxJVMEMs!f=X&d43N>%C=$rpYZ*dqqIlaJxk>V)eEGLK(-!cCUCrcQVX!;eP>&!Avr zh0*n|{!YDS=R_cM37IA2O&C}jN!c`Z-U(Gk)W3LSO0XV#5EhC+SjiHq4U!gC@ol4(Num?r~AP4cM zb^nXwAPm_|8s>QhDOBHhYefk0b`!wWUj2mW7>^Ffo=*k>mMK)&`4l0VaQsw2eh&r! z&NP7^<##@iK6gd1%{Z=+bJAMp9)M;(MBK89JpkrNpvQ=fV<|sh{^i>1KSYrWf0^Ak z1WkH8TcC3$^D|`VS}cFob&rPs;4h-}_seniCNwt_qg%#?xyQd86fzB?+v$ty4-s|D z1#sF~%K&l>O>Lf{I#jl(3CJ&&CgHiDU$#ARRf_$q2q!$XZ!qWgu@mXvKP1Ie*;7T1 zyI<%W3WRds)kI`|CnUzOFmG5@eqO^-ORePjgyb zaGDP2R_ZUe%LzZA%$;ph1*?5UcxD?!3x)-(1p>pAMyWQNVNF>*YMgoaTqFw- zxD`uTU(E2o&gRlJs_5O%bVV$y4=IMh1L8i8^ZYoICDDQCwudHHBuJ zQ3WymwlF3We$u7bw;_5q4Dv@u0lO{&ntsdc9jA*rtwcp6?zk(>R9K0?txicsVq^>w z-8Ue|5#8aG<<>*LIy+%~ph`y%`mS@k46%j2y!PVvb&ipx?=FPK0?kU( zS=4Ch{wmoDggyT!Dy~`9YNYY!j4O~a+u{NSlEI~)Zxst~+Uu@I&l?5<_1=GSv4h&K z@cR}WtJPHEOiI58LG8)ld6OiwF~48z;oL6xq_a-^`^pE3`$3sApF4ZqTbe^yc{KyX z6E81xV~*iK8;!kI`vqRHq&_(g_K)l~V-Xk3r`qYSYr8mDuL;MOaaLPhwxWN?Rhs21 z?cZ$+FVSWV$byI^fqFuSJbpd+ELR>LiphA`f?gXT3tA(8PZ}PP`_xCHel}Hgkg3XH%dmGnq=f(BYDCj=^$?5GS z?wDL!3p0%~h+e0e!3CxXoJ_8y`Fw8WQF~Al-lsw5)+qu{BU)*42j^l;^W)6&1GY;C z(4kid5K|jR;9em`X08oY#rBa$P)N6F5Ek@f@E6KFLO)zVwnL?lH5^p-?##f4iV zIlU_SvZj(>BngbPU76N9U*h<_a(|DXmx`}&x+a`_JQUczU#Y*SNaK=!VtY+Lhnv$K zr@uF9rDF_zc8H4%)7&heb{3WY$E0G4t*_B@VNDYQ_MHC7;EnCV#tVs8+-x-k>@E>R zS^4`N?O&q$@3V7Sp?gcCXjVC2J$W)hb2YG-qgqcV}Aj0{e9(-udddSf-W7YvKqy+~PU6b@;fc)B2tOpzaD z^rOb7xh5=IaI(;H@Q}+2rH&hQNp{~oHB2gLh)zjgb;Jl2w5fCq=gv$R!%jb|=l56`hs z-?5Zpgi~t5n?r=-{z4Z_(S$(|P7Y8QA>dDLQ7${oaNumMeNtU=HZ{2{jm6W&IOZFu z&)sdGR4g1@`#XZ_{u8wZquF$-Q8Xrr+O@c5_j2J&_7@;`0kj@(?z5r2geMJaq2BT< zPWcc+YHhxI^OzdVb?uMt*|gI4Q_1c|Thp70b*2lPv-k+{o@LWi=GXiLdp**b_gkeq zCa2Lg^x7JIfiYXs8pa)t!IXf2w;f`M2~)#BrnIGmq9TVR0bWu^;cPfDkbtGG z3R9R&SGon>N-vYg!Fn-Am}j3se)e4Kttk57E}&H`aD-8b5Q(;@+&KUR`QxDQU;U_B z81QVz!czH$VF=A)oAf#5b>zg;ahsJT{FJuXezFFN`s4Ans>ZjU;r3A|C!-*&F=opO zPa|7r%TLI>>}=Y^30~{X@7?}{)p+1+A-z#mY3I=6*^nofx2)J$;&O#PF`|9BIlx23 zY`pPPX9$HGP8lK&O}oz#;XmlW`zzOrssZrSi6U{ac?px?C5_7bh-lPmTjfS#P0QYkTV8G6brjR zi5ZV`QI=yGC@%o@LCZG)lZHYv^aV<1f7(;t^r*f3qX={p45Uj1zam>j7yprWKQ7`= z%tmS@`{$dDusVl;)Yh3q#6sy3i%PelcbzvjK{_=}_$Kpn?bVk!*3C@>nNRFJ6|LAM zqAQLGzBJ?LV#i=}F21a{>%}Ml*DHz>y0+4WYTfZdyD2+D%It<(V)zvHO#m|dGNE@OoRC{h#MiAnoyp>WS=m3{9pvZ>8iVM~3goyPp z;-2B5$8=qSiXjbx9Pcj?JHIU>O&440q=qsbXvk@{Z#xP6<>2Gd_;nhIfTx`W^+z8& z@wbn`A`0P|a$gJtLda{0z?$Fl2L7zFa7z^x`T7IjVzSN~b&CbGL0A)2=;FA7+ z$_^>wBCIt?v9sw}yi~bAKScU&f6*@-y3Hu$*-s+`=tY5Bu7UavA`Lm6q*T)5m65`* z&@{?Yx2XE^iJ+ z4ss>a{HMA^Hw$RCPR^(xdo0{}>c`awZa<7~vK|(NcCBA8sB`kQ?Z!runS6Z}A`uW2 zVdCU`ePrg4=np8;?0$cJLi*6UbeZ|ur`y&^#Or)mBFNt0=^!i}mCwDV?M~hxsA8i) zo-OudA-6}ge`$ARY4wG-#(Xh1gQMV^5cmc=Du8RMip&eQBhDI1X4p>0v>uml1xxf9 zh^^>YfQK;Zl}xA&>aGLgLz-Uabra3J+D+xdPW(jOx8)nsqD_-=Z6Wk!Sr}R@DnpWO zY*y|;|Emq!OTQC63S^XdG0E30bX(|&ypKi(Vn#oaS=Lz>7PP4CM1+@cCej4>GB44*9U1U`ZZjLBidhR z@JZ~<7859aJvwyRIY1F=xJ?*?vxh}%(RGM?>L9S>hD%zWZL`@>A}Gbn`9hVys^vpP zYZ#yp!2rlWkZ#VcOVKi^ug~;nri8Bn4j(8rCjoxr95eqRMbUR;(V7FI^mk?{Lt z1TPNEu#)#ke9_@@a`s*7O#Up(?DC5MbfsT{|Diz)_z$a))UDM>cyZ&acUQ-SfocE| zAyQ>ZAcOZ4+$uAKhsOu%t5gKIWQ^d&X;1G_4fPI)LneJ#vK#KRuk-kV7pTYXTSbeyng4=o4=lz#UL`Z{p= zp6ad4d|lN`)K6)h7tTIkFG?jq7E@q5ENu4|;^7WEqsW{ve-4wqQ}BUZyHsQt-E%k-xwv|#mOg%jZe4Oz|T{81=;T8+94UHjzXEySLp46!{_#< zLT*mUjIeEG_&tae#PWzI`Wt(SabbTrSE6s~42Z=#ywEq5D^fiYI!C2@%saSu9{$xJ zWvc2S3}xc5aa|<&K5T-pCKYO#v}iBnN57-Z5(E!p;qcL};8JR)1tTKaYml|Mg)5BF z3S@y8J)_eZ$GM^glC+JI<*-L_L0!h;z;DOv$bnr`d0G|Qaupv7^ntm=LwFyUg)JSr zW)&gz^Nb(UZ)Zk+6cLWuwF|Y8t32W7`pa^_qaG2P#a|Z9sz+D{qN>hL>y4gqGZ_=7 zMBnWVyEBK!)y6hj&gz1UijRB$a=#;S0GB_G!kB$kfimoC7&Iy+mG22yuQ1lyyZ^LP zkDS)Bm~q%tC_}&37j%NZvULDUY9P)4-?hspp(_sWJbQE;=(qf>KX1;#q9XBzqRB>f zf#+s~tNr3+f^&+jw|`=e>99-HYP*kPPhB8~^5?7fjtx%-G+l#D{s>{c8hmS*q*(Gj zU4lzi9S;GO)nN!}#z%u)6#h$pCQe4`zAoFuUEO)-?Sc`w?hgO5eC4{p-GeG3mIbt> z)9DrOv9oPa1+L$n%m!#M5%2s4O^Jt+x=^fs(o0<-=@%wm0vuOq?=4Z1v!=4jFhgKP zA|f+~zz5=TGK=7Ys<1#pe}=E#LiTghJT2p|OW$^_man&6=tcixXd1a82=5zh=nckI z&(czKrPP2$oc_7m^S7EAskd7aife_J^#T>L*0xyLl}(Fg9v!xn;$TB>4EG zb@w%Rd+s`-4bH!V`1+j|E@4%LunKqc?1M7QZ-v6WT%xT&95NeqeVEPX)v;HHo`2GJPU)r3skD?K|0Pb9vh7i-khTWhHoNu-o=~FdZDS3D06j#aftiFneHheWyA9LJjGe)x)iu ztIWteQpX%|gdJzKQ&Mz`XL7g_;d%i#WzK*O$Fi$E^(-f2?|~43VAbaQPwDL;y%qPT zx`Q5@4awOnlH=qXwzZD+#9>2*-nQrolGolsqs)(R{}$(lRzv~yO*CeL1@#Xt#_uYA z)4N+N?T(M9OQ}T&4lHAHKPmkgrlmm`?7@OHV0#8)I};NZ2D96bPhdUN*)o!tNs_-$ zQA5#7Tq~_^Isene{6vjw3Z!11ingouX8pf}e<^1j7?b+NiWF4N6Y_LFr&&#?NBB_0 zNxJSX?-D~GvK4i5ZVC^%n<%Cw#X8#qz=O^BAP+Tca&ygUJl`d4(1;3DdCB&6;Y}LN)!a z1I1=0ILMEUOusM2V3@jQY3=1B16ZeA21a$y(KVcI0WLgS_OP&rako}3{6@iPZjiiW z(H+gzi$ZXE=5RcHqQ7_~F=Lo&&U2{WQc=N>%*NWKGGLFOw`qg)%ZNND0?*vE@?!gv zX}h>6TEp%IdsA18n}Y{-pg0PLzmtA^pjsc1(eCoK)XKZNu}Y`43i_0Vx6Uq!g~Tr;D2Bx&*>^GK@$r2Id5g%Dt@kpV9&$n8ySeXS@( zG*HjmN+bx^zt&c_@>`-35;_TWWG#c&Om*V+lo#?i7!M6e7drc#q=p=1xq#HHI^S%+ zo|m~4?$-SwNWw4%9yu>>gCE?kZNUSHdKkvP^ zo0P8g7&Msxe)j0?91H}Lg6#)^;cDu2V4d&AJXDAqq4DZ|6 zvY_2_A+d9*>?1!zUB!g?G>Y|f)Sh9 zc-Pgm`RCwvQt{>cj*tM8CJ#q{ZDh5__iU1apUHd3FY#_nU|8S2_h?&oE4w(nD3jD} zyrO&>%Tqj_0#Wk}a#&9*gY%{)`GIWjLj(~0QPWtJc{)J;qYu!oghf#uyEM`$vIQI< z1IFSRPtrp`!4Pepx+j3_p^oftFbSP;9>ifQ7a)Rb*!SqPz;4k;P^YmPHt?$^*t(gM zZ1&vJVs+NF+yVT2LR? zj&|Aex8JdO?Jrj$cUn6Smj3$A<>ME&BfIC(V&0JfQZL-Q5~l>{$D7$|ZJUNJ*~&b~ zNe=|N_4!%V-*B(vb6jyZH0|fqJRd5LR#%O_Gkf5-H~O6K+-4@P9UAYB?USwy5?~?t z%km5nQg_`K!;uKgd^Y0iLK`{dWg6T%ypdNqE1hykVo~UXjRHf8Mg7{>IY%o*OPA+? zCt>@RPyGhP0m0VQIe3zd(iY^0GQwgyoA3IwO?4k51pJL3s`=(V!fnLI5S%Gx7+%^r zHDbIV8I0&!NYSf3<7Hyr>M;Xu8R_bQ^6T>sS)siZO@H)5)}mBU$%KHig*LA@zUTmP zCiK!`!<}BbiPp7D|7LzL*Ncwx1ef4c%d5?T<#K504-swPeZiSJ!8}Oz;jq_}D{d~) zE%lol7p^W@K)Zf8xG1tPvp#ujxsnfaK&y-Q@*fl%9A4=riVq5F2f$q5&A&%Q|o{f{wi=;>)`brSXM($V4TzBief7pABx}n zF*!vlXPH27hVuhujNn_2p4n3PllF(mpK1CSF0Er{sRbUaS>pjtj)&=Q`WrGHsTPar zrg!$Rbg1Dr(~~j5M9AH6wXUy8171EKt4Qig$gft=b%Hl7vdm2o{RqOFu2pq*-9P$K zH3|@YZp;O*=e#H7L_WP(J`qRsgz-u#?9-cw(!C|#qC@ChYZgozNrMZ%B8Sa6+OyXc z5Hdfhy};LYbmXGH((>IVBT9_SAqtGj{G^--QInE%oZM0`*j^?em**-ty~kF`F%($ zW`QQ6HjgN__Xrk)q_XIQ*y^#OLQ=1$HtGAtb!9rK{@AHk*v7coy<7c~vs%fMNcJsB zu7ak*6hw^Q$KZ`NkJC{>E zIds>XPO~iA@hm(F2lYARhY!#sTuqxYx-PGZEDOj>%}rJFu!#NY*yjy$^gpemD4tWFt^ zpC)tj)VovfWN*6(?-!Gaq(%FGI-Iazw7z1nVk%0BW5n)$v%aYQ0N^WQYa2tyjQSB3 z+X{Lr9|4COU4o98KA4@Q@aEcaoOEGqIKI+{$ULmy{QIa|pA{Gc z%?zZQqDI(>e1J215fqyF-h9Fh)Lc!j-Bw+JA$Y-vLz8MNxIJ;|P)gRRg5R26^S24> z?ELfa*We{I4;k^^nsk5J-gH6kuX7pDSd;b4X{;$5>Qcb?;5n>cvY}ptJe4qBgLU4l#}^AehHep|PJ(YSQRHQ{!-KN` z72o@mdWj(g)ITsl6rz`re15KchQBU|6piS_;M>b>40iW@RKi1D7 z^1i$6yRRK8E?1y`05BXHjb|e78tWd-8mX|G93$1@FPL|vQrdWFHn9%gAj;&fndY8o z&WSc}k6AF>;^O^hXdvcs`ZB~qu9(H1X$NYJfTz2G{ETbR|aTI~AZ_zbp z-gn5qpmCU}a$^4T7f8Ta-j5RjZIV}8uk5H(|H?GLs5^SPIM(`U<#bixGO;Q4NR{l$ zJ9INDIsZYtxX~9yQV`ue64t@{R7Lwn?;7W0$2hw1qB&EayJ<6PanM&z_ z0hAa#LQ{|>m;X=wHWy!mf#=M0J#kwk#wXNXyx;14e4+$TV^hdHO#J)dBQljSLN%rN z)G=%r{Dd{H@Y$&iS!YYZWKat7#wq2tEkM{VB^m{rvwjmi%8w=NksO05|3fB=m>&b1O+zBMh1T^ zqpLYGLH74iEWk6*vq0lJ_nGsc1;4IexCj$QFmjb`S#;^GVQNKq}|@0teXvZGB0G-D{c%hqF>?a(;n^? zo}Q!1x6{g5hv^ooVRe-F-c~YX85>zgGfYaksdvHER^v>&BZvKn50So30dzfIOy1+y znR4c7g~lHE!#a&{bBWEQbUl)*FW4A!UhzLrAs&|Gh5nAsPL!ZpJ-cF+JO0UGQZ)pY z>-^NccSma&4rcXyu*BNge0k@ZMb}jPOMe#4+SF_+O)e@VeHYT8mThzqg=f!kLCSrI zXjh73rd)Y><*+w-BFOc@@6@~LTZh~-vof^ATUUX{n_yY*Qgrb{e0O77_#yLmK|;<* zUIQzdo>n=YWZy}eHZ{DH8AC>J;@MbWDG1j5Fi|D>9dcihLn}(;30L@GqTM518}=13 zy|hH|(%t&QzQ0fro1(X(5?b%jRB{yI1Hvq>!0NQWHfO3u^XzCr-}g#qx@sr$;S&(k zDug7bclWfOcjSfyp(FYrUi=4F!~WkLCS!VR zO!OoL*;8iWjp?W>*j6v#xUT$cexOAK9pKCVua_+pBv4p}c{Bov$Fz`Yw+fz0+VR$a ze_l#HLYzmUYxMn0h`=ttweA#bRcx?Qx6uRslPF;jddz57(cn5@C{N`&bQuO)2c=nN zNg_0Oa>PeyY^wSpq^Ag^|w=C-{uX9`wPsjI6tuUve$7(l&Ah&;(kk zQs~f5gbnZ%E^PfO@3J6CuX=@wnp_Jg!d*DiT*SmDAR{I>a#P<6AJ(=>(K(V9vn^qw ze{c44VAR1>GUF&7NL)#IpM1k%V;#Lps`-5?HoUv>H*q*Ud&}CUjpV+;>c#FQJQ|d|n`+pdi5xDIWL}ox{knZa{j+nI*k;P~Ak{H2iKQLYf z?&DgsF+4U>$Q9?ov1IP=`Ees2%QEU&(Fe>{PN`9Z_*)q=#Q~QC@3s;mhFKqH*zt~* z6v?kK6v^%5DcSrAZ{akl&}~?P!I;vJBh;77He5{3Ait+eeoUAJhfEa8EtSmlwyUiKG|q< zWJ+a?m0ac49TZ--E5_0IF{%a*eyerjeil;KLXq|S_B5f^ugu&i9#Y*+?D zIhsgdxPg2kjl!ppOp|e4HZ5DL8lEZ>M|~sq>^NbhW}!uB?YsL6_dNu|CtC@v?t~}3 zty4pwtHKLg!=yyX0q3ch?&QSqsq{0_o5}hGVgaPuhIC1fCEeN4^!fYlojwn^hwI1I zIIReGUYo#5i}~Ju^bhk`*D8>DOqv|!J=|)|!3!uJ!c98yCJWcN?r{bcj^3vm)vp$M zWfU33Z2loc0)D|8nf&(SBs2;y5kF5dsL9aBcvz3863zZ*POLLD$I~ve|+Cs8A@h1wnC!5Ph$#iAkufE7QBRH5uz9%)%*^w z%DfP_9Uk_*GN(rq4_quG9qWdsqe9@S{ER1(RQyU>TnVz74l}5`?Y0o}yJ)E!rv&Be zzWrX*_0CP8wpr){iS+fm^u;WHWU%!vr1%&1^UJ#LYy6|*RP@YiLNfFfK0CFW4bF3M zfa(xfTIw)}XEizOPv#-;^;Ccn4pH>{;gG?qTk1GYE_w~~^dy+q)o&m#XZ;K#I2k-L zc;S&b%Bk~Ojydm~>nP{QKgBg_;JJN+-`nmcxLycZ`Ey{>T9XUkB=m%A>{_c8CzHrT zMRjEt1nlp(9M`VDvZZWRUNXZ3fOR7iBvxH--_AMRtuC6SwZubIi_+n@Z3bIa3B2T5 z8?HKfkVE)AbN^$@E0V7T#Zzw=l?0VT|9L%ZsKzHzg<VTrEd>60sF zMQKv2WvbOM#bU7xyPal`ARt5_K1qnG9&JocL09avXhI8?|M69rB{X~thz#!br^tX_ zGHB_5VfOe$XB^3izxnwz`wRC?*kjvL7RU^r(T~pCZdFef!KSWOxzQ`Lprz{Op)KR2 zhXxNpE((t^puBvZaBMTks>(6RXZQ7KZQ9Iv8-4nsP;6l=#7AH4BKLpWLdcP2IT`bGTLgTqN~GszTFe>qV3MD12xwU5~>B zv>{P_cK26Az~8CTyAHBn&Bfj{O*5kvQ+O9 z_!!$R(eNENQz*?Uq=n%dhO9nJ7f%Ff5S?@TvYqfMBGN12bmx|-31 zVHxlFYw7FhsdFQ0hSXbW>o*8Ul}&n?rtvN1yGNtT{Tye#OqiC1R_DTc`*}?k`(2M%CEE=TZKEw z``hr@yo}9ivdugjAYq2g*dOq*Hw1?+Oe122wshQ&YE0rqd~A#2LvincVGA~KzkCqU zr97izpB$NGLf2O|~l#mn^GDCO-}WSa;H`p}T7$wYPqe)mrlC*&RY_ zWa*f8!}qm18DPIU>l2IF(BQ2Ruzh9jUmp+@y|MH~Iz*wEJEo8;3hO2>K7h=dVKXZy$D2noS*YabU{ECb@jP!&q2 zo-`!axSr^Z6U?}Xev^7>q}XPJ`IgP?2}v4dMe`9M5bEr`aInqZOZafvghIW#E0uh6 zgjwtR_ZlHikAG7>3QwtGtz~Wu_Z(L~I1Geit+~@_&r<4hr8HES%Ma0Il#3SqE9$XF z0jw|qPXQ-6KbGtFo&1Twn6h4-Re;3@UI6O2Bh%K{9~?gD8{AsGs$ohT8~XQv)K8a{ ztN@OXA+w!yUH2k@f~1Rwa6Q0esr{rl3LF_h?n|*!4e@e}Zo+{jnC(*=eHlc{(#^bJ z%g@blL*@HfEOiN~3r}dQcC7>>5A3^bH2Ik_Iaz%}VyXE12!v!0j8mJDcOuh>dIhUQd9}ryGGz&Rc1T>fTujAB2LX2n1w-V;` zlovXNu8J0a!=e%msAH{q7yZ#aAbEy$UOc6_Hb`BnLwrPf7f&R_4Mc9Y#8^1hjQgX) z&c`x}#fkvz4Rn>g^-B@(H*m7@V@*0luKD#i%mLayyh7~>fp8p$J}&f#sykIk#Zm~g zM7+lOuo2v7MWn$$1KaW}3kuS3HubR^%~!w(cJxI31x>P4JPmQxzm1@|Dqah+`32$B zg8)1_0e7YwBJ4o<3lK1a%0#_lK)w@>nJ`2#J~VWfhavYK|)4&75cPHS^1-TVmP{h?V~W^tQu_v4{dTO} z@25xDM+F6D-M%+UeVHr#hE=vn0`&*z2?gLO6y$?N3PPQSx3{6Wb&y&sbt8&!D*jK?;X4WrK58SzufaTMmZoy-xE`W5oD#U{ zJJ;tFHBDk%$8rWsHsOaY*i~Or6JkI{bE}J(3uK*XgDUv|y+TA~kNN4Qy|{nww` zqdPvHKfAhotyZxp1O@;uMjJ_Po$}f7W&BgL{6>5WHvOD4j9JZ2`jtl?Xip@!WkSdz9ArNT&ED^{AxSW!b0V@Kdse zZl_Eg!PDSSCEz$c7)2p{PCTw8Ul1PfC+Koo5j+6iCa`s*JWvd!Cd&o^ zqE?rr+SjRCgX5szhfaSd-G^j`QT!noqC6xxFz_S3moN>1jQEX9)*q@px@v*Fk}=tE z#<(fChJSJxTXrA`$`J6#_mIR$PUhcu70s>bB|vIg)okRxC;f#`_sWlVv{o`5X5#ae z^NM=L&_)Y|<)HZ2Cx}?S9G+I1&+bP{$Q$0Z>tm$*yQ0;7 z%Z&n`gW*~P@9$GjLK_fDlW?Jkt>ZV+&5T$@jdRuD=XiYJyrqPe>c4K1VXkmD{68SW z?hoOf&o$+WM;&}Idvx^LVT@L~>R}sGNR($c62Bl54jGv!cn!#QS|!j?}X3qE-7yv9n*oag#YrdyPJ9n@3(Xz z`JXxv7u!*rK7o)O&^8ETEk7BKm7tSBcpwhitsvtqS4ZLHPUht-e?Hwa6r2p#g!dfc zaCe|m&x6f{Mt&dom#Ank7y6GKx}OMy5d8(|nXGriTmMg6uA8F=HBE3Yt_AEoh-U5- zv7Iw(WFr{PI+BjO2!_Y4OQM9sYr|nJq$3;7@{78swwi&AfT2vNa_HiJ-Po}}+rJer zbG|A!mL?uPM&m_a)4NKAtX6{&|I3jkIvR^6gLdjvcqVQW6UVUlux@om-s(g=!J@wc z7NJD^Co@PXv3@23+PZeMJtQdF(HSWp#sJ0xvv}C&M6jToCmE-+l#$Q78{fESGhqFD z>Rhcbr&nlO@B56sO*un*wj}-}Ep~4z_amll9@-Bq5d+OfnzFJ3*D6L83fIIE5baYd$uAz&g~5v2N?zYgxLo$!P~@B2 zq&RvxT8Y6-Jlg4aTy`+wl31S(^IaW#i5l*C$AshMaF17196bcAKL4{;kw*0FuGGKm zGn@*87{%_kGLua8Cn{oV8D)E`9LI2Jtu*%NV&{@hyb%~q-_3LgL?HyH(~`1Y(RIiL8T?N?WNchdLZuR_6eI_Fnp{1+KcAm(hLaku@w zB~N_4DA`$>;QjoF8@(+;>>^}cA=w`aT5p2H?6sruhbJ^_pXRhvz%fBHN`a6+BFfw*5(POzc)h;-t|SMfe}CkD9thfm|>ZeL^d^NAqg) z#*)!o+-V^+eBvl+eYj;o?wUNre)+*?g=X~X(N5K4b5a6yUwh6`IR{^ui)WmX54Svy zWzipcZkG^A(Sp!y(40P!oV6!*zOD#&MkU)Z^_w2vfuftY#YIqIe((+nV;?!pX2h6X zHtF>q)@Ma`e4jTQWQAOrO`W#sI~{RJDL5(7VY?5-a{L(bFUOQvhldJzt|qmM&;$DZ z4XB{f=H$HHZekByQsvIcmJ0xh=jF|}v=hQEep;L$!rmUJsKrhzjW}H^c)>ygu${DZ z-sYl3YZ-}2&;4)QT8k|h`*4hv>rcHzM5Yl)90IIJKc>)^Fcw^Ix0{L*Z94nDN@mx4 zj!1K?m4e^R)EWls>fEm+t1{vIy`BP-2-p6|m==b+`G9-Wp-A+%aRqL}VOSGCVw|{Y zyfS$%i@^4%4qCMF<$fY%#5Z7F`XjVk?{Dj)h`7t1sY=a1BaaKh!sRAZA=@>VQrK)H zwc$Lz8^=z4dWWq8rT;_ISp~G!bZfYUV8x-hySqCScZ$2aySo;5_u>x4HMCfP;_j}& z-8pH$|6C>)$(}v4Nb3sDULAw~^gY_PPGm&FF5phxi0-Xwj<-tHImy*}&(x$h!!2U0`F(`4ty?Dn_1g z=EpR&!za&z0Csx=&ubK)=N<3z_>C=cj1N&HYmR&~>7zD|@joo#04a;=K);5wr}q;* z7++PjyPg@eFNf1204Sf2ozo&GepoBDwY79l3m7De3v=b54q6Cztc9Lq>l9L2nTWu^ zVBy2=dtqO_4@}Oe5}Dt=4bOmc4qZ zbVUt$qd{zut*=&njpTbq1<1SUt4LQM=Q*wFYX^tMLC!2dT}QUrPF+#paVWV-&2wfP z!c8Ha@_0%|arQpAp7#Cd3hDSs-){D}#*3D1r7jQntU7lcvu`c*`z%f_f4QSfNpGdQ zxdp*tO{KVI-W#d1jn8*wFJ$))H2>xHbXhm~4kE|E&&Vc~^#YKpJ5j>00Y?ZHipr$i zG*_O&za6@ZyF1>W;xvu7giknSb=u=?Qj4El?Fv7M)OrM7oR3{reLQGZ71vj>ThRf~ z@wrEQau81aIkM1}i7(Z3Bf7g0vc%K4;nZ>bO1H^m9jrh>MZ0jBUh=kDZ7_0@=-Ij& zDV@AP1zg?{Lf>dpf^|(5z@pIv&x|!6gmGl;siNkS1|4dKVAsLRS^PL*%4gMk=$u&n zBO&%zm_8g`=AF5o%n0|zOW$kC8l5{Y*QZS!rh7fZjYfnj!IqXO{LvouB}H@h!$2{Z zH9|UVXa;#gBq^gQn$W7^s>R$C#)MlmU0T$6D(!5`S0gsBjo$X4pYDC8XR(zj$h9e9 zj-95ai$s2Ftrgs?QU7+z+v~WN6W=}WgDY3qeuib;{_Rm zt~Z_qS)XOnQ9XM$CfjlB7jAIg3YIxdR5wlvt||dlsFCbFU|0xMIUEk<`D>zcj#ty_ z(MOD7WGh_ zJ>I0gz}*>ZN~_Adf>mN(lVSYwk18phX7r0Vnxz_P`1|rIM%$8}+(!6)2T_6zP8_qR zW^r#(|DfX!&N&eqeA0qDjP6$X)tJ4Ns|{{Hcxy~dnz8MQ>rZ_ZPgc*>boM`20=ili z1X~K9F4NbnJ<_mleQx*^sA|8z-|%6J5fD0&v&Cn1t{6hUnQ#= z2E49!q-v_nM$?_?r$*p*-qnpWxt>`o4ly7h^4EciBJZ975K?+(@xtg8Ioi+7q!1N? zgz{bL=A0|;i(;Ey4D9~10mC*Uzt${pMWlpjsS55Ce5z?$dEho?_;$7a&~wg0rRA3% zeyZUIf4lgW<0b_}j0IUvyTh*=e^v|Ce+^uP=h@wQT~i`Bm#ShyFRrjg-}s@e8P`_n z>_av5pdL*KwMQ;DZpJkWtMeWmF_x=Ulc5RiD4v<1-^tJK4FbBB(?K(@!!)p!6J_=)A5p9}^^jO89Hua` z!zuPF0KHoS%y|K5MPV9bLznTrHyYkAlkbs_*LwN^Ofxqx!>8;qvdaGYDhaJs z-A8ne5&p!e{u8uQehU0fSH~mp+LdxIZ-;rgoF)-@)HZYUU;Q}zI;~ZSl1(3$r#ZkI z>Ryj^i;F0{rM+;J+kiU9J40s0LFdR|U8b8zP|&5jfM=h4afh+Amu*g$E8rqq+K z0<+zp7&p1@?aHLxhvsk921;%q`Y8t0a4pe#M; z+WZcvA<5VCHm^3h41jr(oe5OEZaL>oq(I5{H@c7VyV93<9=v*yW8)`&t&RHAudr91 z-?x~A4|syUc@VvQFr0YwD_!t(US9FLCaaTj{sa*mNK6bHN=8i1i;u{I39u%HP%$`d zHs0*5Q$M%a=xMgfge164HXvZ8_sh5P!sJ#h(3&&=L`Thyr)J!kzZW(>bK1=9pQ&9N z#SmA}@r+c9y-S}X)h^X|O4N$zBGb)&YeK99!NtxN*zi?QU>7F^u6yWF-Tv$!tA8;C ziJXnz=hb!zAl?ou>dc;|yD3@VZ%CB{cR8PuEvO5+uS6biwWe1hCq`hNuE5||!St92 z*YXsU40IN25)ap8QI=apv(7#6@Ud8WfPS2J{0Mov50$T^f!+-0+2+2@C*rIs0lBbC z``?YLG(p)uZOf%*Y|h&7*0s0AJ~=O|yCFQy++o{Fhuv{WIa_VNPFvX2W1@o+zY4(I zrIJnBvPdFhI*b1Yk*Wq4*>FUHDY|>%-?hM zR%pqAJ;opKs8+5|@Y$AKY9a~V-!cedDpxq26=qmVDe8h>NLiToJjty%5P3b?X3tv+g_9N_#sYvK0*8C@0kC#Vb3$x3#9Sr3$X3g+d4Lt-0 zkC#c_7U_9V%@Kv@mx=qIm~9csv-dom?bZwY(u$Q~w4by(v;y3!X^_vif10?fQ+zC{ z^$+-J!ev4;DGF_~aB9Z&)1%_wc>hs^SQ&ssWF(=Tw2KZ7|3%@# z+RO5TO^H|z`K*;g<uLigy8{qK*$~ zptO4BTtMr@y@;(<>h`M_-H%y0&3dL#cq*77SD?E|uly)V$TK)nnX$*&ZEofir;FXQ zX(mdCTB)6d7ksH|-M5LxsFA_v4-l~FJoZv|IaMa?-Sn1wQgD0pVo=3HC|^?W`9Odx z*JumPWvoN$GvKU=0FQPmWp-0woX&vaG_z%`-Y}a~$w-`#=A9KV|7~4|Dz@#R^R%kW z|BT@`f+cW|8fb&@Gft!)d+MnQXJrluTq}Nio>8Ae?oRi^|3E=X(5r3+Yt0@(vb|2Z8*JRL5SQVBn%{R$Bc*%*LDZ<& zd|iBc?e{kXtc_tqiH=m9MnBK-K~e4bY|ssk-uyHyEbT4@%qvHZ=^f3M1KPr$6zG&L z3tCAtxev*X_~AuD-n@6iuam3F#8NIBsF2)0`| z@lTw`*cWJACcB*^3wa663Uo54g=LjKt`rH~!ZyCBH{e67ec#!+ldH3ahSwUUgtK0Y zd)a3Fh;zIV>&`CnyvUh!1BK_3s93RaZ(r4u*}Nl6LB4pJwV-mZw;`=FpH8xUJ~4V{ zBfA_Vh9PK(h;i7DIucSt&q^5(>b&PZCRtz-1h?MsmABBn3eyxJg5@ zSqK)T)`#1VH4`C@QLH6fj;ev9y z??SE!m>AzEcu8X@lK;(L3D`Y%xa#g!5-aVX2BJGz05UGQn<;R2On_W{SAepgP*=~t zP6#x3*5E=|05Lg+By9A=#L2E!)r*EnqKewV>b4^)`M<=oSNah;OBy?1YnN7|Q!&j} zXa_}D^nOS?whJbPXd*b1F$6e+W~aIY93s1JoX z2Z`yf{W|g6r8r86!>W;6sKKT~2OI?C8;&g1-2t>KN}Yj*g^kA9`N8S@C^cbwGyI(? zrz)OjxSUp-2E#xohBPRr!W%4{KYL`KdSHonvwsG8`~2rfTE^z&+N?Mh0V<6L^rXQ! zt!^1-ElXsd7O#29eI}**51>11zIydL$L_8c-F`zb8JRWQqa7_hKsvy845yC)4*}I-8vZkhZokEOPyr|B5q(#eRl2t~JgOuCD-Q7+<=Q4*(2|HQdY^!n3r9@sdKQ4ov@*FGXm-Cv554Ew!; zZXa@?Z_p6m)TOIsKao9NbMbGF-g;j}_oo9bIy{kado-PVYMx-Ne>cxC`F7yS%Gcks zZ4)bT58SbAGcj|MP~F)=jQhxO;j&<0`>j%5b?Gx~J9^&x=tU%2cG@%EM;?lsViD*6 zOKHH=f`pj-iCJnlm{^GqMaq$PLuoxNUf#DTy3RDd9x)1;zd41(d^p7{2P*ZcF>GNNm51w%9F=HT19JiH?cCJxdc(a@&^Yh)fHyo@+dF3xcJ*_KAH z9UE3+Q+o+!NY+|}fYHS9s-~UD2T}>dGp9k8QctuH;zT74-30Dma8Vb$O?qo)(*r7EDBK7ieGU9Bpzbms zf;*U5)NW0An}pcYWiN(AehgEfeSV{q_O&qSr0dDheaNN2NY-en{v(a%&eS((Bc`u;$!( z>&ti~Tav4erCn)RWHi%}x6D&{mke~6=^q_%-ep%mjkQzL0ZYrj z_7g~q5+0av$jrQ}gi_7``}rKXv#0v4O+Fb!ELuAK11;4f7a#eaBeJ%C5zoZD+0B6@ z_#21nz5a}JrwLOa$32#2kmlyIknL1x%k$A#1Ey9*1@Kg@u>ndQO_vEM(SIsCVOMz8 zam8&{vR~lQuK}vh{S4iK{o8r68xn+dv?eu*2GiU`8Ua6H8K#ZAFm|nIRk@(;!Y_A! zr6qfJ)SN}XqXOW^b+ngFnbA$ZM*sG*?Z)FR+--$5??|?YI!Y6~Lesj`fgm#2=E1OF zXF;a4toQ9~%sJY()ctv%JkVVY*+H%y+`8JLc#?sU%j}VBtJH?ac~p_i14IvDPE1r6 zgb%<$7`wuMa;ZSm#9k9@h*2Xigln0Ops>~cO^Q!iW2-y7zZ{yrXS=RfaJOd^N6e2J z=MoL!O*+wnxzg%2J}jW43Ef=0Se)6k28W#H*Z@KXs=Eyp+&odh(4IQl8sxbr1CNiB zlK#m>6o3q=(vv||QpK+BUy6VMfi$x>Fe!^iOXhOjw~4xh*xy(Y6PX&KEV1!sMj^#E zl#l&$`Uj{G17S$Vh{Ss*`Y(_hfjj7kTC89)oX{2pO6REyhubXt0wkJ5dAXgprHjFS0h28x%1|q-y11J0ZTOu zmfi`m>WtdSHTxzL+S+5Ej8>lxEOf)M(e@4T=p+jg;cyVy3RsM?Nim>x`fSA%iXSNq zP9=I}Y?T8ka6086H#p>N$#n8i9jGr`hXwX!(ckiHA@r7Caa|B!nJ-y)_!jpZ>uOj| z)58Dh9VJ;56f`|q!eUoZlyU@!dR^0BQK(Urs+lnq)3_g*9ta_$)pVt(L7m9jzQ3J6y-gh9RTkKSiYP-ALQ+)8G$FNmu#?p;uSp%AXvz|EWNGTTVY53a9qC1NxB)%wX2z{ zOJMOHqs=*z%qjM>jyp11k7U@JgT9>1^CO%Wg=Z8n z#rB%2gGHcam$*mT%2bhd7(uHs%`n!>z_ z3zI0j$6g2+X^D7d6{odJ-b`8L%+mf#K~5#XDadKS;Iybnfhs^Q!bShL zU*on-rYz>Jqqk~rc8Fa`{asqMJh?Z3nH%ZpI(jQ+8zc50i28u;yF)^XQZ}lle~SZT znmaxGL;Jh)?s@QX%vb)q*gVL$@3v;t2eY2G`AyP)#bMBLobYF|re&nq8%31zHCRmr z4tX8kB5W?c9Q%Yr-!?asjooELjpo}p)ON^qep}#PWTk>a0(Yv5nQEBS{&Kvqb!B9C ze*l~(Dx!X;>nIb?8xe1s?`AF@g6BQn2p`Ye3LV4ezaq5Y4ji~U?gUhh5{Z>a0Qetm z@`r2ezT>jF5bY=Iv43LJFT9&i6^n7N)w4O3uO>U;UZ{f6RhI}|qR=7u((A}m3fIXZUT6AiplZM$^(eDL*nu=GTH zwn(}i9(aq{{3BY;KPnSI4PqbAZT%B0%>esueVk?u^x7k6f5!#pb=VMz@zb>GJ;mTJ zf{NlkY@er*;+68}g;=t)eJ7ow$NJjQEZZ9QSw}|Pxk4HCp?gUxUJ^4*I)Qw7%aIZB zbNih}rL(lIB&wt4!^kUkf!oaX`Znoh)Cd2C&2jM@TRPTM4l2ZzlmQ!^621S1X%1LT z7i1M;9FuffDqnt)Pv{vCfGA1s&WIcuT7N`BaV^b0T=Uo$V7 zA30Kh1yT%MhJI-2zQ)=sH=Jx;FT#)BuCSWM{AU`+Xb|?$0OgU@a{8_qo&m3v0U~w? z0R;#D=AoLTIA@h&ZxP~J6xggZApw*3HbCq3Z!%r6vS5xY+v>VgO?@gkpiB5lQA=R6 zTRjQ}ukzL#?fT0rElWGjH^bdMG3@}m-v^=MKw=M|`<{E-vG^QC8M;s4bB)3D;I~v- zT4IpWzRBusx^aG$!4{>jeo`3^{qzqTc&CW#cAebA@WELq+3$(VBQ_VRH|cgakA zAPD{B-pjV|37S<8Zv3`Hl_DJwq>P$TX#P1DeDuBu$o$;poq@13NgUsSuk9p1zh445shqZ>NQwg$B?*cGj;- z9^XtqQSIXX+>GDVla$dp^XqU80buT({QBLQG)CXL$?J?BVq&naFCZM}Z9|80QGlVl zX_?j49#=rM76S!gWhK$eN(u8CmMIY^%YSo0j7cGj!}2At?kKQMDbxS_lfjJ1et)?p zjl};R2m-KMX9_5g#uO=-Ck`xNM^YhSts8z-{(hX+ms=k7Nf_X_xbtvWhY(rGG?#@# zDa3ZDBHo@6=x8X&Mtj0cVGSjpZsiAbyy*_IK8;XS-$8ZcBdB`q2}a~y?)eiouy$Qc z=K*$hM~ITk$H-^n(VfsTA^%yN=wCuEimcN?3!4%iSmt&`e?rrxUw1;yAR=BzvKjj$ zr;^#C^C3w6Gg~p4#wEsyhIhQag{y*yE4&BbwX?gFr4~&-p*T2Dg4pDQ%qM5$}&LdRi1Wu}s$uP82vB-zfb}Pekk$8L>8L0 zO?kWdpy=`HJVw>atISsOS0^^#p{QGu1x7}!UF3z``=w+!+3{|7__aT+4E4*X*#Ozp zRvW(D>Q82O2BGtjI)#3~Ecdx)z3gEY)O;A|%XFG$wTk+e2e_eqB$;x%YLG313KB(i z%=$THYB1H|3UW)SmjE?Sq3NC|CCKu)a1&HAd$h8?gasm{y-)+3d{X!BjRgBI^Qof} ztKIN}Vx;3gYbv;Kw|-@<|3kb>_jO2wXJl<52=9`gS){$s`LmyMN;cUXxE}bI8-D=* z=M~3ablB{KsurcUbrMi3Vv+(CbOJF*Sm69GKV4Wt-s+?5pj7x5V zYpl^ns<*e}#V?`fZ#i<67zHje&b}CnOHZha#LLU;xVH058J$v&`^6lf@!}8k-p{m{ zjUe*J7CHOT7=yzmvF5&Fx9CqU^FzfQ!?_HJ)UYD7RZeUV(ar}8>VvB^v`GK70LBgJ zZ_O0Ud1`GmpjHrSQRab}{jU#85E4^0Ve>2LCL`VlfHV?(%e!8)<~sYIiQd<#Vt0qXowrIh6X=ir&?#I@9ynL{LY%>EbqogJ^L}W=7YpnC-b8hurM?;ay{kJ+ z*PVD}UL4B8H1l%(2E)_@1ilRfj}rgd)-+6t@!wkm1XT(XqoIw_91#`j6-HNn2XB3< zC-E@TO{Yt4iCShF_yoa$`f-#%b@j};f^0;fNHllIzO)uly|+@uKr;nBUKtsfX4?q4 z5{M&GH?jf5S;eWFF24&!N>!k1!nts8@vfebnWs7k2H#jqf3E#tHfg|Kg5r~rjj0aT z-!D&*g*lhol|#=JEDp=xhCXUiUFb6NM!Gc(8sACJ1B(h_#J`UxAMEpq9denWI=;q< zAF2b17Yq07cn#@bChC0b=Yf}!jKQJCj6eu|wBoIPYaYx|@!D_pN%f-AYTJv+u=En5Q>5s+@we?)&w=vlT3T&n+mo|3|yw zrr>wcSxdGjsWoc7WU;c5mDaCN^|z^gY95fWJUI%dS()8FZodZz7|*AoOLV=*+8@u7 zno>@u(y1t5A&g*)OS9mR*h;89>J|*@kqggd-udv;M3GE_J{S#hmoi(Vv-(0Act@iJ zd=qa_4*%!Tf`v~|zJ-c4-gzsb9g*h=XNj`tRmMg5!*CQ9704HoFPP>M6vQkJ@JfEc z`wSBHWsG19d;8>f`(u>RM*7QAsXX3XwH6z=D_v{3qR{K-R+;oaf8@lc3G9Qwhj?4H zyS;CN5cKOb#`1*>^c;UeS)Z?WA5Y6NsqGBKYjAD&UK=2b!ANRl-By^3GfjC#vECGfLN+3ega;=GJ&@M zJN$?b`R_83h%ZdRN!%`a%?wFLjrm)}syX2)~+8cb*Tg^#UL3AyZZyg@asvrSer zvwY`WMKyn#G@pG7fj*Iuky-U@@2l-DbHh~m)pTGpIiD?-PIe_aZ$BV(J8fQ|O>)s5 zU2+&H|5`Mgs-a(z#;8|m8Mfd5VYmsbUe#a??V2{&bCG$Cm|~Y4mom!{SK2c{4GJCg z3aC~+iu7&2rg6^?mX)~<2u*_MM>tRtSBwPekBU^8T~I{|tWgfP$Gs-bN1qLh#*ye- ze)Z$_%#f;ue4xU)#SG%xWvF`H_oDf}rsr%F}wzsjoEH{oh2_9C~5;BfREy#YH? za8C=weCd+8ta6W%p2)(Q-X|*gZ8h(F|z7D z%se?1E(i$RZG52lioy-<5JovqKl9H2U967b_mVz89A!WV66xnoGP1)V-a|cF6zUg) z!O#eUXuVZRvu9#JK>SwC>c%j zg8cPrZCQ1fYlZz{JxMYlDftc*RN)!4@TXOKkId7Z7A@fO+IbTlPt8&5hJUAwg2Mb> z!wV7tZ~6VYk7E7`~L90%?SqA-xZJb*)*K0phyVLdPdq~98u^b)$3JPPj;=fmtrs!!| zW#zRIJi%<<%-w+Tb{*H`p7L?Q9$mC=RlufUEcw(3E&2zUol5m}A=AUy%yM31HlTw# zoN?8bu8f`DETae6bYoFdh>xa@AHX^&^wNxR)2$!6VTavcO<;)B4isamgr zVd^k3==vVQM_HU^;ae^{DP{1FSbmcQ7>j?;7deL(euwJD_ZD(xZ=zwx@TN#PNxUZv ze8XGD#%)e0V4db%Kx2!#>yJdA+x!_acfS!p#1h%U@XK{)pCu%o1si*T2^p7NibeZQ z&tt7*MImXW+sETJ9rz;dcQYj!1AGYd1;FlbK0poX6? zp0a%lQ>Vje=9YIABWt0JaM>M1$uc%P%!7gwgK4B4rG=#T1C>KpCrok@S@5AiVWwCbU5}6oqAd)g_1bu|(f{?S zV!lQP#4j3-FlBa`>}NCeJ?sf&@a|m@%bWN@1*?h*0n3_p?p^`R5(z*OAhU#uP30Db z#%MxOuQ}19Lv^J?7F+f&>90&Xri-vEtMWcuZ>B|l7QXiT<+j=IS%E!6VvF;`ZF;*E zO(B*nJzc^NKoA)*v&o>I{*0r5wrT=L#Rqi5Z@Efzl)Z72r?j18kz7PA?{vbGoF^pj zq}8tuML!n1Evu^cl+`FWR9Hc{mwy$zs8b04B&U z!_i8AYF{@gNpLxLax;(UeeN_tx*}>HS<&O^JYwTe{kG?)r4}E0#76WPwtV9u!&yl5 z4^av(ySgOY*6DeM=mnc#L$sLpdV*MR`U<6^MWRHaJ0GcL3st=O>1j*B=Q+tK-f>$Q zTmiC%b?x>yl@!HEPR4CHS*`aPGLCL?1di~dpdAvs(-lZ6^kzSM4=x%Zt5E`rG5$kS z+}^PL-YHmBd13;G@oJ*Q_~6B(WWBV29RD>fpjdROgf9)!ECmX!Quj6ms#QtbvC*S8 z*o=}6;PWTa@+6N^Kx)w)8gW$Qg1I)p+*I$5VjqyDZpYngVr=9(a}vzb018VXhZPI& z-F6b?;?Wxifx!nC#>ob*cGAy{P^mDK0zBVdje;vyu8IP#b0ChES08Q4S2Qqy`KvSg zp&%}0zVATbTZMu@;foP(BJ{*GkHX)4`dwL%)<=-#L;c(hMO@+-IoP5U^d0{~b{j$^1V$|W4|4CM9$zk&aM+YXVDh8z z;UFZDgD5tN={>xU*EW@E86KBb8fJxcJL#_2AKor7%#Nf*fkNjdGv|2KyB>O|hvc#U zmVi@;@R(j8HW!MFC?KdKsQ0Q#(!=d_ZM2nyShHj1$jXeh-~A7RBJm&lS~AWQED+#` zG*<~2S-DZ1D#vSPJe{xS6xBDwsekFbu~ua zmc|w)jn(Z4Ck%pRx6b2zQme2b)N!P0-HM+e1VR5z~GZy&v;vEv6E0LwhqA;)inumZ>E0;e@vplS~7?O56|lF z;8KEmlP;0pT?cRLkow-H&W*mE44w^``k58S?K?LlhKD$5`-v>eCzv~kq^a9K?mBy= zz|^ki6%UT=RlwX^#OsU7-`mKGCCUaIGjupYaNRg+sGzHxBRN(`^u`+s@r)qNa_A7UOd>q~v!6Bu0scZHpiJqinP+k0e2` zI`@cNhg@lp(9Jrek)REf#si-%h(2daGg1}D5AzHy63fO%;sW1KYeEOqyD(hpgmd^I zB0Geb{e6(9@)%0_JCyUknnfV762@OB_er%xPNV=_(h1s>EHe<=#%^7C{bYNBX#U{? zhwR_G07xZG#H8Tu)liNcnZF7`?;4IjeK*6=WWrbaD3tc;;Or*{daFpKfV8(=^Guiq zzEnMW=<<=wq?h5+93ZneA5=9~pxBvj%w)SN`Iu#EQa);Ep2ZEaox3AK6$>mq3EeF{ z*Y^YwYy^pE=&UJ&YYkQa`bIi+&OZ&;HP5z=jB)t_*H0ShM&~;o7oFBqA9NOY1R|gA z&C9Cb^L-;c;qNMf{Z^_AxI8LlGXu15PEGhyaFww-nEd{$VyKf5pRG1OUCJDQ;f1*2 zQd^3~PvLi4Es-{NmCB{IFJBNf9AF@Dc-Wm3=E+yi?`esu=fsKU#WhEB6uD%?rc3uN z>=qV0C*VEogjzCL7@*m!;#rlD=+}8iI7gA(Dy>EMm3ZmbI|N-Kglyj3%si)0Mu`CjEcbbA&2N9nKKWV>qw?F%jEH_Jdx!`<5PS(Bn zQ9k#Jh$SVDe)Wk?$i<{~BL6z-suCm$=up4c=cW3$N^?aD(%w0$-VWOARyf^;2t3Mr z{GKb{!70<+Mj-Y=23W_QbzLjm-YytO>N-nEgm+vH;;E^tU#icozzdBu2b%4Hv@b%vfUxmv$L z+{06s7{e*VQ30S}Cd*M`u=c#(QQSZO?uPr(r(d&7aXyr4|gSjdERn3J`>x#%jX&4Mf#N$e(iAjyqvT=bIYxG1y|UgAW8$ z`&c_7mmD>J{up7Vzvui6q^|R+8We1ISbC6*z#@O_e007m4U)rqeC9M6uYskbYWrTT zy(TbXW4(Ki-T3ibK&BvA`Rs|J6;w zMYlN0Nd0ikH|;|8`2;Z@9vt?6uWgb|XRP$OKl_@lq64q_ayrR_l)8au6NhzVYn2=+fPlU(V6}Pp%&R&(zk!(tQ~g}S$AV#kmx<{ zcemIwuoJ#&3h)h}Uj&-D-JNj+1y|eYz8mCpmnK@RTn`imYoh%kfONjAX1Liu%`=3W z6~{3ld_A~of~ofl{Pu4?gN5zHHZ7AZv#dt1&TGsKMh*!Xitd%O*sZ>UwNynM&wfVV zr=}Tvcd~G-I917+QhAK#juK83OXGPkQ>vNTmaHbEp&4pRJ3=1qQ&Xz4J0 z9Ac6$AqSvVT2Dy`o4pp9`du>BPHb>7u<=7WuT(mKz)|~>K zLb?L3FEnMM79uOc?}&STc|^!1O~DEw`hZi!H9g;PV3vnSzSsSl2l{f8Rnb`oh;e|U zJ;kBtQ6krQgeGcXg72Ka*?bJwulxHugF%n3+v&2J-P*{0sOisOsNW1W)bpaaG;?X~$lgPD+qnFuM_TVjMQU$EuU}b`a=y-&(i)!;hZpalYeF zbOj|aT2)KebI)9EjF?JC9(j4Zp0g^;Exo=!o|8RYAFETA+8@uYQV_UR^{Z3N7yJtq z?-*Vm!CbK-j)1QclR>+D#lBPivVHN(y<1B*_`=Qo-DE}eA)K}h2FS0Zvzh!zh{(WY)L+C%_xQ7G_4ga3d=&+NN1J2bx z2ERSRH)6Er&LrOMP{ZP^HG^}D=)llS+JFu9)JC6JwUlm?YMhH(sXK0a1KzE~%WT1p zs@dDE#7%Oq|0SK0NkQDmyqF!RPiRf(?5N9-9Vp(PQcx?#!&#%yxV*e|&i2qkhdZ`k_2LIZGlM-bBhnzC^b4uo;5Pjss@fJ`GLO@^e)hih6EyYSzzp zr&9VXBqBOM|L$#E105-Q&sZB(I}(uqFk{D%)&ly!&i-YNRQbNf8;sYP>6T78S3wf(?_*lC$}0Q4zus3(fPb$3 z#a6ORA@_4gMG3!iC>w0B4GEpsIz>8Dt`OVSH?JmUg-;I-Cm^a5Z z!CwT~bg6aCXOz5_$!1XrX4rHc<&AYY7xh%?ZR;8ROL-Za{iDmxHg4@N=i_|ms_!oc zLL3dRCyf&~jodpiY)Ak>I(m9l1OLYod!b=Ir?TEv?Yvzi=kCczi3RYPd200m$||{p5iZ1UNOJiPgQ-)1j{3B+l6@wEG26`3%Zmj(Y{AHnYp#N;keY=blw5BqDvA=sa$UxGo-#0(f*@fk3HaPC zqmMNV{7M+|W47g|C9BSIcwCyqQ~WB+6Mjzz%b;1pugDwA!85I(_xPvxr(xTvli0( z$y}w{@-m;vAcEdLBr<;iICe)udi6YP!>I9sh)JJ8~!g7dyPbRuSGFn{-o zM`zr6-DCSp(a0r`nCcYk*<@+n=e5emILo?`P)E<4mtqZ6C zlX*oSJZ1uh7h605>{9vc(w^rtzE^<~vz%mt&n-b482fdnNi61zUG|XgOB=BBKK*+# zZ3Lw`^QG?R`--pBh%r@ouD<#BusU(D`-?Jk^~-T_)`^65|DE|jnczs~~LGD=vyRO>?6eo~-|JN9^<)ZZ)M zJLERJzdfkQe$GF&|5n63QGv(2G2;my{p=NSjm1WfZJ6 zJ+2=4E68$%fwvlW=(O77YTPwD?F>EmwAK;TpIh}P1@xqlQn6pSQ=UA*g}li^q09Dj7Q8)fST1X zO9a}n{CsYzZ46$&wCe?U@CtBIW7&d_LRW|Rn4V(7Pc;i@KIv*&QtvSAajk2yZY9R< z-SW_AHmN}+pLHB&L|?0@5bT%GupMM5+`|jxKiFwMMO&cDqKa&m6HneJYOTcAh3O%c=B!}7eBL*l>vWu9au7s&6AdSUhCRcfs8hZT_lX<495PYW;p7MdVd(dAmQ#{j~W=2?q6T9>b4 z7^Rdh!$?ch>wo~i^5MK`ipzp$zM8J4^64a5S^80Pf+?Bdj$hJFo=duY3Zsr~DSt+X zk!x|vviaB6?2Jd;7Vbi!793{$R(dSbErhVNPa}z>Ig1K}o=+O17}s6vn@5|Hbhvh3(Fov*?Fxqv8{^g+*aEuAfKTfW1bFr67Kz+T1Q9%JyI9A!t?Ft<9k$b%|6}L$F zTCG@@#Ful_R~eG_d3Q5RtgHsf>W|~=VT(d>(ivlhAI_baNNuq&17jRqdH*1m9_1V; zy;V?o^D@Y(Sbef7;0}*`EDo~I0Q2epG!z*scuHrEeKBVto3U_aod1}-6&kf~85QVk zbrHCt&SGYLZkKra_GOfzrkebVuB42!sH}n6H`tk^E+;!XaDL#LyE??PYAt88HyDeD zp;u!kONyR^y`1)kjI$*LaKGvjuW!KTexlh;nZ`KSR6O0B-5=kdFu$V-7ozWk$js1< zkYt{0Id7xmOs9fHMR)iepAlT0&AOnZpa?UVx8G>GOVVAo@yCH>z_-x;*1n%9(v4kA zr)#S`+C1&LLnZOK_Txc^*>g|sL6%MJS5*a7{>R;9-o-4x^A1q0$}Cv^TQ4{ogR75C z)-zue@9!LWrtc&D5Rv^QrY8zanheu*C+rpRbHMc_>zl)=D0TN0xy z6=WR_wfYIDY{Y8WW%q^aP6L$+?M|lIVk*t7zDuXU;)9oAl*aX%m1M-?0A|Ugz9XyH z+ga@R#npvK7SZ1SEAFiSn*6{2FWs%uT~kT{DP^R<$N>`4A>G{_6Htew^oBIjU4l~5 zBcwsX!DvCsQQzU~^?Khv|G{@Z>~`A^*X`Q&d|u}|=REF@bJ@MzAfNq6zyD~gG`pM> zVx2m)HHRPBL4uRX?9SKJu{}lof`{Xu702}MVr2`s(DZl3=+P|R{3+@s6vudgm?)g( zpnHQd%~3G#Ni@CVP?f~W{I-moWc4+ZQCZ@rMDIt0bf6VXMT02-ZBz&aqP)*+?z-R~ ztw|XweIRcVo3P2auYuoVMJZqeY7tCx?I8VhuM{Dr`c-9zcSc#4&il60+F#Kl*O zm)r{)Yok8%^`mA1$kh3Vqrh027p?Hgr7V9DS=x$06NQn6K@$QEaTlN$wVS5nqle;A z9Uj8np&KSn!#mCtL=SnDQBqoPyv(r=$wcbiM0XlvzB-YL_I>`{)^&gv1$#U@Zw-~! z-v`cTqb%}du8wuDmS90Z&Tn2x5E>CuTcyQ}*q!k$;ZY}Nk)<7nd*&GE>3X>)B=w{K z!Cb<9WAlc-oy;`g&!>okU|YQSO0QN^KTkoT1*z3G>>1To6Bf4bhszGm26#<6!@s(? z?~(vCtgR51S7S#%HEmZ4-q1byVJT(iBbgnxbAj4DnKa+8ky7f_w|SfncW-_5%MGiT z_B?4_C(obzov3xB@|Gdx^H4DA)ZSjG0}fCP~C{f2pTvJj7ehQh>5&WK_+q; z3(3Yu6*&9Vf|@Bw(dbGAS4(P(OT*|gRA2Y8<%?HItd->(zFH=2S(%w-paP9wDkUfm z^+*M5#WjWUV+@~S3Rj&~s$3xB-56F$;0H08Ryb%BCVm?qNss0WCTsj05PdUi=IDO| znlc=dxjv-OK+rF~!8nU2Tu5ffyyU~vJTw(&r*$qu9rAW*n%-GaJ~lFmWBzEHd?^e| z({S8t=lbQXQGAlxPx?x!Tx6lI7O;CZQK;5%FH>+Dlif}w-|hM4ySX7^$Dk>e_&PUe zza{g0VKymT*pR-d%L*Z~?KO7D7b8Sn&BneVZFK1nr`cOqgfPup2(DpSUgsSU7?7CM zRay4(@}gkBZXZ38r2o3tOow`NbSvK~(}$tp5N~5iOZh6i)10p>Hj77&z47-j`8;|Jjr%=jdpzQ>T2k|_d1q_#Uvw@0}g_hb=Kig@{DU_V0P6#Qs&mz%-QZ zq;#huC2tQ;Qw)NDlppc)5HenJLlWT@EhfVN*p{ws9W(>6YBA)^K6I&x<92Ot8+O@1lsonftFAA zd;{XE=3EGy1nZa@Q_qs%pYm+x1KQU8A07Jwe%A;oJ8~wyP(_7&XBpHoHtYK`2G&&R zPbH-jTi5?o-)w*MYoB6uiN=Y4t*mN-?w^tl;~x+voQ+Bx{8?&mL~h8DYJa3;RQ%V^ zE+NadKJ?``;!OarnaE{BAd3O3vxHZan&i``OqI#iIt5ghE01&g?~Bt-I33`#pv0B< z%@}_Y!9Bfu%r)leQ%&1GT&$FJ;CR4|3xjF|m z5q2KcWeFQH;Q2fr6D^uHfgKY?8 z4CFgjM5%$3`gGw?IT6@L7_uQNEbAI~4oh}aM<@1U z+SF>^Ou|{^x?_?@FlcYU7a~Y=;x$E~IT@tbYlZHpfD+a0&ox2#Z+$Ew4|}L0O7MgT zQ3kkpgo=-ke}Q3$8b}1M5v;y>f|NmkcOrAYH8gTx}*$!4ejIc9equo zPHJykWq}wf>0^b`X6M!E!U@CD#I}|B*79)48b@T;xU9uUG(<%EU}gpI!<+G3$*bFm zDdApu|5MT%S%}CgsXwAVs-gA$B+iVR;@4@X(wgUQ&2lX}iB;U{XK8nTuQB$fTWTnA zxd5icez&=C7*$!`MXoo!kCS$vHq!jf>;LCw>s^>txB+J9^e7n`FMWF2^fUF~T?A z$Yz3orJy_}iC^WL6BDkr6{R)y1aa5x9tgcFl`F#Rf7%pIc-Ey&%gtVGE_?{e!bnx8-)mz0dJiBmaAI zp^TM14`@cqwdmOR8CF}TF*shjG49M|pM0~8Zh#KM zs(?^Pz!~uJSGO=P{)ei1P~6dAa?mZnveM-%p6Q<$d>Q+)=5eV6U1z~6{VcMfoQl-@ zg{v|R#K8v(3rLW*Jw2OXB*L@Lxzp8&W766j@k%#sc^m&r7k>{OA-c}O&1{3TISoGC zG3f3LNk90?b8(bDhQhH*Mw7!M&Li(-{p0|Kt7bB=CNsKZ|gy91bq+| zdo=$nS5`%~UqLA1T~tzgt)Q_#3jnyv8YuuCCEd8UgCFc0k)*ib!v7NA)nP{xjzLBz(D1SVDrUH2er6z<^jg-wG{j+zRd#af_*~__ZKc=%B9$BDbXxwqn^P7O z_Qd{So|h!Y-IW`H`<0>I1YPPECaUZEF#BGVm$OXM@~ycwnF8@59Oc-ad{-yaQ&i(R z3C|AbRokn;)zY0jf|wD%lZO(gU57qe0(Luaf6z4O@@B|&HW>Q3SJ-MhW^q`0DO4G) z$Uqk8(uFvNpviOoq#-o}L;LtP58|~s?O;qvsC8HeTuY8XAxl9E;#r#_UoJFhipMS4 zPKTDNFK}PZ7nUz~aR_mEv%{!%SCM1nIM5Y0k2{X_hDZB+Ky)?i%+rHKv(>|>3{kdB zWmLA)EXd{SZLn5z13hZi>@Gfwv0BH#8@*61I*{9|l-8rFqa1;?EC1Drvhr^CK|+F_Qv z5xLT_4V7|HbTe}Qm>L4G;5){*$4V)H&QwM>LVy&7&+fS3FcWjLU(wc6)fXfNPf(j^ z=c~}}-{&UzBDsL5=sB^KXcB0-%t~YO&BM(&N#kBUQ?Y#@wnd&3b6sp4NMY|ESd>L# z)2X&>Ei(yU<;r}OM}uQ0mob($>0V7>r0u*L{P?2%cvZ4EEA}uP={}r=c0ALv(yzYD z^Z;W;H)(Z#in$qrA8FX&n16SU(y|PEc=uSRV&V@zKN4q_E=kV5y zVu_?Mo1(Bcb*IGPNQS_%jSph%vIW%O6Bd}e_kKX7r{OzMZ`{IKG8*UD84y<@faCFq zN>!%~PxZ{}!i^F1*%W_)W|=43v8Jl#E$>}(zCSfow965n>5II5%n1w20|tWJ){XG% z3xdN*&gA3pkpA{UC{cTdpUmmy`hxUQJ5t>*ppvHVphfR?4)fNJm&Rb~${{R+ zM|t7b%&N6!6zF^2^jRGzoPlWM30>S6%*P1=ZxMo^+va|=2S?VlUVEd?d*_348mI#YW7H4s(%os+l3*< zzy%kI2C(^~WHt$eeu2Gt=))FcQ^KD}Wa+Jlb+R*~<%6<-Dwj8&vWA|^J#m;&yj6(w zjI~D|c4+R>nAWj?f*Tv%RME_WNYsYT_Cg+mMn;^4vn{SbVN6;<3#DqlL*C}PM7HQe z*&uDVCLqo`1n@g?#WO!`%VjGXXJhG=xx`mH+C|>+f;N$%#=?8#nF{O{rCpgrLmu-WP_1ZTGNK@V84m`Lt=CDjX?qBM`-Z{72Quc) zANpLfNUu~^98$0g(juQF-hD)9s5;uSZi9}=WZ@FW7eT?udmRymMf09O{GLZ-oj$(zp=q1E-a-wSvT$V|p@n(p@k|wbNd6y!!DW{b%DV z8IK3HwM5(kQTlulpVz(wyv1bJR|Q*-s=id-bJGlJ=nunW&bt|^a{umkAHoQKj&Y`+ zro=X22B(Eamof|-i|L036avYWhCgFu&Z+0LrrzK5)pw>VbTMs`!GmI|{0(AWtWfk8 z?{lVy4>3jon#Cth(&42v_4<)hbyI6?)69I0HN@3e zPxA#A4^LTibo3D;j~|9+g{kB6bOaujIdHbh#O$?(`aHB&{E?Djke1Pmb`w=drIj7y zgKw^1iUKMII36p)#=5uCai4t|Cm(vRXCalhe#RNwtET{n zmp*8}5KQM$5^P%X&B~9*BoMNfoR55_de;RtGFm8|%ps;pNujxx64x{BTkqaFl!`Nt zWGER~vc`oSJNlF8>gE{SB}LtRG$nAZ;QprYnU)r_hn#&{L#&@h=3lny7TsggxHS@) z+?@IWqFOF>7SHdW2j4{lr*fBoEd6H+^eV9%FDj+m2mxfVEHLIx4 z?rhC8MjD3OzYM{6RbG_qS4=g`n3bEp_AtM-N?pX zJHkjbCBh;idRbyFQy7NWM_N5GHMMZzbf)uK?=8kW=CatVEq(Nj^OhecI3mEtI>Bgc ze<2u5OeuHkywblqgoaHyC1kvwSq{4iX$U%VH5FV~Y;-Zsk>TZ4P7nJ%B3}M`OvZd% z@1ii>Y{KUatBl!MvWr!2-`hVbD^H2qZ(TR7zI$b}om8AkB^p^`r8!Ui9$H~qZ9#9x zZ|xAZHbYY(XG_Hu9nPDZd0~~dW4RSWTa(4mz7qbU&ptc)Z)Gk*7d`q*XUu{$DhzAn zzet1mqzO?vVY@Z`+efpsYhBmVRp#bP0h>8xm;dm5!G)GaU;HHb=MiJSF!B2VHf&=MrDigfe{JX&OxBDs>{p4q?e&Ue4HT zWw$V7X42e*Ui?lQdFaD>oH@bnQ2f6fNtsY_ZIoH|;vmv+bc^b2}p7m>> z!I>00nH*Cd0aHR-*WrG$dp>rrpo_r5-qPaS`D%kIvrLs7OwXV!?B;s=Q{Cv-ibO7M z?ky+MXWv_WoHSHHFSf4LCeAVI>?EwbvxgC6rxMu}_&0tux#eX(aY|!&WD(BO!w4Pq8T@QP=8+gpW;>L++;?x@;mxc$F)stg091~%Kanj<2MliBUhZg< zT8*ahHU`bQ?1&HLyX!>ifWfef+^ivF%m=D3T)0pY5|Wc1{27zY&CQ*lOQ_2=#{F_E zvMw1FGm;?!ffz|hei^`SOpwUML@dYs#g;VFyc|uS9!idoGEx>7hrbi-`9ax1vSvzwm5$E(FKn2&tsxUJz zK}L!W?dmhuo=Gun&k?4VMh5mTm)C~fu_a;G?i;Lhx}RUl@Fg( zb_+%?zYHFQ3c-b9a(*WYzCO5T?(|N}Xv*$xUi!%)j_WyGK#hwn)-Aock0|!$zPVGR zmZssNez0bp;DsE;9b@!rxN~Yqz65*-u60;oXt;593Od}R3?3zJo95X40O^J|Dr1dF zezRRlKf?8VI7J|x%**|Xd6XAo${26m$o_bDK1(9^W$-dX-U9qV@8+dNr{NEk+}D^% z-pS(cqU?~YUwr(Ikx(IycN~$jrGyq*TWx5HjQ7)Ss5fnuA>O{-5jdS|ZN>#f?BQo$ zC8O?79mu?zKDa0zzHa@YumwG#7tRS&Q2Z}b#@ri-DcM%vDxw-gNRGV$amW?~1x#Gd z!G^~&f8kUF9N%QP<+n($>iGeO+XJX*;n6^Fb&}x5#hkQ)pdmk3B}W^I4{(7*n`Blu zp@<)_6lQRe7*-PCXL(B)s+@7{8G+FKH~}@?uSj^<`n zWe;t>YyWVd^Y zGybSFQ>m680s#Y4b_f}{t$B?|^{&wSSF1q$S-h5MOGwz9&d3VDwfELJk!lBsu57##LP_a#B+Rr1dc3@)$HCfG? z*P7Qy?hid_F4islb;3wb`JaOJS|x8e$@QKBLMGxkckjj(RtPk&Oobe zqh>g8Vg$ z=rr= zZBi+AiCc|H%th9o$$d}FG}M?0q3nk`4=BW2{*}@&_&;@o3P^O}7xQrdK;SKxR*ER5 zQC^C80KT-GnIOGMW-C+xr5PzaRT!#sM~r-M?V^?UlXF>%>&B_QqpT(Ca78W;TC-;7 z3i%=b@>CwMQ%vIRhtfn|Q6f#jEC zq2tNnW3l(WjJ|0>=Jl=3B`z*JkDUoIzB_Tk&hT|5a8Y4m;$-doeJPSwyus7= zbU>oQ**&g50$PUYrjaK5j%HxG{llFPwU23>GZ5OR+#@W;@Ut0NpZ_v2jN!X!P(-qTvcK zbNSBLT#75oR+7O8j3ETK@Kfv&{bzy>`c{I$XZ5dR!erbZ-YRe3w7JXhv4fKN^;_!yQ%in~4v!jd5wQ7d>fsVjrJ{b+x|%fg{`K6%eS-ETMuuxh z?tP`AO=+b*a#@f`lfnb81OeD^f6(0|=?(VBoDl-iX(?&ViMUAVB1>uhbR=RzLua7& zOde$RU|2!83Ci0mzvE9O+K*}TwS^`wwkJj6Fx!RnfS{^I=nuFt{hU&STHop`=INb= zEW8ru!73I_au0l$deZ6M%Zf<a?^D7^r=E& zk!K{hfD3yuZF_lqKh{M{U42-)f($9isyl4(U}(`ra;a{c@5e4t)hV*4@L$yTuYrgj zyZ+JbrFi>+5Ia@LV%u1HhHWx)>c!5`pP1hZ=%~;8McrP?o(SP>?t93yk-a8R;jy_L z-m?oMraBufqiv02lhR4gIYeF-Ue4(BsO4{|tbt-*?SOYmu{JFhDZrDbtrXrJO-yx<2+}UX+`oMG8K3>g5fqkDf}9ee(1zdw%m{`RbxiTM>&X zFQBf@ukpZnls8}DYdl{%f{iWzPJ$vxHNIIXUn!m{Ox7O2PJQo%sHm8{%3S~eJ6Ynf zV!o{W`{xmCVlwKNIKdL9d!rP#E&z__#EDm8a~Tc!0!0l@oc{DxIFJbwLYeY(u9yPqvGHk?CM~5+LzbTP`QzchN^w^X>AOW zNxu5NAa2z9^39)##!qL~h0U$6#Kczvm-=h!)t7v9a zh>N_>*WP-}k^im};c;n~93}Hf7jMwk#^DgsNm=q?v3xB3+ZNr$}k<4HFI$d8qz z9H~v^YWFF#x)M~(G#CsOJ}?kj;D<~E2N>0vg`SMkgr(ao-bn9b{#Go^)`E57>BN;g zX(#9<72b2a;10fM>pmur_(G|I2Qkr#8@T6_Phj0c(2~w;yBVu=q%XJ>TyH;RweQC$ zMLrXMC48zEfqqs&?bkipPb4s&qFF`9*r*U6&)4+KantL2sxb6<3r*ds?M%qr(o4e+ zzEQiXKdAmW^U5;z%d(w6zUp>ISJ!iwL7j01RI)4f#3`RYF^@>wRZ*R9Vyn50zL;S& w*gLxjcH9Ck%)R+{bNszP{(rx9b>2It3D5V=)8%>1dI$3YJ=avMl(&fdfBqIGQvd(} literal 0 HcmV?d00001 diff --git a/docs/images/msg_1023.png b/docs/images/msg_1023.png new file mode 100644 index 0000000000000000000000000000000000000000..fd991d1abc99c453eba11102d3efaf75fa232601 GIT binary patch literal 16427 zcmeIZcT`hZ+c&HTDmI+t9#IDb6^PQMOLs(p06{vT1w}x5F9IPVj!p&z1wwCzV(7gH z5>TQ@ZwWmFL0TXnC6qwOcVL{+@A>ar>s#x2*LrVQSvlEf?|rUw?Q384x6yZCnkSE) zKX%~2fs@)=8iofB98x%N;Gpf%!$3!6RJ=I;lJI(g=RA5ZMfwH@^I4hRF+ zM-Olu1RXfE*9G`LaPa&Aj{WNc2cQRk`}f-L;Fa%v*bW?c=5&Dldmjtnd+#p}_yD87 ze;>*?_+!QlwtspbQph;;&-FoDp!WgOnpj`pi_=pJ?sMP(H)!v3&@sIicm*|eZH*ho zfd^^ilO?W>a0sKE>tK}R*!A}RYzPgo`Qv_xYmm*DY&DI-&Fi}4H{VtId&K@we^|!D z$NBT~tQ<;F)F^LC(Ji{>zjHonB(e)A7-d%5{WPNX%*%0Ku{^b(nsS8r-zT20=lzME z?#f5(Z(IzRzx*IkQuZKcCvldR@Pn|hA`Srs>j-MpPffX;TAn$#J(~C5>Tgnf#rWUP zSs0^!YSPtHe=IE#^oPPw$5yzl-!W}*>al3o0{oa(2^oZTjdCgz<`)}Ze`T#Khe_8hT>iw^> ztR$YpRJz8lFpwm7Bv^6;(MK(2s5&Mihg0^6)72SQ_um;Nn1NUcgNqNPtaWW*i6LOi zy^V8`Tu z?lAKEAw9S4OX{|1957z*Z}ImZBJasMU6=lC4I)p{6jV62FJB4VVTvBsm`x3A>ZmSl zQ}Fv{nl>K~jAZtYEt`4!RG6jTU%{GAR+?I4_GxX8XuN*Cy9**d$NSAXajZ9A-|f=( z?Ik(GHc%PakXlf2hO4kD2CI1Po#O)?k$oX;bUl`m*c61qKK$^7N^DSC4kH*xusi1M z_`@DG@*E$1Y1dE}u;XZN;juOum+2H(GO#(Un;aSxq5Jpn_Tw@$rL}geUkM-4;Brr5 z=zZHWHXP0Bj~bPa5tBDxpjU&BAOgJHX(ajN9pC&LWKP9$Sv7Y*!INBqowIss(M~kh zy3PGECpawPGFlTtJuBS9m?Y?-74Nik3u+QR|B*IyEr0CGK&^tMo&ao-y90{qiG3ZmE18J(;#7yvtUGxAU0`Ix@5) zdI;GEP5otxodZHti1HCPMB7-}S>vj5+IwGfga&UVWq4y7{0x){EiCqLibQpD*tOJ*JTd zX}hJD?NOWRCUWtuK+i&TEncwH6k~cFLZ1iI{0m9janh@3jmms)vwMZy&CAufXU+hN)BWec`(1Gi9m z`R}fm#^PquxF9ecVkVnkDZ*aR%fN)u`nuiB+bBEoHU6~4i2O1w7xA)2wg*vF?nXD6 zEcSN8Mh54~7b4B-*MkGFt%>Lx&4_s__9Duka#=0Ir-07Ms**`JFc*|8LX|;S@-Q%uxf;{&slXkdcAT`D)SY#|^ zuI;c)?X@=X2Vy9DYR7KUM@LzVE+x9AUKT-8%y9uz;%6mBhN>8~qFzDR$WpbT&)Asl z`dZ=om4ck!q_iXk)MHm8hh)acoib zLAK!E#{+MB8Mz2#E>66wAT9D1G8{-#GPvV(;Q&D!RGHjnI@CKUV%uM;yTZm@IPt>X znu=GS*Q<4N3%WTGXmUGt-eNw^lAaUfVAN9aX&C07WK!u`nAqZ*ZQ_yFmg{RjyygVm zvm4UazvKoREn+3}Tt`L(%cm^lx`DlzSFp(^Q9lF9+S@n=TCb`uyE62x zNwIUxj&Xf-=}nV!<*tq+*8-LcH5c-j8FrTLerq#@K`1(^>I}~VQnGV=j$V4so{^M1 z#1<+Pbl8Ud86cLU$43)>Nzo$m#{A_2sw}V`4)7Ox8*GHEkXV~vBtXS@42HSaq844M zSyp5?WP);+4>DIc*&1La;(0kRY`txGcej~S2hK()y#Pux6 zc_6?JHdX+3yf2hvA^kvDJ^vl;=96fhpzwzVIr8gN+1&f%T6>E@^Q-@O8A3b0wc1y9 zZ`5$xJ-$xL$9=+l!d61Os{&taWQS{}xa*$~2G*5~pjChRHahk}TDs7FGS;$pc99T9 z=+WR^TGpuJY1O4uVl9)QF2mVQ`IaX8;#KuXlbFLiHmC+H=dJPDe)Y(#P-hoBlbj5_ zL}f!p8*(pLUad^uI5AivzS*E;(A*n)D3?c%s4s+wIUDB*FxEGCg%!StPh9YY&;U=$=bWthr*)=4_1-0afaua@Q@dHtAOP#=Gt5PunAar=;{K zPlt{DjNy3yb4FbM=lS33pw5N4w};K0a4Hx=USh6{F9O~Z|h8dMF?L+Jv-UWy60 zvN&AnNnxeCiVxJ{_I#{HalozdKR3E~^z>jKU?gsDU~QNwy7QObwOU}2cdgq#T}6#K z6GJQVDg)a{lS3t6C+xN)v3d2vff9bz>rjU?JkJjWmY0 zv_hlvMqireLlM_sZOsUtf;c0>TVYA3hSTl$WUWMp4If^v)9rurQRDANi5wdyfPSAM zTt}}@IxT(PF>R$4zBpA$wKf&`CD0lh$y~8%)~D*pCqFNzB^JVpV9dDw9RU)G;$pR3 zz@D39VhS?htEPKS^<6q4Ow(AOwO|4o;0hL!_{dVv0RNY zj&d!dy*71ZayI!_sf{!1juEY8O;Y6cFV_tdrcUB=)Db z_^vbI@C9^ z63c+=hX|G?DI}aVu9e~?R_`s5IeS>S)MpGn(AQ<$FKrG%K`lDTrW?}#Hl@9bv^YR9 z^?}S=BIox^DFL^VSKvC_zcz^b1P_qusc7)g7=f};vGq=ML%w$qn6--P?dEoQ&Ktp7 zvhcBe>p;hA1oI(UcS`a0n1UT`7kD1m70vQ2a+d>LsW0^UHJtBVMI54SPh1??pIWiZ zuw_HrOBr+z58J0}BL!nCMBWs!zM+=@AvZaSXqH9+ytgrRnuV@~b=T+&*1#yyLav}T z?pJOub9kG(hgfkP#IbUE30lAC>Razdn|Mqhnap8~q^+GCr0PYNGtuBednO60RWv82 zoHjRy&L%jao9b~)t7KfMF&q{$|HR_BMfUMN(}28ved%_v0V_u{Yux2L4Y+KU-Wh%e zt+U^H;yo6_Sp6J~4Z&0EriJvJCLzC9z(klzL z7c~TBMF9EF8I6X1ELkqJC!1Dx=<0lHlH&QiIw z*?QOLFW33^)GWWGc}8@sHdOE?X4E)W!_nB=r|cDKUjgm{QvQ9DvY)v%9_W&xX{c5% zOFh0|1bnLlVH~Glj*B)%xc<2}#bvetN1hoWYG?av4ME8n$Zd>EIiS(p z@`2s_sFCfcmgkxATXGt};{b+Co@)z$bZWo5Vi1KTStp01g{vVj0cw%nHtJXs{Et1K z`^*jX$K^+h%dP>&%yx-$H1?v&mA$uBw+(;9cW$up?$fj-z)T9_c`>9D+2T0V2J>353H8Xqwdpnb*DDY2a1$cr5;EX%9j7R|QyvC#_4b|hrySmF3V}<#@=HmdE>F52{mQGu%IWan)2k0 zf&!Ltv0Ei8WP$^IW9k)rv!4(TCRI(4(an&guEfBAYi<7~jtA5NPCXnn{9#l_ph z``**@W1@w8Ru&e~`&JChlOP<478H zL|%-9P^h@Ui1Bu@fbcmW(!C6#^}3^wrmp??dYUN}MH<0HF7pM^g?hHP+c)?S#c0mI zx5kwc)Z{{Ey9%&keB{J5IuICysR-WTYEmfC#_F*{j7oh`&K&4ajVg2^s4&w`xO{x|{_xr4IAL?dD#% zG0CaSoZ?d{^KK~(pR7#lGF3_%H@+8BkcBKy{o6$=Y3#)_ zB~ANfVvB$ev*$rg>X^&*vNrre=DIrKgHDPWNOfw9~a%MVve8D7!UN(rLl6W(NT#NfR z)QU_gH=I##aQN`GCD4AZ_|w3r4}lSbPZu?-Da~GFw~bleRgmE6ziA$7q5}ZcQp=IuTAs3GNCRP$qLV zDQT~8OH5-FD*mi-sM>KBeBwG9>KUrEOd@cDIuaywnW^Y5A)DyUpb1Bi+RM%QC%^Bp zK9eI!VI9NpM(4JkZp7)R+cR5X;<(B(MgjaY;%y znm}>2t1EoL+c?5~L4y`T1UOyyxlyR(3+lBRlIdivl8+NM`W1}lzfg>}k)@(y=C ztjvlQD_#Co1T7q;qdaxr?H$Tpx15B}X^7scZ8DBZC-LUcVvjgZ)`GM44_Cjn{Q#tWyG`G=AH6IMN!q%eOL)?*^^8iW#)rBEN9DumttHzop)~~lH$8${oh?lF9D+e3wywafj^^l+0#`*` zNMN;x6Z0>whUI4i-kJCMrpt-8ZBaP#+BAfZHJ%(g``Bm^**&MT9aof^edGF>J$J+_ zY*&e&*~IJ=4Gd&`7z;rz<#Za;9}Gu;VuY@sdPa6HCaMZuqZw+OF>&fI9L1I&Ymj>$ zKKOm#2h!#cE*)wJtx40}?EWpiOm1$vygRgw6Xx>`lzRI^EFg4sLU*DZNtp=0Bhzz- z)`Q$VhJqRWsnJ^$U1OwA& z`XmGJSivSEYO1gIh0K&={m#9<(?oPmpCKBi_-;H!N7d`20HtScjo|E_z-yfnhiL!ZbS z8z8{YsbhJxwGKC<^mhCw^3jkrETci;8q9k|)5%P`(+A9_CxZ+TqRR{1#t6O2pTq+S zet?`fBR~zr%NuC-)9be^Y|uu6p0rX&)BQC$$yUEK=(=&OB1CPbUyR!tC~F2*b!p$3Wv`#URkFSFtVBZoIVgn zr$;S}G%Wo^6Oz;G+EAYTtyzC-IvkO>b*Q5(Y5Lh`XK&xhC@+VtUVJ?1uT)VT%ei-< zD?`Otw_BZZKP>#Tj%~RMtDr&na_#-+2B|eOsI5_@;l=D4l>S*olvYP?GTZfBJ#_tY zvU@-_yJOwsDj+Eg2>TulCF5+#$TE)**sdaW$->IQz zkn4HV;+-;!W4hic!+X;3{hicpMZ+9z7|WSOJ0ihxo&ulQ;FJHqxghfQn`stoe&x5Qn) ziM6qvB0V6(bK4DYHTJgF-pps9<0DeT%?s;)3M$lzxubr%b29_~Z1oTFg*W{P^ zrO(E(|I~;#Ift5$Z{?z7uTfbMtGEJ>P&q}D{TTJ1sg*8w@96q6Tj5HkM;3}g&(W$u zT{=Man8s4=*$oSuHY1j8-p^f{mT@y%viyqpA)7x)_-CsBw)`su|63QqR<=&P%c)*t zCi5}wnJWLte%fa0wqqQyA?ktJ&Wfrao~nO0%~|no zW#r_rTg@xkzF!{f%GHh4DgC#={(W)(i%IxN8T=m%;|MdVUAzNm%=WCt_dKL6^vpUh z8QtCh@v@_=7?ekJ58##q-bUKTCozDGJ+QWxzbH(;%kLMdDk}tPF$sUE3(6t1iKyzP ziB)*Ol~OqT30_Wm)>);kNPrF$DYOm_xLpW^y4?9=mA8dMRp$*-juY7O( z##qC*SGi-c4>}RD);h|n*KjFue{c(`VjfiGHWwk%&YC(W4+sbiIhZ3RMbj&nH~3cn zfOikp7o(u74Lfvy z3<^$mHrgI^O_mulO#HaK!Ied^dkJUBrFJY4DLG5yzU!d5KO1#9d0Kj80$knon43bH zW(`^Wv6!6RWbxk-$eUxO@HnO0`2gg|K{rD@g(bJ_gJ#9y`0CD?P*dph=w}d{gv}}f zm*9nzK% zouC1CC#K*XKM?)nR(1+Pj3+)3iYw2L#V}A>A=j3fXg+@Uvm%t}5JaCXE=L3%)Z=Y(L&fs(v zE*W)Rer1X@r}yK3Z7baJM;5P>&M!uq!WXX;cLHUKU&5eJs=E#l%|b+)P8EY5*Nzxw zGd12Lb=GNhN|@T?#@T9j-+Ih_LbOP{6%NdGZX#$x9Y5exBctM4ia=(S5a#T$Dw^lRDwy^ z#!Tbx-S+T&_L=7dH9@@O{p2osoLOD!l}Vl^f$dcKvV1_hP09@>S>RCevnpWjKn&02 zuoe!Oo0}BO(6D2KHq1Q{(QOvtqM0umuwT1qf7>YUy%TWiToYw0={bs z(YW;`C~?EZziwfA(`CU!n>gvm4f#~x7MHVX1k^WHEv_5>qeR1c*!*U4@Cs_wIzhi@ zGarD`4{gOmdi63I`aH>yVv@V%&$b?3j~TFMOxe^6E$LYeC8gi?D_>5>gfmJBzXDID z$V9$fMM6ClA*738Di=Kio@JUp!7F|}KlE$cD`isM61_8aRIaKS|lsNNqV8^ zrb-Y=*_%E=e1@#fx)8q2jm`l=+gcwVICfV}(r>vT31>K;mN2XT)S3L6@y0}TFi+o* zT)1pj*1uEISPb)iwA$Yv;5kkE)#n|%9Jd1gVT_(-%4{X@V7ZU8xPxtx{Cw(|ePZ6L-omD3*n#2)jTsUJr3{5w^ZXh{J2pz9 zjuTCh#+BqEZO=UBr8U{s>^48qIwFyKuaut=YPwZLxCx3nCxvU?07PupFhHmuq~~3p z#4v3wbv0()>@`4tGG&lmlgSrQ*9YwUX;0+6a9r=l!2fm5J5(+b7=inTRS)5zhe+)W{J3#ww7Hn>lsXDAg$Rl0kqT z)vR+kyPy0Drv&z!agZ$~t)vc>h_5xgI=k-TZb=I!`q#u`QlkfC(s+Zx1U-v5owqFg9G{{@TOzRONZ%txa_Q&a&zLrk?n*sqMlLEu{nG zn9FGHicelpYBB5tgLB4WBe~v>e*8f`x}(*lcRUq6g?~zKI@B;`X&U8ta(fp>aY*f0 z?B7&U8iy}xJ(g}Oj` z7;s&f+Id6p3cZg2Wx*m#0E)&ZI^X}h9~PEHFzf-!4lf8)$P>HYNew3x6D11s!gf9rlT?Y`t!zD z&$D)w-0nRje5S7N&RDvcF^2z#etpWR`(?Orv49xgpX}3JGPiXoatjV$&+V_mJR$PN z-#`QwYuKApI#!19spG^_6)`U(N|oP*5c_-Gp=E(sskTWzxtHbT*mkPfSN}rYFCISM z1|6o=5A&sEvmYIW+|kxy3hS;OX6+JPhB8+2L|uo;*E8Nrv$bfrXFE@fVAe8hqCx=^BWIG4&0!A#)(0Kw;*F}yGn_?E$;u-8Ez%Di_xmB_d za83VF<9|4;>hyl06lor7Z>LPR^L*A47vVfT%gNJL@pjxj$8UKIAJHw!=YM0&c9D)} zG*^$Fcqgxt|J)vskAB+ic7JMOAS!a)-?hMm7&vuWA|K~MZQX- zdB86U4)c+I?6Q5^OLFzix>((%Rg%B)&^(enc7BJPOCco{I|m~>(s3vTw5}tAuD4q6 zKJ>hAFR2KmEo&RE*5Ngk1k`QiIjEPIj|uZ&31|xDLF=;du7a1{J>the({$0``eNvc zyG}|{&DEat@zH2|OhQVcLR!tm9)vUV)!2s{^U3SMG6Odu4wHTT0%K=Zm zN1{M>&yyyHDjve}EdTAC8SFlO9`oIQ{~x8@@1b_YCI0vDShHb;ALUrlM*!CBpw0c8 zKPpTmSAYW3t%un!{yQ1K&HwW3|0mIC?9H-M-U~h;2g@C`Z)j=^q=tXVVNGO1Rp6U+3m$pe>0|0D=hQ!fQ7a# zlx=>yKgz#;UJRn3cVS8J=jU=lJ!g&rVuA!#umUu)XCv@fQ6|uvQpFnxBnG+`U;mwtymP*XA?lp8@J3_(n!_xP*Y|?Grh}#AkP( z0Z43{naF1XHu0Nupc4Lea+;tI36)r00HEVbdc=p*I|TPw#Yi>%&C{;(6`HikbMa$V zLo8f6hyV`QanA4nGU9ZA(n7Gqt@3CS5OA#i}cB_ z=hOmZX2Jl-sS^ct3d+rO#X5y+-T3k9T0C+g1!KmeL_cVF^NcQ|`g&IK$%qY)gpsgB z2A8Cl<1Sb2z=HZlEkK{gG36@xf{nG4ON;HSYP`MQy-hS>0MsyCy%^Wda-X2AVd2&z zR%tjlo9G9Nd0BaYaM`YNP;2vwiiPxi<$fD_Zc|ONyAQ;#Sp?^M#t+R*cbhe~kxU6G zln-|CtFll}Y|xXfbdaB!)|{3tt9N%MZKdM~C6O?drwvMt^hcE?^XhmGv%_mUYcqM8M8yv zZHSrPOv?5g>q+sV0_kihP-yzVbi-CHu{6r?e8%aDa>xNYZkG67?Tz2##C)5zprUjr z+27G-u@96!I^8yw-X0>(ByD{eLNYIO?lgg`>0%Vus3A6J0-mH2rHq= z560NlNNslBo?bLa-4KUXbaD8lJ=C}tr-OVM*ir#X?F6TsV{zS&W1Z&t?I7F6@txaw z2wkhRz#AT3^(_FjRr`Gg;j0(irsa23F4!F7U*@}rj94d+CA<5rw7Z%^8f$+=P$+O6 z<;%;>Xah((rHkRr!f2#=7B6+M)DlC*45mH+rwtl(l_ATM=pg0dXz9JYIR!Kb!C|=9Nx<3@I@0$M}O@DN$BlZW3ST3 ztQy9R?24|*&QmoC_M|UEed&C`1?&6>nuzLV4qvUmZIh@~$$Zs}<7dBTSppc@-xqLLVahJbG z*~V<^1|TQLkd2924uiq#w_!q42G1Tu#u_*6@c!mrf0VK}zA?pvD538~v& z3S-0;f})`8r|4A$`qfl$nSZXp)JXt3L4|3hvQx8G#t9tg-QE;QYUvXSl&GCsl^@dv z2I=b&c@z4;H1J&qzB|t$1NXPFx(*2@5-)58yphpyavDj|3<-I#v=_|s3 z+iei$kBtc;S0=Xljr8KPC*LL;qd&RF*CyEL5QCSEKukW1)Kq)=1NPh4Nw5)6Iu_yw-PK%cs`Mz?6W5s(E18@ymBw^psxZ-k;b2W7>&-Jgo1b zynN8gmt)w2$Sb}(6?ssEDqzUjdG1g@Z5n%i<~xW2`biw>D(KYeun}*=RvW|u$+EFe zYuk=;y@;{0N}jJ2SsY?@C~+^;78ZG-q?d7oRRB&Pl1L8VU!F(TmK!Zq>aDDD=MLDp z09GY69wNl3?!a1$1UTqF1MBM$p9T7{ef0Dg z(GPB&PV%#`E;ec6sCCcZ*oEGq8hnAeyKAk*_mzz+fdT}mJU!wa53%*GBJ}2UIV$S0 ztq78IBoH%eEM+=V+y+{O3zx!cH%7UvAFgj8H(U9}UNtXPVa8?hj<50QO5X?Yv;H== z-P(@5^=X)sRQ8Sc8mn_w^yRk<$W%8n<|HfBary%OZp(e?OjuG3-4`_vT}VsZBx|hB zjxb$FfdA7CJ{vo@Ho34oU*+$;`r$e9j<=BjGYVXtm>0EYR15;{e7cJ9B$Cr|c(k%r z3-X&^H*|~gNEJTGOEWw>!(?7|+W2^p*}gtut>t?DQP4ppk$U~3;tDg-`c~IkGF?)6 zA4LLLI2|^+@>&#R81g2l%Q@{?QOtYX%IAWDW-jViAbNk=EQJVgqH+&W#SLP1h@4J? za&Y=1LrAf3K7>WCE{C27HQPJ711=HtP{5RSl1( z)?8ZdBxr&Mgmx)}OfaCtFB;5`EOjLEnp2gHw)6RLx$If08No1YCkLt7Au8JBv6226 zq8CcRK+V4-ZLf89e}ic=_O=>ignvH=T!cxG74}xeDOcVGxm|Y;d3Di0JhO~ z1dy+-77dlZOgv^AUIIq|p*|mQ5>N17zD95FzLkoBitb^^G*r%%MB`RIXs}wQIz{V* z3?P3s=o0-aDM2Z2qhQ0NH05QhG$$2tGstJfi>7OQh%+h~M9xC@jsZ?ut%e(`M&m{4 zr*HLW#*IIua9a)wlWCsi=-+`uR@{Todwm{KM*z!LA0%VIy-r(sH`YENg*3y0UMx|? zw$pS)7&`T84Ppj->Lj^lFe_lf51nHi?d^Uqy7|p+S4Hv0H)w5I63#A{tEULSq3zy0 zk3`)QG-$S7qWf*&J59I+ZC>J5h6q{B{@zX2EXQN$j;dyb#rP3t&9|B5RtSaRb(kOE z(O%-@X|?r$7F18H<_^3u<wJj5P}zAviw=9}$!xQHsU1~O3zlYfsE2#ND0SwgVOc+|b2RgTLnQl=fUOsYRkhfni`x8U z3c8_#S+4q^`TvD)^#TCxlTfFh+8Wz|LpBb(g5HmQ#1coJi}1fcX9oTGP_=^%5MQiH zygKwF|DbMb1;iIMhWl{S@A(YLRUp1dM4@^3zmv3A%$hu=<@pKI8~MEtP~Zx4=ZIS` z^c(qtM_TH8`j6YzUP$?IF8R`UZAbe1=f^^3Tg$n+W?x?y{VCA-H{$tUyRa2v-$kAO Xrji7Wy!(FdpuP4jm`2g>wvYcGqJ|Yz literal 0 HcmV?d00001 diff --git a/docs/images/msg_multi_block.png b/docs/images/msg_multi_block.png new file mode 100644 index 0000000000000000000000000000000000000000..9423d323b54259d7d0027fc69478f9fa0ed40a5d GIT binary patch literal 18800 zcmeHvXH-+)(l;U~MMWhM0Rg3VkRnJ6f`Ihio1k=Q(z_^#geD>&AiekA186W*=}o!` zBApOwsCf_Q|6cCL=hOSF^;}pvIXT&9@7c3wX3zX)PD0gGKIsU6p_GSOX95 zA|D>!g{MT9fGbZ|m9W6u1s4rDDZG;2J4?WaAPYTtOJ!v|cHo={kMP1xyo;wzfPcIT zRCt7E=XiJu7pVVru5p3&ZyS6(ybv2ag1>DHfcMkCIN$}`{rCN1+J%4jn1=sP>x+D8 z7ymiG@Dyl`_xz(G7w|^x^hDnU50B#J>Fa`3>L4%$Nj&+-kF-25tW95i&t&0;#w0j@ z1xrtl7&1Ct)7x6SxJ7ym&inJ+)^J(PGPcJQUy~0Hdv-7OZSOwJHSO*GypGn~ne{(d zTk@9>9~#09OJLNXSx_w@Mq^^SR3*YUiJ;r{AYZ;A^LDqav03{BJE1pX)H<#@%R}@98<2=f)V8 zd-XU+qWwe4zlXisfBVACgtj}jBG7**x%*1ekKoDkWf#t+|91Fa9^w=I{}h{BBe@*g z1c0RLIpN1!N5#qc?2r|hQbkY{a}SU>xQH2G@JEDX=d}K)i**oQs1n09{^ZAafftB` z10OO}PZLMrB2k}*w_%wp)jyYq;VKSKo@=m%)1PYIu<0wg?&W~+rF-~9S7g5s%j!74 ztQ5AB4YBDK3~+1XE3SztXN~!zZ+THb-+qxy;*anGgE`8y8*A9c#aZsh$TxNT^c#Tl zt0%MnQNIWfpn1Q{a)*fS^5O-qWZ1XK%L~+72&(wk*yZz78-1YsqmlxT0d2f@Injas z)t4`X4#TvoPj=0N-*%I(4rIk$je2if=xr^hP4cJH9?(wpE&sNDH(b%fOXI!iP@;oiOw*V zxW|cFTgkAx$Mw`61v-%4c{#;Y8R<}~=mShdvWI|qm@bur#t_6^2r`?PE8yAvb`3!> zW0vgm!Ga~zCVlPssKXmSK?@NBi7GHz-;90nJ~=FPfz3br-B{SliNRu#hJ`T;uS4y$ zdlT8L2B&5fM+$l#<+rYtYCZ5&NXby3=lQ9ndx-DX$8pg7_4ge`Z@^gX-29~G0T14c z%d;y9S+dJmDlS;boM(v%7Q13!*Q8@1#h;=TWFhX#Cs6D}4_4h1V4=}RbUyah=Rv>A z_3$x9+f6mEnBj(oT1vf&cLR*J%rEcxiG*@dBeLf)rJ`kN-{%!>60bc7@U(3oT3w%;i<7xR3o^* zk7}gE1XssIyXqGcV`TOzmk0&c!}iO#YHK;G!}n}7Fvr8LQ9Al#E0aBwCZ&4mPvYg3 zi6l(8?Ql)KRq!pE74TQ}k@^EG!7E$Fj@oh7vH(}A`%c#*P5m!5vNS3pVR-n009Q=m z2uxg_>D+*8-=1e7igc@A_VYU>*`c|bId_=vXak0?q%<-vEGE0m2u5ZV68bPidvu4{ zx7~Is_5suSLM>mM3*YTK6$cC2pMMD7AnneM5#*V>>DaxalO1DIxbx|B;}TFvvo-lz z8z;Ej9^4BEF#h!)1%5wnueD#!@NV$-7C;u{&E$)e5UMENnkYdM%8T2TJt7xk;`beZ zL=U$isHG3l>q#(B^=*Cr+4DYB^lppcD+4Sx345h)?3KrFfPi*n=Ou*>S15g z?GQIQU2zow*kTs__dZ)ue=l*)c#pan>L;_Mli1j{rWd|}r32GlK{+3dg#>CI z#}0vpFFgm@J z=x&)LK}p!|v+89P6RC#)-(c$H3{-uZYaflOY+EPyHQK&YD*Hsh4{vsvYcqq%I7T@t zxK9MV)+1HM-I`9ANLXA{7@0pPR8kXbhH5@7?ikzrlY!iX%P z=DR=Yxd6`%;d9;Rq_m8=jfU6HZgGZ>jI(W4CB%hx1c@u~L% z3$cEFn|aY3+ATs< zJQ~My&&?dSRKc_jN~}hGlk1jT)Lj*7X77NR+~pO%u-qN%9&aihgkeF%r6Xp7xIO{Mionb*2y1cZE+7247JEZIK%(E8G ztP`R_Ypvzlj$E@T9OtKns zS{u4E+6F@(#k$qXuF>8YnOm-tJ!)2Lyt!*re(N9(eyb2fu3n_pq&Z$hSQ37#z?@1@ z`c%aw(;V@&TbTb-A1dWvER}KiW5hVA-*!(F@l$fm7OeSIe=pVOE*{0!(Q~V$^y+xh z-C5eqVitylPlkt0Zo$g>>`)1vu!@z2wf>Tj7^vFkkDe!UP|pM|vp{R%l7`&&?&<;` z(_D}uNFQ;GeruyMsU!`|i;AUx50-(Zelc|~8&C)}@<8Gp1|!}>sRm=`57*;%oEoO# zi9-2A-HtYuFGL22R;s8htLJ|)o6Jyb?q%h8op{SMXz7pHD|4XiMV;kU594zDAo>nr zZ}lxS$miTeMy7k~=nGw21qzLG?g8r)L7tbWyJ4+A=l^_>7oUhzGSE)*&{f|Swz_z! zcoElX@htL^WmUN8TU83xNh;;->G$9Ptoz6qTSHTckaH2rK=%Vml%Cw|ajOf+QTIhy zPIjS+*eDBmt!$-d$T3ri7B>e{t1Y!6M`-l_%EmHy|_9y`p@ zA=lV>lP_KG4%aU?n#oKX{So$+h9+mkW4__14oUn}>l^R7_<|3AA3K>!VVV!tp$lH) zV;m1S3}V5F$VO#ulM^PF>QP+Kno8EKa(UXJVcRo5t>@;VkBR!uEw##PWKA-sanbFx zFI4uEbj=SPI_l{#q7cQGC9URXZWR+j!EdmbfewDM_L<-IR_cq#b0}!mjJUH~XQY-w zKf&V6S3Ka5q#rVMta*E?AN`QP;(;8U!e%ilRo%UjAZ$sGlJ|wSvxc|gzGMVfi>_Wh zm3BOQV{#&Nfp8#Znz98~@d$}~Ick~S>8*xSw*oJakP~SBitJkB8A)pTPYVq^ zBg|t_ek2g7-;KPAAnqjaB&eBmuC!^UAnh!KyAp^O`u+MHM)wAKXt&bo4Do&I(s*+gI|XUWpNo2NyuY4OIwY2yA4b+8}Wq2{41Ad^w&Ce%}z+zLHXB@S5cG1Lz<&B;<&9-v#d*3>Z0 zYk$UsEAhanPBc8bw}26d@Z}0N`4OV6K@VD0t!HErcHmUaB3({R;fDzt-w+;8Xf=KH zkZ!P}7!nroJvI?0X7m6bIZ3=On#y%&HbBu}OX7qtKO|_Kf(l&~(8+$~kI6KCT0}=9 zeDJ-I<*=Nl=G1x#JpK~eX%9_hmzYlPQ&H+w_f^I4AufYhIId|4Kf=JI!RdFhx&)!| z?7*hFk4tw#{j{Oes$y3ZoRdQIknqfgp=#v7?YkMy6KBhk$GlNfxvnSf+_wF}w)mH! z59h4;eB4X?%pZKBd@HIr)-#zIAv{_fO=bQ3qDbw9MimG0HBQrjb?WQJrXff|2%Ya4 zLJz7v^p}CHUW+JIyd}&?X?ay5D^$h(v$gu!M5tJKMfltgGRnnk?zsI<)@)L-_WscE zjX3U>4tD%X4?v1gQ68zZjcT3Y}*o^b3Vm zG08flZlBgYTynsb@>3beuh6=zmODS`dwg|}a;u*o?>mc@ruu(hy{#NJyN?l-3ds6n zhh0r2J)X<P5iSarvm)yW{)hi(zMRivbwXn09)orO9C(_8hmq+g=)k#|7=NOk3phcUi ziC9DE99ZRfbAhJ<=9$dmR%d}}v8S4I0g4iF861#?l_MlPlSv``d+862J*>HX92Q*S zdyN`i`qVxciGBQ*AjdG|jXS`&z!H+x+|gZ~BP)bXYjUz_VX~uT)x6x4uiUq&)Q8B8 zO_^@Ps@G$wP#xHl9e%KiNDfGUelpQB1nwuU;oJZ}ybi_n7V8M-W0H$_(bNT+XNz&b z&>s_&qd_l?Ka|SQr*k^a1yY#YishP(Y!?jG(FCEt}!l`yb`Xf>{1%ck0uK9jMH2NSh0ja9drnYW5#JJm)P zLRmRWho;4{Sm{eY!*HbF=1gRJ#URsE#6xxd0!AwRs3P%_2gjd&6oPrU#!p-f`sClo zq>F+BGO<+|UCp*TtEhOxVe7wm3}KD`58w5f6WlORq6M5 zpdpXM3xU3uoNrDIOTR~8dljOH)ThN4lIe8QxsF~eE_>Ms!|>cx4eJn&d)6Clus#@B ztl?|q?0++LY(qdsr$w;dsD204np>6g=JlzrY5dHqTu&U;Em3k^g-J|NQzjb=Rk*k| z%vEsRx@~vAY3^4VQNzdKR%wP&-DOhj08c@0+gYy9|?FiVE-1&GVs=q&4`=}#u-DtsLg-53o>)?7n6_}q0`!>npC&%pY z&27CuOXjv5@YN;mpO4#k1{!^pZp`{^H1gPiRjxZ|2B8?+DE3wSN7;^ubP8WaSYpeO zuCT{Lw3>BmvpU$7=%J`=n?cyilD3=-wwWk0HsAJ+S>8An;!T>gY-k;}_rn-OIOPe= zna-%<8^bQf%sj)TFWMrnDeFG8xdL2&LW+g?K~X!M;ZC~01R_e6a*Rum((=dNS9Li) zf5PIz>vfQ%C)FeY$rW_eVKL#P0_zq+9KLeD*ku~Nt>rGn(%`I$7oYzoK+r&in4=da z`=#K&kDIymXHBm=!QfF%O)3~^#MREaT+v4cx$h23)X%^`NnHYOr^`7UhuXiWJGMK< z>za`-{B$2EF`U`V=GKio^BUzM`TGy|_k&QO*2$D3mFpTtAg&=>>ZRT4F4)ao3`Jr? zAM9ac)SVppnaD{ojr+;rJ`*E=-T5FZ2jA4B4TT;q5^$_;9T$YS?Wy+o=*?NN{V~bL zw>D~pXmzP`fa_e-pZp#L;dm(=Cx_|OJjUWD81hm$Y z^nHiGA$G{;UzdR3LIc=vS;?qH0ci7V3a1KON%-V>qTkVy_`fdU;Y+gtTlmM2h~#r! z0%#l~UysDeHto4l(g6gPva7XC%bWFwG@DE!u1>%DekK0{ZUIJvYEe6p$SXn2dX zTXmZ0Ke{CeAtW&kitgCn+9=~X$F*fHwcR&J84LX`hqBdOJ+i=HMoFob_mP(lPxro4 z7hf5~n%p69BTRzf{jIy{s$slmiPExu0(gkyQICPO+}mSfNRkCpTUSYk_dmm(-TNgC z@aHcv^|}Aa2K|xNv??HPVQ(a}flxMJ#T16h>HlSM05Y#A0On3@ z^999uxlsV@;>Vn4GUpg|{q{$|(@*;~&VBywkGJkdvnjVj&(E8e6(IakXQlD^yIHv; z=`|B0!RJ}=R1F~f%?GQ-^LMKm004jh4aa#_{4ZrBR|lBFru0P3*_C3qB+1^*%)=W0 z-&_o&AV~bsfz3EtfsTiJ>J6!AtY+Wdt&pOrGsZ+gr8(SllI*poz&fWs!(ZKf+AOJg zGofxQNpQ1IUMwHUhuDFNf?HF*ixo=LsYbEZuoirDsL{57=$%`wz-a>C+zk2ovE|i6 z&}^uPU)HjzI_wdK8xa9DbO?W%#RNf zw5{|9U;2j>Bp{NjBFtoN!UBhOC$cg}kr8xqqQccP8WE6mY$DvFQ6f6rr^yQBPr(8j zYkDyXD+>>CSP+oW8|@C+^VUk%h3}%rhOzhSdBs{-57P(gAJ1`7(H43}z|qAEO%|hC(@1u2L_O%Ox-8C@r zJ^MHG072=qD$riFBaKJrxsxe5bd!>Wc8?AIO!>sJ#0Au>xWSC*13NpTHWh-=dSakH zwc`f}A&?5+9q0XO+0QSqaPg0Qd8kGnZWeY4i0N2xr;OU!R*hK6DCO+hGW`Enkv~ zIbNKqhmJt$Sl89>WPMT-D;Cy1zaV#OC5OlG*xa?&E?*7r_Z&(^y z7EL5Zh^{sX)SM}BWqxi#zU8vZ8@MU=^_vteg>w=)v&w^vB!CZD_Sok*9twuqLm}EP zbU|z;dl#XYVTERwB{HoCxjD7e_ELsx2eUn1dhVJrHGp;oJ|g;)@qYB85&pmriE~5n zldy0&6K_rB=6k+fX5kQ<#^DrFDzr%VkCP6;KG`~YMHo47i`r^TBE{~#nU*3Ovud3! z1N)Yd?fw~=g1S^YIKfrJ;vrjaJ;7<6MUOanJ*&mH(g!}guD#MVt%X%BQ5;8&AakP~ z7()JipP<>aPV3f7fgeFNFL_-pZg4ijpD?@u{d63?yEE=C8dv~HdbUR8*df-kdeWIy ziXP@wk<1ZXcN{S&7M73ZKD2{h9wKiPYtEh6Qp7rYmCC|OTh-jHSz0ol1TakUu8JPM zlcWvwtM0G;;MAn#3=fEcFC?nf6!K;M05LPRRtmxx1-WPewm1j)=(ktIH&mT^>RN!4 zJx+MLxfgqt%1`%sgUn78^W2ynwhUFk2p3_o6I1aQn21FY*hvo#UZwI^N80-|>Tv32s0M|0FJ+oBUXDd^h>Hw`M+z<4A4 zp-vKNZtFN>lF4mPqr`rlsaccJ^3`l^`%?!W3~aLQ7v45rq7}Vo;T_RtnpvlhB#qFF z@syPg;PbA_(4DQDw?8yTL|G)~ZPe^(VUt>pnDAQFj&4tZNm>}@Jp3dm5SayZ=^Jwb znj|Fnt&BhAV7}>%jN?mNg4>z#GGS;`QK-(ryJhN3XWd#h-)nVa4Dy}c--?LsgHQIn z-&vFf+qB5zx93&*Z%eFT4t|(HB0lGAgw#~ZV_P#SL2J;|_ZTn!qB&$Z{Sm~yU|pxH zsM3((AN2-&WK0B2R{niLL_6M_#8O z>YHJnZSE4B9EHZmNr$*w3d}~O1oPcs?<^y>&u*BiROj@ORcyU_V}o$B4@9TqD}%|( zF!ltjdh;&l~e zGLyt-9P?C~T6`a**?-Rr)54T*hnwAIiKcv;DKK@oIN8cilKSeXvx5TMjOXe(BO8o^ z+zC*&*U8B21QFcI`Vuk0As1Vr#Bmtq&U)f!t?O?6yJ-NwG;$f>+p>ddq2BJt_%`ah zKSgiEv-t+D63j6oG@yfEQ(B^0Mfb0$L zrM=YdBJzo=(kxc|P@Slf*rkAkWA-4nhpM;EX_>TL5(#`+s#GbnX{Iol%cwZj{%}07bY-+ zcY)<*GdW6>$@#T<&WZy^@>CrS3+c)G;d#ZzOIs(?>Gx-eUlJ3mCAhg)^WtWmBbxP* zE1!xqs&La2rDZ(D-{HPw$9t_Fl_@&d#22h#NLHe4gD)*=y37MB_5%`t2Jq6Zt?uT{ zlpI(KxWHtZO6+B(*K7pcf#HV*Or8Zg?$kLpzZHLs%oXvUGB+e+8fyBi2#i*&e>6*f zj9%~$P6-l~WU+3N=NU09YDf4uLo?V)+S+s-3mqd-0V3VTMD?x zg61?v-tF92jDOXFSm6yOzXidMm4cq1VsOFv}g^x!mY{}>;~Rp1!0>{W;%^dO(;TG4QO$XQ5IA%Q&>9fC!$V~7wzNp#RFAOwG zlBMT=As2ougBK`5e55;^fc1;FemS{ZW9>jb0d1HZVviG_&vqsdA;G>r@(+#)af4EW zi=nu_+dQc%uCSv=CoGvD{dV*$Ol;&Wx&ezRZUs-n3e}&tXfz5~^>?n4RehMH*gTYN z?gqt=F)|bi!VHn$&`~kzmq;r&BEQ4MxL3gdfGG{|Y*V5a#3F_{a0X;&XC)WE+h4wt zhQOU-jSrvLMk=8#H+C){QlJ+rZ}nHbiCHq~1y-YRbakyodyk`3e=)e{$kXV~ z?lr{FP^aOi+O)Tfe5A`xW&8lj${UCsD1&v%9f1=t3~I{Og{BZ%y zvHFgE@i5N;6+?MW0zQ5hyZ7u~?T$k*S^^t}Zv@z0yPla7LyH#Zr|E2m@EW37$kX{Ar*pJ0!4O)>J&Z((^S=w z7D11|fwUx8w~!WH;m~^7``L?H5}AZ4T3F}2n2g}*(RQ#yx4hC3RyVu`!{vipHutW{eDcp*PKW7&1Crvx=Nu2TlZ;1V6t1u-|N1!*04+2 zNExP=Hqn}o+nG(2HoiiGj+*IVZ;ad1&iMNxN&erOO|DKWwjBf9JIHd32!2WXO=< zH|d5C@9dj$FMJ8e+uNx^EIz-&t@lmy*C8GH7NN`%B}DeBmYl;uHB5 zo;?S|0TgG*BR`sJl~UOw!zoE6fGxhNyF%=88Qs43Da zct**3+BN8LtF$R+`&PSP3U~9nnGB+p;Dx5SFgw$5$Q`b^`MG_nWDaF(yHM}tARf=; zroxr2lcsx#jDW!quOFRFAg~v?I!esXQ^kT!U{oP*sI@#;?5ruPK;jKHA*N5^g z2E&wcep@j~o8+#?tutg_z(4A-{ql%*kXz??{0{Y?|DJ7ad;yXLWU7hXmu<5&5TD&9KHK-s=ZsK$ z-FA=1$x$n2n>A|kT-40%TjKV1pQ5Qor};*VWdT?r5P5S$hQq=mFy4BQ3rZ|gSGExV z`W5C9KLf-RwI}yL{n@nIt#R9suyw( z-|c#xQnF{&Q#s!o>tS<6sHKzC*fCaLhYbd;bkCU{Xaa9~Ygw=Tc)$ECJK_Q2l1zi| zWAb&)AG|&+rR&yjB}WV;NsT&*rRrReqP&Hf$4yUm zoOI!>*vPidPBE5yK5SC@MNl4BUkV04IUwep3>_n$+z3``{NVABg%YBcRlBw{<9@KN zuo!}Vg2*1(&NvR6ytC}x6F~O%;78fkSxmGA$d4c(_rVNmJ60|)kggVw)$)%a;we7iva#@=Tu-Wt zpOwb^#cK*k!?hh>-+D=eRj(Sjg)vtq<2gK3=}hG~f}?v#W|N%OrT=K^@PL zqKzfJ+^g|9F^D|Ibw7hyEN7$fk$-KQNA!{2_y{x?Y$8U|qkdOI``8Avhh9=x6V6V1sUba_rTFGOo=rJ4_!^PJlmkS^(d?h= zlvs}>`jbEqUA4bmowEFP9nc;6S|B93tz?CR_r|Kon1_;| zV7WWfJ3VjT$$`>c^ie|YX>M}o$}DuH9PE9`qP?V|G(#!-0eS7}X}}j|U|a5$DRUs? zC?k80&^&rz;bcm;8VsvCzd!txt`*)+7DHc z8qe#U<<}^B4I7R()v9J+RluJb|Qd8J$-#9ovK@EHSQHT}AL(ozAS%(r+qsz9g z`#H}k$%p+Y;yDEe3MDsCq6X7Ide|PRsJxTYhDaeDHIg*GF*)K;Zh7ZYK5Ft`C`vz4 z5cq~5=Xt_=3-<>KFaB2cGW#aq(P(mey7-n!*Q~v)?d!??S@|r>9_pUP&$x*_=8gxL`X<2;uw3hct4iq2Qk17c9k zC=?@;>&9K}isp)k->uGp$&#}Kbqqs7%Y-fWS}OT7f$h(Kb*&JZ3*vTEf}@v@^65DS z2A=<|_dl~0$G|f@OHo_AFA!0^G{wS9#4VcSP&%5eMqZq5`F}toPtySAUYs{|qTXv1 z(q%6H=^jZV^>3ZOOSBQG^#18NVt{NK0{~bHgg|Nj1KPeTNl(sr`#-SYej)%X?@2e8 zJE!N8cY%up#OGihpvY7Jph4Ot?}z$1WC0t@^!ERf=y^f~7QT6*&ct&<4&<-}fwYXRf6=+LHXi=P z>p(sUPbBF-vQf5xj{FS{|E8FLss=z4`SDyI{71do2$0_@*ykFqCL4mnTk3mZ2n0>+I~KhcUx39iqAznIDnM>0zlU@RMl-*X@ zOYVRphq7eMTWLY`2EtHVaeHf$%$swCjW9GarQPQCKW`uc*kL_R5}L6aY5zIWeOTsAQs1kdx-5m=n;jJ(gm?{(ypQWk^-oLBGK{iGS6w zQB}-f{R?leo?DyvoSffwZ>ZSedMYlX<)VWRDtw8_Yr=|>;DGabFQvq65Xz;0mJPKP z1sUGRjazcj@ogSlT?ivjaH93`HxE z@pw2jO_-dw_8!k0^RN@4?VY9x+0@A$x^9TNQKnw{k1SSASPA6oc5W6XH`W1X6b!K! z*8Z9cm0EQ3au{%#V-S_gjS^WYS%MKOr}(`zbQrSdq19KSkGULSalrMnk>g~06K35i z(Q-^D<9o6n+J@Fx%@pxXy29!V%_XePLr_QC)su>XN>0J9k{A4k)<{hl`E zNbGl==*4fcoHRW=hJYP4l-MR>VGG=~NI6r#^@DOb*6AC!scA&b1{`;PWDv}MpeI&N zDBcr@4h^9E_$@qs{3~HY@3pnDNf281Tfncdbq7y@)>{6#&C66?*(fQQ5xIt=Zb{v&B5Mndq$QG$9 z9KBxvM=xN>!*apgEF8Yhm^74DFwX;tJg1wxQBWk0iiD+{pOA&+b65B2VhUP`m|RVj zr?PTwy(3Io>60g36F^?c`|ifQ>LtjJfSkqcuS!o0jvj^Za2okKm)F7dCpwdj(&`T2^P}TF={oWQG-o`t9PR&2=~FlJSaOW99}Eq-d7}`cB@)<@$iU zI-~MO7Imxj9;h}?rO?!^MX;?oUHkf^UMocaMr z$N7Pfp6w$t4k-+s2g{Xa;YF>P48l>ioD9Na#bwBEhi3}W+ReqG9<08BFSAgtKuRzj zyU^BxZGN(moX^`A^?tbYmy-39r^_CT)cp*&K(AVOOLy)3BHE`a9PNoE^Tjsxl^dkX z_^yi@-S|M88bGTKlXkWiFdp%mXQA4X*q5eYF__f~aw+W7FVzqp7vW0u&jvyvTgDA3 zDSp11tqOO#FM_Ly#Uu6IWBToECpylNa5{&&tkPt6WqTaYLdmX#xV*LR%Tgn%-EOsU z#jD>a$aJ%)t^_#k4R7;s9EBlO$&TCiBcKeRaFh!W2T} zsDt%hX@u6Yejdg)bkm*u=K9>YDZduiAAZC<3)NTDR(O6?OxZ#?`Ox^+jSBWzrS~I& z6+;e9*s_6&6?d~HCrtmkrZP?{Ib-A5b>~hOIVR53ofivpiA$!vx*R42tT7!}@+&Nl zRNk&@9Yt8X$I=47QQ&p@TL7pbD4)Ge^>jB-IQmeKkB`b>XuVmICujBhcpiCT*5bnc zW$&QXYBSi-Mt%A0R2qb{nb%G>VMk`Mh}=bnYwkQvpgxqQAc}9tSFT zJ2tI`!xzF^!O0(JxdulzdBBES54%0wOVqK+2|Z9du(7yfep7Tk56SpG{2_dZ)%ezJ z8ozP_qk(yykiz=$Xr_91VPCvlx!$9$PakdvUL}qs@;nK)ODnFZASR+t#G`V8OV3_r~YO2G8m2)bbkE&hHE4iDIO+20-y` zC1y~_QJb$)qceOqB;iGMwCBMq@^_xPm$Ym5ZG*{Uw?tNh=yIP>;BM?@nuTOpZF?PY z+dIah=Ac_KPJ?cV884+=RuCbo`80B~T_vRN2bS(oPnB5wK6%GEv7g}q-rrA6p7t7F z{IOVbXEF~#UnwegD=PBA(d%bOTKSf~U4>w7rwL|e39Dnjiq%l69P~S}5}OmJZ}w|I z(&>b*$VOuJSnqyEg$%W6zOV*nj3l-8LJ z>tP0=`qk2;Z2Ad}ycG6(SsvjS`K2q{(}JC-{q+bC~@Jc(%)7Jk?7ex|&%=?4f= z-#!_9>OSkiR!jRT?Vbb7Y2Cwe@ji*`(`SJe`c)8oi9K9!#?WPUliq;ueiAQlx@6KD z`}S^5o3aq`Xn$)tY*yP46~@G0w61b`$g1D!p-~X$Sa_lRJ_+tcN@m_>XrWF(IwP9# zJB&A&3V)iS|FR>4w`-AcYagyDvEDU%*~LRw7JAZSTN_9y!P8f72iY-mL@F4iCo{G^(+YOj|TPnblEkp=KV zvL)IAHs-hwM{~L>jNf?Snx&rcBnf5zc+zM(WzN-9Rt0GiHo#m(6oQ*Sq;)#pDltdl(fqr z8$0zENNX|dGSOsa!XCs$_RjeH4O>49c|=xs?uFVk`bH*5s2Ouz?b_|G;~G)01NR;| z+6}#;bKGP(q0=@>C!)YRd9yy5mMkGNLhphII5N)peKPH4ws~(PnaH@rI`#=uSl`v% z{+1bZ8ClBWF}4ErLgq<>Rb2{~J)7uNQ;uEbYajdM znli5@QYzB%A0i?zl z$0!JCqj(-{9u3;X5*m`Q@GhkcA1y$pjoU&LpXR%J0Q-GlY3&cU)H##b?>@zEBV92E zsXAEr*98_+Jzph^S#bOw-7X)x=Qv?>tGBs%vf_Llb>b1CHU#{Q^B zgrCaJ!vn_P?l;h9V2M8U>$i0-;MTz^PY07}UbpB=uqd-1A*GE}lw?yzH>iU9C}et# zO{@x0JfH;*se0qsjD^d+#*cWe_>X{m?1PT!eB@AYijBo}SxmKi@NBS;E<< zglMwGbf;|nB;Sk7?uZl7A9w1r*SB!lpN0U*BFI!6eal7U!fdOGJwM$UI1=cNe{fhS z<=pwLJ!j9p)<~@M`)rY?-!joJaDU$fE@PKS4fHD>H6rV%RUP+Pxk*4=6g_!dZ zZNDK9(9RqTikt^?wk3h`!OM99{}HGDkGu3vK7C+gc}o1?&%=)lfdf=K!6n0rY49Db z{l__Q*_CWnM=G|oR2-ntFDw`iRdUbZsJH4*EpK}y`jxccjcM*c+O|)j{C@?}|GUo8 eioW13t8-GnCjR~O-s!Iu$;+rbE|Gfr`u_pHJ~?dw literal 0 HcmV?d00001 diff --git a/docs/images/secp384r1_params.png b/docs/images/secp384r1_params.png new file mode 100644 index 0000000000000000000000000000000000000000..138db408feeede9e6eb8339787117ca269fa48f7 GIT binary patch literal 39625 zcmc$`by$>Z*e@!mAPq_kT}s!`4T^x$-3S8?h;%myNS8`WDgx4_ba#hANOyPlerBzu zi}mfj&vl)D&hcX4^__X^iTnP={YQd8~5d26Fq28%VeApnyFs zMhV&AKiXyz5=u`cB&d|^tWC@;;5TkCytRB&E8DDu->PVl@i~KupFhaK2G>kd=Yzi5 zOH=}~N9s!D_rvqwWJca^b<(7d3o5;Hptx;JKyOPRiEo;$_nJj#)-(LQhNq2y81|Ar z8|v~MnJ}}5Hy%uI+S!*5{``9IVwqS3B1ME8^dzK{^JB#mJP-3T`%NmF+TcBX)wVT- z9Kk8E4ZZsHR1K@E#j$73&v*#F;k<|X6BAN}-3`?xoYQ+i!LiIRE7Es6GLpZWB9)t~ zyOL#xz&2GSdbj1M1zOq4{>A2LE`tHz_F8jJUCCpT55&Gfw^SHt6y9fAUG^*RmTE^g zD*5@y<1a`0GjPsxyif`zN|R{hH)=tX8@TVJw92<~E@UZ4f~k5(;euGB;>}JIM^$UQt6#40Dn5H6o6;Mn-n(sc z0@_*wuJzPJUj7CX*uHZEDbVc3EwF_IUL@dkS*bWZ2vVURiaDJTY08TYzrl#efB`+svY;DN~dv0w6XLGT%K^$^J z*hLU*TEZP*R4$elR`!A}A~b(}LJ({tcC*t^{q+$Ca}gRXc_k_dYdbgn!D{bn zl;{s`d9mRb09Jtk2!GPKf$5l!CO)P6zuRw*$mw~ zgi8MXJ{|5uL6wZx*dLza&Qpf`5U2VwkQm)?*?)AL3g7Z{-&(Ies(mwm(YLfWIWfUl zeKs*V%4OQuv)?7>`}o#l@oPU+a?~g^RLIwVt{!lx zLnz(Xi$%zytPHN*KpOqsH~qGX9;;QR{~nF&qk^mwAzQqh_Mgk&r&_3>7j>K{y}p+b ziLpIH%R%XXoYQXnUTW2*_VvBducGHvSOgiaJ?+3fv?l_a!HN@`C!?mRSoEnLb}JK8 zZfp6lxo|%0M5`*Zin$0OnK_T|sP*T&-9=ZIXMz1?(29iqRBDtTeW;Ohri6p-;sNt?_7GACOZysT%GU5F)fqd{c1B^ zdo+o`ttLpjRkIfnCs7b?S8=+U8%TMvEIqUTU2#i}H-!10wh@U1ZN=uc&p_K8h=U_` z+=$a;Sw0ba^}$fVg41Dnps1Uz`t@2tbsv$Z>%mXWJ=vBnw@KF}|5id>7M3pqkB}s{ zzkFBdZl(_iC$+ADhLP}e#R*FL)Xcw=eaW`A-Hd}XK8MNGGu>}o+RKYEtY+@g=?!t5&czsb52 z*IXQqT^ubYT4S@^ zGof|xD_O>Q%Jaw{9;;24SAThmG>m*}!O5RM;|?mB&8sZ~uagB>2xI1*F{^6Jc=LkF zCdRC!S@aatU-eU$hvisU!SA3mDIOWde@l!f&{gaJd>ty{Y3c) zDm@s=GU;`;VKeX$^@MY&*6je@G}Y6If2ZYMvn+cpe(C2E&&34OrWnm<#9qhEltX0J z&Vd1~ir%NHrp}iqOG~4khoh!e#r`Kjc(muobcq&sWA*Gh6_~4BGcB#;L=JvDL!oe) z_TjZxvHNEZ#h;`7V4)N_nX)>Xnqe-inMEHHyWEK^`X8X9Bj&4JB?J)PcvM6YHdwUzEK>K2>fvVeDPZqIh*y zZarwI9AuHb88sq@~VELd`eW$ww0iu!3eF8#=7prBq&5Ub|{e2i{E3Xl?X}F zV<7FJs|0MM2sT+{*y(tlYIbMF2-?g2{rGfU>}<6E+=0^jytrC_`m%VlYK@M4CbzK8 zF5|thbR-4&GthJ62k;qxBJ8~$E=5ip8YS$0;k}+4^FR-s9da^$hsOEj&} z{7UK;*6rZm;Zocl`Kyk~UIlu-_>G0AO{c1##mF?6xMvevUYgVg!^$S_%`yv}1&jS9 z3Chu{PWh%h?8{F%ZSuK%y_sSca|^1N(w5Z;@;+5WMWe+I=lK4N_TcA3r;Z)_Jg(VG z+eS-%6B99+iVlW!+ncexiL{@;ZJ_i`yc2s2hIS?0@U>QwEu{B(1Fk+UKJZmLeuVq?3Via05q+@;}cQ5Xlo0*n% z?ZNn}02o2^dyn6mG*GM*&(e;6MZ{9ONqd}k1g2#)s5->g+n1ScP z&ku^JqO6SVTz&k;BQJCFn^G_Lv%ZZIzSqaFB4%*tu_e0=C#PK9B-BHX)pr_wMbn-~ zdB^H@g$qYoL;|V%_u(7d8HX4w|p?h5&me*HS zH-U_`#Px`yv_ymbf=c+3L91e=i>Fq#ncg%rc{eo{ zN`YTx>VrQ}p%)F{38QF`_P7UlC{V>(=T4KiZz+AU$qyPD>wAXO{|?DWi^Kin^!vtF zZChSP)ftx^B5#BL&Bf23K@~$P8u41T2M^G|h@JjAQXC`B~_M z&rO`)T+6AZcR<3%%nuf3t827fmZOet?$$*{LZ6@6LIX|y|EMC5xJ-T_V+|YT#fIsxN4;%-m%bT z1udED{9D+@*J13V31=Ee5k!zhm7*S4IzH( zJ+7hT^jli#*tX@d@M_$%6ZjWG< zpUTxN{5GeKBO_2ClVenaO5s99=D9xzfREFi_;Y|72Z{jl(vZu4@$W^ckQtFLP7g4I zHomAb>B!EgMCdjR@efi5lHC;r;HU^(*grcfMbM)5U_4T9gVS%pHKWE5H zcG5ZG+MKnBNxt4Ax2V!wBdYK05*c{l#cRx898KXA)?d1Hl;nllY4HB%L?H-xMAJLo zCO5u1)N6YsJm zx~cATePg z#fEiYDo92?4{*|p$PU8!CLx>$g{z9Wq2l(W^p6f!)OgDQy z=3XzK0YuQ@1%pD$#Ka6E%0_@9Hs%<7z{(AZ`4Dr=^o`NT!~#pq)$7kVs+hm96eo&OlpOHQ3Fj&DSZ$Mp?Le_}>K^{N zFdo|~Bk3+%417w^<2LKX?E&Df=n%*i7Rp+72S@bSFpFZ~cxSG;YOR3uaKso#GlcO@ zPpDqBAGTmejK=O2K#U7f~&dx3&536SyUdAai zU3}_eXw|}$cmkF24~4y-d2PsDS>J6G&t`hBxw^~#C0*1hpTE0ot$BD;JpjW+E18J_ zVBE2>03=?ga4Vo0ffJ?MnqI0Z2*4qt9xnU_-lL1`)W*|AT!=5#0Fq{cM=_W2Q&nP zc5ZJ7A&YzXNz!38J1YQy^kIEQ{?5G8J`%QyX=E{j>Y2Rh@?PGt*8lKJ_^cdZHg1E0!1q>c*@W7tVTuHcLDq)DhMASSz6zMd1(CGuKUidt*Z}i#j ziRYM=fK0{`Syqyr3>Y(9O$ffQN%W&J(V_(H;prxc-#tZPs2qrIu%%>YC%W@yM`UwB zPN#LPVSTIm3#X~2sR*IXWx=6$vTO;I=5S+xOb(WODc0|PeeN~*9xlLkmvO3$aqJ~> zirZ?o1&F-;kqOn3WxrnL!pj(*NOhZ@EX4Jvc%7~YCt>Lnxo=jQ_7 zQQFI?%Md0VGin60g4Ke7<{@^O_@kb2TskL-8(nO>;U*Usx)lCpC>y~-aXUpfm=c8* zYxVV47h7DUaq9MYG0AS-#U2s5FN`=`@)?eA5}_7r^S#myA{OQYxcC;zmbRuiG`LLi zH^+Co<73}1GTctwN?~Z_J}60qMfA)C#sM!5RC?i+czl=Z#TSNDkKLzrx`dYiGx=v{ zuDYq0t=acj8}Ckt&ye$3B~{_x+$OTuguNZrW)+CuT`>BtiH4C zwI4@ra~#s9PXfPE?lN=Mwfdpr^x4yd4N-MJHL&Y^AWF~)5Jq&Mxy0`@lD33vNQP5a zvPg#ufEw!+S6sK!m#{-Jk_BhM=N)f2EJip|GeRM9T)IiwzQvl$dJF>{2pk50PU(A; zKvaj;`j6HD9ucA3B-V*M{H0CFqtUbpSbg2tV&~h!B?2Q{4NzU-wbM=?@Xy>Dj$bpt zzI4=Z6X%={mUy-18Ns6~Ny-|wlVs1Cj-?8$91OM!L(=RRwLd*T zk7t-u;*>?6IPMB1uu5VoUw=k?)cU1#f^k=1Q=zI$_xC5kFf@Ee1EA77H@zyY>riPE zA?66JFj-&U`4o{?pRU@j82uT*0IpO;fxUz)C9BEu&4j$;HqD0J?M@vJ2qvi?PWTL_ zOQY((n>pX>FT}w5FtN>EcT7VDqHts8M~wQ@pM}V|J@^^b%>tSs%GEO)oU{iQgBd?YR}xTpE|VezS3hrmlV$%o zKQ%GKL;pSEAJ8DTc<~IsYt$&JYgXK)Vqjoap&Hr&&h` zA&Ns*{KVvL%O89)Ab~iP6!DJ6ks^m3d#SiHj8Yo`&bMj#M{0gH4!Pk7&pq@*xt-`< z74W^|Ev>#8{6vv3Fv0us#5^;_jL+lzc&BcjAu4sOrUd~4F!H`2o^Z}}|2Og!2@toP ze9nrj@@dc|5v`^W5EWrxZNpLo`H22ebdJ3e(UFnZ6{(Q<#x&0 zPWn{LAr9L^Eo(s3k*6-F{cw=GeMB#h=Vky8M+2E7gi>rV-q_=^)v37?GnZ%KWlucR zN@Q^afh(MTnbGrBi;OFN^!^8I4KxFCVKw9PJzqa5?R|km^aqCQZAjrDdu}sJ^?C_U zBUit$eccqnj6**WyzXQXW2^Qkg_7oK6LaZ4qWj9bUu;h|`qcl_&}AL@HYurA*Spt1 zL@YMXGptvd36*#a?xH#Nf%C!{LO7&PcDNh(@>6xQxk4uSKeJ$&`qsStc#~h5)!}=! zvP0+aR5PX;I@?a{x(3W!%;>TTQY#TQDD#rCcG{Qv#C@KhAYVzJIpHM3Alhrc>E+`z zQ$RSzoAyjrnr!FV78pUA!aF_ONZiV5+6%(s1yU1*b8=84d;Phc;VbQEr{=T$71b!Q z6FVHOhPRv8OJsjPOOSc=AKtYu3@EFv%VLj=&5*XKs|-uh@H6*(=f?Itl^N)>^eJkI zfY-3*fQ2D-lUyzLjjwyl8v%1d-Hvl{p*6>|p)-K1U{q*OO_28qsDvT%Ki)9IADwR5 z^{;(f+cA&-QeS@ki9Kzwa{Yy~9?$&N*epmt=2Ixez-8v;v&Mnq3)}*^%Z(&d=+~&XZ_}xT>sY3>vwZ z&_0}D`n!UVWe@Mw#-@!zw9yYMm+CM($ge-Dc{~f+I>4~70AiDE9;%9mfW$5s56NGr zscO_pg=F1J73e&ze;N=Rzl;ZB(NR!)UgC8X{_`WiCJ1CgXs=7&v-xb9?D^3;@t2vzE53U_OFafUaObsIpt^l~- zVtCJVFQp2%a%2v{L!#iRjMlhfkMpj3)OZhjfM_r;olXXlj0 zt_sQZgc5P9Eu54{DuQej+7Pk7F;>pHpk+mnp`|N!yd-udfB>m~g$kfT>lzx+Tz@3T zTW&|2UMqmUKod;8)K z&Jn-$i)H|%;vz6+zQ#zWx2QyrzFnE$0n!j{lEb&BKE%u>@vwlR#rNh_>^%q)yjg$c zb>uBD{pzM*Lhi@V-+>s+V!0;>$tmctpn8+!n7NCyn`KV5njq5bKZ$zwK^l_ly{*#0 znCk;h&JY|*&ta2%tz#Y=&OSisAD+BboY$Kh4Y1dpTPOwJ|8s&GFgO%z`lU4KuC*_R z4{%;m8X6o0ub&_|?p3Cp`Oc`G<4^S&;2>ZiA};@WQFD_~DC^Kl(xl5=xXma$&L5yy zYLBzADQq!do_LDR#}5L`Lt$03(`EyP6iVz84b2j(w%_5kW2*cqk@W_5K^#%?O^0StlDvfV+_fnrj(LZld1 zI;SQ8+z151fLINf1+X#8axC!D$0|S|jv}uX1k;UYJHqikmWa1)BQ~FPXpI2Sg+cUm zIei8wv{(qI!vzREO@z7@coZJnjS3=ZKqL?-jC{j-A%NG7q$P~uZXlVgxu{pI;4xXGNyZDBxS$I-Q}{Yr`rV)+Ky=!ZZG zlFTH#E)I|5Z5v=aRAaYV$H{8oes3!U{zI0{<3#waya!Rh7<6=flkX9y?=UEN;uRCF zoGt|yS}&6L{+YR;!pMYhBEa?+mKe}uxR?#G_O|}M!OCJM;*4*KtAOyea&)VNsmvI~ zoA6UZ1@fPnEJn7e9wzlUNzt#syYG&%{vb>r}4%&)81E%yLw-(3pT?m(n+-8Iifj|MC zLg?}jRYfe&)P8gF~mIW;35ujv0ybzg%l`Wzvlly@`4c-a|78zmVH zSXDq!%Dukq6(DpKR_TpQB8eD@x~Chl>1u9$wq1-_uMso5hoc#D)9WF5O($I8zfnljhc*}ZPoXucRlmIa4avH1afm7<&``_!=P1HAn~UJepLeT zZCdmz$+(bRFg4(yLo~+^ra+$@C4_h92n=;8)Gla=0`v%l)M((J`-J+9fvmJN|AH z-P3EZK5wbc<+E%VProe&WEc#jzfn|P$1x$0dHMT;IduFM^(fdX=kKP5%!7&8)%=zE zcd#6=zr)doYM^a@?~Zx?*VwCsZa9OElsk6#t`Irr^Cu8qi}?O;l9-tZtbJ;YBg_tL z_%G&Li3~7-Fr_W;*L<^T)?koNLbP3yB)q(rBuRUw&!X#X56Ti87;P>Tqh#yE)A9Mh zlui)&f=QX+a}(8sS6#;m=t}OwiT(V#R+S5!<`3Y&TC2Kh<)DeT+i15~EfcO;#+bCK zz`DEdkx!7wTD$+PuJ>X@&C1IYV7#ZlHJ*$428LFC5M*tj7FYVIWRNo52t=f13iOEj z#VVb>pLEmP$VAt$Ew`6%O1N~Ts|5I=(P?rE1JLL6NjAP~(!BnbZN~+t2@Dq4%RMMl za9-4sJ@;uJ)XT)8-m3%A_V@W8!wK8=`o$>0h@f)}{nDuP^dIh+mWA7(a^Az${~8R6 zu`9POPv=EZAk=Oc-XnQ^d2u!zwiM!O$SQTUI$W4qIEWirzNagu>+caUmR33Ki&6Gg z=l7Y!Z@Jw?%49Nk{#D$WOr$!~mTlL)8Dnc8Cp4B!lRCHgDYxc2U!`M2WX$aLjL_>? zU|9i?f};v{39kW!_)h?grF^1@W4rDyqzQrCX!VvYcXE{50@jShhgs%f5vZp!Lw@%M zIYh+Gp(umSPab}^PweWvY5?~a))goxXhK3I!?j?l+Rin&U@Gd8QwG9=ea2sKn6}{U zPd5vJZ9`cNl*Cnzae%SO3h)wj+Oz>;xST-*Io@=+xPa2M9)QW#`&T}vN1(IU?yJaO zZUhWJ|CgQ2APBG04T|5g?f=h&cVas}((6ylU#9+l&v?s7C#faK|H1D*6jEtkc(_2* zdc?H?99!&6?gd6oZFr0g5Eqxu{$?_x?ZN#uA3;OMp`0}H;So+2I9g0?W?xgq0-e8B%DUiYmEzGuPn5Gt8r$fUici)>A zXn&8VepEd(59@wcz3bU0u=F}gK`>Z?=bJ(W@$IHIQfo4&Q8b0!^=;jN?~y-~ z9t-^sj;_n+F3+TUR^8UmP4FzL;yJ2;A&M`7{{#AT2WkGByB{A^vRoBlE{P&qkG(pu zk0UIPe%K&(@>BZ_u|)>vyrM@4A~RR;d;FwH-yL{WLr5VcOZ4r64Biri_rZFq&X(`f z|4YuCl(Yc40~>J5O`A|KKU@Nh1%KC9i3B5rtQ6kTyMN1_7)!uiSkvEz=Kj^R&K+;4 z5ycLbjxnQ5LjaQryH(E|_t{MxFVFyhX>`0^+K*g7@WeULKH~2tZsAerYpU8mmfa)r zpF}r-Wv4Q+1^f{L+>jBr(RTFCcRfI`JSdD%3O@v4k@0i%GZLgoTNL@+j>~S2_p30d z_nHAWlDp$>$(Qtfwpk|b^sX!mUOX=rJk{!uVS+s6Z>HJ@6jU34p-~q(o&kN7tXoBv zb)kz^2opjk=MySnWDJJRc$Qz#4>(otFdIO8IZustYEUNm{YS zA=?T316&))xBqAw;)=9ITXkor&bT6ww|480eZ_xy=VMTm_E|Gx!G8Rntk1uGH4rn? z{vT?{^p9A6r)<#SN-}_YGZK$*?F5z%4{F-j`^F+d0 zY}E%bp*hlPKi%eZCCgcqE8~wu05*=L?!3Tz!`37U`~?4*=D;&wyz&XB#HFqfW8jSh zv)^sfYr6X%R+R|Got1~Es-lRa7R;ZZ37ERm7?uum+0kGBH9+?v$onIaBs@9$UZ6bb z@O@0EEG>@!!$JjGl7_F=>JiAKm~-usH~>LCcL5I2%UCa~2Z|ZjRfyyF4HdR?O+c`$ z7gZWr{mWBEjfxj-aWHC1^65U7&a1Vc-%Kk?sLJ;*AlD?hYE^*<)@)D0w7_^dB$_Htx{_zxv*i{h<1FKJ9T6j3V!w z1CBEP>0^XQ9=un%oO9jauR{V?(|zsrQ(e;^kUtR%P*w-~@mV>>4#pEV;WWmI@Z39( z%cs2D5jBjSUuzNia2Tan9i2Q=lDe@1s9V~Ot3S`6(;r6^`ZXg21fUszwE;y6fe0*( z^7CADw}W=()lKX>0P%bCgUTw2SUArNTdxdy*n2G&oz05JR|cxE;>lYqocUQpj<&n&bBY%lBn(5a6N-~y4DfWnt> znG~$MRf77WW+_rj`~Yln5=2TooqmJ9^(Jjoywt8ocmgQ3vRHv&UJNhbHX&4j2(e2S zZ^At6r=vnA^HCxQmw1fY%M@D}Sh+I;5;zBTZU|;LY~WqX?OJO0D@-{ji9qoXm;q;J z?oDX)^MS4bw9*ln^}tzg27*KsB|AcBAcZAbmhGJM_k(gQqc_%BlRpun1c=e1unEUu ztYLj;{Xpk!pid|w$}F3$z4j8ZU56YPCm1m=tZf3a&MdrI*rYw3QHrq*+Ag*AGQ^gtMn=H=kWC|dD`%2+DypR9 z5qUKzE%isxJfG9TXDVSQb;&?~c-E&VywlQfra~7!`4cdi+RTCvR(WwIVJcfj1OdI9 z&;$9;ItD{is?2A6lP>AQjAEyQk>?YUu4=F+dgiU`)L* z!6_HEn^4a6;5u{pL7qSHpdV4AFbXOU)|ZM13`-D9EixLt9;n5opj7s(^b3xB3Y*mk z#v9g>4w?kd7o`BUz%yT)WZr6>J|D*K>%lKy2P%Ij07@zAz$Wc&es2l9A*|6xRLZ0T z&bX`mirJ6^Mzr2{KVNUuoo$YS*P59X(0|rJ9RduD-_!-$%O&qidM>!g8Mw_7@5{Z^ z(UNYq#00y9!18KXx2GupHP&7ih=K$Y%JA5d1?{}@Nw)+>GoBT8z_%^BS<8D60IT2m zyF6b$nl~UOC8_80^rOIGiwlQ9X%I#r*V&yVTa_8t78KHKJ2`<5d4#Fyp4%_&&VXH! zbOCKAi>d`k|O@Lap=C2>i}R-aS1BPJ3XK6K}o51v~-@(d!uM0y`F+~eR-&Yf0TDlQpRMf zny2Ogg#oB8u}FZ&?rdr9)WM!y7=>r{gh56@cO@%FNzSG37|HCV$OpDr_lCWYcScWYOu%%& zbL#^UI%P+MJN=b6WzPViVrm9t5%0>|Z}*9I=z*l^_u-}CKG7<6K^y!$+{z~ceahSu z(+?gOhZ)8Ge2?=?cyf7|r7zr9CNf=E*^KX<)J!A@7#f*mV@zJeF`CN94Ih{BZ{Hqf z@o$xgDO09lm{(RZRE`j$Wx$)i*P3^Sm~uzTZrrvVZW3dTKfW?&l3n<;xw0~#FGRbE za}BAZXLaX!cUnL06#5n2EDb^UN# zl`vBOtS;?U{jjCT`ta52A0kE$A7+#d0?dBIKj1Lgl34||cj{IaWN#b=n@mg9G~Gh= zh$v&UI>boR;+*Jvv3?BR5`#O@+Fq_{d{z4oz7H~<+TK{n6VkQ?wkhs+2O$63v6P1p_Us@;sG<;IMYEx=+b#DL zkL$Fx{J!=yzoC}!^}N#ztWrW0EE4?XONJ_ffsN;s(T0TMhW;W08R~Qn@(CIA@hVD$ z>-w6#P2G33GZxqgpkbRm;FR1RYr0dr5}Ef=&u*YrP31MtPgFXrv{`x10$4oMnCCX> zFI9epXuo*<<)68lpzx(IG*-Q)ktNNQpVWlFj_t1k_*Y0beYJxxNR005(RI+HlOc54 z3f(62nmEoQ$T@$FFd5_8p7FD# zE8ATohio?I-Wri=hX*NNG()y?B{L*r@}~=H{$*yDc8oJLr8=)J6xQpN|6yYb=fJ5p zp5WWsoJ&%PZ=zH*zVYfApv|JrtTe2Sf0g@atGR00iZoi@aYnD&%r*%5Ml99p03U;6 zsvc=ABo5@&$$9csDyXjd9mt%WbqU#yzyfbO_%jSi;K~VL70o89hGo6bH}A~H;#1Od>(GVf553YNdLvbE~rmB|KQ z!rK_HPj=n-#Mp2v3`auA{Yr^VlLqsB7b90#EvpJ`>_1!cTpbj8_sYS#@@|-XIbMB0 zkWxbPCY7Mf0xTlw1GQ1Ur|T0WQqEop1O61ZyLniLq#kt-kl?r$F={6;vL@7ec=bH9 z6N@`BUa}1#)p}jo_VIy0fH5)m0__-x=5y3nU)!GWf^|3Jcl{qUMsJV5dyCF)(q`Xi zna+^;1HPCVrwg?$ZrpWjCwHD7EaJXeQS{!u;OvHOC8}d@viXjMFpBWx+vKrTL?PB@ zjFQ>+yiJI7N#P^yZOY|Ph%p|gt&pyoSz&B!f@}ru?VZa7+YaNJ->Bk2On>^0+p;+Q z0;2BbNyisjw>M_gA$RfC1Pg8-BC&nF17m!trjQ8T4P`jbWjA$Lo6RvqFKPdnWK2A- zvzn3cHAL8@F4|z6a((XIC~5{>sL2+St1fNV+MTXD2T}>q+N`dqUWcWrmDLz#71*n( zA9W>5?K*hobo`m`INp9_-R4}kM?SSk2~RvzBZ{l^c)%q>=uPCo+tFo=-=L>g# z`~;ahk9URpNV!~>=#_l;COEz(N-<|Jc`wTw+O6mWw?;{LNYg@ zi>in6PkzRIvOJvlYpNY6UM^#x(}PbSQT^Hcw;I}lMOww?M7kUQ*-GNy42B(#1RiV zJGYwz<{Q6OdedG#sA(LRAunw7_Dv&7tdK}%bJHuXm}q+eZQsg_V56Dg2mt$=$f)X!W{44>8xR?DBLqIQ|^psbx!1mq?p%cO6>x>ANpU>4VNu zN9}rR<1gj(@^&z#Kv|YoYe6VuOd|=7C~hAn6e(0#1X)=HGqE-oisMBJ{fPReu?(zJ z%EuWh3vi4FE1Gsc^+Hsv_oNkR^Nxq0Z(IRXE{GPDdI=!>O2|}p6-`u1Y&#HE=Fo{L z1#h*!BC2HIeL|~*yK*XS%OxvI5oSkLojCgtUCVO;znK@T264_$EBUyV^Gs#tU}sc1 zfydk;Ei^jP(eyP@AQ66RWIe(v%e>3HD0u|wQdM?=0`u+gJ<81)1_QNBkFe|tSF}n~ z{G^YGQc(6XR|*HQ9c8IbX;&ZH;)jofNr)X5wryV!DvW;|sCf901%1VJ(Zk}SOU z`)=p#TZ^EaqDHxy!Da8j{wRK#umLsLJmhZ7Kt;bCZITcZFUaMw-YylWpR`>F+SI#j zYY4q&WV9m|-pGV+YBD+8^2d>c+)kN$GHChQvrB+L_?^-6Tl6cX0%G~d60NV$;V?XH zK4#Ofx-2B!Xh5d&rLq|Ucz$IjwFFS=_f}{R^5@YwaP3h)f{81Y*s9Ld=F?PQ;7ymsj4oxrp+{IwDj%b#b$7mvmg?JCC2Xxa-SwNQF$hx27O-6%}VR!xWGem9QlE3=DP&0fd1Lsf-) z+p{RDa?lBVW&W)2Cr6P?L)@3!eiBHFv`whV=r>x?W67kEvRZ>|k~x;(p-tREJN}Z4 ztwiXOY>WNu1ULjPOu~zu>>=Eg@3bDab9m4cztbKz_QFmv_)?}6Y`dk^cigOFIw48T z)CNsG4uzve%oF+J5X30r=S>b-k~&{V3^53|#>=TJj8xT+uTm-oiHX2DVkIJ){dZq& z>lvz_LuM(ZGa?%(y0uyC83T@k=1j@`?HcWuv^8Q(FjW_Ns&3St!;&9Xh`Bu#G{$0n zMo*Sk{f(8rH6_z1>D038X1_=XR;DHYB~=^ar5%o3DN$j9l#oWPl!^hi*?QXaHj%%S z@OPG9hO%O>#kd?*@@^JAp9zdqV+^DyZmeh8dRZMNcviq$@gpEmbs_9^FM>`DZ@2j0 z$i!tGk{-8L3k#Og?<Hzw)QGxgA{Fg=e54Iw|_zFQZ$U-b9`3k;u; z$+H*I>JaXg%ON*m+*3WsN3Cv18l#~EfZIqe0 zjYQdU+u=<|cc*kpJC1`qa|eVT!}0mO=8ka^-=zj}SrUBTZwxXxHxBhxB>MhJ8KuH0 zQ-(=~sfHLNcZ~=%Q;mBgO%Sie)4A_ec!aGaRL=-4wu9n`?BDKCtxfJN`Qea-ZM!eH zYNs>N9ZZ>E=0oR2^T0ZZ{o@o)xO%jjz=LO8QrUJ=Zj;)R)G1q_zIE&J>kw5>RyJpO z_PPECOjV8i4^k>bnI}!wiJT2`q?xZ;sIKH|b00Uc_Oi+bV4lx@9nwX7Zo|RPu?*|2N|{dBkCZ%?a%ysWA?h$P_Oic8zb2z87^F-G`aj+0lRtPg zywBbXY2s>4O@Y!JAH7dhhgO&g+^0Ff+G1Ep-tEd`P*&MuI{0|-=6G*uEXY8#E?d&9 zEyx9N3e3)QZ2=lGvwZ~EQm;!q-qel!GE6iiv?z$87iwgVeaue>nQJyjd4N;U^d<-> zTTEe}ak6iI4wZY`+a85qSV%0`4|`g9RwRwi z-3irkJ$%8=`FTlioC(^LJIxk!I#M6{M|Vnn3sX+#-fk>8?}r&rL<6)xW~<7JQv6l=UeJAGE&VR7s)~$PBIT{iX?n?5*?w#y%d} zGI%R|uUrIoi!-$SPu=m~`gIgD(zpZ!BY+~TV`&7socgcJ0PL410vg2E{R~{6q*pBM z0_c{1%1yz>z5`IM-QCazF0R+H%>(Isi6O^&BuJj}O9xpFZ+=K&g@%aZk})S=o_yjW zb6x9?9_mit8!UE8bUmhB!X+#Xjpw1guLWW|ulj_xdeTRqd^7AOIIHo`>mXR#>ZQHU z3mI{3BQ`xg(I!n12n=mH|C+>gIeIstu1E%|@Nz7(0*&{lwjsfzMLi4YE(p;cGe$XH z`DRW3cvzm5Y5Gd&(_fP*N(*5nMyhvCWaPlgd^J&T%Q3z*%zt!v!@Gz|cmKdLP-Rs~ z(|&7+K`QUp&lir>ge6;VUaN;|;otJV2V;b5P?3Prqvn{E`2C5+oc-VlZJXxBz>PQ7 z!VQnG5elm4pjfE+$hn^=T1{{2%Dw7R9evZ+x7p)yQ}#;iNV+cxTQf1xdFxA=pR+`E zQsyqI6GWRwTM^P4HsX>X^IpjQoF||C$yE@kM5r+5(}sGlxYUjGOrP=I$E49OB6Zx{ z7zIUL#279`Oi_Ql`xVsWykZR?YHdMCMLCx|%Ab z`+7-s82Ysg=g^f8R&+;GaTj_PgR%2sTkU$vUW66?P}f_niCNS5T>%;&jdt$rv-$J{ zyUxd48p@2$c2m-vw42t*O!8?fdUr`&o^j;uuOV;xG>S3G?nX+Rc0Nfwu98uSlsLMS zObyCv6EqrJ0RLhPCWw0xS$&}lrXuT94+D{NrMxvAAokM0P!tn{!HvqS( zFn=g+=>yhpbKmw>2y@U2{~DU`mt!opmB7qcVn#3C#gNT!BdC?j?$M%&dk~h3-p%-q zop~wY-J-0HPUC*eK!|J6yEl%tL^um$nV(IL#_b~wh-6Pn$d*1Urwb=Nu+Z*{i}Apn z?FH+#h-Ed0LK&^RyovN|9?B1Z^(+ZniFOOJ;)|#jb8n?7I<+_xA2`Yj^!B7yLe|e% zNPF!X#+haH#lR{ZigtV_gRwBiIorAUSaS2yq#~UTWl-;J1?S*M&gN)VrzSz8U4eS3D1> zqWvg*L*H#n+DnaM1}knsg{+b9me*hOR-Yo)avV!+FA0M$Pe`odb$NVdDwA~uR(?2n z-N{`-@b7Z*Hhy)l!pcRG@+1ndbknM`?CXGi_gBU$D<0mAZ>2gwDfi1aoubwqXOIg< zeDz4Sb2Sldyb7cbd^3P^c|5486U3C_@*me%1mD_FYiM4HdMN*}S6~LG*8qQ9P!0(b zEa!Y~m_{nR;}h=d57B(bfUptl=?vnvoBQNsmx^cPH#w6NH@Ok<(W2?e=ij# zw1IWZUo!87QHTgi z^?P@B5&LN6*ggo>tF+P+%A@|_B+#Q+@MnO*{;D2nu$2t5y2oI~z^kleLab#%*z3~a z-HX1;c1Jn50F7lmZ5#h06s;*4skMO1_nTCl(4&;&HiTeScLnU3pA{T7MVCnxa`BSm z7Xiw7p}w>2RNPflCt&H}(MuGRIkA*N#!Nk{)T{M${vX_v%lF85N5lF%cEmCoVf6joT=LEBRc=fXGj zG(Osh92?S(8$-j4KQ&@K0II+tDCY~r;vJ#lc!87Q2CVGP3Fwn4E3mX6&EWThGP_kb zwCeUNx!k3mAr>>JnZyIElc+QbvkQ7a@bK+(r#t7?!-&Od#*3)mgK93px;_dT^!5cK-IajShn)l|3o4(_8uC;P z6Aws}=;6o9x`0#k_WQo;gGS3%opwg9wf`@!-a4$RE!^7{5J4Jgq>=9K?(S}ok}e4W z=}zfJQbMJqyQRB3C8Rs#8_RR{d-neR;<~tCt;J-_F~%Iv{kxx6Hoo6G9)g!yA-6e~ zQs9Og#PtG;t=$giv_8V@xYpio3zwPkVTb5@>)ku0F2O)FmBa=~`rq2T`OM1E2NLL< zA5Dp$g&~R(T;P1Cp>1Rr*3`8wT;fG=If5$0LqQ@DUylvg1~0I*^YL(i-l@{dOhkz# z#Gu-ec{@?fD<8%@%ilFKv>|;R7gi!g#EqQ{cMYx!28FxbvX%s88%mOTfL&kNv9g;@ zK)*B-J>{TlLy;WDMqqa6UQP_oJzAZoJ1OK03UPq8GtrAZ>dpVs0b^?LkerC12hsn) zD~*4M5vYB?zz^UKs9VC?uuKO1VEutTV_Y8FL$k0y8e0`Dk+L_Hr_ms&nD28GJ5O0r zg>7l|C3aN6xX11dGntPo7juKKqKMWF_Qjw7$*nM1BM^? zjo2BTJB1jsRQ^Grj8!Sdn%s{eRN};74md%Ti`5dK9(2-B#o7Q9t?N3(B*kBG>~s9V z1mvk8-W>!0BPl@UC4o>7EMtu#75@240J_WR@B4Tcob`U8M2`d{pG3=%T0elA70l5qNLkO@ zc%=(fhycvigx5@lpil)xV?Um7 zQ$t59|JiXv#4zH|PU|5CYeF80Yx^#cfO#zfzc$)m`WE5kulD=}~RK9uxv`VR7^=%>PA%KxOl2_i29A7aL^h>2hXycIK%w zOQ6$O%|WQd;oi$06kQ#`6Ial&_by*6{yJGC{YJ46AalAvpntvW)d=qafDm{eV!%e@ z5LC%qRoB6>_G`$|Ha`j-&Jl>od}f|BnX}4|?gYHtIX5JeRS)D;T_C!E0dm=w6(H0c z3W2`6o~}PW%??Tt-9B-}@SpWFgU#hV5j|vwaRN4O3=bE@Ldb1EV)s`jkyFs+s}Z8l zcW#>?OS@ccx6wJKV4z)VFh=e!x=t!|fm)CX|JEWs)@bt%z}rPbE5JwR z2ay|^b#Q-Pu)0H_Dp0m97Hh78`74D3cMpLiuneTTvkXJRMG!$;J6{t3sJxWPdo>1i zhH`k8Jg+yFenYflaFw#ui-6=YGyZlBitZH3#8`zYKAM*Xj5``_289IY-w52MRMv|! z>=6J=Kl$VJj-yR`p zC}aO}>XJKVjhIsAE&Z)(K;hqd!9b#0n|qHbmXIQI2u)FHC(gbuh^4&iRO16gS`*We zM2q+eBnhATuJrqK`INw!!bgyjI-3MZJ}yYW`_`A1ALI*|vws202|V!Or76kW|91Ge zcpPjaQ~3RCIcj$;r4fyA2SjAfS#`IY2q(GjEV@r>KmINp=|Ql!ND^)R9_^YQS+N=fuPXSRxd=F5$^K>_%IMkdNzAWUM#@EpM&Ht1nnT#2tE~j3 zyBrPtd5GYJzj-+tg2b^&umg1Fpb7#kaWcKPAa3SgRKJ1L{cJ(}`R8U`)hS5qzZJUu zsZ<4m&5Ue?B!4*}wO9OfW!=?~o#qFyOT)K77Mqxpdh-Fq1gjw$k|w~{p4}|L%;Msm z*$k)^%b)^3nzzPS3gyYhj_m0;A zXOz6_fE+f2-)%Ue5I$-t*Ej3oQ`SbsBR8;_5db0=wCeDmME_stS zrw(SGjUH|e+lyHz3Q}5W8lmqpeY};kK;CVpR~cD*6?~u##Byx=XFz%6wfq)A8)@XD z!rmYRyNfzM6@1(zembe3MGBkylKH-;$zIm*O6ciaXe9Bhj{}QBgScowCBlS1g@d1s z&Gl_FK3N%da9CTjkcR~nu!NquNv-0jx-+(x8n6Y@Kr}cl5Mbb z5{%CQv*g6SB)lig5+&+iGxL7w_mz>&vc+HI=lldp3@-|@FR0&ZQ(sbVHlVPG9#Y#^{2@F|IG z(g*R|Xvyrn&F_`TAo9 z0RkSLH_zqjP3Wq1(C7Qx6V9L%H_;;)d~zl2F>_VBJYE%g0f$XWgRneM{~WU?vCuos zMxWIYnFlB&1rTl_E9wfN)HSm;1Vq{XsStk7SnT0vfZ z;GWRV9z|tnW8ENtzDvNk{h52u8i9)T+u(c2@(p*Q@@hlOe!yL0) z9TsV)51UZcjj)Z#k!N733IYK3XcOz6m;D>fJ1dnRh0C&6fW;LOFjt{1dKc}{ z2qBp4N*~9w)R|O-nJ~27%O(792k}yQ5hl1FYITsafrqYTxcvyKEETnEPeeRbDvku zbi=-)w@^rLh0tc}{t7r=6GF92#>z8y@Hpn%8NwPfkBQwF?NwDA*>Z_u8DC7r&fMdb zlkTaMPKA~@i%SmUz8cxLEP6g&@8U?%@w=kl180=qcM@JHiETri^y}r=a~rE(u|ERR z)3-%yFW8!4myR9tKl@Sx%mUNwOopZAg%-p=0)0d=h4rw$fkly&?J?Y%F@;)Bd`5H{ znt|{3K_$KAAnVow6R?9#UnB;d^?@*CF>xVXxUs$Bk2nhjJzF7Xwde+ex<2!-qVO}Y z(>Cu(=Km_E&z_fRq#6wyZ@*AI!b|t!o{52~Y-qWq19S5bY*oI#jODxfe9pL7Kgz^l zTAp}Sb#J0uqbY5pPyTBqS`;xA+a_%ej%*PGx?k%G;0I@XPt#MYx7Tg1CtWM;xJ)NY z*P%$N+fbl+j|2O``dqHPQ}(+2cHF{ch()Rv>_T@;Y{#G}P|N5axv%BD@8j+Tm&Yz= z)U$Ok8U?2ZYrxKNvMmt!r-MbR6K(^ zs~1zLp7v_f;LdgLl_Hl#VkuI%k;Qs&+bvF0*$XE}z0XUS%e34pQBI|qgihV)*~A8w z&0-+YA=72}+Q!z)N92}Hw)&3#l2NOKX|n-8$t?S|8_5w7bDm;!vJQRUmD6y=${GJ2 zX@2qZ>tO2P2@EO?qtm0sxp<^&Slo3Bu`H}$R_WW^13WEGHeC-wqOMH`pWBGO$To8% z)ou17hl_6+riYh>G{Hnk$9S5DKup+mJsevrc02*@vHPg|n2B=1D5nhv9UfVhEk45A z_SzL^g7ck}>ra-Tr zPIU)xU&U;D0N*LSB*QYJT+W?+o>W|nN5yBP6MG4+@~I{wrZ<!)2D=?tD0pC53#+Pvzs{xO+X8$lA= z%Tr-&oMmhrq4C~Rdugo|Z_X?tUoU<06s!OQgYiD!=;2 zUB=JpeZp>Uya?2svvPbgm!PF=*bxGYD&CT4&s5?X7j~ydQS@Mz;!b~z)MTP3K#~p< zRr1p)7eu2Z+Drj#&1t0{^D~%1G8l`9PEYvQ*;ifl@6XwgcNTX>(;u}dam7L9 z>nz7=ojx7PqU1}XXTD7h>XL8G_#XteG6p_qQ)-6|&uy$Cs5#r@snb|C8$Fyp=GOT) z^2_cMy^IwltN?2k+`0KxHeC!C;`dJOo#ikSB&A1A+110Q@a!()mbdUul{0K#S^>4% zf?LN|S4dDNj1!Dg$Px3#B9WUoI4}3`Y<$g33P(;oW*C3l;Aa%)4!NA+j*z}Q{?OS5 zvAQ9Bq7fz|W;C^H6EoX0CR9;(Yy_h;=OMU7U4NF6CsxzsST~iMXN=7!oxWiaBf8&? zM*QVMZ>?_;b)#adY_ZLRsG|M7WP)8<^AMr-N-k91cv@TOPmO-_Gqqt5d8$bNn8wAs zA+<{HXZR8;Y15R;dMHO0{WD0EBhcCh?M{5DdXss?kxh=kj$qi5>wSJIp4LZENbMRs zJ!)voV9xaVbbf3)=pb0f!h0uy7Ac*DKD*5pa06CXUNmQPAxTO3rXG)+&GoH!meTGf z`7TqtDs4ufYB7@DQdZ(#8HJAAQ{^n$z`FW`a@~7B+BEpZEvT2W8cn<>WM0A1U6@6( zoT}rsO1-Xf?V@>@4bFhRO8Rh^D&!UFeercFQ3^~nZQryb_QbfuQLu0#ZE$FmjHb5? zmfW#shptKjb#FiwUhwrRb0*ox+hTBjmVPf?_+8bg$K`Ypa8C}0II-&i-Nc<-#VbC= zxOMaI@dDL(?2?I164A3io;Gzh5hKGcpdUi+68o=E^p${Nmh7j|go0#adJbZIWm|jvQofSgqe}%l6{gMzYEwLZ7+cH@i1j ziUeiyZ09Z(ldxI{jh}y2MJ@KE5grx}4Q<3XP$?caX9nFp>aNM?00Y23H6oJslAfg%*N-36cHo~!jVep524vB`!IrL*XZR?*MLjjM@mIrgl`S^bDdO*|vCEA; zn~BrhVsN{;1l`U$Nu;+)4I0ch(RfJI4)EKP4;wCZ5twEZ1p|0)*nx7l5{?EDjLL~N zO9{UOqXXzPDv`irgT=9P5*STf8Zl=4)f;?+H%N|U6^6;_?yz-NMV&inZK0hs|b4%M>GLWkx7`@`A|KvzW{zTo(H69&p zR_}DGNXq~5MQ@LDS}Cg9_Lpj;!6n*XM|}+N?)}t5!v0{|8M5`K)5L7ulW^~z638QG z*5*Xmtuc&RYN5nZY_tHf%S}&DV(?p~1zcUazAal?Ps;^@9AzK*lTe2^VC#Cn=FPkF zQQBS%hpm5o82Flpn2tX*DWk=mESkBt=WN-IV~RS=Af}B_NvREm>PkE>#ke-&_hZ@+ zw~liBQyzy*9n%QdyrcyKfg8>K5N7UXcso%bCr zktidQ$4yE0DlVAOLXN=r{kqmvnCjtb!yFfJZj9W8??>Sckq=y*HQEIFtSy}pp7+qU zw-YpecJ#5rjQK(M!WF|*CI0}~1}mABzDv8|hK<;Um{}T!iov6?QQrClDk3w1Cv49- z?*P3QX~cAl+!Xm`U8iZ1u^;T=F)LS+(c`|>NH({t-h{dRpIrN_U7mJsgjqNkeS->I zGxP3rG+4Qp2Kuf(Y|nz7+l52&Y~-WluIZg3pk3%OwTml=K4pstZbgonjfFwM=s22N z_r~$pf6OLkB)kwDBP-^DrlVkZucq%qg5}LaEb#oK9a=q6ay#s7h@&&-pl@G6r$gdd zhpZJskbA?qZN*gT+3pcr(D_3s3gP~&loISQ)G^X>ye%YtVVNjaFyExRZO|PZz>0ul zgXEc3twYspt9+HW1|4i(67Tq(A9ckt+oi@Bo%kBY%O5v&@PqJeFw7^@u9-QNsfuHM9SI7rwE@6-HF2%rVxy^t1%{lra&V+RHv0JxafO(cNAOmv| zi9V`@>f-F=$jMH+`mTJSDYKO6@y*qD6&^~06uJQx^F%OFab-h|rn9!|pEbKor6sy~ zK|7&_Pf+_HIcOTGjNtXl2?QTt!EAmR-QXYJ>R96}Pp{bIEum`|p{^e}5$t1acPfvr zU&1QHgW2E!tFC+2btD2IJmDQ0@y)-E$&@lN$RrL{x zc5}J#Xoqj)8u#;V(lPQV4?;N)mpQ-2$W8X9ub5BFkA#&rvM0!yT_0?;djkJ2r?*FT zt+CRyncTy;#kik{+|gRKkeGi+;)Xsng$t@u`0O|R`~d6Vg=s9;U@7D~`bz+i_KH4i z4*^a4Mk-y&+x61qEq1a}!_7bTFpc_*{ZM8WBt^?IG`%V*42e^cGqE=Ax{|JcZ|(+0 z-+aY+VKpbkSO<0s?$d%Wc~&LK%T%+*Oo2}lbc&Cj3MIo|yDm)*%~UE-MZ50T9<+_E zrc+)98o}@C4m=-pO@l3_x6e1z4u36!^lT^P2|LAC&M7TB`a(mD|$&GMbWp0_l!<0ocbGhB(sqeiXT zC3uEykoB2A#IIN|owijU?XGD)xZs_Zo4zQyLx{Af?4!>P-IX-x_fZ_`A*5Lb#~WsTOr`ez^ZQ z<(_a~Cuz|&$@yXSHRU_D+!erVJ>=!tEe~`cxGUIDh5Wxl-T$CUlw8nJ3C0ANUd(5! zq?4^B|0*wmlE+vzq^K}IYUIxJ@0dFYfSaC)W&FFWBLIn;XFoo;UH|*Lu+VB)wLf&? zpOu|=@0Ch-Vlv1d663(i1Z(jim*LKP{}deOFS}%xkXK37MTT=O!ZP(DC?YJwDH=BQ zN7K`s#2GbiB*t$0LdKasrNvdn6CXI7vw!fJtwmnLL>50|mnC-O!nnuU$Ml(+GD|Ru z+ME-yN@D=&qzwy}=G*RqL;3F*Jr-~$B`&E%AtmXlnhc5z-RIl*5uNOc<-ah3y*-Z# zEDME;WFj%r*|IeBhnaeJQ-WWl`hKUDck6Lm$eb0_AXeLvFuCJCGZ}cDfwNG28EF#j zi>EF(Z>(FmaXHgxIY5v>QLw|Tw%<)t_YS(|AL|~_63wQIqBg95t5?Vvp`tfF|I#}h zq5LHRL5Y^%4Y`)6g-(<@wfqE2D}$D{e@J8C!EdOgU$v;bTtl5PO$?19)KgaFqF!<* z<|{4r*3kTrenGi$kDv>I78a(U9^A_J9QMmH*B)=}=uyg`YaZ0d*;Pido%6Shaytp; zibhAl;X2C3=!woFeXNy3A_!(1k6Tnir;hlrLjuD~6YzAjpRFX#6L=VObi%i#RpLVD zSv$(1u~RzVW=rE^P!Fs0j}|FLHvJg{xLt6f5tVtwtw=sI4)yt4LvZ9TNX+Tdl~=*G zYr$sH%|OS@+Gf|hQgt(ArlY@*X0E7mQAm(7KEd+0RaVbyFW=WkIP z;@t&bauV|LNvNIj&$l$b6wlXO3s(93crG#vyl1z9F3<~SY^Sa1I$C+jbw7`%VinJb7pVc>LLb744CfrG^g;hH1DzCLfEZw>U6yk zdYgPbMENv&t@hfSt_W*#YL(nP>ela{D&SZG{M4um{h(9iFiE*R&|BoWyH~3kwwSYH ztsK@|&Iqc>E|=m>R?upmdTaAna&Jg>$ckv@`XtfnZLk}!X97LH>BPfqGRBq0XX(H) zSi1UnD!LOaSUD<2qAjsmLD6(be-uZ;%*ah`3p<%fej4zQKT&rgC|==iJLbBdc{=+NpK7xd_XcGZ@Fig<*!*J?^df*l?zdTw-yF22 z)A6jk#Z;4DUmm0OSAobvlZ=wtdV&Lv?y2b!2c3I?TFi0#0vzC;*}LnbqkV&wusZl! z_r4BsiA7tG8)2QkP(FosFuJOMJi4_n9$f{O#SkG>Ei=FMFm{Vd{OxifNo(ApvaECpH} zygsqECeAf%@;C#=TzkMa-{A8$gCiKN5HDD+Ua1DoL0d3TvKgW=6K#`Gzg_oxi_kW!h&CP#=jm;lqHiv&eI0tCWWGb3{s;nm&UMe1n9!1s zY63FT&VJon=nVfli(P)Ghno`?K#hEI9B%)SE8m}TK+PC_3mgXK^&eAJe=!mittC+m z$F6glMA)`E3^-d1WH7xRuKH?mI@C458G!@@0YVmHAxNhm)&y_Z5Un};2wV~=F3mXC zzV>qTJY-fwtQwFyllM=(*JG(^ADyke^k2*0G9j~>PaBYv9aKqI1RJ#=;j>fgfi6Gi zh1FoUln##jd^~GF3YKTp0%m82IE%RY#iyo`HQ$cQ%{)`&82+V)LO%MrJPBy12A&Ddw(x6;0k`v_iSuo9z2q5M3o3oKn~XQt`{CQ9Y+0R__f%sfYdu zr|>eO7J0l-D>!F#D*vo4_wh8+$b+{$4G>P!zR^P#%0k?zbmruPRv^6EGDvhl)=9#v z7WGIl6yi@ONgi23Rq>G-V&q@CJZIC6!FzMHp*jy3M%D-(DYXxa!m*mz=dG7tMG$-IU&AM`@;PC5Fs!ERx3>pF{Q z(JQP>?{qHA@|F|MLazeKlBidx+VKtv@${LAyoTmQjs&{-`{#ew`Z(5i{0eaMFa?2= z{#&Mt!b3bZ4vu#g`Vw*~3mF0E&nt^6!bPx+iLlT*$EBviE8bN%`nhnuusHf|T3if^jbuG5|Z z5t*m0`$i!b-RS`#s7;LZKYCg(TK^KS{pdQcRqah&zgkEm zBo2tM!#xL5m0GEm2fGTU)=F)tG#5?;wd!@T2#By#@DX>{RM52OuoFQSGm-2;8^U)q z_2A~LaX%YuJXn@W_Sd1-L;5A!&NQ|W%JkvB62 zOT}9m@gZ!nF;(5?gGhP`H0Z>$Q@>(O3zCxM0`%%H3eqe-IktIxYTe}@y&rklVRYH$b3b}qaT#r~oMT3YbY)14 zizVdKOX3+ zahz!_(BB=~&2pc`v{%y4nTTnUZYRb%tA3X+Qp)+3S}_*m-fkS^Ol~_4*AP;0UT)Qs zhHNZC6nUb}A7+v6Xj|Hm4F$b$>A^zfSxJ16NTbH>=JcEZN~#^*@%bG;^kW4%3ubDz zLwP!zYCA@>6GIh~LM4RY{l0%!%Pn0I%+A^36kb=nRE7;D6cg1c= zv)zuvSOp(Wy1r)RcY9vXG}u}fi!Z*9r`|6U-;kOTZ308 zTidFCh28e+e_rT+zdwe9?&!RPv)U+B{eM3S-bt7OS}}&sm|;H;u% zuR9h;O(HIG;o^+C?2i2IY4Xtg?^}-IfKT!PU8lKaU2!gqMQ3w)DANjprR;m*)wNe! z3?tM(UxC3)B>4I%ljdgK5Cw97PF8)z4@rp zSH)V2ApGw2HrU)C5a0Gobw1plcSQoa?W9zzMfT?@XqeX(X?Q_49D;KNR(xKF z)OlS>=q~=)bR1hhcK2VSsJ#sxOzRAtz-{x;ytWShuO{ZF%lWZ___aXYG!0+Pd<>D= z7(*G-gFc7%oj~JAuyU4M7X;i*+fH#g{~f0fe@Eotnwj1ZSrdfa+J7D-5IauR_sKl% z4?ke|HV1u={`KyDRq6aOa^$dJRh{`f%7-`clz62%SW zsULRQj-fcUIT?w)=d^e8a(&+V34qQ22Ts ziBLZdiB;jDH+Mf3v6KjWwQ*f<11eVTSBYO-9usG0a;SZ5Gx8N;xaw2ezHSeW?1cA? zCC&-G28P0-LxG|H445@f$@ZU44$$=C9)7l~y*V$RrwJuYIYjPC{r~<7erS8m)11Dg z%xfF~h>OoYGvDW}~+%}F5fLoVw+uu5T! z@Sn>^_gy)hTFhobb~Z>j2Cgb8HIPHHX>wvd%efpL(!EzYj7y*+CjK!P_$3JT%~yj) ze^e)(57q547Z2TA4h&2Hg7)1Fs=;}Cz!74#stXt3T!B?^{C3tb>ciL!6jrE*cTV7k z^pw}hahDnJojJ5q-~l(ol5ta# z_wAt3$SUgb9vJSwKg}@m16aK=^a|+IJ(~*pIB~IGCHfXjR38jb0l=vOKzk(_E_C;x zw4QHndC-}7f&wgl>A+XwL!ar``4VbLp+9!l7YJHPaRmTghh5Mo1h&5rEf+Edl_CMAD{F0v~BNn=F$&v+x+|cX+354nzQ9P z`IpJZ^b{M+$awJZ;=Q1j%>UYPdBW+^t5p%?vLzaQ_@y3ekD@&Fp+LY-#k) zAbM2vDRbJX!2lXHs_ZZ(uIyF7?D_u*Yuy1(C`8Cs0r52Bj)1I)xfzH9JHZcqSv>=O zmsguIw5)$ep!RI8=M!4yva(H<=4qV9yRrJ_h$JeYbot4jI>j)qX-Lv(-Jr_ zt1b}fa`e(Y{JexeC3IMV_nSO#=R;kNIyp&}GUW$6%QGiS$Q1M!ikg7ekos27>#{Q> zFRe;RELm$iUv;S9;H5@ckc)Qy{o`YqAr9B%Jft6}JifoYAVKu`kMBMVAFi8LzaGoN zOOX-^b>qpr2iWIZI?eJiA#3$22t_N$-$X*FNojb`YE5#edO*IN%5JSnnWcBYF8=&G zNU2cf+n!aLi~6#WiU#vT&=gznKsN$uOJ+H7VzHsYjQRu^m~@|gwVZ(h(xJbNs~kMK zURQy}LcFNE1uw;{!`S96c@tt7ngC;WiB~^UB2E6;8nlNbV#s$53#Na&mhkd)&>jR1 zY=3^DnCEIejpo9)kJ9VFzCq$}DHf zz(n~M=xOYY>A~#A^KgPE{_?!p+=Bz>`7yk-3H}Q20kBPr0xaiRM8_ccF$yf(ZMM^; z8fk|cdDj)fq@918rZ5;bYag^Bg|P0SF=)ps?1hgNWow6M;Oc2FS1SBTpi&yy8O}&K z^)=7vlMj8q2W{JEi|EG2`;Su6y$IyQO^NvQboe}w775b5)trink3qq+%9DZaz68|{~wFT%S zO-5OCFrTBbwK@?&aF9iJibwIm&u6>xyD1FDb`igu~$a`?1FEc&?u+nNakS_ z+epQt29X4M;!k1TzO<{jMs!4dP4tsXT}XTJ z9)(8bKgt;q%F*)2=((>7mhG9hpPeSYw^ zUWhXErzEV-iBKrK7MGs@2noBXc-v&SJ(2+jNr7SXPTd-i^U zDsGLBdkq)$S~iol+UViR@;YNOr(p8W$_x$l{mBfbh$0s0%@nCuUoCHXn0!CCN$}ym z-NIiv&%S=X2j2y0J$xC|m-u0t{k0kfA`T-`-tEwN0uFX+X+lJ~nue$FAjXwWOt3X3 zsqbl_Bgin6;0TRl?(_=~l>EV@ zlcL{!FU!J9MejhbgjwHrUPY93OU`mxXF{OeML?XL4->YGWP=F4s~h^C>$az5#sd|2 zeKfL?$pqG4>QO8TXkS%{l<>tkG}_DkXw(J>5L0E$upm3quf(elJ6xN_92C}_%Is9S z5ZJj1!n2U1U!kS2U7{GCMrb`RV)e{{SJSQ+kA+PjX@%YIpQ|*R_U`O7SI!+BW~)|1 z97T<(R6gbehnEi%AQzkcQEsz0S}g$qv49{^$X7{WPbQ_5iY6+pw&!pc%(7o+%*Rjm z-L1`Kw@0TS-Ds@Fe;lTU;1qUd0W;Yi(8XiDWiGP|LBd6}P%QbM zv4mijmW7zO*K+LhU2$*Ie&)o%<4{x?Bcw(Q^dqmO!^$hZj$o6X&d>HOn<{}M817I! zP{BHHi`JQq^BDul3elXB1>SRb@_Ogx_NRHl-;0K4pHjATT#Q;d29y?~@N{nl#z$3W z#hs>l-ijyEz1smvX$J?E9#$|HFes{hNG=VnSyk|PL1|jk^=Q5>DB2RA)^L)s`XKqS zxU++7`a9>P%u)gJ3x(Ax?3#5W1Q_nIsS>rop<0`q4tJV}k`RKX7lrk5vzW`xWT**| zHZd;L_(~fkc4VwAfyookkpt(XOG}xP9(-NVN24csJ0xP z*|W_^j^^Ny?_e87R)oi8E$tiX083lt@nAvV&mWtjv~K%aw&Dy8I|`3r^CDjGAZj?> zEE48K$=U1ab+S%QSWNZo8TP1*xQIH@gvlO9&2eg7e>-JtmrOYY{qug*1p-Hmv(J}t zAG+D#v5k0N`1F|%t1Mn@seJ>ZY<$c3RaZIMwNx^rLFoeVqspDu$ZCLRthd=#=xqR! z6)U@bCA-Pg1qyv^kyrAaCPOUkR}}>EiHY}P$tqkPlKX5s%rydb6N`^Lnm&>GN+x4L zEa~Po4V0-hS-{VFRqa^b=?8De@`e##@1F7|t5mAx^y@^c>Ju}a@yu_aku)vHvWZzV zbp#l@1*`C|xX$NWTz_(tB%d|C#Dkdwid9RbE0=bcdvp=$5v(EpVz2sUep5a!gYdGF#mxH?+Ov zR=18(!wvhy9Qbig9?`Sk@AHX|b8Mqm@&==3>k)y0%hIrjcqTnjyo*7R1cI)7&0Ezy z4HsUqS~oG5`}Emn$`R2~yvIBh$eQD2juxy*eyMx zE22XXJ$ZSG4id%t98b)F;NC#>q!dv$1;DU66NzvS1n}8O$nM zj8>r5e?02Ij1Sz}hU7wc7hfos$z-H3gW!Kb!(v~2?!h>F+wn6WjiLoE-*SHtB(MXJ zirBM|LIPZ?cpG;h5@wCpm^KO-%=&L)EDdi5v|g|$G>frkT3G>Tf1p_(>{+_`-Uw*N z5myseQigETZviaZ&VjpDiZ7 zJj?uq^P+&ZE1fLfbm!#C1Z6K`X7HT#y;xI!HR~qjoB2;vvD<>BOAZMlf2Xk$!9YBN zeJOhSel`VX+Dy>(t;9&-QicX8y>+{O$!%8wSNXfg@!E)~>m9{i6Yx?$C;jQBgZ-_z zUXIim^&@}r>ahu>55q0!s!&{z9E0+?ayvg(f;|Q*ppTt-OUpKTW9=ccnZ!R%2;V+P z64?c=vTbVBwSc`gRoAfv!#{OUx-l+@Mif6s+thRbuJc^DU!LSz64N>i1ntzapvg0_ zma5j|i`P>r1NFu5Nk<=mLfMRGulj*o)Z<319gWqp(?wJkNlRXf8IjpPPV8HnVqyP~@ct<Y#inFSj19`hb%AlR$QDbQINZF$Pdw%aPnxHpt#)*Q8v8+Z8Ib88C`1Wf$(7l#b>$vkvN0Gd>de2z^3unEYOJ zSAYqYEa%T_975^LesJ+0byTG99acpZYHznO-G7o_^~#j{T0~6XZ1sex=dihvT-D|MfVEW73mFG8tfoQNhTx=O+PM}oPIC0$@#$~0 zAI{=wq>CidR5{|u%_%v{Z%tu~_J`j*!+;tRO#Q@a0&wG^E45e!Tvi6lBBo?`3|x$& zowsA3%(~sI``L;vFQ>ze+u6&a)dVeyMZHSliiPjp+7F z4HvsoT?S9A=v^8X2SNvn>!>NlyNq)C@(-eH9I7?nJXhuBc9sVZ}c9FxDwrE$(o zWG?33vZ6^PGQdx}b`SJH3(MOT!2HZtQLOm#$@xxQK*nUqr=9svEz46}8d?^S;@$J+!8)BW=t=^9Vg0iA6HQabbUj9c-ZmIGk7LFB4pM5>sNT_Q@ zvmtj0LXP!E6X?DeC=JzM5gtQ~5c;vVyK&m8m;EREKkH=mI!1&j=@qekb1ks!n2r0; zU_F_FKE@}#ec%F%C6)|3`$1hC;hD9PSN%&HSB)U z=K(0v?A`eElkU3j=ObA+%}7v2>2wG98&coBt@0CxLyuFJR?@Mmn5R^_`ZOXwnT%mC zjbE!`Z2Xbcbf%YWB>$xt3(a`%{KV_*=&+-oNIn_ z@lcw%Jg4YJ~v-Nr0Llqo5cLZBY&*C`+H6;?ChawoZj&BLO7{4Qlc$j9TY!S@g zi~Rn?gFjA*$}4n2mGv0@UV;y+NlNXG6U;a)d=|!9$e!DeW{ZrJm|pjsw_+Z+{in#x z6&)7fAqaQ61?EgcLeCW(HO{w34j|2Ist> zQ@D{)zz*@#9d`I+5MU?XV{9yLJ&H(bk9N3QAA?0J5vru~q#YdQL*8@(`3do(P|!p1f!~S zZDDWq8B+6ik9J|uInC}){Ynt(;CjFHs?Q zsywE=>`wG<%(LqKOlUHgr&Zh`$0Kg328W8PUx zplLl`@k+jC@K&X^Q6<*7>T9f5dIqoCJ0eZN_($EqLms@htNkSRuBEe|nSA!-wypMm zB7L{X3bWP10g-KbH>?Ob9gO(^xn&Qw=36CH&=}B5XX=2ka_@oD zY{^dpIY|6k-KRjuovQrtt&+W)i7lvAW`ek@MD7U4<&>|bF~{ug{!^0?HWCKckZYyt zP~}Va^e0B$8tLWuzp)@$#+^ucl*rItN+@7XAS(=V7S8)Gh{<<^Fz!--x@DsrV=lk+upa5 zQKDNf<&~M!`-#Rw8l?F%*z2Bp;ozXNZ>FpZcaj|Fr+lNe5gRHj{f3kKrTCqT$$o>r zpZCx3amL}d>iO&AA1&S1B<4$q>j!#ziX;E|?~iFghDkKnMznsJj3m5d+8#f9W=?$CfuLLx=$%(EyLCvl#-~vD0XMka4aw6F;8;vttWUiXLW>ns|44~Z8?Lj{PF|%V)nJ_pVnAAm z^YiLj4p`r!L(ZU#EL14B!bRPe`RSkJv-x?#LfPfM zNu9D5sNGn#sRe|%tak>B=qnfJ?W`}wcEIR5OoZQ0@<%1y5T3q1e?DLh-GFsnDWY!$ z=ah!uU0D7~|5UI*MHTmK#Ip{~?D-Jbk)e%>-DP}?({m~*qr^c|&K@s74zZfTdy^KH zG2HIgU!WjBE@;7UzB7!tu`u~*UqwF)u4Z$iQS-pBJ`@F%MHsqIiT`i3i?ws~33zYH zmqaR^@#7qFlEy$hL1<6MP@f>ZdP2x!`Bm+tK>KGZG`q0fll87?A{;U|F!yj3P`|JP z9mGpvA+6w(3d90ZlS6|dlw3G|`Z=zGei|lV9HDeCf}Tz_nLU}=_pp_jJT4YQ-8FpO z&Y)qH7jiV41v-le1pxuQVs^c2z-_mNmI2lnZrzXK;C_sce;#g2FSq_YSUgjvK$7n! zEO}*uCg5t~|oUYX|OQFftItYr-ct7U+zk6X8 zUAv6v@Ic!2{B{su<}jADF3tk}RW}UZ0;HSFC86dw{gORMr#pkV+RYfg_vPbVide%G zoPB8OMO&48lEAlMYQX=3MUo^Kr0{dHQ=UYI#zXR;qJvo>EzQ$W5?--?r9^Xb>yV8^0({xCFeyz-)oydLfg=4+OYx zoSSDG-D!CJ;+AGf?$&N& z?h_Yo7*5T9qUIk^*c7YT{pP|mr3o?1uJ;2kx9_@fbvmepDf|gIs=k8lrjPxFwBu>w zPYg0HEI8G(VZENdNz0FglI?#!wQm#Ioh>X@n)n)YEZb{U11E3Wx<3_nOAkJ}Igz#c z&5?$?dD6GTa~81k%jKN>dg73^N5xc!E0G(-x!?2IzuGt@ckyh4JHS)i4}s3=P!H#| zIp470tE%mhyDe6UWw$kXJel=)WPE=2O`B~q@!b{UQ#onMvpWA5!zc3_^*DAs+rh2! zR{sug@4uC++;Ru!YS4|pIh7Z~KDBqUnC&TjqU(45=aEj~QmZ@bHZPStvdNlhVoIXi zENA6sZ;}duXH95Ds{1edv(a(gB;Zzbt2e-lVOkYVSVtv`y>;{oUlXyA`=XAJRP3dN zcc1XD{Q|t@d%kdThi3Ozg|})td7EQJ-$dP=_-Q_H9BgMN?@>nOr|TT|fNx z6APZ-u|C?5Oav;*m^8gb+s?2pxM|wdBR0daePUwHjQm|M)oQ1Q@dGFAf8Y6{+^U!g zI>F|vrRDRugfumql~rwFzc>k@wZ6)YD(gmu&A%5YOR0dcnzg)y!GuiCy!m4bGlBWbnpKF?Cz7#H{FT z&z!0&K?h(t^I0z0aQF5_clou~BLa?v*gs896#MS5xaNW*`^~i`wK-W147Zm$?$A_^ zN_@wAu+7D}E7?(aPsz)my?%BXD-1n%fNo&=^73-%V&5pSYrsSG3M+$5HazL|WZ!mX zLZHdTDZNF>ZjLw0ZzQtI_I&7>5PeE?Hs6K^FCnmpelEZuNshN1Iz*Te6oJF%7G)8{ENEZjPm+aO)z{k#imJ|OxN3Z;(mnL$<2`@wz*>nfx;ZwimRL4z0GAon zeq8$-V+~Wljpq{li5A_!LmZ8@lz+RTEO}sbf6&_2@U!c|POj*kdoRdcnSUJSALxpk r7c7kCt6#9__P<7Hy?$tNdr;5Vu}kyRJp0Rw8Gyjk)z4*}Q$iB}EBPxA literal 0 HcmV?d00001 diff --git a/docs/images/serial_transmission.png b/docs/images/serial_transmission.png new file mode 100644 index 0000000000000000000000000000000000000000..bf3a1ed36b8682c4f7e710f63d7345e90b4c388f GIT binary patch literal 76717 zcmeFZWmp{Bx-E=L4ALaH(@4G@8&?5fPb6|NXW6zX$*Sv&V%*wpIQ! zTz+UHUGC3O%+1XyYiiPjhK9ywW-?k@T1Ki_t+e>SmfyX5x5=2Bo!zD}Vl`Eq(9rVZ zht%cK3KMZu;Uv@m6$Aq1eY)6fSLnKDHWF%jMaX3^&yK4XObfnyG4O+yaBi7CD+^Wj z?T$TaV_~Mo-#zW{3K&+yhCkia|K9#VilC*X#p1TFc~G2`IDik$z={ZvqXMds({svgde_N}+OWxlWxz%l)Y= z#q*<~(`!5&9P?9@*iyabPkok!8S1+Nc3ja(Nz|?Pr(yK^ExHPV59iq)M8)YUg$fCd z>)m~!)R{Csx97|zw;ztQGWR%bW=Z$4(m3roYzeJSf}T?X-(%jnr$Ma6R!*LcMuYIp zUl73&cd|nVbk(Zh_YT2{l$u80?$pnLK?Tr|I0fVKzYSA}9nx_b2i;0>Xyl>W`21f_ z;-E<;WJ_NgtSIo82 zb&p`4*-)zT>oX%+R=d|HZ4EW{E6dRh&0bfjJaKG3mviPU-q#L0^R+*9y$))93wDWk zT+o`nSo>oA3}bANisU<$;$91(AdCsaw@BTZa3WJp<5G4wk=LTyl#`cFXnlG(8ZVG1 zFm55%q`FJh8M;I?om%;9K`Kp0cYEE8w#UVPHL8;If7X93IShn5D&F4o&>=be5S zHpBj$N!PcxUqg_I&8e);oSmIt#^hmVSsu*SejR1@`+*#Iu{ZO4Pg3x_JA&Zuw_KA& z?2z@rRf$sW*U3_ynfUf6DI?47VMzA9I{BrBo@f5W#8I4YX9>yP;HB|gJBR^Qy;R$@ z-(oa7pyK0xXuFDt2GZa1^oLus5CY3Eghu-4yS34+3C zOevQas{BcdhWq+rfU${ta{x~3nQisd|B0bMGMD9&E@kcoax_6B!H3Ecj$%(Yu; zz{Vsb2MUyI z{&X?u3T%}Z1@;9!S(F~nTbHAU3WV|;DGnf|w&|E8Y+?{_$UWZ9wDzyI2l{j-&5WnE zE95k~AK8`F)~t2;m9xT=&0qc%u@dnzQ3cz-cLevX2tJmiJrEy)KmZRE>2NEtE_(Q*~GAE zU+&gl9^HW-L9=%9uMzRb@QEQKkp3b@x-cqvg&`G#`dF38paZ#{dMUPmIAMno5`?<_obPmRemTEqj%-rIY?%0h7fzh5qHF5&c&qiu2C%mta``CJfZG3uOU2a0RGSB?Eyo7oq_%83` z&mQlNIIoaW&iqT#jz}|zFxsDzXhul~Cwu zJq(QY;g!w|Vtx@)+mY%NSgd&f<|=LdeeLB3Dj1~%=@v249?Ol{Nag|j80!`JGHEJ| z@9YG_WFXx*#YS@Py0mWDs?0oNnEy&Q4pm?ShAWj;Xg-ojjLEAAcvAl3luN^xpSQ?} zo<|H1vIH%_j=vsYtxP4^{S%_$?xWtRn-0Zf}tXoB1o;jxlwn zF`gr33O?OWY}^6kn-4G|tSr+-Dm@*L0y%86_d}OL&+SgX-eL$G!DM=(c3M>UJHAoxG_MN#cAj6s%vmIt@S38@cgwza2l<)O&fgyk|DO8(aNWxG zJTFYtUcdc2Y%@ZG2jPOyoAO_T?u_LIZfgxyf8izZS zCAfl!rFtgqGul$ zou>&JdM8so=%At7%1rR(d-O}W6qYW;p={K7M~qOynh;`(Vt#NwIO@IQl@NhVS-?(R z%nbP$xUh%NIh^cqaNx@q<0$^=jR#noy_}wfJ^yGYL6tb6A;*3|_jI6;9Tf@A>*VZ% zt2Vw_LJDlKV<$3v6W^$A+B%M|LjbC_z9{K;c8(qv7M8(_Q3nD9S&%sckEZQ)x!=Qp zZpWu`t?KT;-g%hzbW#|Vx&Y+e*>RLdo8a;W1)gbDMWE|v)Pk*ojO++b&w4mv!nw>* zxU>dLbBn5>T3x~bxA_f9OYuC9xU8_3;PgT37(Zv>=qIThC>&xK3I>i{85D+(fe>-? z5OZEF7*`MST24CXyvKIq>v`;Pjt{+n{Q{l95YVDjB&g~|aq&FD!|0BooCiH#&PqW& zP`DFdQg~rrEY}d=IC^+@hvUJBJL8^<>(&Hp`a_A~ANWGp4jWOA3Z?&~3IQFj;lq=t zin!~(1o_hb9oYaAobBLY_h1MWz5_0@e$k&SzJ5Cb>C}Aw#dB;CEE@}fAxBK9n=Qd7OSy6_iT79@x74g-Vrdrz(yUJwp8z{QP&iXe zC)L6|Vx1iIxKG;J(r{d#ph@hrtx=|HIe1}dXEzma(C6DmYCkHL#>cj4-UyPttj1E{ zYHvtj&N7=DB%puR$VAaHT9|rexbiZ=g2V2L-3+b@mBf2=MxiOwC&722bAC6ti3B@2 zoLeyP5Sa?{WixQZE!UFwBhf5&IH$pd7X4>jn|l5;uur7IG0IY;_Fe!oPio z!4lvIF!DJb<&MFcr^QsbAay8CUkZN=T#WY@nHMzTNuX$z3A=c&)&g$5m6~*Y^~OH6z3DL5p`8zQe#dkyzv>Gt*Ki-{bD`mnNJSG zsoiFs_X$IK>3X|BD21cP_V?hR87-fQe%UM&I)@ZWl7|rn5=Yp24$4CV)Ijvf8>9f?V!mSRTEy!8tS$0ph1WtQl4iom)z zZ2!Q5WykNYxRa0y$YKTL@U^>C|0FY{*qE!}OyO`T!XJ(>62x$3i#Kxm^6%eC@D!-W z2p9iY+6c-FRSHGS^;|GDt1|dGTfvZd@LE_6%rZK%rtb^iMpyPniPp6!Ff)=Bs zH}_{F@&YKsMwl#zLqpbhLJ>1@ov2ioSkn<{@x7ffpDOiAoL3QI zriigE>rNL0wFLJ($qixp6`d@II7pv2Cyf6NqptIc$$ycL;XNO{X`F_{b71EpPOV5-IQsMAE_ zzF)}CuixHXZWhdOg%aSru|OF#q3*nF(7K|rpBq$k`n2r1h3@Sv-#C_@rEQV=l~shh z7Agl001v3Q02yKrrg8sB$n?E&)l68cba=`vH3HT^b|}YLw70g&45Rrf`|n3H{*s1c z-vGaWD|Gree0zy7>PHtk((hDr=K6VBpDH>}5st7F7LIv-K2>b6^w-lBmHOx8^TO73VybO9$XkmltI?1i!3o<2QT_m z9%o+aN2TZ2JB3-PrRASv3JtEdm}Akc3}5?8V=~WM7)WnhTn=O&X7odPbX@U zC`$)#r}%YysoOitwwIw+YPx<2oBip$!!+lKDABg^7e0Q%#=A^-Q zD0k?4@^oL@#HxBP3*3@Y4Z_4wVL!jE#HTGJDsh!O z^2^?X17Jod3`nmawi>3>vPb#?E%hs`>Q^N_Y9DyyOo zA~|%HbGAN=84v@Bk$3e89ElpK={?DZkxpDq94bc8beSD}OzhwhPj%3`FuDN`fmusX z`&e^~@7S&rLo4_N%iqx{b@VkC;$=r%<=fm>mmo+jhPay!$Q`isaig>1pe55_VX;@n zf4O64jTx=Zn1jd-_CO#~M0c2bmLEs>_(puY)@@|1oKt8}OY!PQe~GnPh?R5P3RRkANmfmF-}Rm?TZ{=#MGVh2Z<-%*~XF4RIn~kBRvA*>&4*jw$|y(7nPz znxwvUu(V82`O5*|3i;0~rczJPd)}V!P-VXfG#sN&HrWEwT6U8ZvpN#*Dn0hoGp0N?pqq*D`fcV8U=EDj89#7-`_eh3np-rre|e>ljAn*!0d%0nbyD3C>xnT!{#lg4q(*xQy*H-i53(xN}N#QkK+$35@w& z>lYu~)+0DmtxFq_2H>V0wVP^o zf4%pdH}D{o)bd!lINULu_{VavHrq-;DD%i%E(WU%>zQuo_8@qy>FYc%in9^e{x()S zG*uNeW*`jNc9zf5jKu`egBU?3srIZMZ$mfaIhVjd4>dEFQnu%gu+_FTG1cY=T-El! zyp%rR>LV(zO(S7tVvh!&@{#z*TZqVCh9h1u0L5f9NJ2dQ1M@uc5-Wy&Ms8_ zoel4=6Z0c_T2{KaJ@K0#bEN}qR6YF z`#XkJ#Y14z&zFc7ZsD5Sa+3)NVyST2n=WOYR{6)*=<&m3{n<9g$lIagXc_FNXg8qRz?WXuA{c*3eK6#Nq&=yvQc_H zlpg8Q8)Qg3m2$zUXY9b*kf}iKUR7naB-MTxTYQLRmGYWYS;F#v#~j0Gs~_zlwI4t} zTvrGQAO8v4C#6TC2N98|+YS9!g8m1v{1dzn1 z*ysDglk_bEQf0L}%^ggsujWe|S|)Q|yB|VUVchddtJSx84{fW(=gy=rma;5ecPN>e z!svk_7I`S0n>DseJda)>2!~O3yJ0IVE{ffHT1s7AUCbd|l`P(Ry*r}Hb^#*w7O&EY zYuJ9diQ8yXyf{07PUG2%v7M~9AN1hHhyXy>=WCJ{u+g~8N_6UmZI?cro}T`J?aJc9 z!oF3TkGN&%j*&;lZd+KQmj>se9gD*tNh!$5I-5?p(_d^0oV55kIRxhP?7X`dd8mqY zD^GN_YF+lu#BUaP?CMX5x*^KYl0s#{4sp^~L_I!kR=;J+Z~g~D={;tMs1e7MSTKB| z+5bQ)h4{x>9H9PZ%>51H|2s!(R}nubi9Hs|64Qo7h`Zj?Z5 z427hOw|CQx^C_i_Mkt5vd~be|9xZ^@x+v4%Y$oY%WVkQK0CWtu_A7e@XoKsX*@o3} z6Et^|nf&x6=OU@=?xZ6hm#m1$=e&Y~e%G0g)Bp(Sq*~S$hBNTTy~w9=yk*?y0%Fr^ zcffXXFJoLCF41jedX)i4|LDnOv0ih-oZIdn5`juSl?(lQsGj^i7nvDUA(Fs`F41vX z%REIeQcWShRHv@ry1dye2QpovHK7Eh5skoS-$*u&i~;sezl$04!AnVV0+1ekaxx8V z?NpbYadErm3w6oOHIZQeL#J`x8fG_6h=Y&j8g}Lz92|`QlNBpLJ zROF>-f7jMtFGW=Rf_>|fBNPCkY*Pe$8rF}@`nxJ~eeNz{ON$Mz){>^{^|H{|*jPe_ zV9qUEk4YuTK7bM7?CH4$T!Zqm*Aah_+Rwz?htb$QfWb%tD2Lub$8@AvW8}9W0913X zO76H^mdU|bC=hHy%3`-pluP4~|M~)tJpGz0fot2DE*3-JTIdf_%cB;4)S_#6{|61p zdb%%63A$ELJ;edZ+YOxq$Wp&QJYuIMsM&IYs#>V6FEXz4i_=gFt7LZ+2@`duN6tDp zRVOf?@W$$$I*&K4qERz7=(_s0bJ27U2LM?c(LBm>7)xs79bM{7veIUVn`!! znMMB4Fg?`jV5a!6FhoSuS1vZc1J_aNB7fJ9)!FMy-th&0-QTyFID%dagSIyWa=?;* z2%NmUJk`@5s<&>72v*m}zs)|c)LLuw%!g6NHF;nE4mJzOc9EyOLR$zMVZlLH;J;86 zb;`iUnZu#Mxf2IVo##o%h1d2t_7Is%*=>?*IB{Aj`rYnOSHIN3Z)02yL!d z>Oofvv;8ukD2FWA4Ms4qmea$%mA)Hs@vX4EB}pwc-KnUMd*Yb}(TaLFqfA4mJ0!|%YA z3SN^qAOoY*j z4eD?{siMejHb3MTcxU4*9KIg^rBLPc2PAR!{Ui7#>=`r1%EPCz9>CD(AuFZJOz4J>HT0h$(jzN7J^d;+}&pdVBReqQ> z8$6Gvdr_to0>_y_t>mOl@r#9vOTx&%#sJo~&xTπKD`MOik_W6L1{rFSAghn6Ja z>(5V6FMU;>&%wDlI*|%l*Z zcK#sxd&4E|#f!2}Gc=<9 zk002^92bOk;^%DQ*|XHCB`_WO`I?J6w~!JZe<+{^gw=SbtBy6*(z?+*D62zop2)>% z(&INB8aP)5?7TRgTiTNm?c&0WQF_GcDC;6l)bEZn264$#(}jhGpdD@OymcSUm=>SM zjf|U>yxgOd*@LllJYSc1Wv*34tD#;p6hkaI8T(?AE}ds{6Q{34T#LooH?c%Fjc$h> zl=smrHQmkIr$}RAWy3^&89oJ>aNJrouIwP=q`7a-T_~}%FJTuw#9@hCEf$N;Jfp{Q zLMu3pW8;9Kx+@y*Jct}q7>V2x0Uy0ms+->VNh%(vh-FrRt;Z!zi)=v_-6ijn(q_VX zw_ENzmZ8I?X%cQTF3U_k&*oxpcc%qS3+a){%-6&0+<`z^^xolPJ)h)ogCk_J7>}^* z{z2TSLwsoS@+V8ag!aaL2*S_DM^1u`p^=$B)Df423DoH%D^$ZVR@gzzLZ%-1&*`H; zd)+=-IP5Q*?HY-F4^I{hNf~ouvEM@Xn@qW##!8*@RQA~L9`r`q*622`KAX-t-LcB% zNq2xfZy}fC#af$UF8N3JsM2-Kql*&SwE0*&^2IOU2i)uXX+QKKJ2$P?|AuT04ml}t z)LA~!LUr~I$o=7ZUUGt1Bfr?i57-_t{tQ!LEOb|??B^jA46Odu@j5iHcQDDxHhSBR z$XZ7E!UKLJKBMuu$;DTnDs%hqO_zPzm~2stp7M0k(q%m4KA6mdJ2~W0_bi@`x=*7b zlUjLNxh8m{KO$!@N+gdj2*Ks)1R zM#Wl6nl7z9i7H=w{lcH+`!AixUy`M4+Sd-b;z0za#r*=H&?-FYU!|#+QmY#3crrNy zBGjvd(uDGLSjzY8Lw?+3q7w4UWPXgxbT?flDM_(#_RE<}X^?}Y6|)0`-xpFVhqd{p z6K{%;SJpScOvcL)=hnesJm(=ciw54w;}pd+;^!JB0}b+?W(fO%CtdKvG zH78{x&WpT`gOkPhS%nvnZOBRBL6=}1^q{`zh={SuVbQ_3f_6B65j#5CXT0=v$<3j5 zgG-Ui?&OYx=Q%lTVeGb3o9%sXw)88xFpW(qb%pQfump>VHiZZ0I$hTlZ!&nB*cg+V zazznDx$0vtA-!^e@*?QehF0U|;Z~AVkGkdQN>5a@#D#24OSxZzLJJ{O>#+HI!r~|K zf-xDzRH*FXC$}PcVd%v!ScdVlsvG>OaJr_$&nhmmel2>926+gqt;*l35)mIPg2H~^7dMKWoF4km><6qp~=O2!b^5DU!Q9+!qV(rov zgXhvy8Dw5NP=r#AsX}vVI*^MJ7qDdlL$(`4r`Lkhkk-8eje{3%DSAXWfw#xetuq3; zTLEI_crhJ+)l=ZLy@#g^8183$16o(L$eCltoBK4>?Q=TcV?6}*(~oR3D$<ahRzI!t)Xyv} zHOdyK-ARw9r!$aGaV&ySFU#n>gjfA=8`acH2H2_QzoRjU=i^<_UOUG1y4z|{P zhAeV9vTVx%I0@pNrA=Y#8@&~;(;_@C5T7iJv5`CuC)algR_s9Q7_BQ7yAsuB@7`M~ zif32h9PN-wy2^0YaTA0+*H{tM$A!!1z6RrI<{^izGoO-t}$=n_N7s+ zglWK};JuT6lHt$7FOv?6DG&6{QBWVee{6e*EL*1-Z9=ZC2k)P=Gt!+1EDnEz9|Zjj z7EKUcv3(qGri#|2k}D}vsw;ft-z9xN_iDd9!}R^NYyT@O%07*>))Cv^FU7QQySFn!KS5WYPy-E;q2^BGX}+93^AZew{-@^3)r z1N5&vc?5BE6%q)!`O?UH{ZW{h&-jtpb9-v@{lzjx)?@wH^_4QOJh{}_=FVAl_+`># zD|jk&)*brpQutNyFJ)&N5X+f%YSctb;pA#!*N}Af0%^#M+cloOL|b*$ zI3beEy1GW`>(LTPoN`$5jiuF)ShYiHDrX>lK&T0Kvjn?VAm8MCxptN{!cU^9xh$Ir zVCFB9|DJ#yGP4XK3Y!~&?GS2-+o!bIE^mEM6WG$0`KSZ2i2-C= zI>G1Fpw~1+ls`fChY+2ZQ5fm_B>tH7i_hhZ>>aM-Kc5E%IW82voR!#BKzjOg5a$}3 zswzLs^G?*_^q%yytE*kySAVQWrWbb0oga&jN0@Ad44AA%+T35%#mq;ctu|IYdpJEo z9UV_eb{*XnwYNJ1o2sF$P__W}(yQ zu!8*%X^Y4kEFFWsONt~>y0|8UBsdZTn29>Iw3`pwR%toEt8-PaYi=yLBn#DR@~FH} z5v0QdWOoTjH0Z?A9MV><78)zpHl=gRyXh({8{*02aePnfu`s8{)vsUQc)iQ5a{x(N z>0WJ;(6FyEe$22Aq2!b*z=m?yRKD2mVkkzKC@Vq8J=lB@$v3fRzx&ndfwRkN{aa7# zmGh24sM+sH6#Kx-ChD3|x6HwY>Tp;@>|hQ;sIP(11B60`v$kiFgVR3UM8HqdfWynk z0W$K{K9(SuF+PjhP857(l{{;xXeaiv_42dXX=mxdV4}gqmRVm~R(Z9)cG=O3vbMI@ z)1SqljFD@D@v?E`m<(vDKVhv8`Zbe3b1qgr+J$(4=)JuT*JiAAJ$NBRR{YMsM0X2v z#p1a2m!~nQhACH$*ZnFj)aen4yl7}vt8dP}zxiVb@Ioo%^Cu84LtvZX;X^*z?tz_| zK(sFEw#C@T5tORK?MPxCYfW{F5|>WZj>Cl>lvqUe*>p-IZxx~xZ>YhV3p(rruFS>8#ZlGVx(ClS_XlR^5d#=S5?ciK9-V)Ls%+Db~~irUK=wj?)@tErAX*RLXnbmmomF#8KNYq~v9j}9C;k{V`t&blRezwrmGwYiB24Yyg8BPD6mXQ} zsJ_e0Qrt&UYtB#T)d`_3jh1L733F_3Ul-`~iHqU`;Ejp%Z9!`-lI8H{sB=cohiX@e zu%T5GzdKoD%g;i(7*FP3yu(RN*b-WfYa~vO26Xy&U(QN;?!LnMLC?Dd*Xz=7-UHFf zdZ?L8<~r+UbM}ST5nBp7DDh>(KVC9>vW(s79-WR%uK4)vL!`!$?NrVAR-2yd=EPQG z-`P=FtfPv1e>&x8q$sPxxB|&WYv!`1okYeDTgagrQh_axfj&fU#f|D3IE@{r}JZTaHG+y+EdZn87dw+_`i{)&u7E3?KX z)+Wb7M@MLEF)eg61I>BgUZ1yr(To2SG9t&iAUZ}AL$!QiC^GDAN_nd>QIe)qiH8(X zu+%6Nt;328CqdPDzgtM}3AvUeYmeZProow2A`N15z`AxUl2Pf3=PTg|6!Smag z*utLh&^fDnO_ENz%{y74oYxyl+GHd{OV&68?k-y{csDD=5(|nYC1U$je)9|0851SV zP4AeP6rG%$WNy-vt^~#svz#oIk#dmXQ6?N!j6Ng+P-1OU4I()?G@xtW_)0_Q^ID$30rFHTFf3 zVn+$kp>V6_{+(`m((7Lx@=bz!y@II;D%A=zf{8@*F{2ZbbD17d4Wy0X9~2Pe!t5DA znyz(Qo9-az$6DJ=D}^rBat5r*f*mh|wFi7uZsKI7N&C9nllq}T=D+i=Hd|j)u<Dt6;gSeL@6a1_d?Y*u0keumAS5c!W}a`IUNG z@?Yp7X}Qjgw)X~JCnFJg^xBz@)VqeYX;@cf#a>Q}t62-6o)?_`o84dFFZo;Ic-;^8 zA$GXzr>Y?2FnrG%^8dzQ2qloWb-~wBa`taPIG)8zF3@Af^xu_&8%`@GdH;*U3l8#E z@OXtTprKddlXIG?R$0PFf1&WL?RTVqsx!$U|LXggtN<5WLK={nueWqHA&Qn!%8UI~ zF&PDgNDl*2lmkP9*Si905O;DWo$1J+TAwHqVh z&e(_Os>-2ssq=?i-Ok8$$vjZc^TfS)Kkh81<*aLNb`Io@Z>HRHdTgxuayE3AQBssY z{kYz$j#x4Ezb)Ddo7FPa8i8PW6y)V4Z*j&RnDP%O40N>&w@QK6%$pl$F&OaZ8f_pr zca17O{F*-Rk|vCO)CRffq3JeEYkf`-`xQn&ef$EYoS0V(h{Z|B{Xco`lVTR(un)}D zSjIKopAN*PrS0Jgo&Qb&aDL+}j3#F4i}*c&XNfiJ_{x2mL*)yEdFb@Zl`HA=RfB*4 zTuLI6a0mBYE*t<6zjtzg@7yuzy8zI7{J9e8N~}#Mk^a!$cc?z6nI3EC zmViFrzUnBc2;^I#xWC-21L&}EVk~On!$xM0e|MNp{!mIF6+YJa08|*b^~JwaA&1dP z&Pjyhk^vHR=aS|SqN7%$u4fZCfwKBgN$C4yKogfLu=d8$(CdVs#qZIZdox~zoScAq z`KLyCB7t3fd!=J0L;IEopcIddiTS-|QM9l2(n zWDSrWr;E-bH`r{Y&IvbtUucK9$!o8>-JdP&0djcvnr1FHxeOk5qn73Pu!qMON#Ypo zS}Sf2s;YwHO}E|r4e&#yAAeT}`Tu?ZZk&$Y%A@X$v-0-&xr-&>)l<;U z@()bb9+;pTpinXY?Awby*`MmACR4>~W;wexx(~M(&Q)kD&C;DT3pwIpCMRoME$a&2 zzXxvrFi8p-Jlu|6tzN*Z)A+%i%#AVo z;8#Q@^EH+%0JG2&W*qdfr6*m_e;rr+1)yh9?AcsflL5%keBefafxPyo0L5}VFEkvF zwOh#=xnDd5pTlO`f*UE~U@AxaIcpsr|EHSu&6AIFxsn(?3t`A?*3*O0LWK)qrb_P| zHxo2Yf3Ck{Wt|v&4SnC;vSwn`O2X$+5hqSKeHAH+$g}V{n7xVCn~k)M@sKSg0);!H zBv6OBgch34IJ0w?G&Pe>ztHEEM2R(`W;%!ZbK!fsyEbFt5sA!YF)gR65A9yP&So*a z_qo8KPU*zHK6EZ`rrdt^h6vKMON zpDoqbefdpQs8Fh}6Ywlfx^sGebH!d`7*i1=N$g2E;dV0Vvf|_gl6lPQnyr9HUJA!+ zHog$hu3GMP=!^nHXD={DC_oz&u~N>zq*_1J#o!om0fi(|B6u-XvkEaUP;g#<|A0o_ z{v3zVW$CO-s^&#Sd_uz8cQh2ruQuoF9dpq;-^L(NXrlGp00l6T6`j3rYMnM^etQkt zh8{81@$1{<0&I8krPD%VO8XrU)7yqRzv~uv)LC0=+n=jmqEesi%?;?vDR@^yu0S50 zm}vIdo*6AT4V3FILGB@~X3%Z$&Q8`P*Ak#z7dlzmZE;C|%*yw&pEA?P0$eL02JDSx z8x2nGFP7xU1rQ>Sl0Y3Lip$#HUtbuQuZC=ec{l5{_ljPX~V@eBb+RXpdhXEGiZ66_}W8bQ#W&Z(A7H@kCzW$i{_V|Z0plw-S$@66jLTjOWXK#6ycbV&as*FeBv}=3T_r3^zszWN2V; zWbATJ2Hru~pSlxUBk>46nVj6e-)kFA%7UI5ww@h$G+utQN}G#A;HJvW*lDjG2*#8a z=pdOQoKa_X2Vr)0)w7>Iz0%XU6t08JwCB4E1fyU(6B{#-J;PiTT7&eqE5ZHDRm*mp zh!_hbLYB8L`%5DEFJ7M+f#<38zRUm_TLtv-W_Fh-7ZW};Yz!&XEL?#KbO8i8)ryvY z?;={^Oj^JJio`VQiQ;R4(8?UBB+7WhN_!+v2zZpk4zhHas^-hXC2L4$5n!;zO5Q#K z24ZJCKTL}VHV~n6>TErWF&IzM9-_o~qMW(M&^^b?{-~`{>JnoBp(V1}u4IGc~xOQT1v{!J{69mF35LSzb+zx%FWHq zV%+_ry4D!4j?wko6|8n~zLfl-e)8o2SDWv!W1vs;f_o(&L<^|Q6xHi$uDVHA-M}+! z-J1RFVkD>wP?wfJ9Uzp(zK8b1x9qm_lLnY}M4kX^)Hp=aqeIQ`xunYCc97v^hFqr! zAiaVSDe1}4YBM#+x$MtY>Ru<+(f5nTd0gtZ+)>1EVXV%U6`f+XRb!eTeia7N^3_jB z#2%~g7*wR`a}AFeOtu&yse22PjH@GpPy3dDNBJ_3ZTvmhPi=~GmWjk`pSh8%D&5UM4wX8eeh>v zaJkP$j3giF2LY`TCQ^<}0iRDjCA#)aCts-r1y?{lSLiIOP@t-gmR{pxcZ$~A*0jbN zps)Gk$=(|>k2wS6y@ut|EAbZJhg;-tCcegFJuoBJYw8QaS>a{xGi{4;D+9`uvywn+ zd#_EP1ogt{qx&&$@NlKmCb0!GRt(uI_-M$0ilWauPft%_CIc@R3^t&l&rb>%PMTm{ zgb1EG7}mWYx@`f7Yq>c+<7$b}oJ$$p~l z`&sTA_NkTJJkt}qgyO!|yynUYPS8wlQh3kMo%@n+}LTJ*)2Kc;^$z*4INtiu~@F_O#=fK4bMq-*B&pTZQ zZJS4YdBTqzaam*E!wg*Mn>565ujn(r9Mqc1MoyNdeLfvk>X(`-?h<`$25N~(az-H0 zsKoV(K!qS4gd^Tq6&vN1c4Cdq9E*h?P42Z*hLKhx@^glWbh*jd@v876A?=4zk@h|$ zT1weOdgeqSg+y`aY*Z0Zw@CH6F)DK|AHA%~Ch(&I4X4agyb@#xKS^u^{sBh$9Y^eSvw0I)OJTY66=vzU?dN$qz%;QrBAApa1yui47pAA{az9>|yyR*b|pEqZS0JMwvp zz?#t)AjFSuzss8r_M1g-9wkZ6SD7NK@_UhSULeig>oT@niv)a~I8ql4ekJ}Si$DgX zGtGRVRTcXCj3eT2hpj#LJxbkFgwv_p@7>>^;i%K(1&KKu-RzYc3oU+VoG2>&9BNNecB${U<_&97>SXd^2mdELKr|>MB$#%I3FV8Az z@zb8T1JREE`kl|#uRDa&ymJ ze~;zU*#U~ml3r6t;OevPY0~??!hKI#VikqQijvxn=d>Q-2Olup#tvpmZwk7TB*(T} z79B}SR7c#b97L3$8_$*WDv`5isBK2k=yE@pe_1MKbz2%*BlCvLWd&m*^F4l6l=_~VZ!ssn9wXaFHN``lHnp9&83@?+Jc2#Z z8TK^MR&F9$420b2Rrw=U9xc1RsZ{~CyP6jK!=P#W87x+vCL zvV&7aQmJh~Gm9ltDY!q>aI{}7)rD>*It%4VH#NuiFsZzGIIcV9G8i1Op86IXy2#S1 z-2zk_zt>;1+&Jy|#iTQN-$r37i|o{m*Yi0(zyF7l@qNfSAVAHgEMdIZ>-joI*z?SK zG25SwE+749wz4%<$SrY{t;qYJc4i}9C9<0g6tu07&i1K~YELWZ(t>O#)wIpzZb;b< ztMy4h3n)}}j%GsD6syzqZlTfXO6zF!mLx0iM*(>0xBMyh*x<;Dy|>U#hjyk|>sYgwb9OD=ifj7-&97o# ziez?of4^g-+!)AdjB1vXjK=y;qB7m-TD2!Fq%~y{C)dEQ4~ogOW#?+4V{ruR#pd2l zvS1CZ`AHRv8{2MpdK9lnmdoL2d&s1u{XP3HmUy9;5J+W{WLZ$i zku6NGn({Blc~7$UYG>zw;19^SKAfWbrA9 zMH5_<8R!#B-g&2ND7F1lH4Z1ZXP+7!6$E$CpvN;Wg`9YWq}7_}5i)->u=pq3QKVA^ zY|~?f1SB2vV;1eDhy_?iIyj*->Ze{pu}3h$elJgKN-0x9A}J8Gg_4M7t6xB1Y58W$ z)fp({#!&~uHY&#nGtQ(T5-#xXh%aLIM|dai$o$=71R0IL>iWVL4DL)m7-QdUy?)=v zc0Hbx9~yC(@(QApYz+3w?3nZulr9DtAKre{fM6`xu&j;CkWFRAr`anZ=ZO1wHT}5! zvYcseBOf1b?LP9T83)|Ts(^IznWg2l05e@F-gS~&HS4YWh&y)(2myv?g#(=|fJ%_; z2iAte@3PfX{AJ*y153tIM+|-MtjllokW8;_BD1X7WF4gY_&!60_m<E4``GEuxDOV>zT; zvmq94eBct_zhv`~bG`7$JjlMzmkZtu8h{E9=O02+lRrNC12XYsd%Y%Sq8r;WZ2zxlmg@*kKxJ zIx7;QIK6{e;`k5|{l6Add zSq)_2sSF)G=?^`D$=B;-f{?V|1uvG(0{y98#**aHuLdZ?CXlpzX~XSq4qk=_?QVC- z)m2!xOs7uGPgSL!b|LwlQ^O|7WuoCp*L#+cq8&#v1I-FN#-m2G@b`cD9k0Ht;f1h} zKNeKD!|tb9K&CGfz(0#*dA`;IfClhOsS6?t<&L2}MsMR0X$G(GKSqw&ALR5Aq8^v~ zu>gN1T+ew+>n}WKMJbnR%VVA#XUfXC-a4F>Z?8sLa+uZiH!a@EI=!#^r%rAEN>d_x z`%XR1>JWdd<)R=_cI&hyJyQ`TgAS;b3^0jJgV2@f_4EBq@kZCKVAwE+>F9ErxUXqp z!KUkj9#9>BqWRctXNDzXmC#5>V-w_&0o5YDe<6an<2$d|n>gWQ-6R*e^Wb-96aGgv zaEE)Y*+wgtR7U*_bz3|(^8~-tU-O27Wh0}t9a2r||K87ZkGdINzs6gDnwi-BongRx zv*yOruAPcb)$jgn30sBfOg00g^QcfJ72M_DrT_^hCe$-xo|Yx2$1M@)6X$m%EbQJv z(b$7AtUl0uip{--Sf=G%Zkyhkh1OKID9x^lH_aiiA^kI+JBRfn62c+pFV9UoT}#b7 z^(Vv4xLS_RL~9148?q`DkAW~cwdUPk0ZYF_!R3DEU~9S6*+D$_sBud+6cTa&MpGeKcNDRC#p`}gS!WN(#ecglKrM&n z7Qt(7DSC}{aCS*41V*l%CVIV+d%)c|>k-$jLw*%~1WU=iqM~ueQugj!SB#`*W(I8W z9A;rby(>m43ik3q|5nCI3o+DtZ3p)d@`>!Snbx%s67GR9e0gir^+S2?!D7pUdRt0- zkm~`jDJa+JShpM)^h)4%G!0C4KbKLFk`D}@er(X&U5BLy+3GdWV+RG3^*yWttC#N~ ze?l?!g+&)^Y4l$K_X{ry)JU(^C!4j)@{g6ufC+En2{*Wnj^yL@i}2_)KM& z-7Y&3b?D&KR&|*;9IJ}itz)kt`Pp5zt^=+JXb=qaZjnS>SVTa4+k*2Oc{~5jzKG+g zN~_t3ndZayrQ?61oq^Ck`pHeOw@Yry?=tLmlxe|_vap4nUkY#werXSd{f+{eTW{>Z zu-op{*iH(<=n=1iiTWlEFB>Kxf+C=Wh|Ou%_Wm^H6qkX{fZKF9av{$UyXUbG`|4CW z=91SW_4UtbKddf2ZL55JxrEzzc`Dr)YxvQ@#VH0eQo3uNgjys4Yw$SrKl%vM*!yu_ zw4RB(Xu?wS`vTDS;2ef;I^+byF^-!EgPhqh;wJc1fLN}25r27H+p69OVgL3^ObkEo zq~)qJ+$s%9rcED`+iaF6<(GG;4v|TV3ePF+dIc%-QRpbci z;!gYtyY@8xuCxuDBLt~C-aZe(M~odhHJ7zWKs&*(0&Wrq0x&hdg)m~WU9nifoo%l^ zqtL^6itLHEE%aK^^e~-E=GYZ>#C*Y6tntp+Y6`rV~W|ZF*7O2 z(sPoblNGjo{6^`MOU)@gA28^3@{cMkb9()9u z^kUAr2rVtMS{gZ^`i8IpZLJ}V@Rt0}^_=-k%zMk=qdOdX@86=Q`K@pgRY%b}_CvADB+tk0v^SM>PkoLU;>cGGvbJpnN zg*s6vFcZ9KK~gT4R{src1$+;83}btHwM{R+Oelr3Nnrj6@>&qzq`u+)?$KOcirji; zL!xK@mdM=Qi^tlYXkO;UJe87;_lt4;m$S@#TOZ!) z);s_F!i+~oo?R)Pmm`$hSp|D`gLc3`hDUBwtNzk>0H4}Wvn+5m-v+67*Buy!7HYC9 z8Uo=TJ#Vx?9?82TE<2unpecX6-KZDoA`HuzZW2W_Bs!eMl3Gql)c74L53F-tg zk%20KzHg}ZbnGIjDU=6`PLZRz=x?-I{Y7SZefX0V89x$_l|l#6g0R8RH6B>#j_aZ6D`G%rA=Os&#NRs(%zzJ@uYg7$BO&TfhRN+FtIsD+%U)DdjqM;) z1qu=Iwmy|(7%HB#iSlE%yFcljq)C9DEuTClpLy^j){RJk$*>XUZA zdH!NK82-Uh!&r?Wq7~8QY>P?&n^?*{d3h9RiNirm-@9K&5B&!zmDdBZp397h3=0L} z(b8>=3?=?Th1_&3hPVUeK17pjKrUAco?eCPU<80LMRf8L;7pJ+YEIE+l9@-lf^jjW z$esML`E=C~t``ixT252}}tb2E6MGv6ZlVabh3aas1 zK}24ikPq6B>+OgzLmT~#9AY_9!VBQWxqbUTKAx@^_rB6?KH)s_w~RD9zZ+hQUWHz~{~Y`Je1gMVd}P!NLH~2gYQGOpd(H|UOTmQ%v?g#p zG;N|LNAZO!IFbQjV1am@n2X^cBe~}f;KUHPR{zIhe4>p3LI`R`weX(90mXPTq}mLmSh#IUTsfmKNwR zc>>q9>^#`+aj|MeNgku%E`2!0tWPNC@|{$GD9YbPUJ! z(|M#}=^v~QmmE$&EIVFQZGR&oW>$~p1W(J&APcd)*B&e~mu>=#vKIc2^o5d-QZ*w? z@Z+tO3Qd#o$kYs0NL^aGz^jv+LB+r|AvW`VZ?zk{KBQXccglV>U33E%m{$j84&sJf zFi2bet1t#?F#&WO5|gFtTT{od+QJXY_A~Hj za|3ZBZA`e9%eoztOeOYAdjOt`ma0-Ton~`)M))#h%V2r>C1<;QCKtBaH_O0|X8GX3 zXONbQ#=Dp*qX>(wPOADZEd31YAWtXc^n83!I06ey81d+TR^{;Quv!=@Z%dPR;<73lOUrQuRuXqLO;ESZcVW zKV$z5iGOxAC3T9=Ts0VkmURGCArqe=Mw_ssPGV9LZ-55jc?-iX0*0YUB!y?{pEaExUTTP)RdED zH1Pu{mqIo2tWVh(hNl})-Z*!#L5m1c+)l4uUm6X`A_x+8&Oc(k8Y0N?OgW%fyW z(vF6J9vYgJkXxoZO(DKI&LVdYw?QmpI5;j`Q=19rbue-btnnl?>NU6&;$W9=vq!0Y zg(gFTUT#%M3EP(*z%x?$kEtM}bq%O}rwpVS{+kWwI735*)r>l!d)=wl_nS8fbj_{i zQG$B#^)!aAS?i{{e$|*n+athK)CTTlX&me+wLZog`8;n3Zw^Ic>*G6jGb8q?`u%2N zV2&zqbLaHqq_Z${Y`V+#bqJDlsKBzBGg?Ei?Ld5wzs*+W6Ea_Ve2gX*CJ-TN#XYzg z`U)nBr@8S&@*QCZ(@tu)U}iQS`z3z!(P#JX%2()Qqb&f&zD2JGhnD(QtvYC)qZ#BP z_D>c*d1~r}9TL5Jzuay{F5t_qQQBd&81;6o)+^NCq%N&xN^=Jqa(Sh4)}=BlKjP9! zmDLSk{rsSr7wK6ra3G?$voauAuF%1W%S>~9sG-oB$mDLvR+Ib~+JG`@EX8ps?L4Q^ zIQ#Ew*OwPm+Fy7PZ}JA>@P6tiE+=PQTqM*O?I}aI& z+A7a*yf597chFl8rV(5s`!jy-ohc~jcREPB68fu7?$L8zg3JfnGL@dSo>QOuH~5W8 zm<+^Pjh0NE15q}e zO%?u6!lNSmM?B|(@;-;Q`{vWxDmMn8Rf7^rJoIi@l+uGnZ?*$L^MjEr+h6b5p>k6} z|I?WfM)Cq@_JRU!(l#Gt^mwTklai_L0t>6o6Y{G2z#ltUFDOSR%z}0y6$_58aBQ9| zFepoWx4>;iDE(6ZaH53npTyl)$e*_`MD+Xe>Jao!Z-DE^(meVd9Z#{PVb<6c#wl3Z z+?yc~V-)Xdy*8NmzAR6gobj6}%_&c8f@nG?)|3I|(HFfaeYjFOS@G=}5>#x%xBDj@ ziY+-(Y%)LK5HHH%cMp~kyVYs3<{oYQJMC&3<&r93D3X%4G`n_wEmNF81cyl*xUwxW zJdghAMzd|v2AXR4hZ#?+*1X#Y>>Zq4aO|ITh+{+r0yx$fUPV;shnC3h1(Z-Ds#jcL zVa|vEHhWmD(#Y++QkMQ$14|?i8u@s5?W=M-mt`IZSQHEStMge=*cKB`WdwU?d-({{ z(0z~E199gsL;SVSi@WPSLR?~vMoTb7Y1BwP6evk&`|-BTIH*O5)a#;7 z{5De0aDmvV;ftm$3zEw!oel_-rD#16ldS0Mqy7Cpqx8b(TH6tDNKNAu(Vj0z!4;N{qQ5z3lnXC~l<GMI!cQ+mrw1sJIvrX?l5V`gz#pUs|{?P|E5HzQ@?On_!bYIwy^X;F_0!8d5*XF zCrqoIQDt)_e9Xz^az~|oCCAg*ED*CgS!%Xpk>W1{(izfvb6>k$>mtW;MavE<+pL3~ z`CvR&38QsTTLW?-_ zhTOarGPAxFnl-sBK`{CCsFW|8mdUd@c+VAPR>zo|_-7=lQKxD?f97eOoHT69Z%n$# zbp7T9%{jzV)~ypR^V2-wV*O2hWDfWQ0`fKsK%rwIeg_wF`uN0J`fahGJ^6j^7e)T( zWz}+Y|KawY)W~ck09K+|*1CRkZ=l}ctqUQADzc+Rb9Xew@HkXHO_zI{TV76U+DD$u z>2@TY)iZ=iyDjB?o)2igoxhC%)UGi=J2LS{UC*kUCxhbc_LjI)7W?CMS~6vPv-@!9 zA}C1AcNg)6V>6p83YNE!?VR z68eSjm~i8(Wv{!qvB1gE)>>%?ccCWQ!0vVx639C9>*@kT@v9&sU+qO!c;x_6qd6`8 zJlpa>*v~Y3JNfI`&|ld|DUDYvbrh@bj{o%|!y9G_9K`of9$&zqK*1EqQU*w@SDbZinj2ab#yVo!gZND<%=konF8; zv@l&%?UGlUCX)$OD&3JKnc4Mt1*lDLKUE5aWW6C4DyVlL;CSqSh$ zoV(rd0?J5nJUbDpSW`ZBt5wGoBj?~MoB-nl{ECKo1WPE17*l2mq4ff3sFS5DXz;2P zs-vv0ly%R$GcmBGwxwZK(+565D1x+twfOa($+6t*9 zy8|=pXwDCXIj(Mg<-S160?(TPS4)cdUvhjeM(4a<)Hn= zy9?AZA|0_ePzYQwpM8ck#eK(>GhH0r5NKjH44J5mE1w{%r7YVFsiD~hC9a(+Y2PFY z?!=BzMlrFlmYYzsM>6P^w4adnqOJj*#`iZv3=EpYsQ(VKS1r?{=*cq{>C#)xvkLyy z>5WNye%nB^osWR8+VrZs9!5;WlPVEYWz@E6)_1onfK~wBcnZc@!@DoNB~Ymb?RX@Z zP72}XGca;IQzw%pEh8gDG=u{Uzlv?|g|A3&p+EU5A{Jl1<##5qXyMfb)(H?$mrR{RCh3N6w_%aAhk7C1Sq9+ z0C0M|!d93%nF4oAjDl?1&x+_|lfB*I(Hm$2=N2uu11hny>ltyw{YZ9i|E;^9F=zDG zLmmVu?H0I7mw;@T5>m4+-RkkQ$oQi3S8_&7MA8O<#Iq{HW_E&k!gf#Ie zMvUoIub`qL>}iUY%qVE6**sffPmsyYX9-t1E)OImSQw1Jc3DXohrk^QnLEZMQ zBFjsTC|afx$vBz+)`4_3U*Z>3DF%(FGUQw_1pW=snaQJ;*bKdadEadHPS`0&3iW!^ z3iXihKP^cN!btVrxzzbykLk6#wvf#?uiEckM|?gV;yL-^y`q0nVN~oA)7kmoV{_=tJk6jI;GB+4M8O7 z#h2J3Kc$@Mx}RVg6=G5o1`=b%SG$K0c=6X4rte_2&L9hmogV%q)lu~9PKoXMc;p>e z!XSz}L#{(&|47wrG>T!7%NXT%D`>RY8w53NXu&EKNcT!@e^~qy81?S^sZ$Z&)LB~G zewd_uo#pa%kwmAd-{ZgB-Hi@T@gwh_g5g|^VR%fBOKEoP0VOj?{EMh-(k(*hA!*QB zr;!nBjH>Pj!P`pQbizM@_vC)QXj6t6g^$CG16kB{!!^)!3fm_$@gVvo3l&@wB8ciuY2JN zwdhkeo!2g=OA&iGS|ItF7MBn78t_`2*qWQh1@)@d#y3_>*v#_xG>#p80)g+spx(2r4eM3HYQx#i_pw4Z z_w8JgNpw74w^vpBQ7Vc(uNhP~R>0sOK>GtZU0S~;qIr>*64V&wI2$YBj!4$!=Y_SL&56;*#6vh5rZ><43*stD|^D^#K6i(D3sJ8u^AZ|H#nDJUXvC>lkbm6C%5M z4JIHy`0fM>&xGDQ^Y1S_NXcd9DQ)A7tHPb|0}KxW1lTASd2DwbZR2*fkoLxV2k%d$ z8Gn^guqGPjy4eClkj8@%#wi?!yAtKGwH&5KcPQ|tpyW|zYS709`?=Bs09y$`={R=K zh4FDe_q!ysLI3aaOF}c5mPozltK4vUf3a|n?#l+mf$kzN85zbm*E!PVPOD7-tLy^4 zsTtsG#2=Bk&ww>CbGBfihY3~Qtq{$_Jg?^Nc{c$Lt(&L?0lXd74k?kfE zeDkxiTV6A_i>pa>uNSb(^m)-^gkNBCB!`J&=Qd0Nn}7!6dY#0uZ*0{-w8kJQ`#oHx zB3#7u1c2MRQPe@`?Zxsq?hiE_io!?9Fkv8nSx=*Sodam~AJmfAPv3NKK{lTGja1bymqud`FFz(*zzIN0WDfOVLNR#xr18V-nncHwm4> z>MS6#@2Kn4QOWp#(&5S)71W4%tF2I=`~A9tk?5N;surw?;F;?`-3cz2OUvA7;7)YK zlfUQ2^5RGKWy$iGibvJ}BQ&HcPky{uBQ6YZi4A*@law4}VQ*gc;oy7~xhX?A7)5gR z8R#Q1MIW|+9M7A2I4I=w_;{6#C}|MY@uHx4fXi~(9lrtMS8zR2v-Y}pbu;kvG*|v2 z^4YA*^RclZ;5@Fy^8ZBBE(HK%=;?_Jd5iE8%?1Nu%=Z0s!*NKVv#tJ z(5rhnp_gHM8SDKfw{n3Mt*R`aJ!?%@!G~{Z4%5u+yeIkb(>kKeexcDW9 zIb!P-c}UioQ`B-<&4jGHB~O>C2;Ya=(n6U7T18#_A;P`L;Za9?#T||+*?!GqTdhmg zlb8Z1AM2q;l zZ}-F;{D&4aHW$Vlx(C^hl9t!gvTB6}1RS%$mFnRVGB-yrjhXF^1_QhxOIpi!P?k4` z_e~1`nXsH>!}v=1mgCh!-@0F8-dB@p^(zjw#%bLi{3y4?NpiQ&35b&*xqVi=Us&Nl z*;oVsGT+S=mqRopZKkOq{L7sjMmF+d>Gs4DU7#@}r=91e z&gmR8pC-lz3Ln_hEtW*3`&+dUFEMY0p#gaZoXTvgllT26ON6`~XIODZR{m#2Mh>5wPuxFSw$q$ThwDAkPb#YWoB}tVF}mJG3kN$|FpV0& zXYIJ}q{R+LQABwx+l0fR)m>&Ll9RQaC~$Gk+;$8!KB7}h&zNs%qK`^9@8#mzd5|Fr z>Ay}3o7Qh_hVQ{i8g!!^4ld*^HGv`T>06y}>Cx2P-Ljm5WtDzL49)F|-n)fGO9~^p zeYK|ZtuI&0od?_yO-xXlSiR&XphR56&wRa?Fo?szNaX-rga)+rK5W}!w*NvotP^Fv z-f?Z(Vc@uI(&!>J>P>@`RJb);5FaI5NMd=Quf^71Xy*bsP(D!Oj9R5#lR>JcWgsnBYw{@z#<7?8^Ztvp5+ST9y; zYa%y;sS&9KJ$2u3Oo{s6lJbqsPp(y%j5>HVyYU`doCnhePf6j`H{nK<4be5NV_eGS zG81asb41%}?rNO^Bs<4+HItnfSoHs^&i?uc0CfUw*@9gmu=v(TL(^2Q8XH;bQZ~HL1yhZKIeV@ z#n@YyVmd11QCDY0h!(D2O!!vUdwr_$PwRJcxlU$KZM&-RpDSV8ywqiCGhY}v<1Q>F zzPs@`6t;JinDi;xd37B^Ie(66gQGs$Cd|>@{F?TBiVQ`m+iIOQ7YALdtNbLK!=1h& ze_FzD-YU&xBic`A0JrA19^p4~qI%thpqnSsa(f3i4RzL=z(sI@NlsK?j=TvnnwYNX zYZdT_U0@{z8(*cp()I{l#j#EnrysGKj0YT%YslCiHRZ8s-bJ1~-ps&z0J& zjQd8A2XV(KCoP=>3}Hz!v$VaX+G{#BA0%law>(p|+|T~0rkuqO=i7crXr70MRP#`op;#p~a~r65QEI4+tH&!{cZP$l zy}e48e)Q=997I#%Rh-UK4K}FvC@{|&mWHwU5+&o?{Sm3}kH3)*J0gns9FJpAA5&c3 zMC_ImjlZqsYGN36$O@A2^Xm>g^bZaW_lN2hNBRIbk@jd@|Ad6TW%xfmwaeeqtt}G4 zEIpnsKbD6@%Mkcp}>ZKK^r#w&R}GZA|}omcH(vfyOR>Re6efImpPAsUl7n!fVez2JE&yjJG<$PU`@dih1PT_b5Vs0t-oF9veJy zRi7oiX}oJJL~wn-dRvPF2BTB&j7vN{9#(eT`rK8?c&W4~JWkMk^*vFqTssrXalqq~ z)AKah5$#`rtvVT*QM7o4_E6M*hd%0jz6>&*@py_#uY_)T9Hon3U97Bb3PNm^Ps6cn z-eG`Jj?$*DZv(~!PaNJ(3R}UA#W|^2c+cgY5VHLb%c0$LrYQUO0*}K5Oi(g_^QZa7 zq%qCu{hm+ZM>XEOS!Xg~J}%s9=dRj}Jvllm_K}k`r>qbY9qAIL=oo`IJJ8i=wbqKy zpcwb<07($OgDzw5H$hNPkVk>qbtArxJV9X*t`IAoLw@Mc@uX>CqkTnkHuru|lP2V( zXyq?r;>9Z5b4`2uxHJ!PZJt55;iEC9izCk5&pT{G{tmhb1mkzMqt?WrkHb4r!Fapp zbM`jPA~|gcv12K$Ar>ks$=yAdomO)lm&mgyK~>7t+gImk8^+_+;3FE;dd4o6zWda> zmRL1j!uCDz5UhnTwz|MCV$h!Qs63y#k8R9J>-CCyZi5`m~QXLiAsuIsL5`?r@5?Tp( zhiHO=HwMF{T&dq=7?|23u%CdGwF*T7cITW>$LZ$~~40u!MiU1dS}e)0SJ*)5P`R`#8Ydqz~_ za4O4&Jr4c09RGymusaNc#`4LNsnZ!CsKPcZ$i>InMc&-pz}~FLImTkIgIT=(+Hqh0pE)2NJ1JR%~vSkv&DZfU6_dAYu zkxggZ<53<|QK|gsnY*KeVuDYC4g&w{>aODa2GJ&Tw0ODh%`4OD@c+8hpZy?oB5!l1 z$DO8#|NDAogF463+gmzc%;Z+alaQ6g@IMg|5rN(Vsh|b7-_`}p=M|P>3$g;3pa%10 zs#CYFHvY6s&J(Ik9pKRB>&-O>JUs6A#bH6PaBy|)bUy`J(^$<6IJeGg#~TRaLc_W0Oz%n%VKMNC0xaFl6raa5>vc5be~Y_3q{XWr>y zT}DPmxhaViK>to^lx$67voJBkEzhvvIWbr#Uve)y+2y$(aE)!u&nImd)Qt2K{CAVt z+mO5!-hsISAuVNKkgJhZ?%lcU)|t#Hj>BrI2SXQ?i28dxg0L|yI6st(ntHSbF3IzV zXW#uQ+^ZWH3ZKUr__J~fz>!G+Bll^Cfw5)=oM-2=&Laf5sJ?#AHFkJtXiZ%CT%$Fe zNLHh;k2}gI5c4z~MKFElbTam~7I;x>{Gq82F3m4LdJ7Ja&$ z)>BZa+6(AH6aaexm7jpGh%>Cx`C?T)3VmwgCkBd5$3qgU&E~pdD+fD(qRu~r>2WOA zV3z=AUx$H#`OmNqG|Za{rdZf}fvMp}A*4f%fygA~psDXDUO>EsAU30(uku8(`_si5 z1)wfQ;c+=iSpBWY54Ruz{zxE{51xQ$894YAAVU6FX?Lr0FH)1lTFbS61RSDG8T8B- zz)-#tEGRV-@SeLE3;INxDZaPzg1v!J{+E3;z`B^MR*^xbjXt{CWW#{O|6YAS6hi3z ztUtn29~bJ`IXgQ$ui*;heQF}UJ^%@5BLFoZq1x<$CqFmZ;{9Psx9TxWJ8bETJ z5wDVvTL3=>Pt8BV!2mmNB5=Em0MT|9RB3Fwrs!IQ@>%qN-6a(8ISwg`*|Pk1fH5Et z&8$Q@Dh#Ud{23?}@&SiI65I?>6(j&=0p-fJOD<^$ERDs~(<6YMofw7BP0gplsRztb z$8$Oy7DvhfIU2NUtoH4TRk~HPS-(u1TBmD)S7Zuejsat{aY-eI4q#D;1=!(bk<|-A ztchTF46v6#m_%c(fqIX0B`*51&&vVU$yQg_{prHk>;z*F4Dhw7Y&j(rgqR2h$oa}i z`ei|;)USt=nPtb=9Jbj7j&|`=j!yYg=EP}IAbh%qt~Ih{uoVqj9wkI-)p~x)6AANg zKoVZD>?c6UpnFKkX-JYf0wzLUL_#*J^#jT^8l+&PRdS;p+LIV`=`C$~17SwTN&;Ij zJ$F{y04o(XyLARcL$b;Ur`+ew2M>@eTX0OztNF0CjEI2BzUi80e8upXHhNJYom^V4 zq{Uv`Uteo`2^f2(M#<&QS{snPG?2bdgn6*yfQ`c_aIPjTCMlqA&o_H?P3HFZ;}i1$ ztAmzsoY3d}*JbCMbpuRIE0e?A4Un&+v5~OKIBU_3Wkw`{1)-(!i<7W|_P*T}0C&?W z<8VG^2@MD%@scKMJE!jLP=CqgBuynVz?$WT?*qZFw7}$CLV;|W5M0HJ<fqi4X|TrCLFApw^BaOf(9}8`%7I}#W?rNW=6HlVVbm*+Qz$oQd`d-E}AV1O}DgPk;P5F2{BTO#;&yrL7 zp87u&%7H&QOpfi?2RbibIlZU6;*Ea8H(9P{`kSD$js>2(rPm4s#0S+2s_6)cSdHBZUp1=bqx+bD;=t`WWVj&w1CsBs> zls_^ZU+jUek-*B@Dpza%1mSkfux&~1)* zy>eS1G;Z!b{wkS_zl6Zu*~Vd#KZYgc;ozNNodq0jL+qWkAQ_WWsmzQ5Qz5S;{Lr_{ zwp$ewR(ED>f`pD&Wf1-?40Fg?BKf3b+m&a!%b`zV)zd5y&hg)i^w$M>zin4fL!Y(d zOsm}LxypItVESI43$tOhQ_Wv6F7j2dPJ%I-gy#;<5O%6FdNh1x;Zt!9sM{UECn@?B zA4*k3Qo{H~x?Zx{s3Wh{q?jLPO~#{}U_+!NJZc(jaPd8V7UOXiGEXL^7!oo)qNQBb z*vw}7(;Nj_wjX--wMsDYNF(!v$@&YB$ok!1f@+E*4r{ zcnDZH7p(gZ*zbX=F(7BTl|{4C+Xx?g{8Z5u?*@C|i4mNP6@1XsQ-{5?M9b$FO9|r6 z)$hb9Z<&NC?^OmHzRh2gC%RJ=(^tz)&tBpOBTdf3Ntx>Q_i8zK6TI|23h49xM6$&1 zU)GjnM@VMA$-Z_=?ZS^FQe9boBGm|M`_VvCb9)3U z>w(4V{64qa^*3(gtscYKB28_#o1Vwn5?MMXN%!|gwU*l)r`w}d$Cif@+1sUgZ&cBz z>)qEIeY2dU_5~|7Dc?%1|Jl8DPjTw8k7Z@mX}ZY&pY2??3Es3&Jr<{7_AVNb0F<)4Qr#hT8jB_m@j=)F{nMR=7(Yw_f3un?rf zk4W74nB!p_vL*~r0pp=dJbfMq+C;QZ8yBd4db#oV2r@=1P6;aT2SwDl}~8l zEG5To*Q(;+v4|Z;yEFMcliqOBT4A>F42v3l_X$59<7|$MF7F%Dihi}FIQ5gulEsS$ z_iG1g(zOxvot0+L7>KmU(f`i^$Y#lvGu?n}sbwRe@_-G?SMO6OIWorM68r((Yuu#9 z+2*g`oX-B5^5p=apzF05QgR2a9apdc^hqHG_-B_2$7774Ns|l96RtBj3t4pvK8WlE zWSAMBuBS_dZkNu%;Rxj!4i?ny>I=`NE6j>S;Z)VWsKFzcFu9!K)*~elsC$EL`CD{yeX%KVM##z)rtc zOzeyIxw9F~@>&^>Pv1g3a8p<%sD~>mtN!tH{ktT@${y*w1|5%`FO4+;;BpiuvkwzMu?V8)q zyLyt=I@35sl3RwIrjdAIN_WA{;t_#sKG~GPI`{au2G4pyYlf8L0j*F!7xg{q(y?D; zj#|qPz9P`;k+am=>13v+(ZP_uYY>S&&@;J@jK74(_3UorcFX3e#PzfW*`Eq|N-H6k z_O6MqMbg{5t4M+9kK41ZrtxV;fFdr_LcOK9c+hZti{$pkFSnyH-co*IaC2c|g#7e_ z$3H(uEup9D=fB>qk<6A#lk9rKzeM8Uk&v1)gLVpI6YiN-k4~Wu27{GtiYlw@n<@L9@#UTw>3}Dm3nq%T2`(?VP+Fh*5?l z(>Gve<}cKqWgU83Am(b;Ea@{o?;Cwt0<+ zct}V=LG9y{a*%ToCP;DyV7FT{l41e()Sr<$iHZAJ;fn%d@ZX1;-dL3@C_4*8%b1k& z7O)#_j|T#8y0R$_sa?EumN%4vqlAZ~J^q@)11Q{uKDidp_Yfns5LCI;)RwXStPo$T z4fuK4G_7MFEo_?1LBj`Dnv04xn^CF=V7csjm8#{^!4GW;-lO-!pdSM@ zZJt?DGCGK@9H*g`)q>qJ%U&5kCf^15w()`G=j%+yJoj9+jX6<_)BTKPf{VM zlO1LDreQZKC#(~*eTBp51qe|UkOUh{U!3VI>u|>RF+vZ)=`2=gW$cl0Gr?8w!eHlg z@x{g+j51GW^94;u3I@2q7qCDpW=bcV@uklWcNCkPoyg|r%n7^r#bK^CxH+Ds16L zHpvjwffJL&N!MA&Fj2aNKr8BqR`S=9F{qtKFaJ-Xe=cad$t=p{jy}Gw+94c!n6l;u zc24C%%(-uBCM0U`v1NeVp?Nbz+}^5t2LKdT(X)n-p4$Rg3g+oqwFx)|DR zNQ+LJ&Q@NcsAKi5I>jPKlQYOd>DZZfY770>kFHctXCpVoM}aFb^fZE`o~uU zdAK6<|5H*I1izDfs*lC;yOu5JYpO#eE?l*RacSS0y8>4wf==G}sp<^IbL+_S;Pg2H z0;;l7V%tF!6NL{l*W24`36(N0qqjAn*vTn2NG^H;tpww1zb67X%V&7yv zNl<^t`Qdi^KYI|K{A^3mV9w1!SJPH2G_rKJY%1u<_aCA#<$s*Z%D_*q4Z4~9|1eE9 zL24zLVVH#aud$qMv^*8>bE>7NS`Eh-LFXpqm<=EVoH-KCi|enjJ*I+E&i$H!Xw4NO zcJJ?godsDup5U(A->SZ5mQ6GyhF(64cPZnU#0R-ws0wz#p?wT0Fih8o3r;!q`X-T= z-eRclbBxIM{ar8p4>1<2&01KB73`9UzUJ4{t%`5%MI7+lNT6Zg8($N)tY=h$|{mwB_zVr|e2Sfr%w!zR>;yOMMAA1Virvg z0gg9QQAx7g6Z|gqw(IA=fqU!MNX3()ADi^crTJ{Wq@EL(uX~wcE-xN!t0YV0!q`{1 zst_@R;&%Hz{OEiJ-lFHt`(h{Dj z58`aa@5b+pL8~r)&Kq6g+FJ1pSzigzF5LUx^qAdL{aG$5^)g~|hYRT}`!7!})H|%W zi&ygA%M9tjJ45J`?8XXc5vg(snmZHM-`-6QN;ARrpT;kp5{Z27m+dg3c|7b&QE#*U zKf2B_xU#6-)^XCY%}&R*%?>)YZQJOmW83W5wmVM8wr%6CKBvxC_g0--`M3Y1YVN(} zn)4lFJfnrQiIt*WdNA_y0xmBbj3pSz>EIa{VSX#;F!^(t%Y(kjK)5W4&EbPMJ+NA^ z?xMxA|BIu!99=DvqU0t(^h#Xi}q+NShHbiW^t=q&z|Z?RPd z-nDh$1nqx@jV7GAkQ2KdL$A*r<#Co(JhxKl7nKNlX&<#JEJ-< zfwKmXQ{(JlZQmbR+?tcjHa19z!~jxS7;OmHh8GsHv&#&2Rk{=)k+IFovAKr?Y^q z5!sXhcSgs_l+=4JB7`$N*Fvfq3DL(5Tl_5+)5XK_D(7VzL`zSw7;sk|jx#B>tqH~{ zukjXh9#2EhNzl`(IpGHA4ioyr%Z)RI9p7_zxZVy+5kcEfR=KErX`%WR5fILn|Qi{sPR3C1{C#qF>o1C1+Dq6O_&Cv#z+Vx-ud$9txqOKS<4eNT@F__QSMatr>w%f9UQ5CP*XxjNFBx+FlWY?5!wMu%8WH3Zg)GzkU*N^JmuWlO=M<=V zs<78Z^ODfCD?JkAk5{^y6cMkuHAnP(Sby~8Wtu|>t3k{37S`n2Vv0WtwdP} zVx3$*%&tH`HoiFC=YCi}RZ$Y87?{8$z53d{si6nIR(<6afqW{XV{l}L5x2VysgE^fQSm>Hs-m;BCAAfb-d`@ovvGnq*vge zgFY~LGZKTN+USM8&9@!1$%)>ul|~C2%dq)L;HEE{RmC;(-lrrR+%=NmfW=gz?F{?W z=OhPY9ee`xC%EZZ%{n?axsEHI*}r}L$^L`Q`l!Q>W9oP+;ShKT<+4LD76h+qC_#){ zX^KJ4-J_W%8c@9yDbJEAt~?#PciF@6k?5SSHaC-6_zET1k>PX`Yq$yjN5SY}L0qzNy2P1(p$5*L0SVjwrPEw#+;7*q zJhS^TZ&l&^%PK8+zo(v8-MQ6>OZOl1U7VoBXJLujGNm3T%oHubA!#haS_*X z$~gszv)3`Jfkts|dO%587wEyPxu9^%{iQp1hyKs{rftYhcA}9RdNGk0#>lu>>oYk6xaW zlfxP?1(!)>?43zLSp6<3d9|$XSl?o|$=?c4mQ^C7RPgL=p#Y(Hho$Y$Fd*LkEB>Ra z)M^&@btDbeQgQQlCgAs0w+T_J)};gfNA(GL=E<(R1EH?ly2}iZIFyDI;@7jD!eX$p z75sPo$*$&hIZ-E9x9Yz; zn`1O+eOX@J6%WK`G+q#Q)31S6=I=3teBOcRQ;peHm%kMXXp17G%78{xDZpS#>y^V9 zjrH8(`|jDg6HY^$5o#UtrqyVfB$wD@9do+9GZ?WC7(43{>G_R#T+gm>bPNm(!mF5! zhA5{QXp$NV>FDWyZ1_Ct)G4P>|9j1pr{=YBf9~}FU6S9Zsipe*`{^!;SC3blt35q5 zEALIUfVF)5LMaXm@C)_2eNj&MF}T}c$fkFLVjR>RtOdRtr|#!m~>7uILe+qQhi3f7uL`_NEnu_&xFdV9K7 zWm8aONKyorA*rE(RocSv=US$s!5jcYkAJ9q1DvZcIfa9Hj6#Ij4nsJq@k)x1KpM56 z;`^cC%!2t-zb7lLPl?8{W!eBnGwEIt1MK2&L;~)opemp=^0!+( z!_n7itV5_?0*wl79!>#8B_$uB1&d{IWbDX7(YF6x75wi{6Nf=5FOwd0hecC{Nqqi$ zmi52skv+kHM(t`4_F*B1IIR-zpzG??k&8!S)OoIs9b-9cDAD$$O~B_l=Q@RTywhf& zlKEDezF9c7*kjn0pR~JAvqh@+cfi%r$%!rs?w*V;+UEM*r1>!7&Vf)f7;oo~fSw=6 zqhgos;t7zM-{Bdz4Yukec*Or;{-GK6kP)8y^e0$20)^(SptaFHjmyY>XF3UuHgK@2LSZ$8x_^D=q%gT zBpTy6u&ID9UZT0Ag1C9dELUE=C&k4XaMaDU2@!L)gGM+^5WDBB!#*nhTXAIdv+C}T zIy?SUcT-AdiHbcCJ>!F%r1PgX+Zkhy0f&T8R~aPXl{0SL!ZBMs@#qwSxXXW% zg@{uJa4GWcI+IG#<=}JzFR-sT<3u`_Nznm*Qda}OH|U2Axeh%MKz#tB2NMvzH1U=P zu&^r(Cm~c_T(KqGSX+27)kzDy%DHm?^JmZP*aLw%D|~m%`BFkM0T6`I4weh?3X*~Y ztpN4c(d}fVv3!4lu-GKm%N|IUNC%k~!?m8(ja7V`y*vR-Ov>K5TDcsf;KhEN-cL1- z6xM(9gQZ@L8k4gwie=P9gyQ{V*yg(Z{44;)9ZgSG2!MU3QE&ckc6J~H;+E1oJ3Go= zsxyOafCD@tk)G`zj6J++ZB6@lr7c@a(`KWSxj(zIyeBAA?M^B|c!@ypw-{Glcn?^b%t7c1$*~(t?55*J< zKm}U~(D*+$y>Fuyj|Sbjif2*aW8#$j+dR5Y7Oz%vbOaoIm#*~*WYE%xf!9QPz|OFk;V5cMa2hpx17xL`Od zs^xLXtKg@y+oX2@rfMm5W<7Him1ZlxwKbsqyL>HW?Ts6=tKtR79|5c4%xism+3tFt zSd#5o%vn5gJHeRJo1ecM&Ot)LR^xH~wlT`g%E1AR7kFZ>c187Pdr6Ye=MDv6e0pV; z?eS&-lI5D$rB9ua1n(pc9#cug=(EAJN?84HtphMi*FRUBdZ7GiY^Fp>jszFyR1ccn zngR?&*TUX+i9Q9_wsZ$W9sf`s0&qP@WLB#e{SL|s^evCce)Ztob>ce?IsT8QT}O^M zQt`+h(=*}=rUMl&(L^rmNDY37PR0fkn?ekZU(e6O)6kw3LH^`FOa7S*)*Q#^OoC*y z{dRs08xKWp3Su25HIosY8qnF{g1 z{TmL;2{)qdyr|a3#^Fi=+UMlh7#$(SWYpINEuBejtK5I>#3sD1*!`bCGx3;~UFR>W z=QFQW0g+|xdecfN-W-bJ!Hz)d0^!g*>^BKHhqFGo;XlBDEHx#`Td^mT(;?sc<2mIB z%i<=v3QQ$M2y3;W4m+Ic=V#zOHFkK*%RcO1Wp{uhYeP)6)cy>CiEc0@M7RKtG#nc2 zY+xTvz&3O$s%v-#8GMA?fgMf2l329COVP2AikH7YYIjjN{#%i2)a9?TqDnEM+=W9S z4e&(K1plJAZ*M0jCl?2@2Fj*7Zf=$Q2L#X!WGg(r$K~LO;B(B5Mkts=2xZL-x}Rj} zU_5k)zzagf*+GfZc8${{>uE1R?yY&3@Gdf!7C0DOZArxeZ5Lk_KD+lC7j1)0=vJg< z>soQjBki{o9`Wg61Fuk{5mcHyJ#06-^oF8+6eqdNBE4VFIS?0d^nlP7&-7h~_f>Bt zaudR-OIidqFLHSO8mA}4w2FGsYC^+ZP(U&M8|1deSlnUuD_Bk(v&YRaDh|%E^CECQ zq(>u{iM3hf4`(X=*u~2<~->fogfzHmD zhH121AVRdUQ3jOVfya{0{WPug$PYw3Qc4H!+5^Fo_+Yc!z{f+>OgvLK0&DVlb={wo ztz^)Hc)MGWT_9?$)$awfK4aiBSUfstaXO?ok1aPy!2i|l*;2BD)!|fBJU^A;m1%W# zpe7}iCkou3n(bM<7j>|D_#8?c7bByiUCU0g+%|$GgmhZ!*%Sh!ABDrJgUmJaeio|I z*~d~I zQ8g(1(3o9Z)ZY4kVo5l4HD$&|2Cz|d~)`PJ}#Jk8e#Z$>?T zFOs&%n?DBN$MS^e1>*Nqs&|PPYf_i?k3e$=Ly&pM7mOXiQm$PcxDT+}6NG+Vq-^@> z5d-}0Dy74g50=8MXr7Qj`YN`Khq#VicMUpGJm=v4KwSKB_VVwYo*`Jnqe(PO6t7$A z7J%C-!g{Gjx$9|%iL&NoE9UL7SU#+HCRz%*$xLTttUTm;$NNw()?Sv9)>|Rl_r)TO z*(6-4zZC}0Vu4e$&BZdpO(b!S{~*{fZXOHnZ?Fe)u@AAR)B0~r0eKLzv?ap z6sGe$mqFJVv5BgGU2ScpTcdZ<qq8Sd_Azb`{)ey}c(lP9a&E_jWe5%~?omwhRXreF)OCMY^==xUus1h{ zVZX`PuIucUft2ID{Yx}jFggFy(>myV*1+7(N~vB3#z6c72hbxh5_ za&2lm5Q2CfAfj#7ah~JR`FyPIq~gb*Y{1H9dF8RqEg!@tpk5gffk~$*C0;KE`}g+n zv#MfVr;YJrAv5Lxhyyj@XnB8zA0yMowMA(oyu%4K`9yl{4m zRVPO7_hyEn@N;zG>mhY>*k|=Lhj#5-E0W*Z9DH6j>~5EQyof?6=~^z`1?q&7f$LTOSEndcu*@GX z?=igocK3ti-4SokhmIIh2CiwjTIlRl4UjTaOhW`Isj2EM>=INQnrd!C0FHFzw?d~- z@_h1V!Xf^l!Lc?kBAmJwjzIRsV>MMSMLneFdB^q8DYM1QJ?CB)Ub99hgFxvWqv3v1 zOHgr4KbQ|x#c?-}2a^3+U!P^q%a1|-&ls#tgQ8s%d)9A-xajz|nM=|sbAZ_m^sJ&D zo`@g&@Xc#n+hXU^EV~s-s%%-l&xSHDD%&{6@4Co2+={f8F1?Jcd(YV4cbkWviRpXs zggUWV7N0g~>oX5kW+?n)z1DU|LB15073WqBch4DsR3ssa}VCM71u&+aEK@8EWceE@>{=h&jsGnJx<&_P4L^&cs;4D^S5#mhL$2 z{MS!w_yUsv@}JL`@{+_nvH7u;C{nmZqft=%4hLlB8OIr)y7dg;Fb|Tqk_sc^?x@dK z;*lY!zd>Hw7HuupnbZqoab%1Mb!^6xtm~IvyII9A8x2nhw`8ynrZ&a{-p#d<)t^&Z zC_4~2J`b8}TkDyQ*4uMFQ=#^bP}(K}pwza8-#M~<9yIRX^Fph7O9Zs6q}$GJf{C@) zPLPSK=J=MKJ1GR!^pm~)Lv_H_CX#+w`AA8Xy&Vb=QCr#SN2>(@5$bzOz5ZCjdVKy& zDE(>CeI`&&=G&Snf#6`IFwWjOdwX19U5s=21k{0=f*?-%W0fg zz~%RW#taSg3Ol9dT&^vze<_@RLm--zP%8q7Z z#n=L|!CLtY&ndpg->k%Qj?k8QDwm=rK%osa`BiboB#k)fqj5HqEi+Q`0Fcj}@)I`l z#h!3)LU+6cOu3dVx(tGCiKK*^hmz2cl`~+X^XZKSwKhK|5`G;}Q%C*D6Zt+(j8-vL z-<7oBVcEq+g8{ouu7w051il^Lr9GIP-suU{VUYx}hpgHl+{*g{znQ6Qo4D-4F0_Z9 zOfDy&e1+bn4)#UBAo{YM*WW6O!ouPg^?Jmz+Ystvsfe1-q012OrCp9CAve{KBMO!e zSq*8Ep=_oGGe7VGQdK%oG0A*99HT7?KZ}mkO|&ni%Wg6lNP1dRZ(m`#5|b$icm|$jyQxoL z4)acR%kodbk25KN6i5DW&I>MfI}4G+*<$c_=KV#{k@2b(EF?PJ+mw<~K!~eWG;jkX zAjkcmR>3(9FHa)Rt_wn~9|3H2<|dlo-AoyN#4-4C5g+4h*!1Bpz{O!$#r(OwyhNpj z|51$W9sVcVRqGoLg*YQT^%s0Nokc2{lA@&OpS#b3Pe1h2(2^=DtckPOAsyL3TY0ne zgtnxClcYCX(2*K)Ob7TQ>e|Tw8AG+;$McjOvfn%A3=6>k!bbarsi`8>-ct{AjE&Ox z1bQyFoJy8@y(t1R8DqzcO^cF$C)pz<}Z8(AZ)}$rf;7#Tfa7iG7^D)am!Wp{9R68Id@aJV2F$ z3E6*9gvC>9<8}%Ei6!k-CIeNg`{_wTuHYXQE}^TP~gLolArpB7-qSRREXR8xQbDWx@E@hhXX^S!>)Mu zv7Cxakk^JvxvGkaOB*59e?8qKQyra37hVPt)|*-9_IG>Tgfb&afm>tP4$BRs(*Kk{TZhK-!pXAVg*FlPIF72|7ArNZA-98{17qt`HV-TLYn0r|Lx?uS zaLGi;tz(MU`IT>YA-MV<%e5^tV$&EyVgSw(^EgfyPCZ`d*iqt7^QJG-m|(te^~2D9 zS|8Xh6xCfKWa&2V_RJrFL#}?Rf!8qM3GT?9kCmMSTmJFaaiw8^(vn=$)eux~NxNpn%NMd8y{& z$$2=U>|9A@UbU&D6=WGVFm*-DavZ3)_}MZS#mSB>>sjVqUbdl9M@Y|KB@I1YKgCs2 zvhsEax}Ao10Gt6y5LP)^6;BXUM=*UHGhP=R^LU^4=CAZM;N_AVNAXH>x6~anA8Q?h z)R>3kgx+*r5jd(G+}7f>Z#U`|(@yy}!NsXAH3<)MoW3Ac|B_F(ls}^N_wo#}R`32X z6p2mj1ND%ojnq-Cj<<;K)TvV0uSJFJcj2M2!vAWkt=6Phb$`>`?(S01R413}fS-D? zi0O)z7ba}cx`$c&HUMtPBUPkH=eo!IPr+}Rn;6? z(3ftuH+*OpeehX~{BSnMtui^jPM#U_ZBFu1j+A;hUgVmW1`H-#03HDqO4d~;CTd|P zL>(@}pA)`y!F~T-|H(NxFNsD2@%r>#YoQ_R4X1d?z4fi=5hFJf8dA zzjJ@FV!cHGi1jnBM{A;@7eE*`O)UPp7dRY+B)K%wM1UW-0hmIXv(t38a?tE12xnJ+ zD;sd_Q|qYeE7N9**4p2QW0Le02vm+&`qUsR*j^F_Qy#Ou6X8IpQ&v`O(Gkr`y*R6S zP^d%^S`}I?AV`olDsJZ+i9tyP5O7Q1?N%A3CpA%CJ{%|&769nuE)(exCJtvbMhc`D zQdc{Je!rtWN^*P=r4=PwJkgE@t~CXS`)sgASN-?;z&JrLSBX#%?LoLk9|axe2{5O7 zg-F_D@hW<=zN`R_)j=+-Z~<7(==X`AXPAamm9P^Rt<8lB-JWLf(@IsRwSqLUgd883UMr1|mzB}EZ_a^f88{W?Jn$t=u5 zPvfyf-JYN#^+P43dbLQFAad*D*UCMT*AY*}+2|g9CH9XskQS$lgy(YZ8hUn{Rk~GF z#9kL4dxr(6+lo(LM~3-IEsoD~eKM~hv(s^wsfxYzmnJla+_O>pd>(6eeuGb@yfFuH zRnP|KuX@DAZu>8!j{8UCHy>(VMYiWB!ZePbgmK}XLqBHuyawCg|Cuu3`RcRh0%MP= zrr9!GSgn+ms&Hr3b?QJJ`u~|=Kln)ZGe7QY)p2Of=a!*O7P|@@#Y?!Ey+NYOJAQp- z!6F-5&{<}NI0tm`G?S@-f;t1Q;z;iDF4hV=OVRn#ct0N3!#|m1R5RS<+I?{%ZhR{` z&1`63HZI4@rA_dsYMUd5nb9rhjTtP)q_k^R&BlG5lHZxdY$~6A=_%i!$(DR!cMOHg)Do)A@Dt}VmB@;3`kM-?Ah5Lq}k)a&?WvoKNMo_&=ExFyE8Nv2s>n7_?J35|j{Lpt%GG zF5*Zfz8*Z9B^myibyf%%=-k^w?>M`yp*jvS3lr8@PU$?ngD6ei8;n>SsnDQ_W47v^ zxoftZm&`MY!I`bbh8g=E2V0rNgBBOzf;LlS9jr)2)F+M>oXle`Z*~_dZRL@nzPKx9 zZ)55iMGwrv!2D0%lt7F4u6lw|F;vp$>>12XRr?n+kA zK>VeKkZ!Q!3{(tb$0}lG494L@RMtXo?Js1K`L;vr!%80Y{CpV7A$4`;$2-p!yPFde zggQp~mPO5%Q)z*H$4W^gKpQ41-oT3EB3S4hC7+#r7AN2@pS1JdYdAr zSJkCvf)rYPqVYE$E{JoPdcgBq~ec^Li+^IbbOcn4sx`J)%|Uh%_w8Ept&I#3b~I8-88T5Fet0@zj<3g-_ z#&}0st57U~(PEQZ`^$h77ih2B;EMBd4V_XtJ8aVTTq;Bx`72lSa5Isk?59!H*3*?_ zN5mlWWGCXYDV=y~AXY(%1#fla+}S4hNz)IinHCoQ-5}l8$Q3;wi}ndT{g$;wxEbvI zf?d^QNwGKJM4pp2q5aYq3CtRo){ZWz*!N^^@aE&SdsNGAoeF|smqiv%R9qY}XuVpW zEjqNQk&AYr_2#_UPY@=X=JRzEe2c?~Zj9z4QP8<43hc&7)_VK{!X6`g3`%*WwYe=( z?Y5NfBD%_(64O)U&l{w^^=4o(qjltz!u3mlSO$$*1V|GG)R-9l%(r(fo1iq?KUo-m z?tgrKOz@8Vm4{*L_x{k_rn@_K3ifkb-rd*-Gt%c()OpwPK=gjU7hUtHI}I6*QtJ)A z$YxN@Kq9H_^nN7p&qO3p9>tH#1VPDN_Iq@8$Rglrs_uB)V1UUo&r4J_F!Rxq}0b@J;%)iyMFFWVjZ3#>X$=0 z-J*(_L!qsYtH#fSA%xTZutZX&;>jdPX*Fp#_iWnFRY_S+blZa=>?CUB(NiO~y%_#j zZ}s9S9oq99?mvt{NyqtI`eumcZnly1!Lxn>xD;_NB8d_A?~9G`YD5+cjoT~lH~r^D zVzZ1I;A6CLjlb&Nj~m|WP*m5ghS`$J^(zsBV~?wly$yx}jY}a7Qv1p^3^PKdH!tE) zk;yEOQ8>_ev zF7#k%*U(=c33$mX1^apAT$P>qo!qo6zK}eIZX#0kFl@Br3P0~z{2G0E|7S}a+9lYF z8s>)SUJS%|eXo9`cC*%vFvnN1!`!J`6r%iC6gl5NZeNvLY=OB)g%Qi}#%T!M*-HAf zVvyAzDfW8MQEQG}q;QSBh31GIx{ort)o)}U({1@(y_y~z ze*Lod(5+NN_^av7T(uU0N}=_o=hv@NNd;C=uuajz7Y~SGLRGMv&c`ljj%HrAYOdzv zhauTHn(DRusx0Pxh1|F72w5#^kg+ZWJnDXx8d^v$t^sZPbvlA!^~QwTsY_^%zV)zq z`gqfc7K6KSZiOa{O~O3KUVAgQq6oZdO?jyXsG3b%O8j(Te{x-6{b@1!Hm|0o&zhPS zspUGKS9X}$TKR*9o;UkXdVRhRRml{Zn$*9;M$}QrbjI)$#P%v%=wRGTNf^OKi>;E2 zhq^V47b<)3L>u5a0W3(Z3+*N4$hA*cMibwASehh?V&J|(s_1SoHQ&Yxvj*$IW&smj zf0wLX6~bKXdivMBksGDe>UT`Hf3mbFwdvYmXcZ! z&v_7}7s3gG3ZYnzH#2nAg2D-4jS>K}i}V9@tVnT~o*y39gLd{hRM=mG?F}tuvR;BL zBZOV6b5IvU61$LdYqymylbNyFxq4DfF=0D3B(XT} z3(WRso3j($bU#9!EjJZ`n^KcZR+oHdLAd_9??=>BA_(90hSgpI2l?o5wLtikM3F~* z2(#I?9<$ruO;mWbOUolQ2zMV^4moz~@BWPZQX8Hhx;XCS+DENDJm`;BUpL(C_hp3K zm3S+j2zGbyFRnhTy}NdHci+1UbRS}^cpv@S)cZkgenIJ-_UN>u8QS$Bw~w8%ps#TE zMx_RKJJ8T$XzLg^v93T2#;9QRN090-b8JUW-@8pj>0t7 za93clng*vVYrwi;gqnHQ@-;l&N@++qU0(}j%^$;1?f(YlXI!i<_Ji3q4Z0gsBRT31 z7K^nCb?!U}YICl2GK8?bZ)a$hdOlA@-WHo=T<@Q2sj1~e`dxhCaA_;J#oz7s(~12i z&K4Y+A-A7cFVawZzZfC#Mt;A8cl^|w|I_HUH%){gNcR)E}8!;!D@-U zQc`+4H4_t)`xhQ3GH!0%9kLaiduZPQ6~UJqxGj;98#7i|Xi ztwwJ-0Xv!xqi95VP5fm0VRiJkC*-mxLd1scy*1(kBpX}^*Li89vQf$67ZiU^wQRZM z2z{R{wTGkJUDU72L~1q>88h!kxl!Ow{o92N(6-g+gUiLRhyKbZ-k-06CR^}hB`M*y zDqsA}8E@6eR;dP|kDIt!JM4F1M(i;ZmkdYZcA1g2um2;EB2nJ50ro2*0(AXZ{(x0Y zl}N&ED#3Pb2Nr&1jV+#HQBObyoiT*2we?yFl^9QFf~%Uxm$u*d?X}LS1SDBqg8o7}z0+tJ z8sX6hv3>g{EFO6j3^%G}6zQdbrPHP7zU{d->{5)24krl?OVZ+UD*h+OM*zYmWWH$E zJ-kkR_BO2V`C|S15!@{N0oA_xYE#JT!x#gH5T&5ay{^4>jFDk}QlHD+QQpZ%l}`I_ z7r*xxclD~2KPqa`qC_p(DMe9JXi2tSXo}y8zij9w{ox|epb*w|zr(~4u;pA*{PsS1 zM%K!O<2bczH}bW`PLKJ(`Yh{fk=rBUOre5Ln)}qeB3?HMoiq~6klrV!UhzkpJSx(+ z+u#u2FRH0fYxgNN+Ow#&|L8(Hqk zxIIxXrZ6>;=an_N!o{ZfVw{*vU8beby&1w^*M}boSao+IgX#Oeieeq)98yq;TEhN~ zq#}>sJ*t21lYqeLX~(YCY5w7I44HQ)8jM%u*|LD1J8|meR!NEywp(nHg?UX#aN4uq zf$2Z&_}8=yRrNV5*>V3M8jm2LhZtH~1ZEB^#`%|rgKmV1lOJ!zXnXJJc!>FiWxo|KadunPe(J4&KoVsTRJi&*5{z!II zAYgfLq(JPEXlAr>Dk3|p!4!+9*n&kurX%|d;h43Mekb5@K0@Bva1}Y~!0x7j8l`ED z-$8@0_!V6h+6Hej3G{M9_54;regaaStrCQ-_-f3i+EvPdlxh1Y)(lxeo=8I z|644G3OTdQ#R_8>0naLcC~m8XCWN@pY%FWAP9B#%GDx@(YAySb+MvgV%-1t^OGr%Y z!W>TA`dpK;^aflM*~z#Dl-87&#k)C~-$>?IrQMZ9Ov$(~Pu{g-w!3?F1~=Lz+bXO5 zKDspD(SaW(o0ey5P;~*TD92Lu z;^2~`d7jQ&ze?B$I>Qp`=cdxyL@=d)jwBPyc?BM{6-#MzukQF{|QO z0Mx()@0kW>Tauu{Lc*>$t~ObcUwa`+j&76oWc^B}xX1yC8IpOnI>%fFuVHQb zX(!Ra0(q{lKaalS69OvF#zLQAwQ*HH8S9DM5>so-A?C4$okM z$njtfQK9i#4QJzPfaax|FX<3-Mne-XUP>Obeopn<$6-E$KPR_#Ww|BS_Lg`(jteLT zF^&D440EiQId`jyL66pvcA?E?0^uo;W~Ups-pxpZHa@Y2p%`h6cP#`tg#89}y&~n# zzOXc7Des`J&W3@d<2hY#XI)O32vbYfw|5oitpVfti@6K^`cNin9&*8M7S(n^GR0%4 zoM^u4GjGP;S6-=|>WZ~=&$ zB0MB0$5TjrPg0v@YACdFHn4FoQiBfN!}@*LOe?!i2L=kqig-lVv0iNEfR!6`+{D5p zUgr~kA1nK-C-D-PJFr~DYvN8%6Cs?n>)}U8C$Ah8Zu9tF#Moh{7k+c&@qSOVNA%f( zxESAc16NCif6DSiuD)vF%((uR)hXSgIy~42V)&3XdJa6ve(#O4{IAwkp3umwH-lJq z{_(6$g$8Xgp`l7O9Kj>IJ*ejJcFilJUnj;X_c2U;Qu%#~L>J4E3|u>%%{MhkOjF!C z+jsxopGc`^X-Z9#J;IWN&2q03bE5)qBVWfN@pYKAM*ZN9*K5|o%y0?QWEq!C+f@jB zBlGMXZwIw!dh0o2sdVrLc(|r^(=oncbWY^yl+R9;7X(6;3zrYiz)J>^-t%g{3hnL| zLR=gnYPasLazjLWv4wpI{6AoQ4{m~!>`^}Go+%*K;|apbmYfh}4XV+L%>f|arEdvxLEXag8P((|9+XY*MqpX2Ad|s6!EX0@@C84lC9zcN!5(GAS`dA$tEtxp7*z1g5&9qv!1 zm+`6UkS$cxp{TsP%igX|3GJ)$!+2IZF0pnRqBl(r>4$g7DFx%zzvhY>-bMxC!<|9A znoHXDg%=iri&spjnj)N<9)#XZdeQ6+oeKuImV)^t$4FHi{zbs$#z6^lb~uI|NW5pD zSKbBmBw$>3uv~S%F|zCuetyaYTugBIDHBA2OU`%sls@_HT<0^7DO`(9kzhW;lDfcN zqQORzFq@9+Q<@VEYJNiXAYXz~8ZuCh?(7c}rHt;Ue4;I)VD+ot9+$>tWeuiBN#$evHnw)Dn-VP0LAI#FK3e$*Z&W~q?DeW?Qt=QVvNZM; zZ_H&;_9_$Er|3Ws#0hYFME_Llz;e^}w+J@jF0T%Nmt{RMct0JnEgVRPx34`HJglnG zCkU^Ss9_e}YEhS`f^Y+^dzj>tFkx3z{~OhcWLVqkelPq&1-mKQW2z^Psg?I-ve8+# zO%%+#!Vl?Dm3#5SUTCeZ+*)8ZdXK7y33jI<=D5Hd!|yIx-Q&yk>>*NgGGSOAqC}>< zSW&78hK^z#wo@Dj-0r|Il3k&HU)U0#k3k(Hfx32vCh94&z~pI~lIH%1xRG;FwTyu_ zjGnG5%^I=tH++`IZ2)?-ed2~LS=bGQ{tWSAuZD{1N}4p{U5HO&KQclCMR|6yg29kL z?(=(E^NX_U2-(lQ=fi3V+Vxjz4hu_5a)=%_sjveUk2~{h$8Eax3MkTHr}(<`nyCiy z#O7@l4wsIq2IZgkhTU_OtLWwpc18($Xv7rI_H8?d)ps9CpA&pKLZdp&R8){XRvg6S zjg{eeCFP#PSek5DbefS*kMoky_YCTcUz2!jh-Z!O_^<;71FcsQL8czw<#oNSj41g~gBq401d55q)Q z{-JgtM&Fc*2HLKN;==N}psS&hWuZ7Nz zK`>CLqS$=nlvAzTB;S?DB4ZHnDbe=%3&T}z$C0!`jq;o?8!R|T*UED$gSGjK3x-0y z+oA;Z42K)bJovUIzf(E)@9vTw_}%Pv*oUqPK1?Qoriy~L5FdF>87Ua6k~H7j4LgPa zFQV{fq1Vc&hG2AYJKNt%oGIXv*<=JF-*Rh@0BN^4LtRWxTizQcFd0m&rL%8zz}6o( zQ%WABc3^$5!&%Hpm|nHm{WX+1t}BgYWmXvU4m{T?V;^R%dGpO0iPl7jT2|G_KVcu_ zKHcV;0pEN_3hftOw!0HFdni=YeqLi2(EKS%)q z;F-lJ3{NEa_EJG&a~zAqz;m1BW;-JGkPPc)NmEnPuf7f%!LWe~m0C1z*%&mc?qf|# zp6`H9eXKz)=-zG92diq%#XoEf_fSrkNtmN5GSWJ?fC zE<7V%G3!o5G9Hpa0*K71P3D?sZO#B+uQ@p)t zzHbgVKcGid1Ex%?UYs%`goN0Tr5G__1D$~~DYIN`cg%~1F=BKZMC29D&AOTt`{-Nt zAv#e|BIY*}ppgT+-8ol5B$W!~BC`DL)-M+9jkT5-|5cLXQcW+58lVWOg>UtTU9$<> z;a8WXU*4tW9Zo?L$%JAJ$y{*}y%sRKJIpJU)-AyiPTyuD<2tU9$*6^S&W@4d+4Hwut04rw_?~*gS8Y z&7|f;SP=#PG?Q0NT{Z3D0H&E&5c!9Fq+8>)rCXGQ&591K_Xo~LMZdS}(9MVHI)Va2 z{e=pRq)wepH!wtqXxs;gAmu>|&2*%1`=dHjw#g_UPoBryuFTgeE$f0uXW+zD&{bBY z5K}a<3zq9mv>t~Me89V_9l$Q#s0QI+!?>oN^C||6V%4_`}2Z z8_RJ3lhh|?y*ay1bT+sZv!{C})-H{VrCl)3B?p>@38kx(s@gXbMEP1d$<-34Vi|(@ z6q2!aHTE;D2xq6@3+uy+S-Z*kg3Nu^A`>5Gj+2F*iVjzK&w`_DnlFW*Q{7N zq>dJ`$&jm0@)@(*noenP_7JZZc1Z4pZizzp3$&t1u8&nGkS$Zm&-p49PDOte+fmfg z^bB~Vh%#%B??#vKj$v|+ji~I{&4*CMN+(Lte zkF_nO=xo--9xRHE*PVGu1@t(y=duaImK|e71l#satEOtzS}`uRfG=?(Mv+u~_vZ#E zV|u&t5A!w$76D2QNi=eJhUi9ThOI>fhvR5lf0)gsR=8tzQ&Pw&Nt3MHzQfaMf%pgp zLU= zz~iiTnAmzU$m>)b1QNx0_%`aHG(SF9R@_0={A$^FJDtmP9GkZsQtJDTuXhwKV60=3 zv^2~**%b8!N|1@cg)zaM*@rhEdl2V(%6F$3#o-Y-59eNF5IXwTxrs!IF> zvz&Krs>xF9u(033){u1jKqCs|pT(?}Cd=cm=6itQG9Z*m>*M{B2xKxDwzrdF#<19c zK=)5{pBD*cHY=Te+zwIc5mkUUl%S1;nBh$qk8uS{%?JbpuPzo!qnsrOviUbd z+TD&d^{bwSK}NOszS(yWb5@z=E$RaKzw!URQMz4jH02F%!hAu$uakRnWl>c9yOJLA zv}RGmJf)c!&bi(XvIUzIYG+*pVeY!Ot__IX9crRb398M{tb ztG{ARvEXjiRi;rZB2|6rMY!aaz$BltV>tafIB4`xn}x?Ej6U1Ug(kbrRLIxemdHRY zp14UGB>+SkRwcPqA=6p%k{uh1tWIB$l-$wLg)DOh1Z?|;^aUyc1ybD7HVNEHCW>oX z?YH3vXktYCU@B4`RrbmAz1jMWUKJJy|BvU~gZ2EdBfR3j+ zWm}}{dS8p1)IM!~n)U|A z5D4zB!GpWgxTbM;LJ01`g9UdQcPF^Jd(g(Aahj?8*PFNI&HTR2r(R7JUH6`ZP1?UF*tW!$v|A^~yCt+6$|Ez&C=i#MV1&LsKRF(8 z=v$($!Y7_D9yM2;BLZ7Kw^F(nMZ>FS9t%3stPfnL(5bS`Mt$ zu~&ydwH~lIK+%cT1KzD+euL6Fn?7988C9^g;@V;Yrx`E$a=g}tE9Oz5wh{X(2@o{m z0mP(edcLN=2xvwYOw5#EP&b()=X9t~ z%OR#wVHGrY+%6N6JNCeex?O|4oDtOq6c4ZNI)FBOc3Q0g)r<|7g`P|&KWF>w2rg2G z4q~0@r1g0({8!B|5v(7b-gp%(*|J6oy8N~B9duUD(9P>Z$cRibqU#4d19UKSOT(Jo zSCm~8yU9el=cYsq$m`}CTvS+eoBI zP!?v%Ua6uUp9(Ij=qwXKS207^UWsrF=jRfOn|h&tS>HsJKN>LX8C6rvidn^Hcywm; z4X}XUU_EtdQT5ks^X--vNH)JV`J?d4ssHj(_;g&ok$Pu%_KUA%mma|>pOH;P(d!%g z2n)#sHYqQlpm$=;nZuL)@;5fi@E2{u%+Jm%=o1Lv`PP|{#3o8B(@z4R&vtcmro{2& zLMC#m3T$q6_gDT&D>nmF$s4-6Wn*e5J6vCXY^7ICb`xO9alPiOvC$FK&rD^PeD7j_ zA-6{m+5&ned%RaMFE=RFiOg~6ODSKMOeK2CMk zI^x--5St$@Tp9_bA&mbJw!=fUD?P6X%*MvE80w2U2g*#wsY5HMq|yIo?r~M?=&p_yQwmjgqbn+pK6lS7b=w$;qV9IKVmT&wv$8f0jlS3 zFL*`GNvzh}siOsSD|~^{W@})xR5$>Jv=toYe3K90r=~_+z+KLNHzmgBz4x~h_Q3tP z8nCTDE?2&kY`{+l#<+15L}F#$Y^Na*JL0<~(eX*&i|#@^urZgUVduG&<482NvB7=s z-q7uNfkW=-p@jEtwAX#x)8niwg0&tC2RfAi!3WlJA*|osQpB(z~y!_x`o%QRG_W;1)xMbOcb@8?4*EH|dcAN~*PGKX#btrK{WLUg1glmRR{RR+Z3 zDCQm!%)bx|(U1`yj*Yu$RVr;(1@+%dXs8G|3$8mWpaqpY-HnH7sF>$Nf9I#ot&o#} zO>tc3KK@Fq50DdpIO6^GjK+7+EoX@6Q@}gZbbxX*joy9s9qk+5QqrD}57=EGuUM*R zDqCa*O{DhU-=n2{*!%E0Z0MaXwgQ96&eyZ`mX&@R{+kB>XZV5@EF|gC+eSh)T?tUa z)M~EAp05MQOHi1}HoV+1y^a^<+I=n>bv7+}@Gx(6@-Bn`@>^`}Q{)~D`U>s$>=0wy zUEiRu;%oVGU{?@E^LDXwYr*4|AXeB=o1rk=1Ai%sVNgwm;BjF=^99j^MiV}9x8I%X z{az~NV!bWJ7Ds&IR?pG)-CoSA!xKp8`Bk_3Wa}b}aH;q}ItExxr19C6`9P&y7l)n+ zlgTPTjdYJoU4~D(O7TkkFmtNblcn_xp;cr~ETE3u?DbGmS6qdW2WFYZ!pA^lEIOQ= zV(q`qbvBFiK1kt0z%gQM_QK9^FH(G1;cPvj(M>h1NJe%6@J+LaF(JmVCo|l>o zUXd%8XD-3Zm-~}@n;dWL4yPTXhh*o)x)PyHr7wn?g@g=HB5Y7R7Bnhaop|v~d^P;6 zxBY5_YJ`RN`m=3HUQ1ejqP8J%;hi#BGxcGIZKl~PA|CRr8%kLtF&H;gfD$P2f0ldR z{>hpVqa^u^H>Hh1;u3syxQ6psT(QziWn#-8|X*Sp9TTJZMG zymPxfo#3U{WxA=*J6{n5pHKyX>%j?QOD&hw^(^OA=Ud+pp`+iRgNs-nITo}8o}7I7 z%4(oS`Iz@viC)?_Nd8D&6+LES`P)V3%zV4my4J%_BZ?6ub81PHq(>r z+;^PyrFqU;AYYm5UU+COc580{21Tl+o+CR3fOEufXP+v$>K2AbQy0tAr8(PFf{d;;dPU>b&$l}-=oo5|Y2)EdNIG6szFm+`sudo=4tGB&)IBUl&6R>$L7hJ-I!E7=23s2Q%4Tdrv_1 z2mC$__{I7Qd5#y2^1$iuw?c7xGJcSwW !COWc{HNI~Q(5f*#7B518?@Hkk1m+81$_UJo*c7LX{;whz#U1yLutm?i^6WL^-d!B;4<+a;UdEzuf1LF z1CMC$;fHyS?I>RjT9>z;Eq;0ay*?^M&!*g_WmO&MjzUSU1ebWaZ zdd)^4KZct*p-U*CNdqmxVh$3mT++V?)9pcf5$S67Ti zU`~RHcNW=Gxz2@SKSR!9({uZ0TzC20v$(Lu#{?%N){(YXKqhx={f!5dHv3aCX2l*` zNEos`@0d;{-tlyDDv!X88@l;YQarx486EejNqaqdzjHdW{^oBVN(iM`JD`(S+bL!L zZJGD@Fo~%;KK{e1`G<&$ID^cBzk08>JJRozmbYj(r$9Z=H*cW{fm9mk$8dqzlK7%A zd%LL6(9iN3hB5a&P~Ez(ud{80RUPyzUVV+R6O<$XI%Pennbgi6*Pn&IFY6NkJs3E= znc*EJ3lnO&cBq0YvFR&<;;%n3)>eipr%DaWM$1NMV5L!Nb^YUkSa9gw=v8!JOB!OF z-xbOm=3iLxWUREUte4YuUa~D`2sI3QQ00 zW6Rx%4S&sWz`h&&Qq`QEO-y6NL0@0#VB$lCJP%9DkyD;b>dV(+m?pU3L_Wjwm-YR8 zqauC{^(iMK!KEc}G<5VOeqk*&rci*dh^H(K(J|ELtlmG`g>UX_?+xX*i=bQ+;o;i! zkPjcXzF;rjn1@F~>0u_5xM zeI@1!@-at2J3#GfuG00aj3i|1mO0z18PL}2ljc}`H}7M*a<=Js_k4YV2w>ACh_|U% zYq&qQ9@q<6xx3f=)Zpqe=YhN2m4FPkB=W?Q3E)q z#JyPJGxg`~m9BS2GQ8JYAfv69Yr5+iJ%$jju|&+od#VNjtHZU zkKAgl#YZkr_w#x;kK$7XA>>{T5@`0}YPe(33WQjZX_YCc#qr#iIy-RnObQ-bmTTF{ z>!ry8hKK5m=@@~N(ykZ^9j16hEdJk34{#VzxbJ6ixm*?ODU<%0E&O`P)cUgH`LW$< zTYC*((S@X@92eS~TxQ9!RHP+T>Z*>!(Hc!+^3d*pEvV0HDmhYiKj4^U@At%GDAbca zf3nSO1ftuXv*WXPIKIaqisR%#iTx-8ei1-8KXkI}ihRO#HsnM)gNwy=HpcQj2sYj` z_qjo?*_&jyXf;$Pgb=8ieD|5w3Zi7F0=bdvO5PMvI0W1Yv1)xlVG}R{$+R%`s z0ZEeOEoh)t)Goko9~^4kXP%m1e#P(;mer}omvEJK4njo zPwSIGe~ZA1cdCAD95`BBx0k+igtT2_23_qG7)*B?bQQcb97>m)mzT@487AjC*?gIgmJrenh@+s? zx>;)xZ9UDrB}ui>wDXvkH3jFYN{m+sQ~S?cADH=`og`# zdsUlCsJ$v|kLiPsC7EEyR3&KVbb<>Ih$j?F? z1ullf4_N6M7A@!nL@+(WRu;FIlisEgxg=Iqt(_2+afMU<9?u?C7GEMrhUe~$oTfJb zPJPuO_Zs*xD*Qk;!6!P3^y&QT#$w2yWAFMTFWo6326eeRtDw>gJxN%YoXS4jE_mDAr83dX4!sS2Q)tx z+jd;PJbYIoa8&6_E9BgQ#%&EATKEt`s?5!(SGCoytjQDUntB>V`w*`cD4St;H>4$* zaf(5exXDXiZUaf9eCsO5XX@G(s;XSS8@x5p!aAf`*2pn-Vn7@`Y|(3!ZPRv@&k|!j z4$(cXOVUiS?&P&qssb@>cD@dt0D5j`fkg@ygnYapkPO=z_$NcrjZ3+Wyea zs<=j!w%lg)+l9g3DVPz|45Cd_OLNT=@rCMHCc~+{Sd(GZ;M4GT?)~?B8Qnxi77`E} zhnwq$4yw7zXE8#~Ql*!En`r*@lt1=*@2`al{`%C!!-4n;r?pCsjb^vRATpxmwEYu$ zzV|Ocq)N)$_8m<3ZQL+J8c*wHl;hP31I6(=!}6g2Sd&)AJy#VPe6R|7VOMUwAtKLl zsMpGjo@-Bl97rVUtif_>NLOfwv9|Cb3Ec*CKk}MDf35YzwSxrbdOn!3i$&z)6Ewwi zZQAF$=2@ds+qL-$YZ~JrxF3k39?auQfH$AAFSN;7{on!cjJ~9>T2o$Eve|u)4p>i| zvk|W=+^KGhZn>(ToFKZ_)I|1*xhrIQm=ih(X}@3EO6MB3WQNvFiF%3G&bw8CZ%k3w z0h*BJ&5sL=NmV`-kuA4%KT|bN{huGw#(nP9;`|=^@D8eItM^~i%m)j$vY9=N2^{n0 zxAV;j=!PZS1(Vp@)i1jZTO5qZ4QGUyI@d^hK@$|@S_Cs%G)5nPp~#1Sz6zQOm=4Xv zE0M3n#{SL7_D4d!Ag6Zxrnxj;VVXvOp?NOQ@vCzcpwMGm7tmTjbS-0$65SWeO{Vk1 zqaxDk)TA}tZONKCCr2<64lsRG=+xURCgd9R9I8RshE7^9E50Kaez%Tw)_~jt6-z?Y zV`RRHSy+H9U%WE$4RhlhCv4inBiYc;lMpGVVjCCzw3*==uW@^9<8D;UQ%~fO3x264 zLj+u`Wy8qNw<^9HF@u$W_B}f^DEcm1W$}Z81NnqhaYQlrNEF$c_cgvi!7q zxI*P5Bv3l(Rlzmsf^(fy=?!(VzG}L{-$nJq*YQwDN5xrgyWl(VcQk4r;w*8@VGc=5 z2Iqcq)KW}c&A{Z-oX7Y+9hxPL-uloQIt8+|0WaK$bzAK`K(9x}?vU0UqOr%z>$b_Q ziA4p~ggqx(_d}9fXCla7^i+2(R1%DI*SR8Gf-BkznmGCrdoTr#CP}?NNOLpvKaF-X z%<1Br39RW_SxQ2V#^?8RCTm7?K6uN1QlRom3K%`w{e*yC*>cicN=w?4P<*FzI4HNX zPzVSKRuZzf{?2?Xw#(yvx|*iqf5@}Lb3@HH6O3+&_C;N+faP)f3he(5ob%$dXn7II zh?CS@YgB(}sCVZ$k+NFp6a`*{BW)tfZpt1W9ml4Q^jC7;8??pxw?ajEHyJ%%v z^9>&}j)YV-H(eD}PA9IW{9Ua|LCtlpHY)>b{Xn&^GN{1Wp(xdQoAZ0#qWEv($n>Z;agIOKo-<^TCh_*4ki5-fe16KIG8?ICBbL>j@|P zzYhG*X_bUHU#Ps;>b>Up{y$>_u4bf*l7}P2v~ZFA|GeV=9!78IXX43-s@a;c|G4l+ zglRFOHfy0oENXq+!t8zVG(Xg3nnDZuTc|d|s|1zKttwh<09Wv?{#qdWyUGzxZib6T87(Fu``oDKmx-}VBlfw z3{V&(z0`X+YKB&f8h9Vi+B6>&WR#8@1z@ZJYr3v8AO_7d?t9{#R$jmKl~tzDZ{2O# zb`5|6XU)TP zO6C(6@KSufZ0r}Z?Kql92hg^R)NYO%Z;qc*vydUZQh)_!T zxhuztXajxb-@;QfNR!41gg&(0S3l*Lb*RPK4yP|42qYu+9+LFztnC1^=KL5reT&6H zhNw$ojTXqcqt!MBXvys^ufyF;jiB_i+}-}@O5r(R5DkoXJCZ}z`+RC%xK~tCbhGl1 z@<9AH7J>Srb(DLV6Nt)<4-5f?F`vsoipSp(v%2LYbsfc~^SNeb>i|71=` zA^ksPt`PceOU2cB4{6s;K$ixkE0mb^U&> z;TP~EHS81iu^`nt%IW#uw5%WhT^J!UdZdQJsA25FhR&m07$KqK71&KiE9~5Ho_<(w z$sh0MI}Fl`a%N`ZobPs$c|N5(bbmpfFcQA=KK>9Jw_g|H+wS?2^0TsPC|!R7cAVv! zT6l7R!c16p{pFYd0hUMdd)Ce{^&*m;cBzFHb!2lcUNu5VD(ZKYd*H!mr>(Bs`bshukB(BNANqnDc>Sf_&6M>-70&ls9(`>pwK>nC|fP&P(6Pe zL|Vc4AC77wrbi1GaX>We=OpX5QjULJG6?9}NLABch5!-!SKrdo>6yVdW27~FXIr?L zXFpV>r6Lv?8`iwQj;N;{&+rVBUSJWj;X)+BA|4*VgJ~M}=_Y^ip5TyRx>>Z$vH;jy z@)Zg69Yl$i35~C!`vrH|GK!P@@(nC@ijvRgvwr^odrXcr0D9Whyy&~my|oxk6(hpZ zOnm&$kC!BvQlj`AB_sFBhL~^1$eb?u#y!V;Dh_j4^Z*x@`TFg*MTySe@{0rtOMnR? z9BKTAI;=G#No7UMM>wmQag4_=%Q2B&`-37|&*s$Fzmiyg`lHRZ5oQqDt}HJvbo`br z)AJnqdlYdEM$74!tNdPVi?%KF`+ybS`5#=lnIJWZG}oZB)MjgnA-^awWxqjGq^rt( zK!06pSmr#Ruq11oIsPJTQ8cdbj2UlhMAU3cE$e>9ua0qga*q%Zq@OEN62+?$)nkSt zUM@F=b+HA!-i+*-=e=(t(y3Wbax1}Hiea`j6vm%w(hX%Vj z+bF8f+80i0gl~GdaUK)!W+b<3D#*59DEqBxuD3`bvB(BrZ>(6wFCxxHtn_lf9W29P zN9`a&ysa9R82oKyohE87CQ>>%%1j?cX_T-oO5IKm(lBtTd!p@4dbbZw@CE0z$j^q- zpp7zjr`=*1x-tD)v_qC+U$Sjj4Y$!!dKw&wCdN6s=X}&Pj8^5)zT$Jmg&h$)S`qdS zNMiOK#zLm&2NNm=G1-+sgc*TOYh10N$oXveBU*kRu_C*c`Y1wx&i0Q;wN*MoNS5eD zhO0kD2<_@cryeEVNA2G&GV7E?QyLl7%e=`R|7{(AP#4M5?dT9|RhR}@#~9-J3hvw< z+`~B~W{WQUPMb4BGZf0LE+Q*CU!)kQW{2}eEs*XWL^FvWr}Jb{*+yu!B$K8p*er2q zf=WR>WGL|6OqZrQRZ%N!%gQ&m{pz+~q#c6iRwq295THfj%CYlPaNdoJeH7(5$3_ba z#%`DTvP-m6R5o*8>#tvO#;e3VLMHBbPFoMauS3Vb7T>g-4SuP4{GzVe0_;Rn^U3=- z$FN;L@*tU;6C4(>Y>N8_O7yiTof@TM@{=P^Lq^v%>`2lE&>y+KEGg;{t=i0kKEiLpIv)A&uf1AsgnV=m&dKykC;hL++U&s0r-&7iq}Q#E)nLe|Y{Cm{!Y zTcOn|d6oI?T8$#eEK*G+FDU*uRKia!vThn!+_WNzF8=tTy7sVPBHAufQ+`TVWGBEx zK;j6Y+%W_ZG3S7}wiff7>xN5&N$p8jK*xHAxn#WDjER+zlC{-CxK83vyESo(cJcQa zBQ*(6-r(_k>yQF6rwZbP;VcP%zpLL5I(BfUshN9Xnm_L}136>I*hZ6@KIgv!mD zQ_fJYA0nL7?h$_!Yxg16Z3|sFE6i+5;szf969f?_o&?!ot&ZZo!U(6#8IBX>PEh1UVDd#?t646&wvaPg4 zQx;zmbZccgpi6fb+yazTGo|#G9x-g?nF;=JUCcz28t}NFTZp3MtR^}q2TG(nr6q{Q zcgSO;@#w;%Afq;uwz zovc2Y{5{$tvW{H)N$5aEQpRS!6smUn739<1K~E~!h0ZaVFPlz*#8r!pbf^od@MrHF z`Ds+7jL(-RfzZ;b7%w*dg*#}{#YL@!hzZV%V&f-~S)NM7rZ zTU+tCklZEqu+hG7((TL&`sw)L&e!ifuz7g23SpG&$KKc>`-l+pQ*@IxG&TIsw}Kxt zMZFswm&Xk+N8Yc}z;wTuZRBWcL#&%kykptFkj$WOfvjtSX1IF}#k#N;&E(nDNs%e< z_1`@kkGpIVQ^%=wexmZ6_+0u_35KZ?^oi&!&|uO(DfkW$;UqB+;;WgLcNMM(pL&k# z|On_l!J}-Pvj67RerT2>&M>?wAw2U}! zNy-Dr=ZR;O4esgxCAEU+>`8DLlyU?%%fX0kvhLITtdP)}M7iq&-}SxnRnlXA_#0Z^wlCFwze^wU+Y*MWxb0VjJ99l+>R`9v(D|y6X&eCwW$!p9v42%YSE~tR2 zU5N{nZI8=Z98UC{fs-FV<>O-TkuO(bcB^H}#L}Nl|UU$Dq8fi{>ZGZ!Tu$1KcxmDCYLIke7-tlp-X;R1phCb%DWH5r$*rQ+Zj zv-iyCM3Z&25b{xCMQM?~1maP#R7uGu9-)j63N-v`O%Y~~<_Xpmg4%bL5w0p0u#NO7 z#dJi&$1MW1^-a0z9$np<^|IuXx0^gWG!(qZGg#}6tWG&UL(+wgQ%yl7>y_2~%~f_E zi^(Jl>x?su9cEOdF}xWeqg1a{<dZtEiz(`#F zppTPng@QYD=EwYqQIuuOc;+N^wS}6lRenQ}{mm;<@7%+M#N#KqN|a`s=Pa8qWQb^3 zBw`2|zknW7F&qJ6Ik$ZJ_$0NAnYvPT@z;Zq&6_~fJof(gEr-Nlbj1{!!P)m+fO41_ z3B8BNnj<(;=yP*(R~)}X5^=nwB>{pCyDC zGF^po+``vNL;~N<5nq%n5G=H0n`6)SHU@W=w;zWxqbj-#XsY_s(vEii@YAYNqsI)b zDoLmCf41Pk?~T53D0Ad_o;XhsBd8kua(>18hHw&(PVfs3w<)EyA7$qNXPf$;(5fv6 zc-T$qL#ZOAKPlp^gF`vIXz$|wm-M&ENGzy4ifPIsHYpN_W=wU`YV757s$>yCvtVAx zt*JJ+C+{xm^FV*KjxzD&WlKi2%YW|fFJAl4 z3jZ(I<9`r@ln?(R5$sMM!T*FF&i^^CEo*3*_5TOc0It@F{tvhaezCj#9~b_J5P=M! z9t28ymI}E41-n@M11>mTu~I1g*TA#|0o0?-V~HR9>%TA)R&iijnIFF0dHmWes7GmJJ(!T)|SBhUjPC0MGF#t&%_z^DNRj6(otEXgp`ZNWH1J6Z6&dE_60(ew;|NGoqx zYt{jh)rIOK4=4V4cMFz&6DvMYL}O0KbXjO|*|^t5{b+@N-AuYKJY%#s8DcdTV|A4{ zzc%l4hO5Qd-+uF(ZfAYx&(bCUzt{ks`MsNa*^yL=@!_t2)HXjjbq=kiLGGv2ML~RlxHu$TFw)gv@z_ZIlJR#e$!o zQ=ozDACDux6`V$a67*H(azngrcObAKvUu5>>(py?Z8WRhFY9d_nl`1_JeaxdKC@cW zt6H$X&9I8wVO+ZT;iM%^1imd|XK>Rz&qewU{FCs(8))g zV1iukghsuf6-0={V?YWMoB-t_mU9E~jhwU8+ddp#M@*pUws zISatz{Fh|>1=Lcy9r^Sr3}oNIApUK4z<=#rg!n6y=>fz$0gg3?`pw(X0u=)-0A?n{ zEutSL@Co7;G%PQZX_@8gcFE9j!7vKUutVY3>a3RGYU}+h#BS|8+C8Dxxm-j+_qY56 z9pI8r)Sb#`Ov~R@HE`?7`P8bSX|uf=?fj{V>W0D3!n$TX zGcAd3RY}lgc-LCm1~exH!IfIga2J~K*-040xYVr(6P{Ih%6xv@8-Fv0+vdIEjaT!0 zm-TE7+)%iwYJY#Dry_O64SenN$pA>-?8)y`S?A}!P$~1jFZ6HrgzByHmkU~Ke?Z;Z z6TWFOF;h&+s{MB_L3koa=v!wS%EZzE{IjeI7)xC&KidMEpUgR9dK~p&LBEIHv(UV zw{e60oE;9OQS-@8vY`R`)W6MIO>}3Xmcin`wBu;Z<3Nl&KWye|#<9@m>7Swh2Osx7 zuG8umF@#?vN4Wb{7d0p(ocRAHP-{xYGu?8mqH;`&=J$c_UJlzwT5rky@;>!%l*nDa zDSRNC)6#d8be_|(Obms(T&521(S|N{xoj3IzRR?0DxvI&ef;xDSfx6fag?h#tEi8s z{XFV|Z~l}d@fnH4M!6t0n!`2o90p9MiSKqJ@WD4|6L6+LZybgXY}gI#ccCNj2k)eS zH%j>K4*CmMu_ga1P-Q1A3R;j8UXYs9M6=|^Z(dPtneIl&^X%U7usSO8G%dpQ4+Fmh zE>`7vWJ+~t2ob19kiGLsbIh_jooaJ*dh`}d9Awx0WF;Kf`GygA*U@q0= z_i(I5DqisH97`NX=n*M0{L>aBOe&`YtsAeY^)Npxa%F*KHOHlV3x0+W zy(0agA8rISuI4S&ky__qOJ z{~{1dmkR?*));J~l$n6p_udEj$=rH2Rg$LI3&1!YsJUjF6?=2{FUp7s4{P3D>|RcJ zMNhGQp_I$aFS2RB+ogWG+sj%%0ubS+gS6IzHCRf&poN%upEsS*(BF(hM<5%LRNb)t zFk&fOBTmbh8$~$LBxxI#ec=n2b(X%6rsfZaw`$G?X9(56Q#~qtl-ChN-32eV~%pbNp$A<|D8`14}i|(g>U8&avZ2&Cw6=$lm-mWNEj7Zl0b@$ zn=J}_X#iwQe3u7<{rc7VuzaP{>cSAi(M50L1bgC-;nRxia7?*7dWJjkOpo?!>G@K% zT;W1{V(Gu9eu+3sQW+9&V97vUpiG$k;FECm4+-zB5Xb!lHAT9<>RJm;*01MFz@xdf z%Vh5<=M0qRaQiHn}a;<{pgcIZ05q#>`^Wp{lPZ8qrWDz2H0B{X*?enVxF|0r+DwxL>&EOUgh9Y14+`)(%7M0fQLHvVr#rM zaX9Ry(Qi}!;RRUNe2LB~On9Y1)9WuuVBw4#RW<8q__H*4s*e=rj0< zvZF1fdk)RKAf~&a9ae5YXxf&dxfJ0V)JEvzB~9k4&NAl!Gug3y&Vn%mVm3ZRLe|0 z#uj*;wwz97x$XWXosy?)u`?}h+kERTSDW%TFJLixUh^;TyPF0aWaf2v-R_PmEk|2u z_&b}PM%tYOLwT0dAa?bsAF2~*R7JJ!68B(Dq4{$$+Twgrt)s`9ch=pA<-K*mC3WPA zfqc-B&`r}g)7@$PvR#m2_ic)z+mof(hod$ib;`W339@~w7-hi7v>(*H7$_+ZISx`) zUn7wE%z{^7FygSkhZF8_Kye=hM=UKAI=1#L`-n~jX%!cFDDAi}{mzsb1gXV|JKWPg3ajbRh2&PM>P0m-!F&;`_~HpRK<&(aeJ@Mj z1LW`V+|QggwB4o*_r5pb{dE7BC(jntM1Z8C)B)c>7Fj^ssZU@-&!t3$Z&JXGyKjCt z=ij972^Q9-)(3|9fc@`*1cZSHXTi+1SgHXvBsB6`>?Mo;U(#^bv9=UzwIyZ0C28;e zU&HVk55(xdGHLKLtmn!GK(-}`-9zy?6h%j1aN^V~@9N?asK;7W3cMLWo9}r6HOx9p zX7!Nu)>{0gG%HX5ub^Zw9kSoVxX?G8$LekB__VkxEA6ONnP#AXSlkKQTq~>1+o04 z61n>s!`70w5<_cTTyu@K-C)S=gQGU#y@|r;I^ljcZ`L@_NoZLXxv0_Rz5o%-Sc{K14XI7tY999~7rGbU&5cUVF1N-(F83W$8(9 z2Z3LdzAAO7PlPU^^!4p=XShtsw6T8mu4-?ng}y{4RUUe)IOSG-K{ifYx{uZ8dTfsV z9-5H$NKU%klf6Q5cEqD)-jDdFG z8(6{2J7S(D({VYmtc;HZyvny28>c`$g?HTw4s5@dM!YSw58Yz^S>eOBPizdg5$h@W z7-|QdH99ZsDviBw!d6W|4o6%#KZrU|xB%%U@lhMHlzS!d$CQhTKgUZ_<${qqqdLd; zsi0GBsZh=%v!XgezsX-F*vivEkTXca-GKM7{b%{3@6P+UA1+}*ZcP39Z zo`vj9%p!^}B{p4;|9Q`F)lv%jcraeC_Oejy!yge#6A5Rj4e?VNhLXtN>hXw?Ja}Lv zv}f6nPo}F@EZk1 zLxeu%Vel+zOZ7`%{IFBonA5S@;p>dsR zXfC<7B@q0oDGEY_zc$ZpF=#MfB53sSkIUaU2&mo1vnzwKH_a@vwbTZ3@q;RaG_K+1 zCmP$Rn_2sien0UA)@gUwC2JJjNw4;WUdB?i?&J8&4TB?y%3AjGT)i^pQy$!J3pYzU zogqCrlY}z|y3i-{jiR>n-rDk!4@VCnc29YlX^rHe_2jbEwO>T%i<4 z(d|o!R&AQwMwuxo`-UKeT84KOo93qTshL@6$aSEMjfD1nRBd1WP{>mFbXTLo$(L~z zgc{K)3f{uM**;5YxC@QLtZtxXCru4@i`fgu_I(kq^*u&?%7dd zH062I+S2sJt_t}J`bTo7u%r)o15d}+0f=#9GqKjABprY^n*pRCX|l6L@r;B~g1NLX zy;B-5u>>zvS&RLPG=wFvz9`lbM?6XFYi#akVtvzcQyDNe=QoZ}+V0Q~OcXds;o=&K z!=rN@^*FX?<}SonCPUh6+&ky3dqNO<7tNiBWbMVgcVQ~redbK|(04mf7>=voB1uQv zRA}_Tvhet`*LWRwhIdy+TfZP|@{0@MUNM?@PaU!KBy(=) z2dSp)qD}>}*>ZZMNUgZf$AGxHbc@7mT4{JKuDMb|vXkVd6syTMWxrPG8Fdm2sT}`p z9>3g#)VP8STH{0MFb#s}=&sO_B7OxI5FfO~!bF1O#P6`suOxwKPA6HbTQL=Ug+yMV zfsCEs{uAE4V8Zx3-0>)v)sYtxz#mcYyM$A%?2zj~LgnhUHJ#ZkO2w&_zb4f$9P()v zIq$CTKTAPG7nFfte#m4-LWp&WF-eb4SJkpp2`95qs8BN_l~5t>+c7)YcnrDKTH#UN zLtL#4PJ*(SUm*4#nMagzXKB|Z)vw0Vh0swCmTHg%4J|oh<<@%cK9QM98VrR=6B1T9 z$NpZ50e{rJl6%H!%9rrge7a)l!|e5^%vH&x%$eH6nq(T{=}%dY6xd0jr}LM8$zt9w zpaH*|`Bdt&t1qE3IIme3Q`%2kB3M9nX1qf;M&^;asJi|JZC-*T?kcyaJ`lxD{(wdM zkf4vuGxWsq75h=Gu`Tl5CIu#zzu9{o-86LPBRrF}ApuLLJ5 zCQ{~0D&P00(F?YAPx5szB96J@0zCxqhThZsG2Wff0k=X1 zHBNV~uMG-1r3a@8LQ~H?cyMHBp^&mkl8@%`+~{3Sav5Jek*Dpd7QIIMsxbKtH0A`? z-Pfr`se(K4%NuVtoyMutB5a$_|LiI2O%sOem|D&$cgyOC1?)pC>(>&KZ@pFd z<79tkNb91&i-H=Ros!V(Nh5f-0~Ae^-;yCY=tvU`nV8ysM32iD6L+LbTj>-|=&l}P zNJyrMeE5kZb)n*SH-NRS{@s$+c(kgjPe~WdYg|fxcynYa(o!4MdcGi$ryuB#o1Zn< zrhGKi%;&k_f(9u`V;5*2=;N$o^2u=%(9WWby6>HtP<0=Fh_F)8X|Tktneeqt?{Fjj$3IsXapVHuTGbm-09Y8PmTt!0wYsc7a= zuD)xQOu3-)*_Zxtg_)Oyr8> zy3#&o9~*@KAKnYloUY^`5ZSs$DuVP z(wa7cUg&UlLQcw1+|k@QbhG3?kjA<->|tpbd>?r|fow7->M!!`*L3f5p4eqqa zM3Is9n~}6POXJ-Q7e2NzgJ)ootaSncgr5BEt?u{WG!z$vmxy8>a>IR;(SA9NKcw5k zP(W;$gm}1dmdU*|@@@uEuzC#YOa!YQEUEAS_gGp{ypdF84T~jD!n~ebeK(P)Q1yqo zgbw@G$>u7Cn`X8N)sn5U(TAQ;@&%Opq-Ao(8?zJA)$;n31=g@HHjCK(I0TV~B)ba} zuMMWjl<6WvaW)|eYkQElL2)Mg11v+Y0;Au4N&Rj1P$~M;t+8UEo33mcdxMM+<-I!d zEIZwe&j(-79ihN&RODIz8x?E3J{WxClRn)w%A74FGO4>7-8G2TsY|CVVJEcx-5yRE z5eI9KP`C5D;p@vW`-(pf*0_7fTd`ZTC9ZLb&r;}3DtQnGRW>UktFh#rbh8(IZ)2P| z$A-6WO9#=mo>aH5jOG|1^esAo%~dG{?YbOyq28NAc;`O?zIe8ihV2aL%4$hGR& za~t@7m3E#{O?7P=w(tg!q5)p%BA|4Y8tEv6B7_n`6={OB&_Q}pgY-`5O+%4h6N+?@ z7L;CtG^tVqLJw`?H#6T_?~L_t61N*+1N(MiOUt$Nfg5bb%cDBsZV+%<@Zo zraM!=rhh{72d70gKe@PO*mU-Fi`bVq?>L7FgswJ+AnorgD!I>zJx2uqXtvbl-X~nQ zqp?TL#tc+cR$$K-(zUY;qim(*?}kCO&!E~=?f`C=#s7Qo_Rsm?t7A zm}WcGY~7RMp_;ak#0EYFq4TD&p9jkVI$gX9O1}IE6~3?QKv9IM>bKfV(W9`$Z5c;c*$B{#+e-C{8+!%-Td=RmwB4%JA z=_-fS+Adb^Y+`*D=MlUA)UU6AImC(g6GG?j-IIdW1*is7!`~h8!o}rXbc-vdmj|Y# z#lquGUCv@wjNdv6@I|2?F4`DS;t@=?*7tbRaO15fv%j@hUdi{HXoibBRa zwTn0w517Fw5^{})nFJGRQ-XrSHsN8*g2|~$lk6|ZV_E=D) zWMHIgnPji^!g%8ppJX`M4YGnhP&SPBduD7)4pVL*XW13L;Ks@GLFmc1Li0EMw{A3lr|$Yyktnx zEcnpnPwm$#DZ?@I1%>>*dlaz7uEQPQWvCzq^>SGbT}ai?`}M z4?E73uEY0?mz4AE)xB_Mjz|ueX68=^Y`sdYYj?hUPW-^J8H}nFZ6M8p zxB;Bi$C)mAtdRTWX9%Gj6ce*$7CLd;KR(lIjwS?ZnN}~HE-$mjprZ+RkEc$8>jWJ5 zl+w!X`=#%Ebp2?z33+dgW+&xm{K}}k(JC0GzL9TfkLh~_ptJy+20cq3RcLuB$|>Ak z0t~M5xW9qy-emTtE@Vy}jjs7zRz@g2o42DZS;}%9tudR&ET?+hr`RJq$aN zwzd}~nxc4pVg!h}_Ad+u9GP(~#mRO6zbxd=G|nBlP&T)n_1x6RT+bK{b_h6Nz2i00 z09}qCNTjS5%n^?7_L{OS*s~Y+7>Izs+Jr`}-6+f8;kZQ25*F>XH=$Y?AAoH8pr}03WC(#y9uuKvF0bw%jca+jurPsCUC3;&98zE7tHOa?vW^)0UEAR1fYllNTz1Ru-D+^zl|jQqDUphMT|gVOeC4J}l@} zGlFR|(u{=)MNxSNM$K!{Osh(94oC`$P`*saZKhd~IMbS-B$OHNL?0dJh_VusiRznL z&oe}`7?q9-WMOi}RgVSE3*bnZ;KCMcamR3YQfD;!)o1aXH$&|07T5;c8JiTh_SXiw zA&8p1#@eulWRCQgY=PNDMwW2_qmb9)t(=q=CZ9To}UQ^%TB7e-1RZm4Ksb89}-W?`0O>y^s*% z=y0gAg6S9p%415#3JOMA)WxiH^k-i!Ik(UA4t<5@enWQbjnx&|O7I;hxMDP-Iu$5| z{rxyD%7SyHNjJcbiwdtwmE8ST#j}?LI$~X{^(a2^pT+vLLQmC4gF9xc1(qx_)xWq5 zak+N;3Ots_nsDxQ33YLC?Ad)m#_^#_OLmHud^fKdZax3bL=EM5{(7p=-rh)I_A6J+mtr|Lf5mNwwXoX;DbC>NJ)guqk5htV&=Jz z@Boo;HU23`JeEpcC-DxJ6@gOP1&o}Oex9(7G!Ib6Z$UK5#Wy9 z=fS~i)zdl-=QxlZiLjc-VNo3>=lv9EoB_Y~1ySX8pwKn<*5SH~vm8C@iyS;&LzT-S z0tgn;(}%xNT)&m4P|rg(wxj*HuHB2l(6hTD4=voU=%i?6_VlSai%#Ci9#Rr|1$KOq(vr(13sN$rmOLQ&c4^xw4<;KB>NCx0?e>33E58P zf0tupkZCNmE_0l^c=duVuyOuoz-x!P!ju9$cMbrAQ@BTaCkyj7rAFJjk?fJ}`ieb< zl90Ui*|Rl?gZlyMOj8t7y#kc_LH~*V6*3;{Wfq%OHekS_Xcc_dFXq!YNn7d5kib!+ z`d+54zuHBX0540{xUtF)bvf(4vmDbmq$cwpM?l^MZlY&m2;rN02#C}=zm6swDD|qd(CqhYJ_5yG)69*u0Oc`$0V9Yw-ivikGMB%54bj^ zNWCHD6$yOS%gw#tYgf|oFglFSKPBNMUE55)>2LvTD?xUQ+zPbHgmJgfX)fzaAG*rD zJmiElw5-t>;?9vcvh&GaqPugKA{e-;A{N<88I1H}E|IZq?j&1U zBeB=VJ>Blsb?=Oka$#Fme$qZ-Vbw5qrF3MmwB#ctvB(f$RMHJZ&8=b*pEzScA*wGF4oFhKow=^YrVcj?G(dXW?_N1DvL+i5WNdQGC|k2hXsU zJWV5$0J+1zxQ}KJ+agG_o}XLu*q-mbvJiaGEi*>wVk&hVCAZJijbsVS@hfZN=ZGq{ zCafh+F6GCtLhLdz*?VskfQHM4-H1^S%J-g$IjZuE{K{QH@AQX*-a(_K<7AO#u8wtI z? z5zacRhSL)5W9(WWTVwOj-Tb(T>{ht?u)EvKTHFR}h;s~b8Fp$gh%m&HSzuUX7J2TR zh418AD+oPQmQ?(bmyx|*CpOV>D}A3K2j{pj$~Q2dEm(p*O2Oeqk`h^RIOaUNEBVYr zllm%Ut6xxXVlw88N22#mxY?R>YkgS`YAjfOt{BuF`Rxz8+~K!nDMff9xsekboXD%1 zK|om9<&x%wBEWkD-V@JqI`h%9rJv)oS$bR#G;lPj=o6txd!{68g}n0 zj@IUqFXr{+9{T&y0u1We09uzl+$R!cjGzRqjm`l`)G6D_KwL+H_+ zmO(drO$TgX;=1)r&>h!@uHQIO9``c|UC19k-fPwjU;QJOZ>|cSVfT*3DF-gx5|i62 z)+UMr-R9L8?k}HNE$cdULwhylILgtFPZsO===#F~&U*^X&uf}FBI{j$)iiRd&N^AN z>?$#=irL0FRK}SX#+>#$u;mIGs7-zEcEdz1vohiZ&|C>gYI9>^GN}V#+EO{L)3f@D7aOJuj5g_jzQ zqvJ4D)LHEskj#V+?dWA29kA~k@LMu0t@I{2Z8eKt&;1Fqo%?3fqQE8@wtBs}P!E-h zdOUvn{V)^-5UNNF1v)hsrsb1HQ1>FZ4Z-iaWdQL%#OQ|#p_w^% zhl@pN9w{vF4ozsiRGsCB;b4fy2yK;W=q6;*XLQe?>zNXt--ZFydxfK*=qLAH(mBvb zxyy;=+sY9oqf?F>RB5HPFUmLDn+ATRgF;v<6!+eJa+qj5s6Avk@VflGmZrifFi&m) zeVN`B8XMFOt$3IHd2f)voD6|)hwC7RMKyu1W)E?)`G(<>ll}~44m4CZ7OT*OGY%X3 z&u!7wDwwKq9{RJh+q#(PlFv43Lw`AZJuN$v-oiZ*)oh4}?U^360g}V7TO)Sf6h!mn zMJ3Nqa=oH;p-~rl|Kf`^UD&;dYwh5BN+fiqq1xd=W7e2d8`NRPDaYZ*FG;q|vWlO- z?~%$e>!ns1R?@5QPRopJC<^VAo*mFbbx$@`9d+P>uls|u9G<9O`3ic&9?mqG zw>w9ztdMnO0fdsHXti46$xEAhEgHYIbs*BsCPTx|6$4f3-9F!yG*NacBucE{6R@Gf z3L@rv?LthAI~xeQ79dqM8NeqW z(UhiaC*#m7O)C7GO%7Ug2qA(jJ=pPq$cbo?F^1OgV^-Ek8Sbg+)Tay`osRQV{(K{C@Vcd&%Tm?ROUZO}5mZ_sVlR=ibaWOtHtiOT1cRkF1r z5^0WoMj+r_k6y?9v6^odqx;$g(wnY*$!qV<4jJFnh> zyXx!=$&~waxT0K2-+rJDvhH!q$v3LhnSePnAJqt9LD5h0$4_N=-;5onVE07S;Xd5= z^Oy`0mPsE|({F(*8J8=F$>$;^z4QrQzh6Q$-<@a?S@MuVVJbQ(h_~{!s)f|K_#AJI zb6M~U|NL+8gXgETfsoOaEp#*UH@3flh}!&pBSQ(FqWI|m17n644rw>GH>B`f*X?Ei zE`s;#vS4u4qq~kO-8M6VdCM-)Fq~9*m)#-}G8S0u z=4@cH1!v*Gmr{Q|Z0cPf(~vrzNx2$$;6N*jP!Q`{Z^8wxZ->dkr3w~#3>|z#t;5B3 z#%FHgp;CbkMN#>7jXb2B3{H%T`Krh1KY8 zemd*$iS>Y$ZA6AEp0gwC;QNOQQ2}EUBR{erStxQ>7ZcJMqA)HFT%)|^z-h*jS8DvR zM%%C)WEq5$L0%u0_2o=We`6Bftp`#B5bWsALpqd zb#ra22xFcd_8B%o`-zv+4(kN*aEABg!|upkLWyQqyCA~6;>DJ=9~*rF;XBs6=_2i7 z6)Y`OatF5He{MB6Um`y54X8=IVpS=K=5l)xONBTo^#0~XcLVtvIFx!zHZ3%{L;{rX z1(H#?uSxwD+F#MTE(Y~iap)%l@&Se!Ig>&|Qc9b@7=G>e2=Tes6k9;$9lT_vt97^_mEc@-$LBy#Lc zmq^!>eq(sG1QNW+y$;_JrVi-t&gsIpp||E>3zvs(C;tE7Xxy({#pV~DRLYh?PDG-< zi!Qxa1zn`B`R$1rZx?B~THPy^AOTMirTKMS~eI)Bb?S$8r6^-%}tYt+cuAy{} zP3VTwRAAzaAC2=rSGy!{2#!=mtc2Zt&hOAdRc*&*)GagOxw5y&ZWbH`s&s!P8y6~S zXck2@o*vJA6j6BaEUeW};Wt}Rd%G9^`}>bldg9)S9RGZ$qA#xQAt+Y`y~em4_!*|! zV6c6nWwl}E@LY7r9e<+LFVJyJwx+q1`g$UNrS@?ulUy=Hf}YG^4_<^8vKpl+ulL%v z^!~v(WOHh2FfRB@^tc!Q?KG^_p8b}_TospO)Y_E1=-#tGvPBhSI-EXdrIz#pbcU6G z1aZJ-(!{OtJ2yW;68}W`#FB~Hg1Kq`4R-uDsPdoIFBZS?qLe4Es{ULx5oKBW6A<;= z>jm<=RoVhb(w|$+1!hYBPji|7>O^E15goF)me~7mXPAGsE{aMZ{XcFA{l>T;AA}8w zOXE*NQgX-tZ%>O|LXmPzO>*7BdR`*(?H zsQ3E63;*a?Yszniic2e1;7^1V(cgzt())kA{QRp~23jJd)J?*d@LTEmk4pcuM4Z%{ ie~JJxEdQ@by|(h>yq+m)fXn2~-u(wXATT`u literal 0 HcmV?d00001 diff --git a/docs/images/signing_pseudo.png b/docs/images/signing_pseudo.png new file mode 100644 index 0000000000000000000000000000000000000000..f5bcf0fbe4f766a58369f4f2b5d1b32bfa4921aa GIT binary patch literal 95982 zcmaHz1ytSI7Ort9E~U6@arffxE*me!-Q9{?f#MFu-QB&oyB271DDLpWxpGeLd*d-i z#?Ic!lB}$(%=yiK5~e6Gfdr2W4+aK?Bqb@T3a428GvmtP-(|MGoZu9bM zMbA}_9djBbl{pCK9dRUjg!a2_9STCGJ?gCwAbZ40MS<3O}eK&o~ z&HapZc2cF(`kcQHdrLZML~(O)K8HdnQe@*E`;__eOS-+c^QW_osW ztgIV;COA))&P@~#(vo1W%i2xLA;&@83tCf=H-ssbQ5FWY-5oI2>c*NAWe53%>EKvnbRs+vxka z52R*JPIf$ujIOS(46dvUwhpF@%-r1Ej7%(yEG+cE8T5|sHcp0a^fr!U|ElCa^@tif z8abHTIhotq5Wm)I_|ewc=>sY0YeWD2`&U1W-OT@P$;R>DmjzrP`&xsF11~ z_>nGb^4orNhRyFNa9}3Qi%tax2l3gDnD{>*qD)ZVB&x2Z z9ihR61W{3;p#JkAPTUpVTcTD9Ct&~2^MTTWZX%nD)kc>ijGf72;U;SIX0t_Ta>-2o z%k`F~=%Et-tOckO4h0Soo5`;XRE->IwNRnEe|@|%+v)rAds5vz;O~z7)hz>3RC*8_ zthl<OnM+}f`S&#e zyej=MTlhXck7-XSH>B&5w?wxDnw$T2lC)5irFeSEJtCq66 zAn7cE@B>eOC|UsOOUdfx{>*!=nomyWJ3|_CP{kU5baoS(Y|~Ek_+s{IocELo!}Iah ze!0n>43kDRbUI%~!nX72`r_?w5+9X(diG#6(M$@fDK$BHAEU7}$)7#`f#A<1^C^wP zS~|wh=k90HDyt5fINxfG@ko)`)VIrDX|~g_L|%eYag_O8U4jUL0 z4-7viva%|HMzcl3(3K8mi}!P*`M+K4PB?OB@VXX2K*KYwjiqt!XZb$oe5H_aXacH{ z4e%{;oy-=(7x#&e(62Qalf~_=bvx6e(f4^v52{-T{aX6R%@l+ZA|6H8vVCgvykQ{V zvh!om?~q+-v@IkR3ukiV@whaj(X1j?tJKS=&}*00ZnXLN4FUo}v&8dcl{=Nq9R2BP zLBGy=g`>rO8zu>o@_H&)!s+GN+vKzB>AGC?$9|SH@BwV+9-O-0Wa~>~ne7pYi~^$O zGnDzm365M;GMax3J25^Ps!3Q`V~1L?au6`72R>m?%4T=IJf~eBEs30M_2+Us{;Fa) z3`WAE7Wxb&TWj}27}RJ(K)~;n49sc?iD<(7AH5;9@%8HEAQR{Q2&_T^UKhm@)#6bu zHIpEt)Q?ui)12?the3=%q6C5JGX39G{v%UqzFm#eXCvpXv+mFby`kT|v7k=37 zzOdc{$e0=s!KD_hdYPGcz1h^~Cj&42K$)-P4DBz^hk>PBe>|H0p+Q|T#-G}SAZZ~d zZG$YpR1vbV5R!OZZ9>XWi1>GyoUDlW+%{uOkOZN-?G_)6i}11S9sYa_LYrQvF9E9w zqWA5Okjq{a0Ven+(nvS1BKR_$=C4l#JWd9d5ZeP$Mj3MfuqX#n>JeOHw>SM*`ij83 ze$T~~Tp*tz?S8(KqriU`7#HyQ^X+np8ZCrwFe1(#Fq573CP^v=smV;o(~pj4i!oSx zW1tEpV?T4I|hIS585_}N9g}~ryz(?O~kLG1)dF_X5`X1>|O1H_z!>@ zxe>iJ_L_VAi~9>oe~u*0w0qv{4JXi+v5th@6Jbv#(ra7%Vu5YHn%5o;1g3f79ID{O zeqoj}aD&YUB5~-N)uholLUPit4j1tHtF9pTW_oJ6Z#H}$fob&Jqy-UXQMccW!O6rPOa!?5DY4EH z2x<_T?0&l1$&U^$(S#qS65o!S72C!%c%k-kYxVrkvkV9R+xOjkLJwUmlI2GBAdf*8 zSmNZ#MrT%j0k7+clQta>*wFD|W#>t3m>9VI_JHw9ZAG$X9xRmT!hUy89|YnbOEj>g z>v5BL-d~#E-yc>kanQ-b9?q3w$)&JReD0PEk=hrd3+HyA{UV$ufIoO69tMClZ@AXOVnaI@6Ai(Oy?u`*HRX}Pp<>a z^kDcQV^oco{G^}kcmHR`y&v0!g+tAyW0+$CR4+L$5z9)|hW&f3`5RNQv=YL`0=|cQ zS6WLU(qE{h+BL2z1J{~;C!S@-OgX8T#yXn(EnyrwGIm$BA*&M`uP)VxuS6Iupt%ZH-Xj? zcs2GG$~%=un(51@ke{s!8XcbT>?Zu3u0=)T6gS%3ZgFWZR zwdSd_9^F5mE6(p|y=iJUyJ0!3xi;SS&%Zn?i+*oupiYxoK}`{v5kn~8ZbgCCNrVPQ zR%>=j8cuk;L~A|J$x40GwaPbPa+17X(?DSAs+ez|P~??(&Q^Dz$m4Uxg-M`3YYHetA!A-F3(nWN>-=TUqcmDvCZ^VvlY$$EHi`YX zg2MTJ?HS86J;#^=VPTK$b)2Ynr`U-bE z`Ep<9X)}ak1h@o_&37}kV79$ae_Y70C{s#G*%r9Z6rBX=>6_@ze-T_>ZB*8`P_BC8 z9rFNre_5{Zo_cDAtfAa#>jnh^QXM5*y&uH=_S!HLWq+2l(99`nDxTVfG=z<;%5CH0 z!IO=xMNB35k6cs1pWts9P5AB~ZZ}}U%1bjI)`*;g8aKz-dp>V>iQx)V*lQ`N3dn|r8mdakcQU<5cc(}^&IWOO%;=tx%D~!@4GTEe~ z9qSq$dzNmfHrak?iz0VsL7`UkU917>#2TMOXd@4uuk&L&8IqT8Hv6*a%TL~<;_-N% z_!3VV_!FE_ne`Y5JY<;?ir^%JFx8+b%t*5f_^WVbGMTAlIU_e5tgp0>F|dfumB`9l zxN#PW(+pDYbt|3NEVijbNQs3i1nT*XkCPI`&GQK{z3QDqSZSySM*n$uz8fCAB7 zX|H9$zI>8>ccLYEjMZo=z;rGa1%ckf zB9N5RBLeT(*_}s%jQv>k3$~U=(v5^*VwID%|M+dv`t2D~YP(}YijCXR=62M;xaO+6 zTL^#J15W;4;%8+Sg&~=aCykrJ#^4UI?PvG<)w&gv++&vt#UFms^2eMOyfNFq@gMn` zzZml<=R!o`OMZmyE8qgR$KN`FYFj&fE~9N*9Z^i_X%E@$$)$?ME`W_Dvco>hc-gZO z-aTGVsdGl(V4R~;FI+*fxzK^%$LX1%8>R0x9Pi}cbj~!*{@{607W+xBeasY97@jDZ zIOf-Jrs*#X1t>8=nN`_^d>O2{3SC}Se6$b1dvq|i^^sONFBBdb*~GWg=V|l=Fxb@l zzYu8eWAvy7!DGgom?bpmm&#}InNL4HUQDDjQd5VT3kwT#>Euge#tmre-khwdq~5WP z02XuJw8WXiZRg7qW0E6a=p}I4>V27!Ed-_--a{1so{`Jg{i^$AY&(reFk(8Rd^#76 z=k?Li0*ZYCl>*`QL^^j|t>@taV74Zhv~Z)`XTDdVyw3g5RpxPZaFU9)zlA4nSrlAJ zbW*LqZdQk!G>sVj?K)4~8XL2Ou3WqHa|epDoC%xK`__Eb^4&&cu^C5qg*ht|)-NHx zmjhGCY_5c>N(-lYC|4qFKNL}xv#;zEO!VgZwXxJD9N|pQP zX-38Rr%{&z;WV`Qk!2GG0|eQ1yc@zsET6|RBSZAoGyD#(2gMaGAi+#1O7DND`C~!Z;M|6 zwqeQsOko-u4B24?L;2yb@!q!69ANA>j|U-O(a=My10IKl#20*}T#0CtR9tq8BEU(p zUvk_X|H*}zNFe?$Mf?l?kb7O9CcSn;4-zuI!t&Oa}Qe1O{<2cpL9F2Qm2iaqNQ93B;2R8nww`xg5_1 zjalYT-$+-cj$4(W+H&z#b?;e7(MvRc{8TR*j|0P0GEcOH1S|fI5w=w1!N@5z=aQ1# zlI`I``)xOu>@v%HRYHBzE}i*g&0Z3j3$3xa^bAM*EmXk`4SY~e-IpBc88W2KF2rvE zNysI68F4i9XKXkJSA+AsmqSS{kq)}LtT#iWh^g$>r+4k6lG@vw3(AK1Nrf4?)Ej)fF$^d!Tir#r$Idy6yIBIB!wk4fS z6>zqk4U%UH+{OrDp9Nq1T<>n1NB1Htb?jG{B{$f=~+OaT(TR!yKm z2ABXB-P1zFag$e&o2&EjVm0JY9z}ubH_Ct1+Y>T;)y!`$; z&l?-sX8O)VL6t~s=45r5SSi*~|D$KDfXI_AdrQ^6yBEX^j9dx{|LEx<^KvE;Hw*;~BP}r|&o}}_u!2`T2P{-0vaEQcpL9Gc z_r~_?&*#5&oL<#`t@f#5?`dYROr;f=`kdk;{IAP7Lj%ZdLP^OH7@b1{! zkHFyY$B8`hb$AY8q4XTCA|-Qj!04YMGJR*#uT?l-)-@E66g4MwirCU>VAh)kDS{9u ziD9XbC%r_QG8WKLHrK7H*}-;Kr1Q%GA4zvedBbHU zLM~IF;apFaY?)F+AuR{0aI~ZDh;#gpdPEzk<9}HnpQIDR%FVm_($D;ZJa;OMe5Xvs z1h%i2tZP@so1`Q&sM!x|TBWXWkyvF_8Wr*rvdYMO5v7OSP5G5c}majD6R5_rDexm7?Ef*ZV-_>Xlw_WR=$?S0)Q=k#azM*=h-0 z!k4s|YNwO;&`R&Z7N&uxls}AdXqmquZ?97TxhCSM0zTK_R(}L{yFwN} zo%hp8>uK^58+pQ_AWU&cMhoyjioH@U>y-xk)~YkhjSla0jZ${ho@}ACDiXQFtgrJK z`K!&2Gf{X7oD+h$9(U))HgT=Kz|GDtRw;C-QAZ*!PaE+mhY^wtfMkV7Y`a1@?vCwV zYjNI|vjhDkk+%K~p-#pbNq*#ZeW`pVo_nSFhB6ruww7O@{2`3ylY2v0iJ~M)YO5tf zMvG7QP}LbiS|Fkn3@Hh7kW|gZyrTy=o~q7vcKnB9S4oXTl!_!myEWdBW0}tDjs1Wn{_0^eRuP)|HdHdBL>beRC&k0R(Tz;R48=2Cf_epmpa$7us zB7PmEihv|iO5}m(&u{70#M^#6+Mjl%Lh)->`%l^}Mp{~tvu(AFnFb(h zz#)a_dD95i3`7WgCNY?^2;JkU@mNZCR=7f?wk{XcZFUe762LTkWQ!YE3#y>KRE@fw zEmo=3XB;Y(&){K3;s`gVoXrcvU_u3 zxreno6+@n}nK+|!J`^kGD=%`r!*u#oul0Ui(a1{AE~uFRcTeISwvOOW{1_FXN@kbA z#WtnxW1pw+i{PvbDK5md)>VNWlZ@U^x?ii;%VmVIVnM>i=sszR z&X47}(kraxB}^p{jpdUHLHHaR=DhXrErGW}3uC{H$WrIb-;+0rot9{vM*2vY)54XU z#J3=~l&X7}3S8<|SJOXpp1EJ3Okc@lu7pOBA-FJZ(oK>DJ~JKo*_4@l)s#R^YptW# zEf7k(GDL6Nf5YRRy^x3yuQ$Hq)auM!o#Z=t);EBIP6{|cA$kTC>K5W7!w|KYaQ=R6lMygjg+7n~fSCdJPl^ z^%^2pzaPr(#k>ip)wL#zF8$l5?SkN0TLgm-kqg(lH(~yIYq7gN#Zjkr{p^uj^K&WA z)PPV$03Z6tQb3gVvT#TVR{^O&X|*}>O)Wax@i9a1k(g`yRs{CK{+wnT;jB<0%fuOc z%*??%c%Oj`<aEP`a2e_TVI;Iaoe0AU~KhS_&#LbKJMsJv+`HCf7GJ~zZU>Z`{H%-7g6ZgJ?srGZ_7to3Ba+M(%Wv@f!} zxmzpi45cuz{T0TSfV59^xnUr3Ax2-`d$~AX%iD}B>9xu&8I)53&YKqV*e(e>BjI7n zW-On%lso$@*3b=VSjUlvWgsBldnb&0JiOFBh!?e1T{RyqB4n^W+=A}!#B1GOEHyA-4$e_v@{$2OK^!RJleEElOh*Sk*GnqioD0pQK4l#CqE)c_ zVdga=7-z9Dgm4fYWMG)6Ol=0F23Z)_(Kn476CP_FNhSiYm-dDaZoRvBt1KMXG8n@} zeuB+PKh3wEBi)UAQp4?xy4TomW`XKQ`(rPCOy+XZ*8#zC~a$PD@%#%U;@#wv8Fn!^Ax8CTuL~rIIxj z0?5pf;pl8Tbdoh;MM>=hBTVJ6rkp?7UhU;DV12JVvE(h;-5yZ7F&PX0y`TcltZH12 z;-Dil{Xth~_w<>Nh(k6b0z-Rk%A=v{;(0jXVET}Ic1_B#mc&Ay>yMDsCL~1aPnT>L zI58)2b?x68jUmWtNe-VhZhn1W?}<7DUQwi0ZX4M`77D{}E!x(Lf&<>zIb*J~Zx(-h zia0s#JzkkRetsgLjQt}F<%R>t#ai=?49`D*fBFw%`wbl;79_zzgOqo9^^>IP`PKvE zYLzhve>~|%Cy4%cn5)YaigeC|G0W4I3=&z?Y&tJ&LFA3qTMBZtxBiUpyt-SfKCo|K zM)BLGBh6d3re3a1pTZS>=i*HloijBinr=`mz8PK*tm3^nUGgoyJNkt@$lQ`!KY*gc z8gtQo+ei=+XYcS7GlFd1loJ+eeGQe_Ks9Rg%L_NHkdKiSsnEN-tMf|Ia2Dl4rPJmq z0W@yC-@v^hZ@aCs!n9k>eRQx^YGeL^VzIfi2c)6eY9)XXHV@db?#l`(@U z;dJ6nTOitZ^|^>&)XyLszA)hK00_L ze@;UE0xd6}HNi!@mKHai3lcBYm?a@+$eF4(AJV#NrTdxyzUvgRi7)$a<12y- z7|OD`DHmV%waTAlEwI4|SbtB{TuC$Qew{v-DWhmAmms{AtUxFBb0B5shA zrcxFTKJQJEz(F|t&6g2>2aJDWQly{#m%YD(=4pqA<`Z%^1Bhr~uEf=l=D&F82$8c`kmumL%@->8NFvFmh0H<24#a26 zD)+vNi+B=uC~yrpsHX79PVJ!aT&^Cvnu%pojm*e=g5V*F<=wy zRMgw7r9R&uGG6-vkyAFyc{)&s7x(_Qw)tk4|EL`U;1ssFo{qyp_*G>!C|NRPR@H)9 zU99=<;LQPIP&gDvr4j&xG|H8ZT@W2Y;k`;Lm%)>Of?>AW#GFN$2sjzjgVV~2il{X+ z03<60r~#YpIvy3!~RYvU(OisX{~W-(hNr0@I0 zUN|D~LgII^LULD}S=zE9{9$U-0J`_My(+0I+e9j}KXgkaM_ylG3|%W-9GdbC)jWAb z(rBJP?1()Roj~Cad{CQfwMZ%>czTJ7sWbt|-HZad4=x+zZmCom-cIczXvF{{(-wm( zpdS6+r|cFAFIyegx9NGQK*t#s3fca`Q?L44T7Ktpx8N)z^7Fn3GGM&mUz zAbbEN@|57HT5vk-E3x)+G|n{;N*J&{bdT&@JQ+k>9(+Go5SMn_mpu)F*eJH5Vi}KU zTydS9v2_-}1z@b9RV}p1HjlvfW4&pc{>2P^q7f-q!u1@#J@8A@3xPXwc3WqX_M@Vj z$0;QeOX!0$c@#Y~Ka$&5#AzXvCGEYcw$DL$fUM|W{3LD-i(AI#?np4=gedm;4vUId zzR1lMZT*DNcOFykgkW^a7&htb0|IYRG71Wzz-BM;i9m~jt*LifOXpffPCz*9Y@)oL zfD}oX$lf7~zRHu=m7M1Bsy^`mO-t4 zqops8{x*|>e^FJG;jUT}R0tL8Hv z27q=-v$n3T0io%VPeWf*>Le#($wGuPU*oRkb<*I2&@eD8YojTwaz%s|too_k>dZz1 zJ9WzW((k?NDrr;-5JQyY!_X;a%e5QhO)9t*U#0vu0gu<&7V1~`8R63Ea z_%gN%|M}GSW#ki6YY@i)R?sve zrtb^9d~S=7a)lG}oh{WSZ-h#QA}MK%8{?Z4X zdVZKiISUr~z}2hMxft*B36J#%W2_{ZUpCDmLt?cgno8N_nEyEd-CI=(X*;!OALJwqxvZyJ6zpG}m^1cswm-d7CsGF4jCW=HXOZqqH4 z3oyy@C|J)+(_}@GGzzuOpVz>Ayt zK@#$M+cp+uO!G`h`_dI@-`E!KuN0PU5_Vhs$P7X@UV3AeAf(%D@uqtkso@}fUC5_{ZVv^2mT@d&r*G6c)@_;zmF>x08xsPsB}Gj|r1Rc5vx(eIXJ9~0g} z%LH;{%LG*9?W~Lk8DF?_&8%jh;L`|Zyul(feKD1*!gEZFHhKfkwk@Y_c21Uv z*M)A-@_iYwY?cE$iVRSbT~0WWKu0*?hZY`(9k?0w3Z0aFLC6r=;h6&j{6ybpF|bjk zgHx4@-gVs}7qhOn{!)+_Fv0V~d}d)O7R;?d!;`!L)5_8b9U2~UP9`crZoNOCA;dLg zEVzd;$+SPZy)jYl#s>L@a^hoaF$JiHTo3M{itMwFlusSq^o9DxPNAnNx_gBQdP+yr z9mj~tc&WC@pW!Dnp!&rU+)Qx6f;7Ngtzmwdd*msBw#JsazLOzZWf0iu*_#DT-zUfh zoYnFuc*7d`HGopAb~0+L!)f@-n(DE&eVA&-Oxbtcx$H+~Oe15bl3K!%=}Vrueuu=W zc{l%-nX~M#HO)yen3*xKfV>(yDkOS5scFJPpnZzA^x5$gwneQKf7rQk^3_6cc*UNs zuk_wG($Bu{sRMxT$bkEn^6*I=)VU0I?)(a4?RZ2F{8}FnCURc6?M`h=qeSO%*Y^WV z+=5=dK~`v+r|K()EZPsxM`#5oSeCqfM9yenr$)}P;`M^AqfV2ib6*u$SHFoN;4&G2 z9WP;kPEV8ceR4|fZ`GPMHw{>$2^1c#kInl+(PnE+71S*OPaD?!J-ss^=uo}x@P7E3 zh+7*Dd7$0-TwJjO1gw+aS?}>m?My#p;3^oDE{#n9c za#?8hne2e+{)_O>H^4o9uwTafsdv=zGwnT$CuW`ZP3%U|U~mjj)f zech_WO<>2JOx7PwII6NSBEU6`1K1aZ>NH`Hbkiy}T=zeA5Fxz8`uhEMh!QsmC4~3f~p`GH2P`A^!$nRwpPbW(;-hL$yG&xG{=J_2O5)eM!ONF9U-rohbaP+>$ z5my!MV}GbIWpiuiZym`vE~H)7u=+v^`KRZay?ayn#1s>b*e@h6e9V0i@A^D&04i4@f_BBQS6hJQJA#vY_C)Ky?Mqmc#{C-l!*-ttztIVTsa=- z2JXJb4p7ce&h&;07s_WOrv#}%?O^QcLse|F%EvXz73f;KHq$R6M>+m-lA50Ch?sE6 zG171@j?5p;*qCId%k1DX#7OS`{zSFwOKntBh)6peAaC=HD-0i-%rpbE>k(B|`<`k~ zN7xk$@wn8k$_uRhL$A3xvbJ%TIH7Or%ex0g_O!XkuJ6d&0+BEs0+VGBlzF)Fw*O_N zXIc+;^ItBdW@;;TTM`ecdo6KB9eTEKl)Rx1Wjk4a5rD3SXx6zx&%KHfcuzZZ@9CeM zj~GEN1~}{ti;E5XwWSS(^6{z8gSz=kVF5S=#Vdu=!wTxk!%zx0^ov8gQ3H3+5bb|) zP!)iKPNRFwvu3H=;+nJL%WH!!@s{_%|3W@}yt4 zqyYWZe|N*ivzaR| zH(2A@B0_Gr+iviiI4N=V1P5HImEtJ^F^;9OBuOKrPmi7i&4%QLunmPt>72ObgTrZ& zk%{KI9QWpH3UrvPTg1j`|Hh)ZRos$?%3n#egviMYV#IMfKa)Tgiw2`l^n+zv9m>F= z|Kuw^Pach(VzAY)>R5I$Ns|gr`US+;`#{k+rh@@k+4J?e^%4uyb;mPB_k9O+(7tHX zhDUV+CvKNG(D}8Hw}9 z<I*knPQ2%EEGl8$v1sDPiu7MzN-EEVOjc-_V=u8sc`k^V!y16r;)aK?fk5!zQTI zl@r@#bei&)-#JBbhiDM$?W0>NKrc6UKB{3Yqh+2D@Nxdm$>5Uz*fT&=#wFNdov<8G zJZB+O5CmogCDJsG=gilA5EJ|WO^frSA;6jvG*$^38}vuUV<|VO|7{N;Wq)awvxMN4 zhb5)mN74xemO@X)@J;th{JnG%1HnF$rd2($&1#csgO!%W zN&`KGbbP4G>H3(=twtpe?vHt%;!!^JB1L}#a3S0AZ>*-79c*RTf=^(^*>t_^w(IHQ z(S&jy7&t;|-F7j_7Ty}jpO>D1XsD(%VsZI=lyNC^tIP2>K=g;cwaJNpG};pmQHGhP zkhLCKfFkf1Q%={FzHqcuJLYzF3k%NoyY_OVhY=*%uEWjC7UT2Ll|S+Bch8c82g2#+ zQ=RAi13x7Oz3&2LcmC*o@?Gfh4HPTN7d>N9pDy4{WNi7j2)+j=fECd#+Iu)c?~ln` zx=&5qL5F5sE*?i?Atgc~&FGk&+CKPfliIrlRfeA4Jt zZ?uj4N_qo97;aegLc9AVS8Q70xtzI7WC#O@^CbL?*UfhjWz5Aer8r%QB~$M<>&nMC z;s$KerV{sG-6BrZJ+Xhh(CGhUPqa&2dUk4Rz8q@%NbS0d@)pSpba^9y;_4N>-7N_FM#Wsig znL-7OSyBUjL`2qPebYjE)=7bv$6k{*gCzBIU$m~TyPrQGm|3h9+aNTE7=0S3Sq-zK zTBM|Q($(c&jZx(OPMDlQaa>{ch#AuIn+0MU?$_j(A#zt|k!d(_q!KWfB-*H&uJ50P zV2m*J@JG{+`C8*10-{kA#uJO<_(!MR@n~hOk4zKuYC*?y0lRGWO{g#Ic^-t-9k;hP{@qh#z zrqQbQh~0?VUWwg$&xOVKOvltfXmEX0JAh9{eW9BL&&Dbp)0%pIde~31?J(4?thoH> z4Sr-i-E&RnGmhL~_8M7iaXTZmUakuRd@keVM(2VAyG7KlVC>S&ywd5TN$k69ZId}h z)~#}l)%f7P3EUUb$07tqGT)|M_C=I^7CjFxRq)a6i$&BciHD34LEh{Z!$J2$UUHTB zd_7)ce#WT6ma1yl5_HYyASk4f$!9x)VJCx?{7FWa`oN=To}+lf-RByWF9fN-?M@fX z3lm@tl!m5xmh&45R<1;FBeJMqTRSq5WfmO`QKBlj*HzHIQo`|JLF6Q8W|k&vWWAXl z#aIRjN{>wv3izZqTpx%r4=3dUK-O{Fu05T~H4ssR;)lNV)n@M5He3Vtcm}V;;S~(W z?(^y#PxDq!Fj!(+o7DEvWMDaFEbmRBBmd8Q2Ygw@y+UCxY@wZ#v(iJ|Vzm6C$r$0X z0>3dm$OXQ+I~2Suctot)({ST{o1sif2fjxQ1RH9Q8{H zvjyJhTvBbm6ts{&EhIT9{!^YDC78EzM3GDLr=FV|&2Ie&)7bok`8>Mea@yeg8wN7_GPRegmw)qqxIVM5=7Q(6 zU2l^Oct{{BvzUEu2MV2~bwp6oME&&gUV!50IuRRDW5BNkEky+b|& zRE`Cu8kN)Y<=Wf~f@!lfszsX|T@4()XFrOkvT2rW%s|7&}vj9?*kEM zb+Pcb%)P(OV3PMatQI#>ZK3Mo6K8y1o?OYK>n#_)Yy*O|e*5Q=k)q9mIbMtI&uj1+ zf9Sj6AS#i;f5)j~Q4%ZxL942~E8umEjL5ADW`IWQeSe93_6!#o7+CdOuB0CEj()M# zbb^prDP?&su4xNM=@z=|;FQ5`yw!OO`|a3P^AEWbGxdvV%r03J;4Zm005sIlI3`l%Lu}mj;2>&RklRYHu)7!un2s zO9}JGKE>2-HIMBCtvZgv{2J4V@%@%gXTg49xJ z?3U{6fN;IQ|4W&Pi`qycz1s8Rof?o+lF*=72J8i0{?ha+uTBbtTt1?8b#d< z|3?m18w6CB1W1<+3elv+a-jmMRmt7=f_mTf&vB32v;W1kvUJGy&F4>95o0*uJm{P zUgALhSQbefq7u?;z)J{)NZ>=lxbBAT)T84$kws$>0VbY$WQX=W0YS3^x$5;r!xPpx)pM3?#H(V}Gc2bijSSLl zdlY)j7|2ZPw0iE}-RgL`@NBu+%bd6)f6bf|Wq8eT)7gICe#Qz>8eK-2{~~QlbKLMr zgAJB}Fxx}rP$@;$*vw&VD4x&9p@gL)Jm8r3t>(o-s?*I6U0h{nxHVs=EdJzM{}m7Z ztOsuY*kwnbXl-1LH<-0*x&|Iz%r-Q`w!LR8*!+#7E%R^miV38h}szUkmc=Fe5J}Vxq16E4%A)1 z#Z0ElNs2Mk`VHZ|20Dkq6_|xuS;7=lhfngZ2+!5ndt6;3Hj40!?<8YzbnA`D9-S*` zxI31DKz_ue-!LS#-l*H<%~>ZBy0!-I_Rr`R(jLu4h*1Sm|1-!p7su}SzeYAYVJ=`d zFLsh%(+yF%QA3&35srEQWsxP2nA4g32xL&^0kCBX2u&4B#*(txZ3+%29R6fTS+;JR z3=)8H$ygDKnN^!mTeIHgo9c^1n;C7q>CuA-NTqOp$I779_Q~n~-aPU9Kom|=<*rR8 zS)VpRq5|*pdh9Q@bP+)C*Fbr<)9JO#GMAzpqD}~s0%wLOQeOwnmVRUmCqPXb>Hf-> zHxNB%6i!6TPygVSB66o#NiERAN6_XJvjx+a|HBt$_Y!;Ru^%5|MV76OsjYyo?St-$b`cR#G#9!tM>~SA{Xg+s=F_YiNHOPLGX=Jnqt#KZv8e?ZxrwvT+$>qMe zk(+W7dk<)pW~;3vo;O*|h=WjMQ6(>2CbpZc`bJ(&t#8;69yn7@z~ZTNyj;)Pi#9b= zWhjzBr^SNbYWeK2^F8M>w&@^Ffj=x%_bW#yDHQI1l;bY8Y#>-gb8i)~W2vZRCK^>O zP@_7uH&I^dsM$AV7nx$vge^gM!R~ox$~0nN`g1tDX9|gT(|Rnmp4`kv6o+$i{oC6H{p!#T4Ya?-=h2 zQ{JojsJcgseLBjRGHQuk1a#zTCZBM~_AcgD+_Vs!)HFo#B7m?%NmqrxbZLg&LY)PS zezXq%x38{p6%}jk13%uRQ57w~wpBD_EsYzNZ}k|KqxTp-ifk|wZMlS({!@hQDCUdG zq6f1 zqG`C(jXN5b^V3_I5^+_c9}RH4Z2i+%xyJe{nIF41wMCsP#`lFtmCq(s^&w!T-|pLwGUp1!Q9Aa#yyOG8PmTOF(+7ZbwUi$k^;ret zxwU@nj@y31uX8~iTV(FdnGjE1D=j>$N&Hb!5z6sSYKt;Q*c_qS>8;1?9qevld3j@v zY6l?hrF$dRSzT?R6eku>&xF_eTl|32%q3t+)!ob3BDs@f(C``8@tbql{40_EVyj(4 zug|>Uzj8k@ucG*k_=_c|=zTN}?DGo>&%W8nbHu})p8r92srcCEN zDC_ohq(73O?qh2m+W8figX(D{pkW2Pg|uh-8R42lz!+EGiGi3Lao*w5&3z{6syzPI zz-GMi)&9PTBwq+i7TrR?=$3nG7GIDpPG2XJrsOMrXJQO9#n zGJnLGdK=I5{nN)W$zyP%R7!hXVgAz6)Y_7O6E1#>zreRN0KVTGa3}`sKj`UOa$EzsDpTVNC zf?&nglC)#}k2p6c0{%_Kk922?D7RhOWX#e4Hf)~I;J5Rs*DOyl=fYTb?gV*3{}ISa zhClsGA4K@+QTlqVu})%UTZv&%v|uv_a%ANUy)C7|<1EQydlDP3V7LU)3oZC^_FrH) zX1=EcDzAL;){JY`vH}rp`ak~*z?tbKkx`DHCaD=;qL*CvFGPH&_%B2}jr@v;0c$`P z$mB1m(C_d{;eWn0!sT`#cP;h2IZ@3OM*@@uXn-xr#p0}Xe-43${R(Xd+o%fq@S^68 z^7CO6Q_K4h@JpaEYgC!G;e+0OYi|98uKIQp(9;4T&jNBp`YU_1xejd$U$#0zQzCL>VaG{ma z)%EG;j|8XN8rz%JjI(nMT{B-dT!c{(uO>qgOb&ISE9hzKK0IVu>xdq^keJ9%9LIWK!56B zK{o19TyH=U`d?9CHuE%Tk28>M^s4G_@tB(;_ISKKGe22vR?X>Qr6eW2lvut!N9#i1 zk?Eux#qPFBqy@rM(-Z0!ptEUO>_Ga$M#aLKj3URoab&U2{`ZDsDDlKD5Q%O=Syk>o zej&5L8QigLWP7z4tyZCfIYaZx+Xm+>@tV%;iV2toLwkIwQUn_SlW7VzXQ;br^zO8e zucSzjTX0rk6hZ*q=*o|Fw!8rie$jh~3|{9nZ<7vWrzNnym~r%mEQr zyPn{kz}$X)L!s}_=K_j1d$;R8b}QX{3;iot&Ga3~G_|aH&qcItUxL=Zi%n|uKL$vZ z8XksB`B!F24dv+leg%b?FaRh__4^kn^ieTVMq2jv;XH1wnn>qOUYI=PNLC!pu)rv( zmS^A(6@0PJiC)5mC_^2!Wy?BEBiMDB+@^|t!_!ukhUgr~O*Cd-B@V6@)F$xD7{hw< z?%nHumr#NPFVh2QYJ{ssTFqh$@BfSt{XguzRajlw)-8%_un^qcEx22N;KAJquEE{i zB}j00cXtaA+#Q0uJKRZC?b`Xzcg~gf`4$-1=QA^u#ZuJe| zV}nXm~Hd!743b-Lj*zo9!G;mbbq<&%p@nV%AHt zySI1I8EkPC^olA${EVO$+cJ-2SNV`ljW}s7NLWm?J~AkJFsdzQX_MEXC)WAveIDMA z$_7%i@7_p3(q(KSWipc1J^mnwHpqW@ZV2Qq9+CA>{Q(*PC85KcrNu#b-Id~h`wvHsaLMNOgJGK;pQ-?c?L_CylvSLXjvnJ55^;1iQ8&`qh8_^EJ^P=V8 zKBL}g=Wym)D0;LO-P;(|NS<0qwf zpN`-$Ybir>=X~#1BF3B|cq88V{D*k=PdVQR@c=2ZBlSJ*Ti%GsFw(u14@kkZnkFsi7J`rnCdc?+rQk8> za{&xWzS?l;&BsEqLYo&5vzrh{2;G8}sU)BM3?cKJY8MtKXb|45GG~l>c8yX$3f9JLI8pgFdTPAOk%@dD%BO zb$HeDoDQW1$&rXI$O2xQ5WTQyr*z#*&i6ItXCKkOxTrbWU>QKQlmddQy{;{2cMeF* z-iDfX>6NvUU*m#(;AV^z6&bnFy+l_I$rVMO?GNOoarH9UC|*&7xV+p&H>3|{=PK?J z+JLO(KG?urw^J~i-*lLiFCX31VXf3WLC?A03R^OVJz{5SH8h{*Cv?0$?NSW?-8<~h z8{nuAldUV4&h$9vZ~hy=lgV3Kltn9%UP}>}v5nY#x-ubG-X~HN6cj8L3V@>~CH3!7 zDpm{$UWsAr5c+T50r{p_%>@%^v!UqDCy1#rm;O1W86LZzutn_CL z3H0;DFoR;Vy=i2km#;S-gp3w{Uu-eTj94RCgRlav2NSk}!8_?kC+z3i6N(4fU$0+6 zA*dRq_Oez1m3CfGw6_qo8!&pDm5snZE}D%pf-u>8$a)(mHXJ(}k8s!C>@9xT2h={9 zZ&I4AtoHFNduT*qV<+djjreva*8`0r2E7mrdJbDg9RpD>a_ODm*H=kEWL5GxS1LMo zl1$oI+SRJV$dl5pdSonZZIHv{nD9rHL7;F(1WSvG?ABMh9xW{tOWN38X`z5gbp3rw zw%Kjqq^Pf7{V9LnZ3>?v#%f?NlT98*siDgjxDhdJJ$?jLQ*?3nbDGghII`!7b?4LJ z`?4ZipMKo6dP9wN{dVw%>x{C3%uj;2bG2U-@R<>xUNa`u|6jHo3v8B);{eWY0*n)$ zdd_mCQxeSP95w61=X=P@ye44Q1C{{Rj}iUK%vXT*Q>P1aX5LarC%wQHuo!RBBVxtX z6rzl)F8CMkN9I4gA5RKQY7W{p3czO~upH;-2CLZIS+&=~$XBW@b1Y6PtDYu$2j#6^ zdrj?%1cYa-i*rp7Za7s|S^$+U&SMgPP79wG2@hn#IIyEU*>HWim z7m6yMaKpNZ0$>mD?o8ms-*<H>IJwvI?uQ60{`lU19QqF8LZwo641u15RPrl}AMdE6!sV55MaLrDE%+ zHxz4HkZ_Wz8t~A`0R|5W04Qt<$P8-@1`rPxYA6l|@VV?M55h~Yu9{F9Jx{r$SJ{#s z>sGew-~CVBAbdNZ8{Coc*z-r1)k&>{9nm!;>KaWtqUs3^A0G;!rzUL-w3{tr-At>{ zRW3!G)ZIJ5mRB`j9KI6%39t2g*C85RW`*y&vS)QHA=OQy9X><+VOe=F2fD~4T2_b# z`TJ53sS@^x+U9qHPBY_bP&F{mdnvcp7h>Oi7`mg=)st08MrY6frbu(=dt!w=tQE)-B(!_p zt~k|tJUTA6I5FJP19lZzQUoH|R+1`D!JUH$B(U5&yi8=kF^5k>$6GmVfu_Cwg|09< z&lgLDG8cJtg*7oV8zd+~2mY3pg-kElulIvwZGVOCXF=beotKOjluJKZ1hP{pl<@0| zy_=7?EOr(gcan?2lT!JSUhbD~RsQZp%GM;Hmudj1o3R5JcpM;fo7@-+yKppxAgel~)}+iD9}sEC#}` zNBdmOXb{h5>Y9j9DGa`ET;fvZypQ&-J7G$rP+>u4y2;%*=wp|_GvGEMfy@mm*_tUk z-)dtAjSW%h{=@nU-zt-pDy(<2FJ1wA&-hVV&HiO}26GP>ub3(&h=DhmW)BO-;^R{L zTH_#zN@P+od}S6lz~44f8sDPhs-^4;LyL;-74M$K%sgPsnuS=swtByK1v19MJ6VZO z`jZLQV#e_=2E)x&#qwG$DJb3=z`W*8+HH1zByQ-#Q1}XbyMUj`T-7*E6U;+#k{6>X zE1)dnI-6mp;+%%(OS#1=>FylCQbuJ|?kPrOp0PHQDi&-RJI(jNxJkByzQlf5fkF*! zQm_d!LeMuBRbR+fA&trSpc1l;DA1_D-_T|jITUbFBu4#+`^MoJZvWyvQ<{Fan5qaW-!Y;cR!8(eg~P5h+>#{9Hq$qIb7>< z%1=tTxof@xio%`AF%kADZP|<75?;??-f3hK+$=AaO1~Kp2oO`$EkmF1zT-b2fa0zb z{xy8@`P{G|8Te;k^4FIL)VtG|#`}3d@&4~9CkFA&J#QsiBIwWAcQ7^}O2J|6S9ATd z=@G~k7QkHi%$_?*^yfe>8wxOr5{>fPweVIIi1>&9{L)|d zGTlMJmDGHTlueEPL;;V-E=w+(a}ZwFi$P0EpyM`5fJlTX<*WX&+v`t< zs+ljAKL~V-wmM!5Ps8x1v!!V@CQJL4^oLzFo6Dni^i$pDxgCqG8@*5u4-FMX0GK&# zt;FAKa|q^iKkKJ)wVx{M;@+-#_tiO|%R&Vh)HRm5F&wdOY3CXrPt<7=q^|)uU7gYl zlDX$P&ujYg9Qt#KGy1xADNFf#rIarb=*L>0qB3|8XOwFRo~_z4>x35pwAuMrZR*k_ z(W=(^>6X_N;P_JTzDM$`MV$&Q)P60%gNI?j8lQlgjz@GIYs$t|n3^-26hV#MzkIa~ zyEu7oclVOd_?=V6+Ho|W&8R@+8ZaLeZY}Bj$385UqV;&({dM=&9_Wl!l>DiForcp| z!TdAL(|h}l>XW@e-Lumh^G@2T**5}Em4gJS_*ywuTx^1<4a)?&Lj1H=qxH%LWISa( zexk+)Rg;fNbiRnRJSZG0_*$cW3ALi4v|Ah5VK7b1W%0UouX!+cs+e%wTFqgHZWFI7 zn9lEABijkWw&LjlG_58iOLb?_-T29ml{f5Sp}6rhU+Do>teR>V-0i(x{?8!~El-ns zcuSh3X>#TtU%EM9@eb4g6j_gF4eybD%xUC)l0-6vWn1)n&U$*6dqwXnIbYsUdhD|} z7X{H)Unqu3Lc1EJ(yii$;}R1^CkZX)j*W9=#H7h-UU`MhUm;W)uNL+%vo1!FHW}F? z#i+&DA~6kYDgJCcl1Q|9QN|upYTz~UZ-XAaBmW({kH92OkwJ&I_|}N`N0UK*#H2Qz zc;3->7>@LI&xbq~QUFp8M>v@S9{7vJmuaP^$e3YUDp5<>UJGNs?IjPBg%LS>CGt9Q zCHiG1od=-P=eLnri(HnEEZA+f_JwzVzf$2zii7E|Uz}N!sU&YkHBuH+Lei$cp-DK$ zDm#KWqu&N>X42&%IIfb)vlFi(;%pAE55qqhl+z!O_BMY9~;d7 z_Kjzk&eRcQx%LG-Ms;s{m7mnJ;fkBpbU2qWvDRixXvV}fhcR(03as^df6ULTc&Fya zV-9u2S9bs?xn5db!`-W`6?v1h_Xst*~bX5MK=CJp1r`bqg=Afs9Q(H3q!$|<( zTlIAk(6)%0=KJ-G8nG!fs%+F=$pXW&(C7XRU`ThtOmTf%G;`fD7h%%ANM*d8^}Tq1 zBp>k}c@QuX!ZI!xC9gsl6Px0b2ga8-5~h}ZDw`^w$MqfI^Y}q@%-|CUG|R6BypOpw z#;nU~*E`vshuzWRpPNd#T#j=W>fiiqfDxF{{8{Vy7LEkGNPNO7jvS&2_vLm9*&1bQ z)Vl(H7^NM)|3m2340$%t3uR$=B#gr5ah0|mmkdxgA3z{HHB7YDTM zX;P=D+~q{6<|(F7jzsd%B-ts zXo5n`S{+a2<9c=n8icZTAN5NUza5wGczBV4Mo=DfzY9>j;U4%p;|)XKaTPH(`wC-& zeQ^=sHDHU#qW7}b;~FO(i*REXnrI0VcqE*hLxNYY_6kvp^c3VU?7Em*%2~@d)QXe) zJcj&m`t5@c=0H6a9hralJ+dP-qJBMe z8}G9tQC7$j75zRmETDT@lGv;vki?FT6eh-vXAHhHSk{N^&1NH8Oxn(q{gEE1f+G`+ z*a;jA%x>ob?^R4b6D54gJN}+9Bk*I?Y$qCClH!Y)Pc58^f0-4a2jr0f490euo`3-+ zPyXGH0eOs6{xd()cXk(p+a!wmy;Du$l_lG(BNQVvBMc)oyJ{!Xvf$m{s7UPrxl{yo zWYOm!27-FWv^={;3?jPT)_VYe$!dSW``8F`2hYzv2C5k4@&q6XE?+?m9B+2iYLqZ3 zc%TW%o*nlaaFKAY;1jkgAx=tqa0kBa?}}uUQD%k%4b5heBpGwUZ{i%WFd40%PFZG6 z#rNuvQwG{>G}Gb5t=iiG;*8HTMx)V}nzIB?)1J0&HwN^N#hZr4BeqDJ>Ae!jq>HMt zCmqfF`$$lp<%^Jy4{e93>;@U(v~B&&&pqM-{(FHkL&cW>=|D z9OiPA-!#hXnd;*}mkwxN_W(QBQqJl?6_GB~^`_(2$>zspE9#gm|Kx3;$v@+D0T><3 zh_|`?%frrVIcb2tF;^54o0EkTkbC;(E*^BI{FeA0cCcGw9pQMU({7i1hS(#4q#Tg> zVD~!V5l60GYvP|l0n9w^i3Ab<9++P$rk661)0JwBGmo+R!PaI?XdyPbC3oByu8~tjtiF`Kxv+hO(QLPGd46XRY@IE<0 zyW#j+afhOkNZ<##hrZ(a^u?b#$`5+hlP;I@`>44*cU*@6C^b1!+nrcsq$$-ZC)Ofz z9B))QBSBZ!GkgA(%WJ)WfLK#@G2Qh0?9#{G+3lso(fUq5KHZazm#!xvf~%g-di3)S z(cQW-ikM11j~D9`;MY?+{6#<~l{Cq>9taRih(ICIRh4|=M=^F8o{o5+o9Pboe@0PP z{L=CcZuA^@ro*UpQ}UlAZi?0b5!6*jjR-*=LG}r%i7EeOAvFdS+`3 zA5q*{K=0oDa%dFs{5pSHft7rgQO5`|gbjT40YVhrK^0FCVH}zx950FGFbwrvw=fH8 zJq(;ArA&v-bAtSVUXBt_X|*Pa-zeteVawh}u(Tz6Crgur(BSVkq6oHoYg}3V~GSo;DCB&hSN?0!o{BqQhtb1?-I7s z2R00lhKbv&bZE=;IcL7te60Sp@4VsO@>_!$+YW-@sXVpi+tJ7B_Syxd z=h?=^ICJJWy$HMQ+p7_%wic#=0b#%aj*F4PIbAOjJ3PDOL9-9kplz}L059+$&<;!2 z@I1zvW27m5MIKwwvwf+FpJ^?1c$OxTkEvSyAphHI^inAfKF^x>z$Iff!=;)xQ#Ull zG@&M^>=VRZSM81F>J-soW}RRBEI<2JCn>jAlD_-7+}=@l_h9?cZQF!n;jXDTi&rP6 zZU4*?G$0Kv5rDq$Ea=-q?#bf{YIsDwZ=3L@_||7nQlYgwG6;1?-{X)bEQ@a@mn%}Z z4N6l-@#76EY*Eij!uwmLCuka%OV*1cbEqnp_*Lt(tORvxJtGaZ!97tc7j2Q3$_sbU zP9LCdPvm@aU;{{I+_`mhGYOSEXT&RSHnf!ZDfHoFg$627CJ}lC-5fYnd^YfsVmHR~DGxmdjN;4^ax1LfCIE!bWY+XPv8dzM@wBo;z*xM9ft! z_QeLreRw(>68?#vH0lGDLK_Xm8VfKdl1;xvnE)PXSZq8m{bQ7KGiY`tQu!Z$w~_`* zC-9fPbSa@X*s7ZKkmHv4xgzgS;vRGxrTLgctBX6YrncMu@O{s#gkk&n3K@pRb2S~@ z#OX(`Da@9!gOZ&xS<-V2*K)>#$Y+2oKuBAqH`RSo-%>_e|D3dDtItJ$jFk+0zKgPi zC-9ZumT}^eM_vUblS65I&rCX5`N}$94?q1k1Zo zI?hMB^DNP-u9uWqOBBD?0S^6o;9A^{KUDZQ%FK*W8X}6sam(obu^px z`nH2T4pD=MpWa5c&4uzJhnm^^3xwMIhmA0ou@bkDP%T;aZI{O0o(FiWlEoc|WHAe0 zfjY?tFj+@F~)0lX5b( zL)6)<)fyJgyY$Q2>r;GntsyKa2Jtycg-T;~PLfogmg@o-QbgP~E9U#CzlP6cfHW|l zA=;|Uwv6a^q&@_k(70!`m#bD1Uzg9MJk0DH=Tr2DpnA%*LreUo&r&(>#$TqkSa0cL z)!nAIFl0}g&uwGpx$Iv@?Y5UjWP)t#@EKY=V8hF$Q0S<1IWExjHP=cY|=jb)kR zdbG?$omNn51>3>dSmA-czojy49#uZ$m|QvfXDMW+JoR>qk_!O*E%;&I-I-uAjz?T6>YR(gT&e^APnChehMYqM``MI0( zWaC-nWKQiYJIt6`B|%d(CKEUA;TFG}Yq_fIxGn+C==PL*jZ625UIW~sMei`on1cz7 zFXs*s&Il$n;4;2U;R=U{#WLNuf(QAA@ZHviuN!(R?}l^_G8j>pfh}^o6msTFr#{`B z2vz!`Q^Y_Dx26InsPDV*@pJ3dO%14yfleZJ;vl*TCvl|&^sQe6oL~9e+-h&(|n?EUik}@=da+mETWwN zFXz?j)`>YA7jHudF-$+)TO>%8bi7_d0}oP~c=f5wqbm`QMVW`!>I3}Uo_|K%DX0k% zc7mk|4(ihf1ERbZ#=;H4s~JuGDPRZxkWDEEVm%) zIF2(oJ9}fFL)sv6u@J{2-x>^0{W}W@`^KX=b z(LHzP*>jKnd}pJkAq{d=?bs&-@pCQjQ$5<=a;0o^X)ERh&CmXj^Dj`#sP0Hu@O4$u z*e`NrVJTvEQW)~p^=gKOa@l3h4*F%{CC@;iBkf+2+QL5 zwSH**XV-!3dOWFTUXFX$!bTV%m`J3`9jBZvVzOzS{Mfbh!x?Jj1qqe!uUf#@_CL-x z*hw}0GU4wjg`dK1+sHAgsNnlZIKIpmr#MsTT2y`a32Y(v zf=8~2O7eyPK8}b?&|Ec$`u#;%Y1t_SnNmsUA# z5wJ7{E}-D1yIZANI8zqy5Y%`-dF@S*&({{t3sv+7F^23*lwN)E>Y)#u=!62lt}R>& z)FQ5OMMo?@&#_8d6j&OiX2}^_9Dx1MxC1HtV2Q;)=-hdp{jqLTQGsTMG)nUFoSul# zfQ&ppLhl!-G@j>Oy4xM+M;;5^RF8zKiIU3=I}FcjOdwB~x9Zc9{Bw8N{fQs)yAcpjrN}E6KY$VDeg=y}j>cbG6rrc?`z*u}5Xzy0FF9d6cb`r)=Z`o_c&8KP6Gv7noO4*#owes#>ZKQ)U~**GIAG^sqDtX zc7GDU(L0zedLYB=Mq&A~Tb@3J4eJiY6krVDthOE?awU7k@4pL|k=DnHe)!RuC~yd7 z)7EQ3_6nzDCreLgHDCoper3|3t^rE+8q;#)-c!FHHJ-vewFsrQJFJ=E<7wU$AI`N4 zmr$l})R!dh?VmrwssjyYeBq5u2?q%~haQUkO0Jr&xm)y_0-$i{os|F(@mg4C|TW;UKiC{ba zq&D)a^0}hC|KT2Y%02x4ai0{4y3cDbryfk3{;dCQV4uHMT8A+o>TDa72udBUKw(h3d6x~A0N1^!iyWF@)n6v3 zv20n|kg?ytXh#?&=qi!iA_0|Ipwb^5jDS@3c3K=?$D=nXQ?NHGV#dEy9g&}w5t=Hc zqV)cozO<7oKCNexi#I$r40hi)w6NQw^`3AB447tTPPpEFY;55tMn!7XAxqw*x=sr) zpbYpJ!tl@w*M7(OjPDHsDa{4}eu$J(w}y;P9bS~_ReJ`G{@J?{4(GDcM~_VbVLId# zs}(}f&#Z0^KDSNa=jMWsH&V;$N0*f^>JAZ%cC88sL`5Wn2a3`RYMoQ?|=1Sm{8`7p6ri@66fp~`bvn?}7mm-jnGbANSv zpg)@poT|-zX>(d+u)YsJ1)~&suORA^jiPSfvplYm(V7RT72Owv$8VAjfo$I|j&Gfo zz(Pa_;V)1L+Zl<>00#&MCK-NAElR`xM8b7Xt}mUAt!#<)&n5GIg!RE-&^l8JS*Y}@ zz+*9Xj;&{({DF)NrVKXka&}q3&tBIy%i{G*3vvP33$A7O&@jt4j_2ecf#a|D*GDPj zZYpQ16MRNC)6-zjJn{BUdCa}00G%U3BMk?!*f4&2Z!82gI(cBM5{8_@7%wjY1Ca*8 z*8Mpgxzbcn-(KS21DKEi3=HVo+j#?jw;V!~wYs4!OUv$O9q`XTUi;hy@hMumi(Ral z{O=$A`?d9CZ9p2o*@^sxBDR{5`&YhH z)~B?4NRUU_vCyS1DykMjOW1!eK#1_m+q>XkV@m*W6!7t&kn*xpQt!0dTv^xoNYx-) zbC5PF`Z$P>WLKOiQR+><1}(q;>&pMW92*K07}aR4MjImDi$M~=C6=Pu{r=CJZ=jEP4Z)&ss;CzPQ$p8FqD{3lNJZ^84eQo+(XO`7Uvptag zWoOZTz{Kpzsn&D>a=l-ZN-UzPYyZK~kS=kZ`7ZPMm?%@S0qRwEDanD+ti>3m$yZ{vWpxJakN zv)1ugJr31>4Dg~K2huzo763V&0>C#Z0y310@F^%{-Y)TuNu$~jHUgjb2OzfrJ2 zmLz!pUMTtF*N-h5CHTxaiE+uyUOD%dUbjhGBgwpqE$g}XPTQrnmpcx6qt@ol4w7m)*DitY_NkmsO3ycW zMJvgZo%z3N40c9!jV`GhnlCmxwCxSXz?jXBWMx{=(eD1an?8Yo!dHu~g<>#R!BvUk zMs93us56I|I?qM(x;ZkN0g_b~=QCX{yPa@0yx{Qg+@2t$lzlxty*DyBpu8-^3W@^+ zvy(qq%`I$K=~HL7O88e7EAt_9(nC6503Sn=Ty8`c36x`P-7!ynX1N*18;gz_vde5ZC;;rdJV8IG1?5}eEiQxpcUrh%T>xuTNV&@aSX*zx>zapfEM_xi zUqCFLZ2RW6J;wJq((3qaGZ&xYnQ75ix7Dk|qiQCd*Ui3~{~GhUi5rpN1tK7zO{74k z@AdI=%*Uc&X+(?Z);903pY8~54JFa@8~g+-x_=pb=L=nv`#oQ~lbz|7Cn=QC?dcZz*(18J2jde_@)m2I^Ep1XA|9{hOe7Up7M$ZX;CVp|8NZwMt|-UdYB}Up91nWWr8zmb>Bs zQ?K!t5L5~l?yHr9IuO&BX}>4&_vK=!B9#c=_-=Z_Mo~1o>&)4yfS|vpZ{rDCB}z`> z-zEPzu1I-72R+ayzQ-y?OFwK#gu#*_<9Vc!%dQH6Lse4wLj3WgxgcQWz7_&()e}d; ztT$u)*OldTS`slzCCHI5-;TY-@gII2XzbAHT zd6`xTF}3m+72~m+A@z@cuGunFUEA5fPRH#E!V;_HFB7N~#ldjfN+Ypk&HA_`#fmZs zhk&C=$r%>&^!~-|0f{w|d5aN2U9-;63_r-XZ99WGdNf|6@ZfAj9#?&@Z#WZuiBv4HkH!@qR`>3g3~ z7=~3Y7^euCuU4y9yrCjtn-|*cxcsL^tKw*G_?Xw{k#&NwZEg(Y9Ipdxl~hE!%)w9y z*n5^9;QO+K*Y0nLfh$gHkdy8|X&;paI69ir?#YPUK(o~>EuX`KgY(HGZ{)|)FD*R} zERtW^f zMrK4r=%#eh)6#b~ZQfZJlS$>$d08~-51AAhwARuR^_S!0U)rcXW#Yl)rY9v~E+usP z+>nXH^N&({Jk?=}#W%c@+sb+psM@)%&@#F$R$&;?AWF>A@!}PsQMo8L*6xnt$Il-e zq+!wTjRWE`uiJjdlm5Gy37KF>ox{|VVD0w=O8ZEECPX;1GiC=7kU!iTXTLs2Ipe5j zZ}x>WBz}cd&2KDcx0LARZjvw8Y?U={0B) zw#^f@u_>}?X&zE%*4yHRqEz~MIuCwAk^$xGAacjNKWvKq?-Xmcb-J%p$yn(0nxEgI zwZ2oz`SLwqDPXghAj7lKTqiU&0&WP*fQ&edTq>y(2U;p0;O*~O+OKU30%o=&r9r$D zd(}YHCIw6o5OCPhUaq~;t}%OezO`Rc(XKDZ8w!{gh`!!>y&BJMjD=LVx?cy)LCXU~ z)oVlxAh~qn-MFV{yBuJP!RADFsT38*SE1?R-Tv&zZ^y!($Q;&{)M7ey;XXEbKQaXr z2sNp5K=M+E#B6&S8D7W0cXM2dW%PCrekcU9&*pRZdFNZ~2Yk!)y8M2k=vj(I%Qp~B z@Bb|rV*LetA=MH#VBCm~<^@}~X(#knk3V?LpWO_iWHVb>goH>Qq$R{`mb6}r^k6P(@6J{~ z>(WstyrxX!bsEg#@kS|v(!&BsNni)tGR z`}3r6C5t?9=>_DY>t_W7&{?`FH>Me2<&mU_$fSP0`bjgMzEXbiaIK2oOu|WzbUPJE z%!~1>uXwA3R`1^$uiBq4h97+-?Q*#@bDrotj_i7B1dFq% zVJ?6LQ;n>T0?eGdrk1YD)Ns?d|M#_BmUx_c%gmtBV08Mo-CxBu+(QOsb6cDcW8t9*herfF=F!^FUmtlfLbK z!F_+yR$VFGHh*ugvDN*P0^L?QQ0FltdEW~%g12H59xaI}7RW3& zU3(>PTKXR4Nixw!uU=3Ge=vT85e}}KMZ?E0XRQJ9N*h_#Gk`K@C48yY6cqt5cV?Y7 zRu$Hav!o_bvl}fw^b%H8O=8obguAXK19H+5fS^!=kaN$2T6~@7^VOfn)4J2lwwq&5 zo7KZ*gz#i0EsjbHxkSK1cz$SVT4-o-eWYbxOSCKf(GUo1j4!o;tfCpaqc{|)>HJBk1(EqI>Lu3gF1<_Zw)ST*%!w*fmd~F?zDi!*|YlE;BKH+?? z5_m@r$-_**AfRB5aDO4Vl@w4{Z85fPOevoW1K;UV%PIKsln#kyVq;u|R1KKzq|ou4 zb%O7>WC;cAVYcWrcFTLPVS>Ekc=|9^5pqR@CQ_aki^a|QzCyRY_or4*IVy|;&L3%RN{e0{>4Dj zwDLQa@{dFLtr`v(4~0~Y;uZA|(B&Ye)t0K&6JF*#($mA?HiSW0HW##mLxM;u^SV|d zR{mzzqVc&Isbo-v+QrJ-DlBl{jXG0&meQ=o06Zc`&Gj?sDeuROme6uBk1lze=-OJ&L+v2pG~W66 zzO+(sK;_g__Fm|u?G}C9bgp8}K1GZ;;0-MJt5WPG>r~G5fiy8hvGJb+>v8W)S`0#l z-#`WRrLV1PWs_h4dwjIcCh!clE9x^Zv~SG{{w?zIfbF7J@6AtwG>II}6C9*L4^v^Y z1{nuc3wa88q$}Viue%GbNGNj>O!IX5+E2{T`wf5cw z$4eXebB+~w3&;&*NG~yB_)Nv)rVJfVA5%D|C*a#FZQg~oWe$}om7v4_wtasOBxNV} zAo)MIUF~(j&tiXD3%Zc()OUTk-yMB$PrECI_HdXasP#MVjG`T(Zgx1#hn%lEG6I87 zeJUDI$%td&1&7Z&&OcvLU}oFgfp#Rv`P!goa1uRIZzYx9`dycQC13ihMyuw3w*pm| z02jJc{6rp6DRqQVi0!-SEGK7gM~rX8{wgqy$;&jewKsq@HcF{T?c+L8L4 zi$5tO-YUgMOqi-Z3awewvuhE7&8nHRrPVV#o6=_43WiVYKC@NJU)T`|7U;}}3|a0VEo!%3TdXyk@mhFRSyueU^7thpAWjo;E`dI8uvqCzt{m^8P%);w zdh|36(`=4DFC#!MC;e*S888)_%$H`U9b-55X=@JD zJ4{{8`~`#QN!8|eSub$Vg{VKDE8uGlCc|-i(v}2FS#ugX zSWJv+5V1}(Cb8NC{^ARN z(f+Ft+-jtfqhW@weu@5TLf67_Bbp9 zzFiJ{ItEViNRD+ak0&iI3bAm_425?<)O$f_D?f{4m_{(3%nQQmLpUu8%0z3`koLiX zUHhnUrkr-?bC;5n9aj~a~Rb=OXbt6tw3;p&R-H=?4 zN0@C_lajqcd7iTtSeo>eUm4tHz>)uWJsgqJtp322QfDGbUsnc}%_tYt7xA<9N(+e*3L%w&aK&5Om2m z{|^vMXo*}IT1U0=jLxF-BwXU$K;^LJaa)8!B1&lz;tZ!Gm=eGUgc{G(nkv)*n9Xc$ zUvY-#gLoBT@ocrV0?DUOpZ1(r)s@8nf6k%&Dxu$vwp$-$kxB{+DbtJrsEiu$D)clr zOq<@y<=-1v@AA7=?E>)$VmQ1Qh&Qw1=HXek#3~7#E{>_|&&jN)(ztXh9taVg70-z9`8~$}DIl;t z?~ZIH_?z2EqIIyR5eEI0Y|e50$B=*4&jsc;T=i!ogfK5Q%GZ zy0%k=w_dQR34l43U!PFXPEVJcXso_HMoO680x4T5K>F@$(Z{c%O~*V-#niKd2P`}| z2smuviDPNeK*WhVtK*R>a|OUuFm#y};C(vl2{ndN+~^M2k{(L=e$U~g5{>5rFx$VX@UTnAjlZh!*+5o0%xkICJ7!tSQ(|RL zwUXK0eP^h4t(M~HiVUx%s{GnVhkf~XRa&&$LveJgn6_i8ho1o>DW<76`}<%9<^ZBn3!fpyz;!i!%M>enJ;lmO7uuz*+o@1EFoqyu?iw1Wex%SUo65l|L@AY zEi_~F+ZN<}EHSStQPYV^yL(nVjS4!~H&Wu&FFU`|BrOny#&|BnlLKd7fwM8MUY3nS zOJ+XYS7n~z{J^18D_tu2BZ_vlYKyotiVG03Tc^dIGS*nTe*Yef)|yVb$!>Qx`3nUD z@f3r3x@4cM_};vhdAG+(^;h`wZdPW~*O%vq8q-gAQ@cGuBru4T!wIA2AEKPX|G=Ne zwEv4g3mlNzh|%3#IKWp9<^dLoEGFZGz9obt0$V@p!yexM2YUXl;)KegFFKgSl;aox z;q*zA!|jIF<7UCQKQAZe4VfJP)`&$c64&rakoysMU@cv!G0e}ZGw`?{ zRSgWrfgF$`X}7P(76Y@G*#nPsVS|zS)_Qm6)s5BRjOgg9mXZ!&b2qhg~!uEh9uCnTfnWd!*_<|mt9#VDb=(h9lU@7%WW%CI9l2H55U(e#3O>ZJYyyk@_!q|3*wgTL5&))iVgyO z#OqvxwWWI9LC17+-L2^%OC;4opiYY;m4}yT#D$d+=#m0We~ba!`m_nGXi``z#V%lUA|`S$t} zL&ggk=!|&G1=Y-w;|FUU!^ayg&m`1L?BC>oH{a%KG^XI~g8DX^LtIWM`^ny2w7QPY&Z zM(1Ch4mM&mCjL<|{Xm>O(1!ZdzrP^E)fbB&_s?PQ@xe{N@rA$obHV;zEHdEL<@(2i z5C8pZJmJvL_*r23)BXN^C;1Mafmbi~Jdc0>%D=A`5zIYG@_>or)xZDX*X#RNZ=H#_ z|C>8`0E7PF*#oJ7f0ej=2&BB=)$lt4QPlss0ss3i|Ff(Fz*WW&`g(J*+*f+hVpuR^ z71j=c4}0@pm;47JX#mlbg(`0qx^pv?iiIQewlZS&d>7g2WJ=lOxN6|oet1r6>j{(9 z%z*9jbko{;pmse%DW&?w$QR`;r?u^P{XiKA4ri17`xR75@{PiWwV53QYozd`!GDk( zQFu5n+F$v+&_v9;9OWO0B3!eFxg4bdiJJS=GvE$7rMHlLC$UBesKweU%GV)Ppj7au z#L1Q9#lPs-+Z4{n7el&LnTKkORObwGU+fYGvC=%wz?_jQ55wdqI>W@53gK~vUEXo^M%{cS(eGg53MZ(L%rMnYlSpp#^zGaP!liq}4VCaXTrW!V2()qN6Mk}L&`QnmB+Onc z;Fr|fl8vNDFhrTQ_Gz+<>=>4(P46xLz#tLt&z#~Z%i!E8$@>}?IKgSS<)*_mFqW3p zx5%Sl>cFtcl=)1v(n=`Ga&WXPZmiVgap$T7=VRuW*>~3Y%thF?Nn;6-+nNGw?q}`x zwh3eU1w;DbghN)4kX#05yW34iSk&qE_DpW`Hgy@V5u@Q3>)b;4b(bzIo75wT3hKOR znyYpxTzktYawHN1ElK356)1Exj$$Rz(tjX%;&T>-KW~Kb4-<1P5ntmrfsJ$ zj`v%>P>juM94`lvXDun?Z=4O)<7tMa{oXh{hs8WH-}mhIa_;?vdH5)L>^AW@dQ4F2 z(lKmIFY&N&KV3SWGbPq_RIz_}8LNA-1pz2j z*(&EJRDJy;f{JM}cvef#|~U`KK!rpst}KgoBCf$OAIK42#T3xY5LEOZJ{?3&%P@l}@M zCtAbmJu~cMLCuH`$uiWKQ;WfYIw$NZfT~P7a9K?BqEorP9owUv`|7f3ye!L|`)ghF zqCpJ;MKy&nB$ytwUqMfE zixkUvv-0SOF)uosam6B>EzQgBw%yX6xSDe170r23KdGzTG-JLhpMX~7;-Gz)>iK9C zEZ0ZlAWd_r|1HFN&`4ePF^qxnG%OUfrE4RLBRcexn3w97rR+7wht~Q4${#T?wpH+Ld`{Lc0 zrI^tC`fH;5xnpN6LypKuSvXhXlO#5GFC8ks4HwA#6@N;MfbI8iuE%%mqC%grQV!ue z)pJrZDY=C#5hI@y%RsrHAB#MCRIZoP`=CuIw7b1ltlaWPF%Ikrr6+4XyjiAo#R_lj z#ndkz8Q}Gw7VbbRbhO|G*On_XFG|+RkqOU+nVBjx{b?vIpf%n?#?F1a86pxqA0?2M zQ%z@!kEO~jN?-K;VkYq~TOS7I9;f<=D^CR~gMYR*2Uvde4|HuB=>>LfLe5$ODK1Nbk2N++ffei?raylCF?+_0{Aa`()f(A5O@A{kGdF_?+Hbx$Op-XZVdUy7$ z^;+Utn^IYT{9ZplN~PeYiKT+jcH)Lb3}#4(lmJpy?e)iv>_UvYl?=L}+&M$p1UcK% zEdKFUdfagxqT2Uc@a>MN`69AgF?s%G*oXqKKb(>PmycU!hsjJi<`;RY@zG{x4c(Ue z?E!jcBn93~#0qV#+{>YTM$YbGSjo%+4)nugU~Fn*!V?r!LQS#4Yctt73_4}}Db$KR z+3ZnZb+L_LdA(z&5T@<$HrT z%Y}R%ZL9vL&AvEz>>oP6b|~AGZ%AKmLv>(Z-IyUYJ@;16Aqq5PFqaIC>W~+%cOXrs z3JGM}u%_S3S_-M`K;XxQb?yqFnMt2ai+_835~RzbbG9*~_SJWu`cI9(_mKq6h96t4 z2k`uI`P7wM#h=33^>xKW?O^+VS^$eT&)teO&96l_iG9iW)x0=wnrH?{f3cjzK!B6* zYl=Sy?CV#cdVW+hP6ga$N%%mWHF^!=>%in#|8!q@JPdC}I8)et+p&W13_5%9v~8Iv z9j^z~y5knj3avx1t(*pugED{iJBn|74PK_=FTWpCIKUNpSSZ(PHs;VH4>RS>lrqta zzexS_xLqbE>Wck;K1qvOd2Is;dcE;6p=85*3@y^%IN9kod-%S%oUYip38%|By=LX7 z*NVZt8xzgAvRWj0T zK0){F)C|bg83qA}l^eI4nh-0wVt8 zr(ir*>Grerf##qyX4((eK(W}UyV@Pn2SP!mTTzoO{lmlv5kglGkU5Fj{c&bABHC=h zjm5a%11L3X(4FsSFVNAYBqFyn61mr=;*B%C>%<6uS6Y0qxe0HZ?vjyv08uC6h=7sBm7 zF$xyda7iD}R@u$6bgs60z-@eiN)c~m^{XAEzse%M2XHFeWf3T%BwYfpi#!CuzsAyP zlAnOf{4sq=Bp5ei3B*K+Qa_gk$)f9kr)uGywdvzNLt`@VJ$*|?=DRtXmvh9Aw2J$F z51{bU;bc;&taC2%Yk~=HtO2yocm+HQ#$d*Gm76hn%7R9bo=?oK(_3AEc;W3HR=oF@ z#~Y>{;4ctK($)X1XzfmiKE!I5(}=j345$IKU?bzP`9J$QFP<< z0;`?r%J4)04?jWlKk9u%!(RHG8ALo;4guUg&Cd@(&$A!GEqz|G^$zfwj+Yh8JqdW_ z&C>wID`0N0pRtXKndzvw4=U1YOe!|$GK_?b7wV?QJkY4J@du$t0wB*Z88Bk~_aTdR zR!wxwxnkR5_sL!0K_(Ac7{+7y=ezxNmzz|13p9$^nD_nE+oPnr{>IOb&1^3|c5N6v zPNL&N0uF#o6nu_zGeG7fhxTvc(5QU}s($vKW|3Yi!gXHbSjGO0r|Lr&!~4)G-khHg z=a5JH`jYvPZOfM0ElQR4PF+Z0(Yp&a3$=PAruT#9+gu1v6-{5;q^!EdG*C@IAO zAf=M>@^AswYV_aw-OR2G?@jvoW92-}>e1L9uKKgt0ZP})G1YLKOjo97=iZqMBhGuZ znQ6%;_X*W`9hcMDQcM`+((yk56s@Z<$rK`-&K#Pv8V0Z1^FWQs9kw)`8Z!&1azodj z+xiqqwjdf6771^0ehJdeh6>e& z!6Ju8D)aK!VFn*RxCRHpo1Kj7hpK**nwFo|zNPGsHFpt~PUOl`Nmc|~P5A`O*UD1w;rwY7b-L1yI1_F5*j9mP?vfaRYh`y8D16(xot4<9IXp zOJFM4>PX@X0aZPH7FaFX2VJ?Nsd$VqU^9ye%Ga!>47vb0?`q9G)pb9G0#ydMrKDRx7EjgmEe(yW$aQj!f$S`JpUm5g;^*q0*ydRk4 zG*(5$=XiJhFx8CsTgU?%b>JN+O&~MX0NQ`XQnmeFerTKfM2XQ@=C3{41_H3ab0=xB zPAVT^Kk|crmsdooQBf%EdqZIQItAYlra@^Luz^553KNg$Y_=;rkZq8TfS1$sg@0={ zg}4)4@F0&Q+5re+h3j83js1O|d)eVmk{U-`1L@GTKhPrRQ-3FaxEXR?o6l9-(8fJ! z-&9dFzmb)h_zHXVq?T#((;&7fx#Ohj(fUwksO<3CKtyOCY&;Auw=qlo`680nX%X1c zpn7#}STA0Pfyf8eu6cID1<|RAS8rt!xh^jl8Q~V-Vu#j}+_lEMp&;2UC+RbpRO~t% zHbxmTWm}2a6iXE#68yF2O=$%6-7b$!7Nb}@V;D0>CEadL#-K&X9_7$@H@kh+$zp@R z+o!40#!9+FJ2D`m`T+#qxOQ{YwH{m`f#o){1|B^HthZJ!xK}OsP1^x=y8$9W8T8^ z#xLcbAmmIK33HbVb^gmFn%<3Ro2=a^!R7`;xe?h1nRr5N0Dy@tc16_5(}`eWB1mfF zM;@vZ9IX$9*y|~JE%5e)}t3b4>BS?UPEdk z^fq${EA$03uhF~h=?#x@$X=Qb<#nkZIYPQRj2`!)XkqH0=pdujjR8n9_|VbZRkbWp z9qAGmr<=C$YY&>9EcPlry{aY7;cDGjITk5{idFc&8WPl?^-#ly22^s$!JUkRXq1$Idu@M8&Ra&;Q2#wL}R4V zEcU%0=+dUZz|z1Gz>Uv2A?=Y#2) z``z4}4V79OvGuV`Tbj|n8H+A+0JCxt%{PFco3T^tC>xh&jsV>!c))2`(cH9#dNG07 z7TGuD6W)gcCovvWU8OJR?2qmej3mGecr7}@{=s~$+DS8e6vB}H$&+G(qbezp4+_J! zmzy~WOzyXP1V^I3+x6)$?|n~}1En?_aD-dW9jWGV?P{`*AyozykCvX3nso2q4-&m}yaZwPju@QsW28GTg4${PU zubj+YRo5CR>W`5HvU^o@HyhziZ%4(x-ePtKJZ}Xek}y5?d1X!)BH_J}OT`0jVTzgU z#Ta8Bmms~T2j2%|C7LYsP@^ACCkMmn9X6xe&D)F>FlJ3BcvE+=SS4!+UZb}N&3ODx{{D{SXy{ZRlb$W9Gb%ZG*jS69!GEAoqqXeWYRK($Opjw( z2oq|O4ggwY&}hcIt2xy@~9Nx*wtJg%8`g4e6L(26x*pn42(saXL*tz zufiv1T9v${vSk#47-;4YEAL5+gvNn?2iiY8R%KIfu(g;;niVlSi&y3D7N(UO7Fh~` z-kP-G6$tOt$`S$Sl21-WaYI&~G|ms+n?6Y$50MQGgy*uBO$vwRE;ZdiZ&PH1_gmY4 zt?l^nEXFk6=mw|vcH)Zd2nT8lU^?UXTY<|asY1kLI!=GnT^NPDL;3V=PRfrzjWi909;TF%;WhnE}rQ1S@ zm*rmV86|XXWNrJP<=W)}7w5@*N!t&qNlpBz2C776*=-q>oBd|gDxRf!*|gD3=aGWB zwA9f?ii@mR}aNacP*alsD)hoR7+h0d=fDL&1mo6!vVK|e8_TS_@E zI|X9Zckq~;Ro1-P z*7$_J40(qkkzqW)%2z3`jwl-YCC4!b@8?q=p?t@OmBNJdTOMvY=-)Fvct{8hg_Ap^ zj4cl*8e#d2^;0s8=wJ}dI%V12+u_G-qhlIeHIa3~F}1(KEf z?U!QCLg+SSVO3h47}?)7m#7--c~6mFzD@g+;>MOob741EbVgGZ(lw%F6`XAFB}sD1 zox}|Re9!`dZlsCC)ZH0KdVEO6LSn;r*wZ3*9_H2zNAP#=ACV8uV`+MytOUmzRa@;Vc ziJmw~<}(R9 zaBWVss~0BABsTiae#**xtV_K8ypxMil(;Thb6*e>`Ct^{;*t&vkE(r%e;oC|)N8?m zfF&pK_N%#R5AO76csX>K>+}t@IXG8UP*c5DEddZPt^3fftOd6CoiUkvDzqpeznWw) zTrYmi;o*0`fEt5??TL;nG>NUh-x!(#EVcC1W{IXh5kx;+k9K^bcD5Fg6TkARIYc;% zIeoHdt|BGX(MtK9&mpB@2ZrmFC4fXPLh5*$mk`%9clP5K9jdNtp^$X)5eJL?Uh@I{ zw)D|Z-yn(m_4btvvEoUw9!Z=4^U5I2pJu>cf0+}e=v&#*SE_%%=*jt=FF<|U2j73% z%pxw@rMZdreH=^4xa~kpi>dIY^k=xRB}eHutDpuy^lFc(A(@@cqq&h%cw&ODDSrINDD(a+svT|2i~TRI zbK0&aiaXB3zqY9QIwPpc=%h_U12`P4feUO9)c!$~F9{z%ea^T2UVCrTyK1+3j|Y_5 zQKvKk;_=Wj!yeojoMD)|EVjixP=yY=He#2=FdB_c?H~H0bC|b>;9ue%fckE<2241Y z+}=<6IF_GRUgHBHo&71$ZZ=)PpyeK9S2#n-IW~5p*ENzV6u4=n%P9wEw_i|z9y(Jc z_i6ux%Xy14kzM;~ZNwQX&8t_j<;t@X+|Iq_7wbpm1Ra0uZA2XiDl|TO-mO}|=c$0? z;A3Cd1aQ#f5MC_GAlag#a{J}Co9*Ued!uJZ8wKyw~>Z|tew1OzK$LSn89s3wKQew>1qfG32m^sPZghPD(~;P zk9P!>!HlFgMoUH|f?*RxQie+p&7_*9UBtTl#eM#K~ktn>6knlfaPG-*6d$R)fHL~_2hqL6gAK1gp_4m-HzI`fi`BY+`ZQ(u|sNtq6qTejy zMKsHih@xH2W=`a^&#!CWjpwuvdG+d*gMG$zi&t?BJf|XmNzc^t zEw)*KNQXH2#XPAVu12$4{QQV{cw?NjMfo}VCmQQmp@jt; zSAz(fKOa7S!3N6F%bafpFmiiky|9V-zU8^YBD0HfAijBEdJ8{R9Q%nP$lb=0v8TFa zP^_uVjRkl!zypq9PKXGRlE5-T$Xf*Ps4e?)b5e>4(_2t>E9}A7-vg3Som|C^o=)Hqcg)O`m*jq18DkhpfKjA@Z$L#hV=0W?hq&e5`V6A2tpx~X_ry;q4HNDDSQr_ z_ch5v;ESL8uqdHbu)|n`UGm3{dLBm2q1>^2*f8dvI1`w?j$YoT3q=QqiZVaFIJJ>< zv1jTpX&o$VBZ!;t6k^_{EoKm>y4_p`Fc)(mH@$|iF0ELPja?kAL5N#_ZBwCovyT!` zjYemI?nw^#sN{f>^LgtHhrm~ZD><5{MP#Z-l=nwF)i3pCm0Q)aFIbFqsuf);L1^~L za<~kx*d+pSkeMkKu$p1lBF^-DN}SUfa+EJht& zal-Y!#=%ODn7E&eJJJY7Nk*y}u95izaHBB?&}s${LjyCo9E)2e^pS~+=*6N1SYffT zi3ygDu%+YK_@C#L&&OsObVWLCChYLo#^s8gC{07ucBd;51KWX_KP|Jh=s`EG*9i6$ z>=2H&3%`m-`=ssWY;ASf3)he=YwBKo-S;wM&BLGJwbbjdY1I13lUxM4qUczA-l^yp z;KZsjE~@I|Y1BG&;}ULLyKNLH`omkOu-Wg=^VcT#i9S7c>;;83%Z*B>`cRVsEE&DZ z^DppLqw~QjynWZ|7PT2tNc{xoP48fl)-(iXYpjyK9IX``TDwF+iXHDsB(0w+{WX#Q z4A~I7C#beT;;0yCmc8|PF}}!sb37!*jlH8w1bP9b<}(~-MjJT~FAjZ*`@i~xy!7{r z!a7a74{9+=bZnFST0VlZRVyt%cF&HXJ*rNs!n}hA>r#JOj*q+?VTVlXX!& z47o!13C%ftU@iyODzcJ#?gGiE4#swzuJXsSGA3L)bttv&3XgXQXtb`cH7R~&J`_l1 zhHZP$_b4C6uD-5muRdv%C2yq*CplM@@v@VE4j-1l^n37wDNUB6e!54(8igSs&Yf6m zJriDa+`UAVzb3nu!5hC|$cO-1UU+1rwFvDbswRONJ%9rI;J(BDj{2eKsws=jgNVMVQB+g@BLQ-WmXG)s8HfAg>` zDs&&5|KVY=Idx&)*Sp>1j*xdc5}EmUd!v;`snk4{dNuvs5ee;A@@qTt_9|DgmKT!`&q)`^4#BbJI%Qerg1d$v}(&JK*sXy>|?4CDWE6+Xc# zP5F3_|4QY;HhKZID{ODkdkN7Y<}31*HRy>|Y+S`~&u7WW?O`0sy(m0y#XWD=qElPg zuxb^^f#!VZ39F=sw+phoXu;((%j{C)F(c;y4J!!q>cwNi+_6&+y84>oprw)N03W1Q zJjQi+2~iJ;HyZlHXmPabHTRLj?cS}D+agMy#M4?Y8kGsg_nM?JSfX`oZm-R%-;D<^ z_L=AqLZ8^h)x>I-e5fymrbqh(boEvuhTN8D2LPFVG#A_=U@;v*`=s2!$daqX`1%*hJtXz}r zhp)tLZIRS9?f85rf6 zPOekNDEc!vw+w|Hl@?|k?kHdB36DDW4Ke-&DV#9T$8mZr)e_&THfV!UiJ>e*4b~?$ zj@j{QR(cOPwLe$x)iWKjiBW-u-SRN4V`+7ore_b7`kSEx@*Zaov1Qs2%g)W3t}x1wp!#StFeBRYdfY6+%aX!)^* zpYC$UHQ=U4|3f~0#YIeKTwZX>OLtN!{h&}qR3r~56C&g4J=Gf-_9 z^UW<$WvUdr73IUdOu;OEbJWKhP>u<0g}-{$e>?ogoc6dHBA4{fwX^(!rqeMsI^@Ar zOs#-nv1Br|Y_&7Fz_EC*&%M6!LBheXkncnp=KQPf0OH?Y3Y`TF1G?7n#PGj>Y9p-?`CFgJll~OJ z8lM%ZY`Kgl#P~33zd+OQfTd6wktIKGoR?exSoux{&gq;_w=Xd-X5hzNK}%Y3DkGI= z?16U9Gji!+flt8NW&7##BV^<03M(~EjRGxh^>15a`WwH#iBc+3qF(6+hr&)d_C_-Z zMs1Ad;c=f$TbpK13@Lr74!piNf{^VF2C=HT;;O~hI*aX3Ey+;FHiX2{-IpaVC~5~ z&j;g@mKt7Vf-!0)J8K|qUUbgo2pPzz-Fa)Ci>-li0=Y>&Y@G!6;~Q$|`7M!sznTWu z+fewj;#RbJX|O;_dA?ZI0Uu}F4-7S>7JZl5CNM}zrJr(_2B~t@WTH5O#h=Y`-oyME zteGA>!jiVjfsb2OG`qE74NgGI$1(X@>8o&7i)mNjipy5!hstGm)p+D5XReZJ{oYkQ z&_rLuP3Q33JbwwNir@fr84?7c=-ahc33GT=-fXG&O5>3L)fb6F=NmG<`CPbzd$@vR zAZ3M}S8FZxdFBmM<-U^6HGkaoN|bh$VVR~N)ze4&PvRl*PTMb~xS*4xc{~IGa`D+?w?h`JTm}uI(=%n61h}O|?R$goQMX zhW!7BTyWpf;y{3-{&o1#UaiW80vr>9fu1FEuNpwp{bYh3Tut6wolRx4V1p@O%HRlD zhD_7_JP7T@3zJv`FoL~Ezy}r%d9Fx*E$EGFJglv0Oyn6}7iyq?6CWzaK6354eQjslZR9!;c+Us-x}S`W^v%gqMWa zFUoWvXif*MIEb*%;H0Ao=TUj2@)l~(X73Mxk5FD3_XvZ1d>E-l#|WufaeN)`jd2qH1!9w}SJmeT@%$HCV0!7=Ni+$Q?a?mDFM(~7AUQqZlykt3+cn(ilx zIXvk<#-d{JGN1NaK}LVH8F7$!_@nInKOJty-mj+3q5sp?bP5cjE_c3z!&zn%2`VQa zwhEa3V`CsifpI9hpJGrb%wkowQLQ97qnxeKk%tyI$Zow#YAjZZSY&kpDl+0w2wwk4 z4v1T33tq0Ul^BR+7TK7w$0N@{Lz@2Y)7GoGe@oK}Bvfs%#1w!#J@;}Jh{dfWse_+_RZ?VQ(W-{~-@*Tn7 zb^nxq_f7Tx{nr0qS3ne#|8y;!cWCulTy3$<|J~q0?pUKVPhurL^I_J+?H>ZlYwdPDW_$TEO zA;ZowVQq;RJUHMm%cT!o2YCa~qx5ZSIj8|%`tJ!Sd|n9Nq(8q$34lB=^47b}h^#e+ zs7x-Bv5@pn7oD=zlsjCJwy_?BztlG)hqe)W*Yf%l_wvQ-?@l;%YZCUh;^$f7G2^Qt z`PdkAts1EU>`!8Z@~0~Vkh@sYC-9BptoCltX?w11$*{U*-=FOrI1`MuFIf{zcur}b~+NLlVs3$75P zzYgXq-cZJp$HJ91x|V20d6|dfr4?J_2`9~XmJ>N;SP6pb?bh1y#ZXdQEA*x^m}m0( znHioqk3PfT$Y|J|%IL_YuTDyP96mLKcGS^R|H zms|FCW|ZXzhU2tKDpGI=Fg99+p{Xr&-v!3vLW% zq$x$HnMm!Y@?sB^pmIyxInMJQbXEwn?J#yGRDTMY>@gPs?jHY7hP`4I%r1e6&g<+Y z;>P461%y(J(7xpTzy%wNTjz}(VGH>wO0yoW+eDpB0^E(76vr&NMsY){ef23w9=0Aj zqORTfv*wNAR(ZD-kQ2s!aj+lCbpE9gE@cJa?t_I%z8lkYJcp@Lf;TH6bSA@-vY2)Z zK>;h2!WP5spQ~o)Msg=QTv-{N*&IzeB&twdA!ixv)vNu!`go;eg$AvWYQK7;iPLB| zmbKV6WaNVJ`>?$0sm2P7<-hSGKOTrpgRHMT|~GvQ~?m%s1cW0*v7#=ij0`}p~zcAw7U@4FL zc&f@+wls{=DiFEJQJ#}JCMq@YR;Va1kvV6~n%vdUQ+VuVchlZ>hO^l|8<>1#$@`L+ zwpLB-Pu9spv&%$AvwuQlKi=kFWUm*de!Qq#xu5yF6`HS{X9QIeAqmZH%z2c9I_j=N zWkDP0~}&bm9gW1-`xB)L;Tw3CpgNxrwQ1^DQ<8Zz8FYAziw-B0sjwE`C* zgdDWUQM=|FVYIS*8R^Y^^{-M8ULkndAUt`4@aQMg8w#RVgG32~M7I1*685|HiIuyv zGpuL9c=POMXR|X|jEsG|z4xgvK`8GF6Y;0l9C*l0W0MyWtwm-hO*6UeE`2U*K@xdr z!fC};P74z3U-_{PA|9=35@Zr@(DM>>OMvR*W_*!6hS5TRHqleui)88WMm z3vpL`xhd@4?Zt-SAg-2kZD1h5ZUKW(obpgGqA(Wnj`sC@To<3EQC=K-=f<=&L8gG# zE46OZ6f>UM34{C^lB4WUMC-+rz%Qj-BuCL{!<92zy9)br2wFNn74NdEUcZ3V9;_1^ zab4IdD;!Gvxy#8@^CXz|!!bqs{!3g)gkr^@xA>$_98M6^P0NV2C(|iYYVH0Gk`s&V zc1?0g8zasp*Ej%)R1y^jI^ATIx_GFoN6oBQkqIB<|5A+GfwrsHzudA`#5r_W(l6%@ zIT2I&JBhb}2R6jZv|kcQh2$MRl}$P+Vpzop%v8q`6wC{iKa@OniW!q)IF*sn=9Kao z#3`os6Nu|Fq=6*)hq4cmO6gO0nkcvuPiEz04i8(F6=bU(?!Q+2fgUM}2P2sQ+LD3w z1YB;(;tkzIuEoazgzl0K%dpl@EgZSt?h~WSFQO)KDg3}()q@|xQHV~yL0o#x6b4(l z%Mp%qSf8Aftv{J=>h!G$0l&h*!!n_tta|L#*HgHXy@MrTo;IsDD6PRu^^9TtA5ySg zEDJUFuA6^%U7A<*&bZX)KptbuIb?UGgl~8rem3V$WNRW{95+3HS%wkx< zhC9~8tl6!z{Gsj{#w>{p+8-)gSqWUD7_!frx}H&`%V@CcDtJK6xXK0)MApQ5huJBt zu3Jj8j>s;n=jPh>T<(r+YR;_c}o~K=64YUAI84plto;quY2R3Z#^To)>T2jokvWA-Y-nb9|D=Gm4xp z{z$7OLso7gU5}q(yVz#;`xmke(88B++ie|xr-PQY%d5YZy1#{Cf?mXBw1)yUXrp9+m1O?K#@W3%cu${fAuF=@PoKi>ML?Y|=)LDrkZ0c*wx zhf8hh|$umVZ9UdZ6&I?{=I+E>-EEgF6iNN z_|KMusqc`y-Z#s42H=Gt2%?2P@k)9R_5XoP&e|W(ZT<70wCy7qF%zjm581nuGWs)$ zsw?ySsiY$v9!{0xiL0pDwp^IfJ@AxFO?|O*<%VMDeBIbwBxwo>)xRR8zR#p?DDmT4 zj!#dj{XBoLLa04>DZMrGsWAMp&s2oDi0POJ7MVh_g1`I#$WqHPoQ>@yH@jv#80mDE zgb|GEWci+5_rX7GVE4=pZzxM80j2Tjhu+8a(0$VfxQgzWu0;n(UR0El%`DW@UlY5o zu4+1W`B@>v!;+y%9Ke~ zQ$kB4v&MCPR`~Lk;A-S%WusCD&+x=Wv));g+j&VFzo5p6uR^khgaJ!hJNk*yo0p|V z7A5c&2M3q-pdVQ|7*KNfHg<= zdw8VAZ9CuKX>)aIhRf|#O0WWaUQcKX4a;M9SPBxjR-5{Tn|#rRYGoN}P4gvqEx4D?*32=b$Q}q?Uc8LfMWQ|hr+}Y zzR70@D7&3)(9;S?=HJhVeI}v@KG9-8IbbeEyRKocDi3deN?_WP8aq#SJe%g+DQrF9 zDfCg$LoAr=Leq?X@@Y!32K19#d1I6p8m{;U+Jz#&1TKOX=U)*@zL!qS#QivL=rm9o zziVoN#WSC;YNnldCbU-Ow^`@BnaOL?=whcc=&4PF*?kH(h*E0`7WXVI`(MH6`_!+{ z3W)-DUe|t0;F@)S<8;0LalmBY?48BG%Tzhih8TT|$ z-k~-^|B7FS;h(4A2V(~^mj%QafA5IDbKi3j{D>f}f&#zq^Y1S*h_FS{U+?FvM^NE# zQ=OeaFtP1|aaj|EugBiM20V-TX|(p1wNO+=?_kcAbLK zZ;n7-;B!6SSOeX$?TM}7p92aUmJPO5>=u&o`f(dD^~m(lIF@plzWHzNA^kg#%X=3KWOm0=74k69n5^OUPszg(L7 zQ|+c+e7p5@M7!fO`F_1Qnf5N>e%tE1efCfijn^Du30#71gsEKc?2*WZY@>+92aBy~ z%N-<(swI@vtU$KKd=VLob8Eh%lW|09#U)9#oq^1ymV;7cogkWV-syuQT$-%NIpcsQ%Vzxbv0}rG!qWQ~vYM5y@8$L+6P% ztpW~=L*3Z#5Cz##m*S|o2TI9Shkse0u1ToZzlPOj#QG@$$G_VtW}UlbU2R)QYsMSN z`Ym}+>s6<6-1-ROJ7t*?dToEdnIKh!>eYkQ*EYwjsWunXVjs%2(e~Rhmu7^|oj9Ld z7>2N1*4b@Gy^Scd<_V#C6jGP{!=7n`d4`dRiswm`{TO|%o`cM~eHes%K}0ma)QUB+5tYD| z?+~}Nd0-s?aUbkG*Qb3hv^L&C=3aIEu{rKd_A9k<><$(00K+%pIUxmyl{{QIA?it2 z-7Uf}rr5_Ap+{wo@ktF!*CqsOR0nLR_F;xiyFbU%H(3eRj@W~a>ZW;V9G;>s*soB# zDgRirH+Y7#C(h|;7eB+FHWtFd%5c7RZHddI)9@hg9vpZOB=x!!a8=K(><&%m>NK~e zD@$Zofk#RWnEp!d4L*J))^AM)N%L&CMkhf+hvKP7D1k|m>uF4zK=G3!LmV|=$U#;z z=={#mt4cqj@<3U)dPjFbYip{!pphh7CX$-{Xa30kLXM{L$+{=An;w=FXC=ei-M!ja z7B>A4=N zpzanl4Ef)G;M+GKUi4?;%jY?sd`$qOevROG`~9ZTMcVIpjuXnZHe*5f1iA<}vs^m7#^sFC6I@wLRh_bq~Vlq@`LhNZ1>|fTH zdL`Vo)T*7tFPK29ped&()HWRR$~&=sDZV?yt_|C__=m7%U5f$;N#PxR)pP@_fJ=N zlqcPV+|4d~H+Z6s${x6zas;zxIK*r3(D#xM9-A;N}W&2QMPx_TB>x9n$T6ymLPnFORdtz$CV!bTHp4_#S`S zt2sg`dY?6E-Z78nhsR2L(Ei{arUTmI95M4NV{+8eh6E1VRM4ZYSYDt#1lwUIP%Y)J z&(U{1Q)YGn2Gum7`GWJ{7TS(tp?%0lf^-kiZs{Fhyld0uc-Nx-Qk^L@+OR{F{Vl4+ zg80SxtdH=}Hygr|ZT<1r2htyya$ciDs*P2YSWFy}n3KQ7IxyQ>l+#9GoujFyp`!1J z8>O84`@Lj!Wjmyj6;8yZ8EFd}!Pp}A@{~C86K0|ra^})+{fXbZ zH3q}_q#snwD;|8mLKbaBLa-_#B|>EqghnKcSl0zzJ7|A(@#3X5ZD*To$M z*WdwyLvVLUf(LgC?g0jO_mJT3?jGFT-Gc;&;BKe+Wv{jNdCtYTnhThkmg=gnzt@(~ zsvxrK#VW2$X(T=ID71SxC`g=@$H3M0Chw7(%9u1gtupQ6W#?(U3tH3A zYBkMG*kXv4KX@r7bp zR&Jwv5-6JddS-3AdKK7T05CmySkW%`bbqCE_YKbk4iSr*{gi;$@!iw&!wJj=fk)*k z)6!2M4~jDS?#pmI+b19Exza`+&9s`G1ic7Zi_P-$ zeqMr0(*ku?1;9znn<*+2C9(7W35k#o1K3}K2kmc9H*nvKCOw)*|MaLFJ7e97W7&=@ zvL(qZd@jJ)fp*JE@z67Nu59mP`pSZ1mpcK)00?Xbmftq#lDYQP*GT zFPv#@;AGWDTiCLbT3u`NHA@ZVt8C}TMwSG&jCQ>%PhR^w7+Gtwk<<}lA8QvR3$MkXQHMz)3k$Q`7fR> z6E@HI8%39cq!yiNl)?83(ZvFL(@q)1=11)hFJ-qVnmi$LiF3RQKmUO51#fRUEx6=Y zj0#Jao|{yx56KV;9@ zM!`vMawslyTQT-KrVUsKL)&`vBH5L?;0A3>6T3EhGo9k=j&10Ku1cSEX8%azchjxK zpq5gU_~UMo(+7iysW6!>LCe4p0U)dSNBs7`i|m1|0iT>0hVcu)Kt<$Co=_LfLCPuy zoQ{X!R4V9zm=ZeD^Ja>L9O{qX#TWDZ08jhr(1L68t^h=s2h|ynAOOzgvKsY$D<_`2 z*t}iuG}6U(O5*S^UqIs&4L6qyPNS2bEhz+ev{MlT*KGiGS#1Ac_Jg|?oBY!XD=5Ik ztl{GKpqQ0vX6?`f_cE1P^mLx|E(f1WGe!Tc@bXr1lq?C&?KhiDTZnC^W~l?Lg3};VP-tA9Lm>`BKm@Nwo5H?+6GGX`CEin zn8AH0OSA~+6PEz{AyTRW+qJjo4TUb_dGYo(o6!x*R2?1AiK0oTV;{Qf>VNlpr$;Mg z726>!sPa3d6Phtr3Xg<|QvzhAjkEOx(tPep;d(XF@-SfL4|-e_hY`U{;(vw*8BNC6_vq0k*8xde31-m z<+SfNms-EQz!EOom10ildxwK~w28i@9oiI}R63CKZ~eYi>r=|dPMVP#A=+Nc<37*le6X>uxoqMo2|oVpQ-G-1HrPtiM1!d&XRPp^Uz`(22M(;2-P8ox^f% z@>W3m1l_;ODcDXw%DD-2Z>$G?P=gFcBIv%xuX&UrhNsrstQOa1oN}H{%L@UNi$!fW zl7VyqzSi@wLy?t!3mp?7=Rc`ar(H0eF=TJ~fKB2iGi*6wjdP`!b{np-h6ty}&>Vxy+2{(tW+%BGfAd8DJ zV|RGBx)D~_@kJdIGMf-|3vVj;QFz9_&Jr>eZ^?zq_UU}chwXO48KEfi>QMfaVb}o3 zF{3D@czH_Tv=(o!&}|(aSD|zGzTNU62BpRBy+0LGF;sM2e=N6%VZu??&)eU52)>X? z5yW_oZ_VO^W{Gy*x0ifK#1=-^cUPixWlkA-)8g_C=r{odCC^#@AY8P5?V_C4(QjXB z`;H+<$Fm&?Dg{wwnB!=d87 zAc^g~GIKbs8Jlmk9JrjXwin$*;EEVuq>?GIEptqh_>BAxNO5Jb_l28?50)n>j%H+I zo{41lSl=}2!SlmIXJFSE-QqVveuEf})EZOp06)(~5WNwFj08GuuCCqJF{g=ML*vi5 z4=yCZ8_BVpEdX%<20cDE08?)o@c5X$6k^8xN;`1hF;`Jqxh?a9I`Tawz(9g%J`Q~p zSpeq())DF@DT#H}8&MzG-LI|k1_K+Qp5UVq*J`ULkh)<+Z?M;U5-GK}eO+M!taW`P zh`4N&H7yaE!H8?nV;MpfUQx#S30AwtCQQ4w?mj>1$vsZiE{2TfR+~YivkQVJ%MH}- z^e!UXzkW{Vccjz7%iobkmO_Ios2P1fao2@@Xb6rR*?)@A^TIVu5is2FzpSt)Jw(DL z?V1+|SZJ6>uQKVj1y(b@2+zHTC}LBbet98lVF5Q~r@^O%D!V+?p%kvNVMp<`rYj$l zu@zZ|-9Jt#G>M24J^GqUG3)u%M}(GbFH|zDV4$nbB5{b^8H6@{QNmmP-m6>hO?j|9Q3 z;@&q8%eQGK-sw>{f;LP$SNvk zBiL2uwYt9K2Xb@~zTDCEh=wDRgHfX|CiEYq(}7mbNUu?&Mov_t>|?ojiyW|IxSo|7 zGKA3~segO*_+Zp+QBOj`W>8mHH0z4TK2sE_tPpSvfrZ1`M=q&sd`CXR^m z%bz@m?CJSJVJtPlgGhKBeCWw*P|>s%I%_-lbz)OEuvt`JoQuQcY^j^PyPF_Jl4=g| zbtgo3d_hY01mQIzI%6S^f-^WQg6*heyp4$=*07-kjo44UP{-|@$ru=%FYbp4#GHbc z#5)3+ez0Z9_^pH^$-px~&H1*cbq9*@fz#S#ItZ2KDqGPl;2H##MM++M!`zCh1ZAd8 zZJm^htH-_Lgx4pvdn8b^fAzkC{+N*6>XsaZPopM(m>48Lq7>nBw$Y2))RWJN#U)=W zlLMDC>gMT7l2zyXvGalj!?3H1`1WA-I1(d)!Qef|>Z*sNgC9g#FJojr=VwBu+y~L^ z>bRVwW>Y#gD4C4zQS?($4=ZYhLN;XGL<^>|Ef5S3f;`K|{XxMx`&K8YATc#mU_FYe z91Uv!TtgNV=&U{i;{f9OvYWiLVYJ({ywArTU#ZoTX!F( z69<{pX&b|;{;aByxvv{zBc4k0K*Ean>qXb>QU;y17Y<}bx-ehnM>GRWPK8# z80rlZR6o7@)nH5d8clK3@|1Z#bqdg?X-TWxwCty=o9T^tA^G&v)}`i@NEp=pPc#_Z zmH}gJMxCbE&v~CD04bL;38AjXnM7Ts?C$POGmic z^wjs8Q2ph;l9o_B?r*MHi1~KO)g2EyPazW835`0V-XZEU#tovnx5DaA$nub{8OOhLX|w4R{ctbuo`?$mO<0iMejn|fdsz23h-oUp@ZI}VSM^+hBkwCmNnD1U;Vs}(C-3?2N%Y->%Ekj|Xh8aqqb)z#t@>4$4C__vU z(7%QZkAN$GQ`myrVS+c0HQ%?)5%@&kLQ=WRTSxZwv1BVQ!@vWEdMzdqserju#^OlZ zna?w0?Q7HhZD$Rf2sZsGD>BR1(GT195Xf^!n7EXx$qFA`n>r|D5+Mv^I-W3u?#baC~9ZH1Am_U53d>9=?^cO-wQFj z^fjJAx6^M>iO}%5e#6UulNjTtV;75otPfWOfqVEga1bfrdY;<{mA&zx96cXh>N}%O zRMbgRLeu2+R31+u;m!E*d)rc;bOXP!r^VC)G0^s`>Jv3CHMC5iGye=JHj)ob7Ar}Ql0J+Dzzt30(Y zT{@{u^IA0clXrs0yf%}}ky2j1e|>Bq_hu0#(31=_wb_3%dQ&~yUd(gR>JF;=J@{Z= zVzbi=Zx;2ebJe7tI9s2#m|t}*5gj&3*$$!NzV1Co+?&r?^OR}9jLiKf z`4Ib;g(`hyS_faRPzlD7#pj0~{TW;Q&+98Voy@Gr7)wbdrrK1?QP89-lAyq2_wUEJu6Q{wO(zVrBk z!>)3#yIm(W(oGGr`nOu5@;}Hd_a%HF!OJI)(kre zoX?d#EbUENUg_5olYfbO%C=I_^_!gAGcC-PiY6_>V}QZ6l7WQ(b1#WKuE3Q?kA^Vd znJWm*Lwa>ceZ4YjY;7QA2H$Vr~0}%FERL`o;Tlx67Gwp#3G~ zT$|}6QYt!q;$XIa@y5~SQ|vo^`gh(bwA+TXP?gAO)dGeVq~V?GIN9$wAJN_NF}@u> z%joH9rTG&SeRQP4_+s6O>yT8rMeums^*l#xK=ax)s$yR0`BVL&g^cVKhxhr{hr9s5 z6Dcj^F}$M+w7Ul)EZ!yP{7FU$`A7IQ*`q#`*{Plal&!T?;yvtGFXLiZ`1GOY`dv!&3vy0dK`{3v> zei6VZB5=wJ{~TT%1Vu3*2^#wx{?{=AXC?gYm1;ZSgT(hQD#(9_^4)udME5#1EHM6Y z>`` zucLes4ov&!AzqS^`B`1AGt~XhUHI3pzz_hV_@fk7V*TR~Lr8$j6-knshx^YnBBKOG z`38vt{^ue7e_!+vOp)v8Y43@Ar4;__4mpx&l(&lixH0QOk;1VE)vMlro*hN7LcO z{xarU)%>*1N&K|?PQdMafDz!ctl-AOAVVF>l`7qI&ZH?Zo{ej+-?tvln2XQ=oQDgg z$+|?FlSb678BYV#h<8`6v`a1)IcnPelLa(OScIp`5M7fo?6NtOFK@^rK=N2=tzPj= zD=p{>KN?aOMMs$2XnW6T36)V<-?S>bPuI#T}%l0MM?o z+BO%b<%?#?(rtGXsa2glV6aQ~l7aVJ8z(d&(>#8hf9#-44DXoP8-5jC-T@U30`j zg!Z8zS5k?n2wrX+U@E2GXz;op)OK zbZ@(5*wpHDF`xH}QnEsA1<-^M(J=LfV~s1}#^FW?iukCznbBs+v7zb-q@H_x4_+EG zmnMKS&Fsp+&9A8U$g5sAPA-(EFggld<}0_4x+S`?DfB>xI`{k@{T^-XgS}gCmTHY= zQi;NDU0acaMzFEUwwNH2qLYEP^p!o`y;uJYQpJcEo6=CU(r17&v$t6m&h9>L6-Uai zmv&#=>2P&68({j-OUqnr4>HobswgJf2g|Fz(GhXk{S6wG{}0|ey+sqPa+Ztu{qy1H zve~*v^I!5{jlK_Un@M3x&yIJ9)xwV7gcjC4qt3q0o~hI)t5*mMbUitr4*^!x(1W!n zkAiOd%?X9zwk7tBSwzSC1M}ibV=5YQdw_klKP=Ri`zz{M@@{IoM%lcfRp`%COjsms zetBH;!;l<_a@*Ds8euEAHjlUyp$7zq#Rl>q_fj~9c8Hz+nZ_>{=n?TQt&W}e$aTV+ z*6j0%0LtSqgkHR<5U(`iCY7C*n&aD*MsG1xv$HK;z0W9wi%Q1P=O!a&JpOAMmuMn( zURCSilAaT9*0v?VN|j&C{NGsnzc$ogg+GdUE|YK)mr~v^YO3ApdUmt;UuC=E(b8i1Ef073Pv;m{Q$zHP}Wkn2XqD} za{8m+Sq_!5muxd6SGmK<+VE=Nbi-qNxk~P2NgU%9aYwk?GP`JPcX}ei4#N)%W{wtc z9jYt}iu&ITN@oA!xfC288 z=9ij0ONZ4TMq|#wV2;YH&s(B<6tq62ExWUfY$~w$U1Xpi1|pqUZ}J~JORrpBGwDsi z@KTuC@E^eeFw(Cl{4Z#AiHo8F^P!tGUweltxDN};^%y9SkJ~HtWO2Bdmbl+fZH%a- zKhxhU1tQ`UE~(9;lx_O=gOu^lNMEk2HvO21&7RJqhbr2_&U%{}ty1#f3g=(JwKll} z53T<1K@c(|3gf@6f6Uh6v>Bd?5j;Y3yYx_~F)d-k<_Bv5*8GEH)yH#G#JN zNahonLAD~yMqR`$n%tY>7E`-{BwiYTkQS543bQz9gne!2hc_^xA{H0)*7fDLvWJZQ z0e3s)=qlg*JDgHW>~~;rMNZl^VVZ-3A0GRNY^KB~HZs)N6z%BerpE*-t|fm{(9Nf) z4W7dJYF#y{pEPk?RZaL1#_S*G6XY2#?ln%iyICsFfUT zB0V?Ku+$xgLGAyb6qmSx8Ht$6j`Z)a10TLxg z<~4+ca&1fs=GbIA>4VNjI{?yB!E9-+?;g&yq&dIqOJqWBE==MuYhh&+a9cI&atPdA zVCoz}yFq53n-CZCS#Dlr7*=8E5QEvaR{%H>)On1*2me!`1z&Sn6sCw*Dtc6i17ko<{9#m;V zk_n%y0uB2>)}ScjJY!)GC{Y9&4rSt1*&N_0U@lb9%6S$YN)rAPkb8`&FgofN_JNY< zhAqB2ec6za=3+_fn;xO_xL(qTgeN*4nPnqvk6=%qn*S6@pEot~(p4U4UQnJorS8Kn zMYPM`TYlzWTvb_kPR=}ZEBXjgT&)po!r_vsV`6ct104ygg8C%pUhpTe_)IxI=-$at zME$|yLXA+~q3C*Sg+r-sJ4a7ws>X%?96I}89H342Eg|JFCfMrL$8O=3#@E_@ww|q0 zA+*e{W9IbAIt!l|aM`2zT)XXPOLV1iFA(3lhIx0Sc05~4G zanCKaO{zObl&aAa3+erDwjFI~qLs+;Fi07mgpIJ^BCV&`*L#!>4!j8O9~3B^S;`ez zDr?+{k89`mQp0};$vtIF!spK3-5X-gGy}D!)VyP z#68ZVWi~(Fsdea_beaJu6YTu`70;)|ss=Hk6=o^sBHKf2qDI2^1=-NupXPTqER>RT7IN}Ax&G@2YfYZjahs|pkh_%%vA z;1${T@VB{GRwN1ZA*B1UOA+jLfVRE>FYz4DA6Pc8eh5G0UQ9-pu1r{XD`|lU`?YRt zi|oI4+{ z@~a7SelJUFpJKM-tzDmS9B1;WRr^wqaY2<5g}qMF@wuOh%pCA+b`OH`ATj3lrp9;O)pO=GJBI<>|bqL$! z+ctQWP(89_@q8iz1U!98J(6z%@YG1VB;mMd(wF=wN;RfNNWZ%nv~q57_@}I16T+^f zt{eSM5NpRTLa(Q`PX7{cg=Kp@d*O=t1#n}6Xmn$RE0K2-K+m^J)4>RM82B_23glgn+`z9*hXCk$=)! z@=bjG`Z%nl@>+~*9E-L1=^!8>iTzgEzroYTZAAUf&jaJj84xScxh%sUeo#Xe9<9!n z^>XIcujC0Ie>*WN6maQr+gJg}RRF#K#ulOAK$f<{TU$~R^e+N+^D!9>4@h^d+rAWo zz_F<(6jbJr9a<^u7qsEg>+z}XefWs^)_%BCDgAtLsDzeYX3|7DF4Ak|Ca0Q9q(u%O zpXET(!%y_4;1zjXpAS{?PT*wnp6HXZmZ53k^amo%x?-%D9tt`XqjBcIiXwCO!n27s zh``F%4ttcjtSc(rYXlirDG!3ulanjO-4G+Jxm6bj3|Zk0)ri# zVJst^yi9u^N@a5lu7dV8tcY19BF$A~=Ka;hqybJw4x|&@0$qjadp#|Iv9l1`Ut>6Y zC8T}b078K|UOuq_LgO=uoT_Ya-rKh&)IzJ$!Tp^x>4Mm|04v55IK0#SxP@!{96lX}#(bL9a=5mXBGSFX;2M$t)nJ6-(Ind2(jv>LJ0U!82Xwhx>q}6lB+vC(P>#7-# z+N&!ga!K161kXO9V~;R*>(|X|7c^h!Uwz!o0C*R(%mSsPXZBMSszMxcWN6_vyefO* zO_90j{+)TI+jAQ)Z`QZ>41tBOHdbFGazNEUxvF$$g7V19KNN1a6Lx6 z1194X$OYQyOPkQX@YhEjXi(*HLpzChS4n~Do~%{r{qvOABraUtCi4v1VkBpAZ>$Fl z98l&H@Y|~fP)Rvbcx|(_%>jk+(sxqMXZ6gVJI5Sh6;zYKJ_XnJ9erTc6)hJVzmFms zN3ioW6F%#f-NdfLN=#ZjR48J5K3W(E6t`2t{0Nx*xQGH77p^#cYK(9e{StI^lYK%S z_FWu-axVJ%3bwn4n#^1K>a?a*P8a9w^)aY;=upXRQxhK$x)AUEJ2hw+L@&0s1O7=%uN>uwq&y8pvciv?x{-b@qN8o?G z00hSgMTnO3zYtxGb-5!8F@*W5jzwi?x9TJ=b~F#R>>-JA^s%IoEp(mG!x*QX>JB7P zo7N&$70!?GVb>U}(mChsuqD$=p!C5;Bur4;i&||O+SkB9qOp}lvBj>r7*>%A>MpD) z8~0)uxCBigLT#?K-yDe4x&4~;Q=s$@$MX2yMH%R--2SPqy`vXd_1UNi6(sqx^fWt+ z3PM%&rxPdM6 z2t)}U9iir~kY@`{k|j!ABogt^FqXwi65tO4E6<&_Dk5hGUbSJX#J*nF*^^m58`pqL)(pT$@s%~3io~7MY^i%dgT-DsCM-s@E$uE)2O4*~-dLQy$Z4*b zzF0Ot8He|#uK%GV(RC6)A8GUb_G9dn{xaWkEH%pXV1Y`c+Z^$ra<)F zJK66DH@*{(n)WrHV6&!xceMN za(ycy2LSMN=I7n28oeIlef}U9-5T%|hQaEhls=YBDhhMgaUrq0SGThTL{84j}f(K{mFR`$8y z5398+pQyCDC6aDDg=ye>IErmKuXjOe&f3Ryt)Ow5AqRlX2D218@Gne$a>WJXc_cFY zsZ_5R+r}UXSyyVa%qu@|GYUeW7V1DZ!ELf+YKcDO?~KU%R8ChM?Xuf7f=HSVMo5qB zppnS_;B1d?MAp7=6=cxRny9$fTH0U{f7eF1s$a{RV@w0WU%zv+IdT{>HYa1lXuOgA zS9F+YU1X;nxMb1h4A9eA8wA6cX+8756jYzFfwa*Ut>DQ|Hw-!x>RgMvIH8#dAUT-? zb-5Eiq2`~k_ZOdi?;l3y`cNOc=rP5a5qp&;^KU@Fle;1 zfAHpMqnGPaduLbM0>;lZ($6=o;Sj&{T2u_?<~{m>&T7KM2{ff%<0rlVCq3qRG9s8m7uKw{||Hz{rMCcS&{@J<~25!L*F?(QX^K0 z=N7T7T%YCw-n&#CO}7L1bZdkRmm86+E#?hM+(Q!mzHOTwypykq_>JAaY*ug8J0hsr zFWW4>UGZK%Kzas+2GQTBDCl-RqL$NUl|_B5o?g?h1(9;AGv}lb-w(6x`7yNVp87e^ zgk)xc&u^E>Y5xsFalxG0`c|PI1%Wj_? zmyC4LB|8;G4;&vC9DbOH0Y%}nnT$&^=iyC8LYOGGc^|t+BO&rxf5rX+t$SoFC16Dp zoz^E!@-HCiQAB^@#6RRIq&Qn0Jy1e`{+ISXh@KWp7D1Q?>H;onPYQx_mt_`GBqzkQ zx8+ddvf+b;2QIq$)m-treaVh|Sj8zi1G;~}W@HH5Wb1`7sA2O^!MtzZ{ja}?^SmN< zJUyR%{|SdFzrtb4nRNXB6f0)G@h#B&2E^|mw*t0U>_EVcj}H=Vh7Tb|THs;>vBAUG z**0a=(U9bazoAvW1*oszVg$&^v!xn8=E`-5(Es?J5^&kPc{-QwfkT1hpx{Lww-O2P zOw&4phj=8Av&2lRlh*k=hz0}uItD5tViq91gGCW1^Z2vcECrBgP#aMv`+U-ru)I+F z(a+kJl%3HrPW)wC=)=DK{gmXfUUNJC-zu5(Kfj_ENbd%J(6ED#)NwKdJ>BSZn%Eh& z>PP&hflMF36c$an(avBfjgO1NdMWn$$R4-6qX2M$Rt9q4EY@0ho-U%jr#IJbT`WQ1 zuEM_wY*--BfMBp3co4;n%S~TDlwV56`F3!TbVA@v(KJ+n-tHJOkn$~N^QkFhXVvtb z>GlB3nqc(#Y$Uo3rBP%J2IZW>59;Z?m7gJ=N0Bf*e+Ns+f-n$V!~%eTH$}jet4KT) z^HT_LQ<5-+cSlpCHa0e1MT&%g%)xrKDUR$Cm-e%G5|rcqRA44znQm*7AKAz6Z)eJN z_y~o(_^IU6Qvvfc@zrMM0)PmPk1le%(&EYnM1sl1=~RC~th1O6BjmEN`d#H<7#!Vj z-4VSAn9iHc6e`Bj$dkBj$Ax$vZ1qRWze+2THzK2BCc6h`iula`thCxVXgAvZAY^~{ zn{)Mh$MT8d_1E}!c}d&HhB}jptcQ2JYx#3!(yU{&I>s^Fe@9deVSIgH7XdBiXMoW@ zd&zqw;pB@ZO1lS$iP#OgV5nA1#!@wUJIkF$5?R^5{{A5L4IuTERXexZZv|nxu^A7E z1CDA+cURu;pn(<%OQAt`kaCVBs=(s)BpNB-u?Qbo;U)r#b_}s#`B2i<&WQPF@-NBD z-=QW^s=I(16p(ayE_5ez4E}E1b>eSBC6Ta*8I3E|dEg`#B9Xf~T*i(a4cylL`&@q~ z4p^nB+G<@NEuxaEMt7e9VRWC^!p&WzfWWXMj5_-*$@|xQJit|Z$Z;LWXmdPTV5JlQ zX#8J)4~S^gm~d>`0o-^j%~rQMsxhSwCQ{quGv#0&Mtl!ynOpyzk;Sdg-;8@%QVg(7 zkDYKF>i&#lvF@nJX-+d&{uG&;ngd`eiLfvFjBaoV=9sj9d)$R(C|(2Lb!hZ8zfsE) z8q1**5*{$6yr)m(v?f$3e`DURQE#O|>D?DWu-_Ak<+wXW{3)5e2&3Ke0lc*`7OEpS z@y^{MI<4s&4Zg=%M!QIXydKp%C1P5e7485>psK)|Y;&DED&WMxv9*ZYnkdr(nVoGy zV*@JXdMPj9-ctM>pbz;71EqVkU3T^=x&@})tLHI8$wQWX+I!c6eI~esE5%aBr3Cu6AhcXOjfO2;ai7BT|j->JrSZF-`L}9lJE)$}cj@>y zzb&~^IIZjetZ9d#>hCL$I6V}k<(F4_-;o}93n0g?3PB)T(DQzp-5UtOpezIwlQ7Nm zZdV7iWd|{oQiW<2x^$o;t6!csI)F!H*tuk-?Jq$;TeZ_7^(u-d;NHhFYH=n5*5cdDcAS3eM7&HeR;kdu>}rak}*i5uRg|8@O?-8`%>ewzU~b`xdeiXSH~2|8KFz4Y4c zf;H$s$mt-q3(cC#ol!WM+2fm#=3b|Dwq3o5T)&q~6^|eEYWquws2KcNzX-q&RP7>H z@%zV>6oUHpAd^imQ9?%av>HeFB(Z*d49{*pWpc3iLlz_8AM*nifg7nBa&chIgtRz% zJXLYEsojNDhe&QrwiPBHQ)c85pt>r7~cR1UGd*4{7SD+v%v0MFpMn@guRXgP=>ki~lR1od691+9{O1yly`Hck z<)Ne!8QMu4eZ7)DcQ(ZGB9^&;^|B6z8r?fnwc z(xT^wRpqX6Fhl$OR}`_F#$y-)a5(YlWS-UV%PR%2C&fWO)IUQk z#HcDeT`dv6;KPh$Cbe&Qip~o(9oGfYL-VJ6q45~c{y_c5WuWq9-Qk~{C2wqEY8TD21 z?ws@Rs(9}u@_FMFC$ah0l_5jI2>B`kUggc6*RA;ly;d6@RV~v&S`jHh(f{B^U8Hi8|>3jOq`6S&~dP8^_lYS_}G*0lf zy2u+91FDN(3Z<@3?$MJim{jjCR0mwm`lD)UJ$O#sc6_~Fp6~%Od2kTO8<5r(ZIBnd z{fi(atW36kgImXfWww^89{w?wo%YN|UmS-H{pk!|@no%Mc>>dTT&|^+fxZJ%lKm+! zA;g77?Nics=a1H(F82$>5i!Mc4WyPk{i~2w#S?XAK9^~Z=}77@?EcIpAd^lnoilKz}1aekpD)fYK zt>Q}<9Wdl#-(dh`$pFEZM~5|TeM~?#CTpF;m&m6GM92Dr+~pLE8?2Wl(b1&i=<>R# zKB+qo6Y_x>MpJoyz+P!xt~iWO64|yGoSts?5CMDE#+9#fgN;rdwAN-%C=Ohq5Tsrq zz#t}Uj(6QMDi|G3AkEK{2C+ubfSAX|9LwU8(JtsV7M#+Qi9{fnqWYN-EWPerga1;@M8h-(kFDcDxE)?fAkZWbkrx% zvH*z@y&zD4Wr2^ECU4KSXkE{L*M=TbHaYmu0TF&P@EZ<51Whdv)bg(R%OEBoJHS~! z=HxtI;Hm2F*K#KlX4u;(+Z`L90^1pWL4gH%+5%8(p&H zZ0Ym-^{|U69!e(6re&byMLsnKdq602LB++ImVh{*_C1tMe3l3b0ho=*V$3)fcAvj02Z(;uSS3HGT&mil4N?A8WiF1{4rA6P`(1Q+9l1 z3O(Chv>Hwx2~<`K;RjH}0iP#~;wNZWlz^L0KFIdJi@dP*&a|M3lu#-wGuzRC_vl~9 zd;9=n>4E8Gju8#3wB^^$(+nO)<&Cc|eRX%g3Xj7|Do>gDW{)Hj$E(u^P}}_|JOZC5 z-ZcpXuP2fD6~xex=-o(xA~L-`SL(YhRc^cu4Aj|83RHHhwO?sCX_;D}ppYd@r$+zV zMKY7>pliKT_x!Vhp{bH?pTFEdi8P)r8yoiPfuX%>wJ2_5m{}@7DK~@#UKhc-VPJCb&toPL zq6lJ9KX#Hbxoaa;EBJ9*Yo^K)Zn}<6w>F1|69bOkHR2vR<&_p|GI$FsWR~U*5xnv# zoTA)7G)K9#2zc-fg9Ic#m5~q4 zNimVty8FmI`ky=4F63Zj%aW$@{QFp0EsYn`JEexcQz0XFn8)&nm=(H%Eq|-Dj9G30>tiw3Fgp%0kh^iN z*tb9~M0wYv`lP8!Uaw#Lme)!tAz@r~efE2RD5}kS3$Rmpl-TjRzb-2>e7Mr;tby3Q zHF{eYu8m3cS4FHCDm($0NSCSWtJKk9;QDPKXf}Q`d%6#CSm^HJ1g{HIG|v0&V3Q!Q zXuUi?h?o9W1~&{u_8PIU`DA~e*QOOES(vZJRKnP-N|YJ*?=|fXl33`ah?N0ugukV4-k!1Gr z{G?oKrl|Gw9>>9**GgTWP4{Xg{}Az24kbY1aG^GTRPT{OdgWK#w}r%uE68DLtSY zl6&}(?TKm)TjtDAR7xj=cs($7<>6LjeLicV_>Om1!gAha1t}x|ni6&+>FH*~3s7r3 z@%spU;CBNBX36BIt-OHzL`v=~jtxX-w+%?&=w{LY3!sX*;%jlOCiiqOTZ5!SDH);9 zx6(NDrw*2Avuz};Lb>Ssm}p)r5I1YJqaeX}+ttO&aP3FkVAvbR@J;H&Z-9zn^2Uqu z6fo2mU{){bwI=N$g+wj_a<1TnY-!eRGt0nB{%#Ybw=sbl5)oDtc07nC+6xfr>a$mc~N%|#e#L_uoiYG5kD1`d>s=zJJQp6779HMeC9=>;}euTFDyzTAj>9(YBV zd9{n?p7I12K=^X&wRyxs!FAc}k%H$TtQq*p8ntLo@(Zb!h)A1{A*YoJz?bV*Q9-IyAJKIxP-cIo9-9`krbvwVVA2Tj;iEut z0A_)VE(KX?@+kb9n(kdIz!a4@C#|cp?&^!}p>l_80GA+m8TuM9_;6H0rqyc#-*3l@ z$WXog;yeADYi=ciQA_TkzlZVKPO&!!J^Z~&@o&Q$t0Y(Yjn$!x-ia*cM2*3pf3;`C zS)m|PaK-H(vI9LEY~sv&!(OT$Dng2WFCU3rv8MihzpB@g$b`q7AB9;TDizb^m|*Rd zAz7@=lhA}G8Rao)c>zS33lnjHO&sF6Zp8-jcVQ~Dsr>)?fdxs3d{|yod=}xu#hL<= z$8i!{RN`VCCMC`0_OE$h){lnAfB_S$+Z)xYY%r%6zx9W^bEfDI(Wu8W_D$_3k+`~z z-@wlImoG;GZ;A2#x|Zvd9Z=Ro!J;xegGLSsQ7f88D6-_-))Kt~J^sLl7IYL)(d zKxD}Pdw-64y*CUe2M9hcW;D0PwNGM17hDiQNx?>NmFhqnOXFqyElYDak)21 z8R;A~?ZWp3XGd197N`SD93JVF@+0hZfuM;WEK!pE^UlURx)G%|XgthvQ3s4WUBwzy6zBH#Y zAM{$=_eBwxY))U)>rVV1^4=<{%C&DFRRKX7m2Od_yE_C_y1ToPoU}AZ3kXPecXx+? zbazO1H|(4BE?w{X#{b)6?}L4`57uxE)ag_6ey;oaU19q`Y##k+eFA0b$U#Oe4O%}Q z%#7ur!A+C^y4g?j>Nl;a-9_cz{THIA6b7Iq1@JO5fE|7Lv^fQ5A0gj#WRS)Za4@cy zM{T1Sy#iaK#vUU@HtRm+AO?)nOfGTKrQw7+;IAFXUT4Y4=5&RrEvR;PT2FBB_xWg7 zq|CquU93;*e3J}naYc6**TV8W?T`2nW^-iUerGfcp~SFQd1wWwWDOzY)p3u294FXM|1u|s{mmN)&(H{uBz)tvZ&}=NlYJda+C21-ET^^ zDCwFdE#pjx?#tRl2DbMm>n{;A9(z>|kA<3J%%R(T3H>>d$LArfGF#nHUgtnk9<$bY z{IZTkuNxH|MtmEPJeCp)>juI3iEJL~mEzj0#vG2lE7{@t{7Ne*wB{q3;v5L7B6l%E zUofb1w|GGIK`(;=QVuwV5D|V$SJrihuC5T_af!Io5N}`PQ2_y-;R_P^P-{oAXp7`p z!}Wo4@wDBqvG4xMNF;)5{|K$bQB~gi{L8Lq{=9W1<>(}aBnpm*SkBQ|{`ZyM_}z~c z4+WpySxIV5Zt5#S{5a5Xl{TYkWAuP=2H{9CZ$g->co0e(4-Q8OnA!`CJy?0ZNz}lp zHM*!?uP3QWG*K$vdM#}had~pF+0dgSSw&m&bzDcO+yt0sWdR+!(VM@?(Ss9` zMY3+;J|Q0co^_CoHbPlczdUM!q2=iDzWTebgP7O@aod5Tq_azU7$_&+q|xZ2TyQqd zSo}zo-TD_1hQKMAo!M~u1EW6ue4Sh?8j$0V+_rd(|KM-g8E5|}O@r|*F64n`Rsh0l z9nQ@;$0zL@0HzQz!xRPiYj#^Ch1jO}IUv_dcpBuZz#UGN>N75@CB$>seHm1g{1vkf z3QH77sr*m~73?%>ZGXsqsxnq$IvWxp%pvR(*TQHKs8BIltqc=BL3Ybe^}-bEJm=YKOLiQLeMANj}U^gjn{gY844;p6eX+FoHWM@R$D zG8(Nd{Za8*A6wU!$>jUiU^E5Db~_H!?dbT>Uzl7T+YtU~(($@ww)~CRx9xYuZ-ktR z$ubKSYi)@m8T55H+_+|QD9a27NLgT0fE#-5ecRSOHlqRAwI0dvlJ`$Ch|le-Pz_kM z)ekXr#7iWB6>;7u;p<868dG*ti8H-@|P;28mD2w$K{OF9GuRgxaZh9NFqf2&uB*PVNXqk-E9~G?jSEo@E;V z;(H&AVGtHHNG^u6V;!{8ui;E_+^vZs!WQ{3iNWg9-BfrTdL=+t{Gh5n+OqHz7Rv%D zJ7kLQtwhpCIs!2_>-HN*fD_&RV$wKN0~=Zw4%%S4$-N2ws%A&ad?uQz;$uqDVhtI-nc1eZokeLb4Th+tERSwXxd+r3+jhOs#P>4>h#)6SpMcU z&jysh{R-Z(pF!MZhgLSy5fpfivx@(a%hx?{X9o7L>AGLN|3>tQ+R%v<{2)BA@M9qS zQUT~K+5%iPcke2w4c71>P`oTP8%l-I-ol`~u@jgEC!1F;G^o`IOGaBLJ^jjFIXyEh z+~Mfgj}x#b$r)P&O-pYpBVKE~?{pKvlmUgscJ>;ff6>Gg0`7|<7zXH|M(B;kVp?YC z)Uwd<fcK3!6W-&haTANUFDT_8J~NEV*Q~F!f4dlXlS`*M+>c2-ra6DL)@ni%7m3 z%CZ;SJPD{E6{$YAJ^BXgruXR{lu}A=C64DH#nbz206`9Wk+tEaXwaE4-pg% zxE${;uzsubyh0iXp0_W%^qy<Ji za9)G-;VQm_F|C4jZw4Onw=Vv_jgvPHsQyr8nhkY>Tg8}<{)*i_9VJM4%xV1#?(hlD z>g;?8iPeM;rV|K@i7bP3*>WRBs}6Q9uLf<3yZ?B=@cg&MW$ktXGxWh`q?#8oy&Wfx zwwkm?SEc&667_Wc;^bE{Mn><&1W~PSV;q|sq(LcZs~Mlz3j-l!JT^Y7Pp}b$iobGx zp6_w%Ae~#)x?CdHv0M)pxKh#1uWYmWH{R7gLzsG_CQtI|Z`ODK?nzh&pxL?0Ja-ta z8`5#5{U5nRoNbhN8}yrfC{T$CYW?nA!3ziGSeS7aEQzWy7JE>7_^ONY6dh#^>n;s`x3@rp}#%N@2g5c*cM*V?#{m*ip&;w(+@)%O=o z7IPFMAi*O|IG9UP^}b8{OUa&MVZ5k%QaA~BoN}|hg7+j=otR9?U&x$3@PVTL^3zSv zvLv*xSO25wxnkic4e5vcxs?JH-6OW3sz=0Nr)c!xCuxB}joH0g5* zJnBue)D)-^}mYv6#5f+x}-lClE3|WK{nAbdhjp~fn z%^M`3X&=dgI1s!)PM&4g^_uqs)SYu4g^~}#COaGyNAFRDMBB*dZIz{F) ztq{sSecOY@j(a$>Vex;sm4}S+7-1q%#X6kfH+jCpVUKu^4$6YxW?sKO{X2U9?{UNp zEGpa=B2I{v`qn}diw~g4LBHf3tX2fg-!JsP|6DuM6Mi(kp#Zg-SN403CmSVQJi%Bc zzTcT*h1dJl&toK?|Fhxe`wQ_)!a<9NjZp^79w>yC5Of78OCn(GWEH5kJeFlc#_y(iaxCfWRpz4*_`aDtPezFOpOe^ulOBRDq>m{fj~5d#+UNvmOVf)9Vc z75@CPL-a5smC#4P+{+5WjuBH+U+VQo_f9>ZUE>>|MpA=JRl1u3~w<}{p`GW6pJ&`}QO7|WdWd(eh+a@6ai9QJdyaxW~*d$i1uxoY$4f0@SrI>ZZ4J}Kxa z5dN2|KwU+9`cdP5d>Rqa!-1npA*2`o>*9i)BPLZcLQRTe8S`pX#YA}j~EeP43BAgI{f5c zKYz>%JniEsgX#YNx&(ZE58mxQO(^4kOJP0}0>k(=tdY#Sf89@_wFgi8T9HTjf1b17 z|3otixL~JHOyvK=Uw`~yJ3pS_Q~CET`~Ui~KbXYb?ZOL0LE+42bZ|p7juy935Si{!v{DxjcQ- z+?18f;?pGm(5aq$kwmDrVD1)Rmwu>u$~88}GATh&<&#E~^1k!cU-o7@m#7yG;v*XY zXYJ%Xq_2eYvH3wQ!+Pn~Zx8S!kNK}0&6Yh;+i~=rN(A2DR~3AFUOjv^2ns*puRzJK zVDkp)yJXKZS_Z?UC=x2ldpS_ui`V(1jfe0Z&zIC|&kquNO&DMI^1UgGw2j4>A>lry zoHP&Zul1a>U;3|H0R`w~RWA>pGtEg!k$d)!!GSFHz1`}Gn{}BZ=|3aLbdQ3V8aiyZ zD)KRJT@DO^hMqy(n8oKIHaawkD^q$~cqr0bc4PL`Mvkcb(P`1`{cZxR%geDBU4HZY z0{b0OPh`?Xi#|qEsDF7P^O78c<01=w80{rAKha5u?IxF5j%U%W%~`cdv91dE$4x5G^wa@Xkkj#FlT?dv3$Lip!ROD_6YGjcQWvc zK83-C7Hnb-@7=AJC&AMkp6`tdqnUSSD>HJ=PUcQ24ax65y%@Tx+&14T;;t4xH5|`E zDRtqC-dE2Y>r#|0z4(#sT(dV?qh~01K6ET{^0UX%sHFwwC5bTN72AGSB#Az6hlZ8yqwIF~Y0lQJZ>$A3maTKEAErHq5}G6sA%cGeCb6oHWXII-aIFx)}Pj zr!JmJLuN}ohqZ!ozCW9_ZBPnX*KmAZnaON~uGZ@OiT=lL0gXim+|0D2Y6%r5-7+|h z#%%Jv>cLm?dm;lGTxmT`3JSz;z4DMVzciwcxhYMYt`5^~CI#M0MGvbR_203V1Bcni zSh6=`{jzbsii8rMbUKJFPct-Wcr<0t#8Px8LZyFDy%}@eRW5mp{$7z!F}&bU+Z!r2 z+`(uKF{odh0QpPWcy)Cn))u0>>j}JdTt8#`(+oq)ZL0c>pE%Zvo250hn`r%;+Pm<;q=hh8{9Ao_A)Yr zR^A`EW%x8&^?mBx!s5apP|IeH8|gEGInQicM*e=!HIkU8$;W@@M2Fma+V zfrpBfJQlAYYs!(8qj)W#o8>8CypQaxczwRyq;e3bwVQ-+pFJ@7{e@`|eQ(I0D}G=A zSG;RBQZm6+in*`xnu_haoaI;9>)9v?Pqt@dqDNF)vo!j(QroWz#@xFj0|7HH9A=CY$ONHevrEY1^7M(;N+jvJOPkk5R>W#nf1WrK@YSz_s%$Ubyi8E%V>O+ z3p4LdTjjeHr0-8}H>Yn+<+yA<=|`$HxXTwQJcUQhoz1bq)t}6Xs6r-w6I1t<;uA%j z?ALZt9X#0iXRn(^-F(pk;Sb2amt?1`?BY8MqOA;J=Edq`jmK!A^15Hn(&^Z_(h1?W z!Yy}x$-{Kk4}^Vc`XQVSMmu~y+*Bl6Zd_(uo?!Pn^B@C;8UwYI7xC7VY^iJ{n~wxX zL$_LFx95id-|tg8e+KCYYqm4xx;j5wXq88(k}i#NzyJ+>`{PYaUh4ln=3x22X(>ub+=y z)@N4L@&v}xDFx9d`>W-fG4%S&g0+fQ$gwE|t4C{^j=pmYGp2b(oyCx@!ASd_GkmIV zFW=vG^+jt5OxwuQ*Bt6|HU;!a>j=p*{$@51pc;?dR(EPxhOu3f!eeps95E0V8uO`rGPuPJ2a3A zzV3;G^eyk!$Xu6${F=w1U1we&bQ0~2nqvrS#lkzs;a}1tS1XmFvX`3Lh+{pnqWbJ) zNe%TqT8sYCWeh=ezr4Rj(pdE*OnT>-G^& zgh!QAUUTMLX{+p$TW>g)(~o_)X`$`dD{H6Zv#>`5)46 z)Wloc5Cxx)Y>kgx>@i_r2$q@8x!6WPGMZEnSNljp4|S&R)sr0L;Ta6gIqPV!N+F;5 zdJ2l$M;cGgmUewVh4`Ui^}qUah|O_t7wrTwG{k=$+3M4MA96f4(pZ2)U4FEJ(}`xC zc&0WNA>m@vN_xM&z0GY>6=a20-;YIbG3{s?sK{ipAc5WR`;8F_H;G$HKzk-&eO25Z zB%x&fZuRPrvvb7K{XUcCv2o3THOzK2*1J_x=Q-W=`Z9_jsKW|>AK^*AvJST*XR6`G z-ycMbS_22cQ$ekv5fLW&(_IcaUQyMbO*-r8liS-ij99DxIfc)t{%g?fVZm#xN;Hyx zzU8P-hN3=Wl@6Wu_t^bYoGn1~{ROJvcpxhq5z*(yi)Dm|vBii2ty;C<>DJHmHa|g) zxNSLhcsZiz8K5uU97+=*2tDhIWwc%CL<*fbO!o-H+?ScFW!wNA>xoPx>+p|%*Xg41 z@gXYH3iLve6fdn0Al~$vE@Iu^7B~PIsh=GyA{r7O7G8M7%}OA4p8VVKU+a66dO+mdDfJnBZ3X6XHM# z!Y^wRmz~Mot(!z{N*SPpH=BNl5!E)i1&YeoEEMRXxG;5IG4#66c_D+FBU$WXOU3F1 ztAjzv_09Mkw#lH2oWrb5nHcx0T!o4J7yOy8U)U7#m3qqHG4{HF65M#TCn}OoTVSOe z6pRc3;d-#{4kD1WEe7bfO=ZqTKp9TVXGy*p+u0bJX*F}(7!;Rlx)ZCl-L#0QP5rx% z8C)Mo^ye;Lv$m2qKo*Dpk|1;k4CnWaZf6DcHF*w|A z*qANn<0s=x3UtZ{QLQwvi;-~Gs>r(@BUW9VZs#dh>jaj>qG1g<0|Q6S)<@V*Mgizt z#iG4Zr0b8~j~?`qlW8BLX*CtbAVkff$~BJ1nefr?V;N3FnIBqpM!+ygIUDFzdXy@2 z4i=lIDirfKL~~@`EIZ?389-XHrB%UPtL=upX0he{FS*~}V*w&AXfC%h%zC#UU*Dv4 zg%XZ{+p)0{%B!&Q8O=xmG9CM%_*0n6&`?_OIClVn{5_KrNjwcE2%ic7nVJfG3(}wk zT^?IfKMykDe7;An%c5Ru3tf~`v51FN>VNM&nkz5b5wEhnaXpaOkSv>JAya9_g9nR? z!-?U4dlt94t4Xa=PK0%E0O$9H3#N!`s_uCOcU^?IIc4vz{nR{gMM}kCz7ZJv;PGcYK5%;GU76iFMTq!( z&fl);8N`M@qn{roMZ!nA?Nb_s3$a{A;1k1@8r#jUM=uq@1s z!t53xWLR8~Vh#r|<~RYe-B2gr|$uuBsWvw%$px@{=DISlle6sF}`MG@0HPvp_V_?$Hy+q}&R zVt0Y{s66G#KnV?8O7kewu!M4#!;$AJ^$vqL2K`rOYF&!+oN@3x2j}OC1WV%us<`q> zx4fWOeG7qsR$6CfxqMlMP3N0egZpj$&DF(tg;&ev?f`&0u* zQjBpR=tS!P-RTUX{^k6=P93XZL!ePuuxMpM9Vz+?@#k%@O5w=gM|NKdj($NGxh(rt zB!J3=9~e*j2OCsNHtPyj+N}5YmKJe-Jq~4)Xm4P#m{p>FRT_dO zG^eQPDs+I_$`}}55VPg`Hd-1A!R!%rhFP`H@#j6qB*c zwaE$=Lc(IO59@H$Cm2c1Off+=pHYNFnf(lfPTb&kL->$Vw5&K@cQ?;yj(SfY4S)Wp zHV5OFgFaOa2Z`suKF~Q=`&o&dkVu%HHH=(LW=VQo-XW!Hy5$Vyti$_OakBq|#el(M zhw1?apLN#`Ii$<_e1hN4Bq71@63T`e{!qi9lj28iQg7T7g@(|O{$b_V)&(8e7$UJf zaqpK(M%%sjNH`Iz!~xzX^U))Q!4L2F$$$o3{narAtDMR{76s?TOBD& zg5lKCLd#B2U5ZumnfTS;kH{htn*YndhWWvYrf`coTk-;hnrQNf-QW}2gj!OmT3Z%3 zp@Vh2+VHuIS2~8yCuHj~A{77W#0DE)j|ove#Hop1R=I6R+JqnB8lpS_HazOvKr9tS zOBt{Ams5DfSTqd&Rb4@d(BY?d@1D*xJpFw?!UF&Z4d1k=Un*&4!v{9X^*Yxj+t+k@ zB!Osw{K6gdoIaH3e-O!MRRCn|5EM@oh23VeNu@vaNe7n%DgM1I@#(!jP@p~g62$th z^Yx#H9{^*m5b%$zvBeIz=Giv^P#bMIU2C<3y#6-fhjByUcN4}^N@AF&!v;%8hKca= zk-l;UMXGASm&+Y>a#eeX9M-?4w+Vd9|M@;a-UBF$@>nG)kyeu@SE(e`>9)YG=G4s( zS@go^nIC)Tj(HlEB`l>zef38u-U9 zZo5Fmwp66_pRF@L*7{=8SeM2zEGJt75o+zWg&P|ibH(db6fx^j`3Z|oCkr(FAI$;S zniox!j9*XmgjT#+6paYrNEx*gOu^)QAQ`2Y16G+FV_@1NW1!X!W+&SqZaO>v4_=i_ z*hGFO{bv>TXM3`fz>w~Ke}~g#{DbWq{`s?mnHnZH&ZxE*4``J(>EeGyt55nJO(Tyz zsmz-{KmI?1)tje`!3#77e`D27OTm#uUiZRL_7RUx%h}-z`9@^PDuTL-)^g(!CKh{U zH#9%#KHtL58pmK=w}j%(OOuM#-e_F?>$6>(o++u)8G6n&cy!Xd>vEGZ`Z|nXQh!bZ z5&=CaP`NozNuUhr0Ju&F2u?`q_sRjR-U?PW`1vXSYuC0!!fo|OSp9`AfU@C=;yO%a z_ZONZ0q{tPXSaE-L7eAI3*@UG(nLZPR{vnu%>SpDRn48*d>|4161@f>*4Awu`ieUs za>-R3*V!qAE*e^eMRB_xH9kF~7pXO{Jz#*Vzp?`|wUF;tDxJRRPQf&#SbRYJo57W* zwG<)-%Ip*h)jmL03uqv>I{>7f3RZOFL6-i5Q4^rbboWNGzM9ydY?y1a@Y-&W=4!f= zf-_+iQl6)h-$M7p;WMuD|8I1;sT2EMl=D|iQSMjLy?KJ6YB7RS3ima^% z_nya8g{fj|XhpMsM}-9tX#!E31V9UK&oWi=CU;<=;}xHpwfSC}_k4QPR^WDfwY94? zsbgjU-FXb!&D3&hhdmC1HqU@$u+FTl@j3A$;Ann(mOTvax;!%h9LN|va8mWgO9#H> zQF5TGuOROQviq?@bye3!Gm2R@I?lB(=&obiUJkqPh>Z1GquB-JkVEb1hHFn;5;XbW zD~v!hE;N@!6O806kYsNxws>vH7Xojp{8I_=Z4(bgDGE?8GYB?zRs3=wKq~qzNE7N> z348KE^(G^d>JC@m^nz4Os?!`a3Cd$HtB3^S2(|elr2)5V9z(qo`s(@KoGytzD`<2J zS!Axjh=&qXaL=HqAM$6nd;K?jEuEP5vq|#p3}G7so=@?F=~N*E&&MdCR!W`|E3FZ` zAOAtG-k9*sxCpeBoSurq%i3Dti|l=<6RrRX2>sjeCzV*wU7!WWuWX(Sa1v0RDBfOO zT%Zz=*gF|E+-X(NBi)F+hTZwE2rM$@w45TC}P#rch6c+STk=*I5aUql-YBGz8Gm* z8C8fZ{*MM{$w_~=4?H}?vKzGo)6=zfl)#!Th8=mZ0~Ao~Vo>PoNt_N6)V{+r*5wrw z9)>nRFUn*&&jpHUOBjss6EGF9F@jI`=HdWcd4GR%9D39mipS27KN94`Wz`%r4ub-d zzKYBh7NBaBi#|QV0%44vQ zpY_`Bli%2tk|VMQy+54?*k0xp#I9*kwOZM}KIrcV#+{IsukJy80WV32Cu~VVp1-B=`$zSg1~5;lg6@C)h{%&2 zB&B_o2EISB<^uYXaEOSnH8wH`Tp&ZSOR=z~5T{)j;k)z?5%HZcGux_!b&P8fZ@nT7 zCns2a>|U^31ATFtes2gFNu_k!6BavbqCYRjdbcM8b>Vd4p(oO{&Bceu$0StQ)*{Ot zfg&Mp^~UWwTwpgs-%hzCf8oI+EM~JUdyU08tfh=wSdXLG(Xw?FlPQelaasX-G{rlD zwm8mId7DPfHh2x7F20GQbEO@0f@-$*ix&F75pEdL|Bi6I9A@x64(EYP7JXUEHL+{} zg}{tXBb~rTu`dMs0pP|mHnlyiJod{*rwlnV{GsVXRLzMog!$)T=6btWLX6h=|qsm4%m9d3EWriLQGyJ$SF>xMQH zsHE)e8-or>IX!^a^XHqN0M@bW`XVRXZj!tODAygf%6<}rB8w0__G~_nCnhO)^_NBn zm&U~Y3BDdd*I#zvQD>ow$90Y6Qvx&%I)e;I#o>wCnI`hi6MGfHQP|9}QU!Q{Vd1!vU@L6Y| zcornPeen3Ygk-cujDuWkpzcf6*o^phCLYNy#U)nlg2fJn`x4mUqce&I9tw-_xyQVT z@I1V59|GV$F|U38%;!et$fxP9-kF0INdFYJ=@(?NN2gu59Vvpx`}2Qx@NC43%!1<| zu4T+bl0~D3cWT7jv=OFuOn%WZppvO`;5NOwutA>d-m_-&PXKP`cv1YEmwz5S4cf=_ zdYZHgQUxo)8iZ~!jB<{8WPI^dI!5XZACMAd^=$m9mPqCa7>A97#;;#s^N{@S*f-wE z*t;wRY^AA$sXDRHyLN&KA`u?{zB+qofSBdh7}CJK{FL_nT?f4gb0>qw@81m%|J=1% z^=d`vN6hc@0>GCDI+Yh3U)nwQH_CsG*_*HYHIc7bd3}Mk^l!8P{*wRQ1512&u2lFB zv;r*g*9VsPsIo`szggldz!I}uE$G$={5}BiLGMJL=yVJB&QM^!`SaMpd2C36-NA-? z=qmi59Rr}Msh{~1<5FAZsYU*wQT~5(um>t|V#qOK=SlMpQ%WYdYQYMGu>lu{_oYWWvU++s*~P!0(wuIkH(BAVk74`Fvt#b*ii?+j60z z+;Smf5MO;-gbC#8rI)_r?0mu9h_AAKNs@}L9zg2CS6<3Flz@<8CuOb0Gn8uo4i;P$mLV-*%Fe7iVTJ{^xb8Gk&0a^wp~C-!E2|>SGEI+$Vz+r zM>Lgo7qe7*eC6qB<~3z$&Fb$)Own%De2r#|UfsnZz9F?Gl|)X~Zesy+fdRpU5Ko|6 zmfapdCTF|IXuFzFhJYC+&d~%am>@TfSg37sxtxXF)g2PTG$A6}-Bp&&WaHo8E_Jy# zxo2Nxt7xs&_H96}uhi!d8fY0gYAy7p?kwBxv(LZJhwHO3giO368pbXy(dkG9WC~lu zEU5b&1PEZWoQDe?pMxspjVQ9LmX`n0|6#CzG-eA>9JzGryN@WfFbEjw0MCw$WJw~; z727HlX^1->uVsKRhirRzOd2tC3b|z94#P69E@O1LusS6=_{q8uR#Zgmdi3M19DqDy z?I0|M{Gs0-0>GltPTCDf+0irLs$d zelM2c@67j8m7i9VJKq10j?M0ePMz_1_d@hJ3~$Mp>P+Z%{|i^HZ)6`-Qk9VcG}!2# zdsg4h$@*Uejtg7Mzj3k++!$Am)A55X!+p^q{%$mKUw?V@9pg5qTp)!{OG}IG(XS6q zmki6nq@md61pVj7imBEM&!eus8uh+U9pe^ct#dD2ZJe2CR&s74A4=&sV@?U(S1-XT z>EI#B<(;zbFAS)3GO)b}D$%Wy9Z1Z}5yy2awkCokU*Dd)Z)7D^a%8(U2&G-5?1>s+ zzg57?!e<|@Ile$1i&5~JCp_k1P8^B(dMYk#ce#{$JlrqLStGliX|T^q0;cihnK1 zFM|g)>LJ*0r)(+ZLBfrL`(rDHyIciu+QFG$UXttVlxgRd zJT46R8R8f0Tma@t!nj4wh8s|uMqwX6KkFYax10>Ev<%UBUaCGXKN3QPz;0jeaQQj&HjE{GZ52WMnm#L2 zeHv3{Eu(?=?!t?=$xQ{=%|-`c30;z-s8tmzfQ;LEx8Zz##2TaljS8Y91%RoH>Deh; z6?nX-!QjbEnALomiQ4_{MkNq~n#pZ&m{crAYg7|>Vy+L`P~@*~`1DTNgo}oOn?K+i zgZ{@fyxX_$!}vge%=b+{1nnqLLJ<4vW*>RyqR9K>*9t{{8C!L zRhJsMdc8K#ZP(Cl&&gD`TauQ>+`w%9VLc3I_E@`-xNDLzt<405kY@xQ9VhLL`1Hit zjiN|2&D_{>$Mz2wSffw^Zkz054tUFh1u2y~mjzds3FG4s+sW*~kCIdc!Sfu`Z2LO} zWjMGMDsh+QK@6^jD?fr&W_-*~+lm*Gjwu_l0qCN{nKoeXPtR0x~ulN+ZePJ@6cZalY*L2+asOf4qY|_hZFs)`B)GUUD=lGI zjYTjY90VhQscSYeIoy8uOv$AF#2)6CoRzDUt#xx?$FAemGDV)*)5b|48(fzH9ZL0D zwenZWK<;959R?>s_-Xli1n0W}!WVDE(5&5jTi;C}`{8Xn^Gs2J|4yIJ?54%Nv-ysi zpkpabwWgkoCOt+xOL+dQ#@?IE)VP<&t!wc|C?4VS7Mu7+t@kyUX9rb>yHyL%dHHh2 zfnP3*YmM!}8Ff@%R+7Ub`_aSQ^=dQgLUOU#Z6J|5m;1(Cki~40&x~pWWCx5PLf;9; zfj9u*O_Fkt0K`9o0TqQ}VgAWjK#^Mtq1z&(fec;UA(&#wZjJ6_7_^k!I#Md+OSwB2 zeLrv+u}Cw=rJoAKdh206b(`nn&Q_kx;Jo`HqqLxmhofzNfVsiH@MxV>SvVC17IoDW zHT6@}zAOKo*@owJFq@3a*$L0jjf2Z)FFKc|zP|?HR(1`{aexT;aM_5NqUrW*(YZW& zx?+V6EJZk<4ja5&TKq*Rxz3{U7c zvh??U=c2q-J2#wm8$W8A%!bolhj*^1vyK_;l*Nte$_Gj#rpz;}Bk?8E_?qPnc$_L- zyoBmc0>p9|WxhQF`4+RWvRR%1@y9x=@BoW*{>X$`j?BQ8M-}b-Zlnu4!4ytUO zrXNvMGOsVZBDuMTo8OHmw~?uRy(!1~*K%5>%hER0$KBDeRi6F=CLSeu=jOh~G`6t( zs@QFrn)*V3r%2hxeR;a=`) zuJC!{Y#IzT)so(WeB>&BSJ2QRF@+(bM`|bL+x}Q;?3dxmX|A;Mk9|Q?eHDJp1P#1X zzNF0yg-e)c^AsxeHF7^KGL{(5+?&-As%SVOC~#2i-|xoQ|`O!5*B3t&@l2hpx;;)W>?@5bvcNqe{< z59!DnrEdB&nR?S_qQ%sw7jWllP5Tc_n0HvSl-=tKTxbz4CcsPeg@1t;Z&}D7c*STo zNq0)*M~4GPK%ng(g7;(Om=qdC0pjnon38Kakoe$fV&^&rz{B?L#!>%u6G(hOw&SAt zH7O=ADdMwl7AG)kGmQ97zi#H6Dj~OX^QXx^3>0c8_Ln(Ja;dB80U<@mLrzw~`wa>F zv9CDM+&|&y2zN=@H;hz&dYn;gv(6s<1r}K?#qk(<5RAz$mOA-eihIihDL~ND!As=2 z5*A@W6Kdyv+sY$BzPa_U!AbG z*fN1cKN5}m(^2TO=a$l&ZS`Bd{o?SP=xF0RXNtS6GUGLkm?3)3!D6>E@4 z+ye_3j)`Nul%Mo7U4yBDfkqZ(HQs)&-peCDKDOMFRR8FZvcejY$8fF5Yj)v5z~#y} z!(k?y6#CFOG<`pgW0Fx8rC^@EidxQ#bDwfHWN_bei-gap>uaqvfec7%D15XoXsS@G zknL8g4osZ-5KJ01DGk2F#`OEU70?Hjv691o>fwQ^ z4?$+rYmug(igxB8@JoP5K@JwtPho;cU0j~mS_CwOZ7jN^BC85+k6{lS1~La69U0Qm zedaba8`LtTedc<>i{Kuv>}*?LH(YWkbr(e(>0eVO65wm_Cbu62>0qs8W4yug%JE?K zDep*VePw}iM3LX>Ec;#8-AX8+`!l7?EoUl&7gLhhKD{zuXiQ*(?hsxF8ADqx<@BJr z+7m4x_BotRR+*upVBg0@aUt#?b@hSVX#-4ODuef0d{sI{^aR5@ym@#MX$FSCQ7sOD z&vMKlOC+a*MVx)T^`oMVAPx+{W3P}(@wIG$lU?_e{kRH+{OWfdGids>rsVoiL5meL zM5r)ZeiMdC`MPGG2g1~rKp?Rq=n7b1m(N!e*u_@{E@c59&ZH5A@!c*LXWFF zFVK-H&R;xrXG#W2UY4uQC+$=}$_8s@)`J+X6GrPTmVo4(Ot8wRGxY?!t30dy{z&n8 z^!@mdaBKCmC=WczB=Zq;h^axJ6w3B=%8PMGGRblbpV9WulEe`iD(lv06fVzhmSU}z zC(H@^WXF7fL`NbSH})csYmS4Gd@g{yBgFc(pm%@HSpW}ndyvkP7|gL0;%HqU%F-cL zFp5ufA5Cll#@l3~@Tc#z5m32QZ@qY0!08ENUF~N10n+6qWDU`3E-z#CS6OuvL;tkT1als-3ptjq{T3}p7MKxYWVY^wB)cp}%7_C+t}e!VW0ns@ZP23?@X z0A8gM9-J4Zrxd;+$~Q8(fSNDZI3O zjrS3~ro1fPgeG#Qo)0$~MmF~{+YQL+zrV;l$#9LIdTsf=Ubx{@;@0I9+>YAWokmXA zSo0CthM^nwqD~Yg>b1&b=$om|29GRu7(d0>8cYM*S^PA{euF*Z#RR_G4b`DcQM{Gf z*(d^i=WHvFl9?_FFAU#p)V}g+VuMbzx%e_uzYx|=-MNXG@_lqcd!WDQLEzBsL|oPH zz$8}a6)9f~L_Ms4Bl+CLNcAC>0nPc#N|_=HS!8ZgQ`6C}SCRafYJ`R8vT6O$8rt4` z)jb@G?_rnF=qe9|P(rcmWxBP(m`xQ7)u$rcpj=mb&`%?1)H&if+RswWMV-RqXGSxB$*(_$alIgAcN?qhTWM&4S9o;@}1-9X4$v9+jE(0TAMMvpn%)Ecbdf_ z3?&_+tHp7@lmiZ+_&;VmG4rpc#7ojAc|XuzqDo=#9NoW!yLwRAr+=5IXQ8=rXDmF+ z1z>X#$VKxytmhOmR+`gO)V?qx2|N_63#!Ew#3Tgob3W89&uX*2@6EVhV*LkruEnrR zsx7N57g$;`lkY(y#%WqrD1)Ns9V$GuAhu@{THmW?K?Hs!#7@6z#}in7#KzNwX&_`A zTgSy_Il;!vFj~XxYRnl`H9l6ZUq=pdWc-<}wBDTXjSlS=dRCD;6Gwlt>4H|;R{#Tv zYqt530ZJ+{SgXkQXB=W1Ht8>k&vE^du1B&gDJ-ij4scv2cY)$3_+C=eV80f{re89tH%=^Pp9a%aJ>Ct0n%Cn2V9JqnT2PN0x+r>6q; zeO^qpoa?VOF&Ym+-HJ+SKy^EKVn4DeKAO|C)MZ2e)kMC{!UnqND`VGD==l ztw3LXAutXzlIi6CStHZ(_D1G7`b1Yl$y$_FW(MqS$p^?9vrh@dx?VjUiY20{7LBGc zDT!u;8Vy1YvJz|3N%^Mi5zb+?$R_{?9fYi|#YaSq74-SwBLqC&n3EX5%dx_cRSK(C zR>;s0y4?#xHizBMsMt;%x36B{s~bFfI~c5VCnCfu={GL)EHftB_E)tKi`AEM+x(T> zNX&3lNl|Q1E8lWF!vfsysorpv$qw0sk53#*)}yaWH6ER9=}BHTvvFB1J_730pIFE| z_Xoq%2(9i!9v&VP6UDCFTxm*7a048+L?D^9g2B3^8^IIxF%^yJ?Bp!eBc5ZruZKkb zP111Fo~#oZ2SmAk_-3=!Lzzwoi!EHidzdD~*}-4aps32f%lN<@4xf@9w$@=`P@j#~ zQ2WQ}p@dw`F6y<hei<6U1TN?$8`$N;}RK^5!FsZ=PTkdQYg} ze1F$FulbG3aabwJcYb%o5b56iWZf!kFez|wIz&|14139HDX5~7GNC7)fL18=rAhd= zM2yWhxR;vL*I(E;J?t21&P^}JWayJ1Q=aq45=uWydScvswN&t)R#~*`NFx_bE85N9 zp@+LVGT0Y)qWtw@TloRNHM5N*?$N?e?mOPADbE3;^UFDkdF)OIB%L@*;~m)-ni`pP z84Z)`Nh24xgGcGTr?3-Iu$Wy2o%u_N`)A_xgn()J7 zU~CT8*ch&s__XBs;VmK{Q0~}WF5KUVDCHoQjJKH>P`w+5(M3d*~oEJ=5kB3WYdbesPdV9r;$& za$pR@H3c8iz7*TW6Qsgrq$D||({TxAk-;TxqJGuy{bLXLFjoYx>14u~1u(IA&*m?f zO(#h@hJkGL>}ltid_&!>gKtOnV!XTWA`EnJHC|vo5uJuR&Ck4f7pkXwb?E-n=8HrY ztapeIAI8JhCj#G~fBAGUF>AOy+8@pvE6k;BmtoO@@;%mnjuE78_%zt9ii$`Up16r? z)$7`$`1y7ij*G_O`RAsb$52nn(uSN$UI}gZTtYJGH21-lXGQ+ zQbt68G13VmjG6ok&%pNP>J#%%9>WE^3N0h3`(0S;Mq{c2PvS2=@0>!$oLnSDMzK<+ z>5qHGGz|)SgF<2tnT{=mfpP0Kq^so}W^w*f*L{S>2c`2TCI+@AXYuAgtypX%(XPMv zKYS9Z+EJkED7{<;sLewQ{CJSqlViW6_J4yR)qs7IArYVUo@&SbN@(Z2)9_a&|4 zc+Ap_7y$36ciIAE911J68YJgA8ikfqP&p;6GJ+Bciq_|Qx>0HL{GJ-qr`srMIl{3V zXST*>FHOzlgul>F6mqNge$|It$kPh#YK!+)lDzs$mC5@ zy{5xbL42#}kBIZ*4R^eza=w+ZCE{QXKuo43*&i>72RE4D;D$L#S67;m8985Qu$lTP zZkxig6*1y=E}1MOM7%1cb?8L@R``FFcjn`RvHOR|-*D>U{kvX!M?OXtyby{GB?cm6)}=Wphkd6xM- zbI<+xe((D!-U^G%_j@-K>Zw~W+T@G-(XcV3=CUk2zK+;eq9AZO-K=w~tQ?nm&Pmw& ztV9eusGC~-rC3Pu=UZGevqVd}rSp}qeVi!IT5GMv1$jtQs|zfPY@v=L8+(mPac(NL))9{ zr&zKZp__%5YbIZ?OUdi##9JdQlj!=$lg=h8if{X($A<_Py%C}L?V~DmQhtV6s4&Fa z?NhBSA?!#wrt1}MXpE1-W%Qd{Ch8O)~}EEw6qT>ZSh zOnkz?QtUccc+>U6`a-oFrZWYCfMy=A>1sa{&b<)Dv$Bv7r1(tAo?=iE>GRZymO4@o zJUAs8qfFS!rKaZOJJYQ>kEAJu>>=VC7#eK4b^)-~3r9aXzu<@d5(0x46pKvU5ilB^ zo6-1>`0S_P3d05{t8mE6jbD-yKwl^901x$nk)@Hpa40~Zk_VWTm(mwbLq3B85&#fg zvP!)YN5=BEWi@)H8J+<&{uc?E!0!lZcJPw@NCbiAJeerwJw z)w-nQ+aYXk6!x9fg4I2 zt9VXQ+~?kD0z&yBTQ@DqxwUM}sXqN$rj}lX(=gev!1w{xc>y?Ro>PF}2aRtI~-j99Z3%qF0TPNoENO7Yc&UIt1^#= zY-ZtzF^9cldpQ=jSCL|RK?@@?q%->B%wD4vKH65UOQrE_4@)eYf(|mqjvtBiPboH!Lsve?!KWY^1NdtZlQ&RQ7Pl6crxK`FX>+TZAmDT%#YLzYz5R{Ajb zIF}zJmB~?7N$T)XP|UIIgRk>rP>)$v^fgHI#36^?zfa&1zX>WT^50q+K_9JH+OV%xW*7eGXpt+4T7$kr zzMczdyJf6cwAN^?!aZeO=~6DfEAN+btAmTAmm%IJ|GxG<8V}MAJolPxlH|+ntauzD zHmrdq5xgemUt3DZm~0&*o&>}Sw`AcHq{)Wgw?MKABgwFUpp#Y+XT^DP$__E^YcCl$ zyrREe;e_hzj3>&w?$>uP-fqk5@UBjiWkZOGLrzW<{c}>rezs)Sq!yv9Lqf<(}s}89N;|) z4GlBrE2wTU*a}a)`7S0y^gM+P_co~|&|@XohTA1Ho|K1C8#Us&-w&%L*AgtFY62#K zSk@(Kd3M5FL1ixZ1KXSN@$o_|2kobpA8D~E7dlrLGg+92n`qB5>@yYPE_!c! z8JqeTYV9OSDD~LS4tt8*tA%m1aRNR=C{mKjrsUf^kIS;vXvB5N2l~sP??nYsGW~pS zCLfBGCDg@X*b6H?LGC`#(Q?^#!5Ho0=XdOR0czI{kHGh9^*hN#3u2lM(_pj@E=F2b zQ;f75eg-I4ruJ@UOuj(7+rGn1r*y{@w~!o(2A&<(k(W1(k#(B!Ib+k%O_Z zjiZ^ZQ|=+W9Z=Mqxw5*Gx{NfJk*zho!ADy|V|q7hU<2^SWzjEO|-QW#oy4Y#oe=+34TXzbEB`BPJ&1arkJ$r6?@=*Wti_ zyrgDMPIg=j46d%O^sdbGwhpEYjGUaD4DXp3n3(8*J?I?WZJZ3;=xiLx{w(D0a)gZ? zjU3GFoXl-)h+oS!Ftl}c;w2@0J<)&u{=80OH}ikaWaIePZ2>pP@VbS8k^Viyf64|9 z<#}DpC2#I#Y^5P=ZVfyh;2eBxoQynw?El}k{By?Nj#U5cNDj8&kNj=Re?3yg(bz%A z)*3ja6W>2I^Vh+@Z~W^(9){OD|LsZq`I!G$3p{5&I39-o)EOU~w$YIq2#5fPgs`Bp z8|aY^w3l+ZmZi!V}Dej zWg-Rqe>S{s|3wVe-FUyAKNtu3+l77(gMrz?#d6h|qy4QQKV(wk@DgO36lsm$@2K&! z-}`cV`+4Khzt8x;7Tn9#&&tEYLw}M}`mbWtqXcSk=7SOO(5x(QDt?`BDFr~l!fcPz zj3hIMOy$c+y_3uE(p8GK|NTNyATrRvjxJcaygTj>N=9l4`F+yrtrkAyNydLG)u@@G zsc1z@KWUxX=?#5zwcZ8J<+y_Y2@UO;C$}&B+dY5^5}OdCSch63&XvKUjYaX@e^v{? zc8?<~Rj&#dN}!WsXuCpdyPngEdnOw57s zc#=Wada;(#JiNEs*t5vv8n)JHUpGhG@V_=3fm(|4lR4+ZIXW)8br79aw-}eBAA-h1 zaRw$%o4ujR)gL81E=Sq-FSdtky&qgohQ`OE^6Fn6FOf%+7z2G?o?PMJ;d4sVDjfLr zHBZ+%5vdAf3WTv0|4a3|yyM&PnCh0y4=^BgZX#WI5xtuZ7AlZ&vgE3cPL=Sq|LN)B5BF7U+yaYC$2 zqb6Ttrd)?xHgM7#m&Dn^w^(Uc$fK1OSAv65oI-SL(8M|f46q#Oe^(CZnh^0~_+hR@?A~$1T7E_Q{TH=} z*Y>l%=X-rHn|88L^KR`XlgSwFFpZGMOV44-jWJ_`xC z%0EB$m>ux;|nNqLai^cUsJ7I)6 z4<@l`VjSpnB5ZzefnFIHxUXN1^PBEqkDL```dCa6R~hs$E;gL%MG{bxll|U{5Th8O zelAEBnClYlg4R%DY^FC9&6Soibay@4SL*!oK+yr|aZeXgZH;Q`RzG{9PXUwjh2 zJU`v8$+q-;B1!f>@59nzD%UO4|!fKfi)%kWB{a>$y+Z+rJD>TFjW`L0w$Gc8=5`*qfoB6W@ zW{M2I-Ms+5=z0@lc_)ubvGU`Wo?pXL|Goh$(z9h{NUJfq@BeP&5TK$0*lO-55TNg1 zta#dE*zlLub8i%?2yhb##Pj~??|+CAtG+LvE|4owEz>+7J}WoI14V|-+))SKP3C!n z>*wta^q^%a7$=?O_!C~YpJk&Oi3*dC_7}(p?X}$TcuJA?w5LL1^aP11pAI$iKdMIkw((z(%Q`WP%b~C+Hw5|qOCZa1-!O~$uh;FBU?c)zei=G?H7J)U-;lRrwwIE9b$abf_EmgU3Wi4+xoEB838sowyV*Uo zeeIdoyYWoOvPy{S$O)S@b2^PbFo!Mt+i(Lt_sr7hkGod>eRam;8Y`^S0+EKDGu&sr zidPt7B*Sso%eqta|gJ|4%^ zU5kOee?DYj72F*U>L!)VR!?Jp?-)uF~PE*rKmA z`G%~fJSWsGlC0Pph;?JFWjK1`53Lwm?379T0^|4Ck0C>Gy{e4DiUF;x2Mv7cS>sm^ z znW*^a7S%Dd^URtE7eU!OzR;R}`3>KCcOhNbQj)GXqlGJ?oINE{k5ATkAnrOTyXw;Y zw*U2+;8PTiS5^x2gDJlk64Z1z%Nxjfc0Z8At>0N#D!q04Hq}W57R7%oD`Du>7`h|uLgMO_hjQ42#L`;qQp0=gsIDh`KLv~^6W6sz% z_cXkOo?Udp2QZK88x`EMArNXb^-uTnd*klns$@`JuTr^$4haMY!5-0BDnNy^n?^y%oZ!Ry4h3fG_!E)x*e7^aHKOCOIj?} zQsHbZXv`NW75su0SgbXd@p^B2wU#e~*D`(K-mLR-L@KVhO8YB+x~}n?L=tiKg3W1% zCoF>biBr>Pb*;qo)c)Btt-S~dcDHZ&lMrPC6u#;8eG^thA#=Cpk^TMVXxPk0)i*;N z@5vw$;Wo*v#N8wO$fn?oXexV)`g?Ijt8j#LzD@m-WHCP^=Sv9s2**$h3Vvelhu+u~ z4i%TLhdac@K_l~nsVf^^{PP;3cj5)Ys8G>Ee!j=_!Q@>^s|ZX=BmEY-Mky zNy}KhoPK6J<~dbdS0fE`ojm&^1Xj54AZ&NbU&rgV;e%g!r3weC3DclNGYUlnz|^;W zRSK^Pr&?{^8&iTq>6JYU;Ul4Gi+4DBCx<nJUje4>d z^>ib}`3QIny?+l*w1K3>9j;6G#3XaKHTfdb;>fmR^DbBtO4$k*%lb$F$&}Tt39ha9 z>Ki77CPy^+jg+*+<5!Njg~7&$R!_&$qzjE;ICMVGUmbQY8{WbDCxI<#V(x2t4=EE( z4@VOow6IcJ%O{N%>8)cs-9x6^#2&GE7lE3=u~2 z`1ve9XO{xA7B)2T1_DsC?h3o&EP<*>iq<=I8xFbe zY?Ai`94}8~Fnnlurr@_lJ2{d9=L%F(G*osgGS!^(=M?C6>siD(_}sU1xm@;>ru49p zwnasm7bThBNir)*Lu=NavzS3e?K0c-3FLL;#;N+tGhBHJ?&oOMlqjjmQhE25$ZGRt zvrLz=DCK{Npf#Bzv)}6`iFXv2tvjA!bAIXazTO?=SDC;PJV<=%NOE%NXA0FJ&wt{S z*=LZh+ZsX2?@o)EADA(r(TA7T&Ai|m$1-m%^)x`^mcVa!ORBAFdvKqAXfYPa+3GUNlFGZ(HGmY6-e!im7(UcuQSRVgE(l z_{DZ=qKd!^NS^PHv#Id$)0i+Z<>u%8nRqR=#^o_nXv+apkrx;x5m9sn9ZXjR(~6Ak z7uH|+#0ct)ez*71zi^&2-1`_x?W;MM+m!8-TNQg~-SA_DRV1J*I{ zw%-{TZnnOsJO7xkETzwB+iLxzQZNFW@q_oxg6Toq?W)nv(UNTkYjJ$SR|lMf_Jn>=bLQcn>Bo?Tx11s9Hk|A%i+ggjK`)fEOdW~vfbE=9jMEvbs4nIGqaM+Vh zvzSc?=ydp`Kj=2vkt7;89=$I&WAS-(v&o_8w&?qU@j6&8;BwkSDTp8(zt*GO8T*V7&LOZ>itj&b)V%BMA&DWm%0vqVh>w269o1VZ| zw@(J$0zbE_i5_ly>vD1hW)`t9~Oqg1MiA>Y^PAeNDkX4TDEC8*Z0%P14GY#Vv~} zrUph)!>qTvzV8wxtmV#5nO!DRayQd%`7^kCb>ZplK{47<^5?h4sJNU}2^l%nAOZ+n#=1p1rhUdGuJBexvym%CMx%W=~0Bd}i3Ji5yR3ZDl&gfbO4- z-MtH2Yj^ubL_Oy&e!oDX|Gs>D7SuoE(|lk;xI-ofbqH7IXlhg>E=#SKksfnSOg~HH zw8CVb6xRKAq8`i~_}Pa}1)r_{$N>dPSGGBz4^oiPB$dRVR$i~Ywg&LVYivqCrKq3r zjtPj3$mkIBf$&Oe&^oW~Q!*O4cxSDqq;!g)i`lM?j_2)(@jVc0f6ZLW8QAPW=Fjy9 zhvvF^v&5{qC~`bhOZM{VSvJukq@SUkD1J;aMXymOl5C3}quDN#<7N&4hv2~dGef+W z(kI;|EV&cU ziIsYASrzyoVs^dX}A#Zx8b-jXe_;IxwEZnIFQ5A|J9P4G^2A zcD}if?l6{#BJS; zMIk`ULJ|pLas&tq0{G5c8l65}7$ruXH*$QdgL?Gsxio;_{`Di9vK|5B+R z9Va6Du11NeFgLk@+qA}iv={u^kCg9_Ej8uigwgbf|wPeRylly5oe$=En!@e&HKnyD(B?QY=p}BZ8LFA;- zRVr97a=6UblCigWO zYg36)#8Ub5>E2mw!zR`v^j}AS*~&-OMqw+ne3G>uBEKI<3gQecd}E1(LgLSWW$Cwy z?PS~4*UEG)G_gq4Rs_$9{YjM3>zSwUddnDoUEekB@eLsnt`Ewj1GFHpEum~yL zj>BJghI9Ay<8&t53OXZmg_Bz+Jobw;6>}ARbF4)}-}^B1X0kZd20fX^k=-(s^UFw| zm5A-I%xxx(;fX8jY1oD<(+=j;+I#f)aoyD!&YL;Yca|xoR@b2X`epncjODD2o*8Ae zZo21>;%71t_PcmU*q60$go-g;-j0w%3Kc|c-8mXy@)>|BqNppp$9B^`@K2X5d)c43Y?Rr;mtOQc z_nR58z#Po(dh^llPOQWwsUh0F3i0l(NGgwD#iS*i$@+@nX?41OiMRUtW#16Pi0twD zR%HK}F1cJ>8l5T2eLE`Mk2=5FNXFSr)yzEW{*Omv3t0_#Ld9W3PLr^1`IyHV!iRk7 zrat+qM7jj~oR0Gi>EkU8{oUGzQ>HjC17||~DqoeY?&-WQu4vmtn^0TN7yRp>9|ih? z_{r8UO6||bDIITl@SHxAkW(zq&FuL1X+Y_FW)MT>e6sk7Ami01$Ew^qJVr- z{CPeljgv6gTs*c-|)NAhDTkdgtGRo5UBQIGtwtsC+Z?;@V%X+mn`S3Ur@;(6a zdOPi9#}9iejz&$EfY&4LVG2#}5)J3TUSR|q?;OCDNn;C3M81#NEH#5hxpJAhqbaOm z(~U>00RNFSdWOfOl|PvaVr03r)xGKV0?=Kd-6ReDUZVxGoEK<+qZJCqj*D5=n)+rc zT5;zY7@S9Fs3*lv7$oXLPf%H_&}H%F8oHWhO5taqH22B!vn#%YnGN#E^d~yYS9Hv{M>g(Nx!oGtfbk0Ky%-|w z)m!9$c3!r_ky@%Y#vRe%wq2K!oG1cstEbJnIwzlBA_xmzX%!TEgTSGtg`TTy9TL0^x~`Zfqyk>llth7d)k{lfk9luk69Rc-o=LX-&wpzMK8|GTZnwcs#W}cGPIWJwxy_{HI?X z;bFySUhtr26foy!bN?Z8H@~nV-hPf3)brTf@=Y2J&@HS1QBsO_J6O8QubsmwE4ctr z)8%=G39UO%Ph7$z)O}q_Ox|!JgVM=z6P5G9jOeUV=t`LsKN4*Wl|?u9=glG5ZUyQq>KwRETxy6ru!|+W*v5TvN9S;GNpvWgF> z_@qCKochQYvO||^;$Xn4h(HUS{JJ2gK^~GS`CB^%eCCFIQc6Pj=&X=!PZ}4f$Szq1 z65G9z{Vm=UPtF7@@j8rcdX|KzGqYjOt7mU{2pyL2ao7jA9*lhxajLQ-`4GNda;K$f zi{()TEf(>O+5OTE!4iCq2YVzH9@EXbE&BO6*V$T+h%X$;>wzwv-#4S*QVKd^ATnF) z%{!)ys%yk@4IRcZ^#+2JA77$MrBLjGItvkVeh_;H*<+~tdiFigWN=M?xm#y$shd_c z(A2SxoZ%#{tUi`B&?G=P?+V9kxo?d;yk=;Z$C5%1*Vr9$7h_4T8x==wj3olxN`4z)` z7Z2MSj6#|jt&$4HlP7*pg`M@nV279NHQr~%Y3Ki*^%BtjK&-mo*q;{>TgS%@!A7_y zju&Zd$C>p%p;zs(>NZWRPj-k8=L1AFA*BlUAL1Ycvko`Qy`&Z~&=e-kd{?Z9b(iXL z`Gy}*T5E+7&aPe=>r}ls)kkL-l2h78=NkqK?vAoRPa)IVxr{tI7IVD+d=C={>Czw@V!b$XGsmPNkL821my5*qaU$+ggd~Q_aPdHF|Pf2@FR; zG~ul}ue{WUZNp}E8iYZ)QlI$sfo{G9a(~Yar)MmzCL`DZoP}#X52O3j@(ngR_5QFi ztUP)$N$>rS(?}}_5ah!!>C)=s;noE80fu@sRpT%=B>Rp41Cgh>GuZeqfNB*gfcM>G z$#E_c*Jmx-IyPF?YVb|gKKh%yCoBNVLEjq*|tZSA9|>N zEgQsN*ffI!vEO)qH4mwwd-qQaD%`1t7aQe(@WZBzH4jr|Tqj+09&ePMWH^%QkWt9U zw4;>~xJ!{Z0sg#hFdTUKE(A#P?d*8MC=dp_p1EG1)Gb1AGDHY}jsPG}`C`q2aIDwl z5R@#Z=BZbrN(YDJ^YI9;T(i!Vmg_u|ivBn>tXReKC-;g9ks{V5>cpyVp;#Uv{X1L? z#PEyLlloNM@fWTZf!R2P_-#cMEUsj~_#T^TV zt%OZluK2hERInOL-hhg^8R7KsDdZNXpauEFfpFW~_t?@9Iv%kwI`<&; zi_y+wN1j&BCz)pZTPSDsAN~Q*keAG^m#Z?d=xCnD^$AnR;&Oj5LJd>$x3=jc zm)+`%K@6-J3rYO^qP&LU3*cX&D|5gtn{t8d5OE|i$OA;X6_ONlT(Q&sl%y?I$K&Ip z@Ew7iy*aaPxf7P=VxLwN0*yWLDjoS_!iQ=6Mz>hr^jjugjU?F!wtVqLcl$P;MB0}8ibfy;$a z46pGPxD&Hlq|7P3nY$P)`M}>+iF!69iv6m8z2m0Fjs(chioi(<{ za=tmx@KL=&7Rbk|Gz2-lPCjFnd_hr+t(R6j=Zh>@Mf9FO5^I@O;SBkyyd-O_bW60X z=9}@T1nG^M>$C6@CSgQ=_9*HV9KjX(TC*;sAgeGWE9Q6{z@1+^ z1lE8E2e*lRw>3cIjk9npwujDal*~8YtJgnv2GX+=38god8fCk~VE?{yx1869uhvs~ z*2afBo|n93%BM!9zH34G(7g-;s4#|Ko#Wu~3B3*q%HbsmZcIvW{WJF;nov6%1ClGD zM)(ECAtWCj>oFY{F>+u;9sAi%IkN#eDqWYk#y5pu3R%8mG~wNLV)-1C#o&Y^LO4)< zGrX8wQ{`pP0HE0BDXi%vh2F~=0Hu!QEW-d$P4VG*#q=ZRs;3PDa}rVz7kIz(5Rnoo z=A~nLzAbK$%iP&euNpq~d>|GQ^~5M#s_`@BqMMab-1t6LhxfTKzjEnibnUAK1`2FF z3gyv>bPi%K!(zXnDZ}M>aS})!n~xLjdU(FAqR7sx-HG9+*T3GI49u5F6%R>~Zr!VV^edE-1(S$yi#4+G&HYA!Avx1gY$s5HIq1UaswdkI-H4uF=zo!@>~b z=nomA*Ayd*M~~T91$T2K&>BQl998o08Gf!;2aRHh7CEMnXK&fN_mCncue!lYVhB?N zNKQOoKEO5ykJIqTBU5f_^QOaJh1+*osyRt2wQp?ualPub69Iu#Q+EK-fg*FVK|Cj^;MTR4^oMW{P+XxA&5axpJy)?l{?M za`~$Ut(yANtSK&rxv*aQb6y=z!TBAFGCrw_q(4wmy%rFL7+W3}KPBeKAba2ll=kXK z&Ei2qWLjNM33m;^4uPZ!lJob@ZV>D#prf>30iswA*-Sp(fqtz-dL2rIZ^B-jmSbt0 zBRq2zdTXt%kUFzKb>jXsDW#}N^V!c!gt-FZ+AKiz!F&l<0%^7@!QpmBe0aOs;hR}| z4|crTma6N%jbZZTa@+B-`Yd;?Q54UIJIy3`G*@SZ@Yq%PutDfemCoFZhWXl^w+W+7 zk=l^LV5MPdobW?D$ki--b0Wq zdHfIQ3%Rp;g9L z9D5#DKe9}H$55GWJ_o-1J?gxnEc}!O_e`6jD-Bg@QqLvXAEQJ!n-h@ztQJ0HynRKS!Ls*J?CMGEH1v|{JH zjo&&gH`?b$T{RJDKQFerGfQccYzud2hQu>Sn0qU|DwBX*G~=5#+ciEDtGZ^;-6rrS zsQu!(n!M;}l=kS&qFM|~ueEK9S#x1^P^DDvPr;pcuk+L0?W}_uZIvjtWQ)6M>$8j_!avjP~ z!st^pTPjSAy#X61@hQxc{Q70cgidNd zw!n0sbtnSlt!hbKQsP~02Fq?Ly0u|+V}!vm=*V7WU6hRa#zl@Qjhz{{%`^uzv)V64 zFbTUyx0sInGqMWl1SX_oidRLAJ-ENy1lHbK2mgMU*ofcVLA3!Wh}cd%waPbOv=-gb z!LL%L8Q<)D*slszNiVLb0QDA)gqYZmUawsmnTU^E+`OwbAVwyh8e?q?8aynTL z{EOB@^k)li75b3cYarXRU9ahzP-{cOJPTfI$#0(}TALEx)lX{Iyv3l9OG~5BT%mT! zsn1&y#l;%P?i0n#iQRZdCD;m4TRO|6%KupyTg1P;^~2>$h8)4L%SR|kvGz)05K$1Z z>fj>Uk=p`qetTrQ1pPu%lLRC%&rQ*1qjoDDQ{%s=J0 z$bp}YJc^j4Je+8&`oCZ7QMDR3=H;|ZAFo{Ok(NSU_)vY0=u*;DddWU^sm;SkVDcJ* zs7kNEFJIW|6tiyJ1%?(e;tfprMh?3)Pi{O<*#BZrRM{A^xB7I zo2ll?otnU1u2QL_L8r}yBK|Tyokn~AGjN!z(?pNVbh}Q;&Tp7uzZ`2WGt+aO+oFg>oL1zO=^{@MQuPE!^h zd`l%-1}_+ggKn!SV-OpnGgl)4ZVqFlh@3X3Am{Xs6Nd@w0qT~8+NdiZo6mi_4}pP z?hCZ{9h!Jz>}H`4^lxPJ;Bo_S;8Ucnd)cCY1_}lrFBLkCo(mlg9n-MnoQHpwp?FnO zRbl(9_cU&r5#1|5oWBE*7} zcTn~i&>9WIDDx=qvFE=J3GoF(kf*%!xXDFG@t@tvG<#U@ip%m~ZLTpxt$;Z1>kcy1 z_8EPbS*a4hoMNt%6Qn2dau*VH6>+yZr3#icWoI`-$ra0vJkaQ5JbJp)l4x@frgJjq zDs{LmX%_(!i4X7=GwYE4Yf42tR8al)Ke!4R7@2zkOsQ3iVv=gXo_G*33N*^ZfGXdy zAIN3L8IYQl&JH+8s&BiO``UYOgUnwDP!>ZkFT*4CjMH;QBaU`f+YHWAB_CL2Ywr{< z?GmTPFM_)^ZMQC`P}2XBC!a|`ut<$vMrLf9AQ`R+6r{-_^x`d!p^2IH^p$T^&&0$=rye(hDZJWh;a>7?xqWuII)P6ATF_XlpV z5b1 zx0pT@K_%v$aBIN8kNV)JmPJw$BHw3^@!yz>^gqnSvf<5oQ{lSW_#FO{&~>!_I#CsC zC(rC(z{QNtMLZ-ODQCwqg*g~dsTtzcAwjS)yWAW~3|s`YRl+8!eV@bE?HPZ&eC>g| z-gk8KB*s?HYfWj7ohw5WWLXGPN;qmT@p!jPI+^7{-EFJ1hx@Mwv zz2<}YFK?mxAgx?W+|-Z|4KE?6?s{;U`%%#F7Ez4#Nt!f(eiCWvWjG;t^DDntG-W2Pq?k$xn!#*=W z$~#l4!CVfHj9l<4R$q6f(Ww6TDgbwhI|F3ylYx^RJ-ITph>oVyHz@RGgvf?unuOB8b)j_U$S>xa%ixmR z;V&NU>au(rg9&kvV?OivO+NO`MyiF=YrhB4DyRwr22F|s{4@6YVBvpbETAW<*`$+= zW=0|O;cpN_x?z$5%Ayd4Mu#u?=7GY+4)H*KpA-nyTRByw7vKvk<8X9>Z!v6wP*3<8 zhu!0DpRTLI3=6FUg2+|Yl<~=I5t-}9*LOEY_id~3R*~SjYfQ(>SsY8aTu(|_$cBu8s6z2@ zzCtYs4ny&LYd{UqaWN)F+=%KmIqs^OPvvg3x*(U>EH`#J0V&muYA{GRJM9`18Rw^a z=b9)$wN^1(eFyuOT4_NfG8&)$Fk;A`2CA5Ps{~sk%E z`1pNsm6tUG{aR}&*gZ65nP|5Zx6d8NfEYcY!v1}MlA4Xq#$zpi0oABgF@dRzoo-1f zFuB;+f~J0Pdbt{Hgi{E4E*J{Vw7c0-iG(!1x7@gh5(S z!8#t8wk)jV0BLaY{sVxqB1lbs!z@Wq;U%cGAK4`Pots@u{Okai#cZp)qYb-ZFAP01 zwpw5pon~#})K{JIo+SXYBtQTEVwTK5F-z-g)XKRbGeJ_7bf)PhgOTZBtxzDt?&tvf zWg-Xm565{zej;Q-KKbLF2Big|YMNtL9b>#SnYxU5&Fp2EUjfiYB@|WK=onkY6d5CN z0gQF+K;^wJ*MIxBT8VF~3{WfeQ3gz=RauMXvQ5(cMO9Xf9n~%waee+|D%b9RXo7%R z8d*?pZ^WvQbJqbxK_ir#cMCoi+`9@kpR|P>io9P=n5*$mc^eXOq(eTWgKlwcM^T}M z-8IQhvqya3wsbSzq(KTl1jJ4ldnQ4nOup#WJoSu2yI22+hBRN)8P7j+o{DKGO^ zs#2lLyZA~~$dsW`-rE3g82%!0jnM#7H#RslQm*lE!lY@Yx2WY@X*Qqt{rj?nPEm`k z;!drnMqIM;nFwwEuZ!{KV$ZNo>40=3LeGnzIz5l2!;Yn*BfLl|h<_EYHe1E1 zaF?V#?n-9GmU8XPt29%CUW+pyr^TE$PdqK)e^&`fcVG8w0q`V(d_b@$pio#IcG1^| zUvU1+JqY>$R3xpWz|lX0k>0J$qT$QbuY&X1CW%vv4g}IyJ&tZ161|N4{KS+3oGgK~ zqwAP)x>+2VK+yJTk7pb^aRn&oz}?jD7FQuAxn%VBQg~@paXP75mP=8vagA4z&T(nB zF6a~g^tXp}8f*HLc`HI+)eovcmG{y)q!D|=^BuKpTP%E;!C!=OC8=INP3jQ>rH0yo zr()0}S6@Bb2(C>Pq6}@lG8FLtZ1G6zue5p2XCvXLV}l5#(CcuOFV$IM4FJ}M-5+j; zQ+es9K5UZ1R_CabB_ z32@5=G~Azm9Qh&sZ%ATU`#(dH^#6yDB!7%CNobEt9I{)q^j8i zsI*aW*ga}TO_$NO!(X()!e<6{TCdUvQ^$Fwe(f-!00VF^uaai&#DX$F3k?FpM&?z1}+StjYpyk9I^J z9SxVSXIc2B9YcUC&R(}lO_Ucv^25ag@49?3^Npk!Magt>*0r19gYH_A6Y?0aEL`3iF12VUe_+9j8F_Q>-%t3Fwq}v$txnu%y5Y29z zR&t#UMopo|oz)ZH$L{ymDEVr7H`{H2Z9t>!tub8lac}s=`-#wDp6{CM@^ecZdMH`E z%vd#GA3(ydYOU+jffYlGw9|LLot~nQG96PvdwmmIP&@Z?O*E+f7XZ@YaZOFYVds~u zn^YUn%gVKJwLcAk0ab`20EaLe@L5nxME_EIA|goV#~zgJ^_!J*?1-LH zbow5oh)L{$Z|};}veL{Qx$tXnuRovDeh4Dg6X5e9EOTC!HKKhF&+!}-N&9~tgS>uZ z3E43N{kkTfzsHbiaKSy4Wcu7wy{e|a>XtAX(`u(yF;&ZM#Zf7Flv?7dm{x*^sr7)$jC@yI{p@sdv3fAD!co;mD0T-ZBORKgxmiS^C$?)2E`hWy_PF8m z)m@p09NjDAaU=7?xmDfM$a}XG88kd!82PDHF!a^t;~fKdUrw?Cw$gm?;Q*ik#F%6#8t&f*FyTXYLNj=&0!{Rzi1=lf zppo+-W=kg1MZ(-DJ~Lp_qip;IKeX zA50C|0;I&;KY=fr)ZT7gwjSEOpa6fUu6Lh7TSKjGImA57`gc^ZhjIY@s2%6gGl6w_ zSr(Ly$D2p+&`^aAzCxBMWyjFL%eW?3uz*gb4+2D5ceUVPBQM>inMKS&aK%Q8HwXL@ zXeCShk6B}P??TVdL#vG3+Xn{1H-62vy6?2g-BHNbU7KInmrZR@L#3Da`1Q1{w1CD! z{6j8)(GeQxDAZ-Ex2_7vqt~L((_TKGMF$2`(%10}q0lwAKt=QPnL}^B9Odj9Bd67* zQ-U&C{q)o84ZTVEwhWc{68WlDck_7FKo}hW@rK`B**tF`3`e(1O#>1TNPlxB`VpUvpmsii&^b_K&f9zMd>{0)hXQrG zUa+$Y9KK%6wqNj9hWp1iZVmCtz78=SrJog|nf_!bBT&1Hn;Q4{CB2#9Sa!QVE(Z{| zR3>JA_U@#ah@KTH+-&@UUd{C>+7jA4SeQmCbH=kM4^6s-UStCJs-4g7Xw(=Rx zsXAdLGohKj3<%USsuuW&l6S|G=e^xV$edw_(~tf47JLPqMjRGBl=TXS0{YB=@*~3u z01)u0cJ4t|qZ_P`R^2)k1)#bkHftTn=FHiEW!Yc-RrFEyk<1upRpbxl%3O6dTlXog zun2gR!0D%UAr%MJY!~?groVp+AX&x~jrI?k0wjN*SRUI+mOc;wCO}UL56gQkK*-`W z5JUhQKK+{oP#+{pm+K%h?$93MP0Sxs7Q|hURRn$QgcF?ueHHx^J;$Pn&mSPXc8}Ar zw(Tk}H2^bT!1?{;wP=mhWVO+d$H>Hw1qR#jZFo;qiV3-*e;N76I^mZqS)ifi5jk;1OawgV@h`{T7`+O2;_1kZcDABEgt$P(YP%%3 zE{=c*SeX0^?h_~SphYjpTlyG1(u-caxJhV)M!eOka3(cIE7OzxlQhoYY5%3$R+@d> zb{7t3>iIzfO}iG)gJ~s}sxIMbkN#>jx}}Ej54!N#CVeTWJY0wsylLy2PSfWys!R>$ ze=Ad#1GYs10A&ijbzL(q+&FxzSJ!3A>r3$26L4sjfc>ZklBLstP<)L0*Q6Lx75r>G zjaqfsEGn5OPmEY`*5uhwszjPHKCq94fGVG*aYtYB zC^6~5A5UF8h^P=cj#hz5^ZXeu8xAu;`XwjDz)FCZ6koq_-h=}l?$_7 z0~|(ZzX%>Ti$7R<%S4G}g*dso99jo?%+Lx(;}U~yB!oQu(?mh>OBT^)28U zJ3;_M*r0LO&GxTA__&u<4O)lUNPr}ZA)Tpw_i2^xrX2P#{FvEnIp6+B9b~nXPxpq= zGn*!}ji&{n9~llp{K(WQwaZ3NVg4#F--Jli@M3O|#r{zECSR4!Qlq|Xxx|9u+23?R z;BS)|8UL_oQ}64CPz=RSq4Xc8S+@J-4mI#I1Cq9>cSD){9aH6+Du9&7+eL@z+%)P{ zu`&B2!bk_{2yk_sQI#jirW_m zW0ON;xy&t=D?F(V!zba?p2Ld=S3^)%=~rW{CLA~cbN~j*0Fu$wZgXYrip*X@nk@AfFb zF9q_k8I%U!?qyl*a$jG{yo%V79%cKMG^jfjHOj9oYIQ zieW_WHIRhNfqnB+^}j3_Z(I+5x>MPOA-bfCH>uQ;srutN3A5S=3i;VmC;&+fL4EyaN~> z>f0@Dd&~YALJ2^o8jr7SBgLEl0FnD{gI)Kje?pJ$?vz$xK(gfGJFyGYK+?zJTB-$; z;Un3yn#Qc<6=QbW=Py7sq^vtBg0s1@__^w4-JC{yF>LCku<&b~Jk7H|f&IBv=;Y1F zqUwPbr-aF57#^Jzf2y^Ur;bpxZD_SgdZ(Gm$WY%OH_+9{=E$- zc$-+GT-J+ox+IW!@LN?gxoVebHaqQXxdw;{gMjVez8Y*gw()c%S7ZMJ9|?!{FKpUp zl|$!0P=nRaL^BL!N_U+-GsVhLVZOcu9>nU0WVA5sLLk}|Ko&^f@IuVk`sy7NNIB4w z951O*oyrx&Jh46eU0QQ6M#VXGiL5RDkaxlsW97^{GYSD7;JtbGK15+`4b1;-?7DqJjW-KOVGsOaT-FbqF%7)S+M;CNSC!z{H%qST4hbOmhigasunA5N4A$iNY!Tu z8nbG9%gqFTIujEM6|Yy1H)WaLXsyF%DnkI=bUX_ZpVyt8 zl}2vn3?3P)-GzHyq?!6-4}QdqP%aR-Ppcqd7yFJ*7|w~7v;dE2Eo%k{ z9ZQN~4iKUA{wV%a>}G%=M@jSM`{N