diff --git a/.gitmodules b/.gitmodules index 045c3523fe..3651c5faa1 100644 --- a/.gitmodules +++ b/.gitmodules @@ -3,7 +3,10 @@ url = https://github.com/google/flatbuffers.git [submodule "third_party/UHDM"] path = third_party/UHDM - url = https://github.com/alainmarcel/UHDM.git + url = https://github.com/chipsalliance/UHDM.git [submodule "third_party/googletest"] path = third_party/googletest url = https://github.com/google/googletest.git +[submodule "third_party/antlr4"] + path = third_party/antlr4 + url = https://github.com/alainmarcel/antlr4.git diff --git a/src/API/Surelog.h b/src/API/Surelog.h index 3c120c7769..106af615c3 100644 --- a/src/API/Surelog.h +++ b/src/API/Surelog.h @@ -25,7 +25,9 @@ #include "CommandLine/CommandLineParser.h" #include "Design/Design.h" -#include "include/sv_vpi_user.h" + +// UHDM +#include namespace SURELOG { struct scompiler; diff --git a/src/Common/PortNetHolder.h b/src/Common/PortNetHolder.h index b038e2a329..9cf6542daa 100644 --- a/src/Common/PortNetHolder.h +++ b/src/Common/PortNetHolder.h @@ -23,7 +23,8 @@ #include -#include "headers/uhdm_forward_decl.h" +// UHDM +#include #ifndef PORTNETHOLDER_H #define PORTNETHOLDER_H diff --git a/src/Design/ClockingBlock.h b/src/Design/ClockingBlock.h index c8866508f2..2e763124d0 100644 --- a/src/Design/ClockingBlock.h +++ b/src/Design/ClockingBlock.h @@ -29,7 +29,9 @@ #include "Design/FileContent.h" #include "Design/Signal.h" #include "SourceCompile/SymbolTable.h" -#include "headers/uhdm_forward_decl.h" + +// UHDM +#include namespace SURELOG { diff --git a/src/Design/DummyType.cpp b/src/Design/DummyType.cpp index 96cf87fbda..1ba16f5aac 100644 --- a/src/Design/DummyType.cpp +++ b/src/Design/DummyType.cpp @@ -24,7 +24,9 @@ #include "Design/FileContent.h" #include "SourceCompile/SymbolTable.h" -#include "uhdm.h" + +// UHDM +#include namespace SURELOG { DummyType::DummyType(const FileContent* fC, NodeId nameId, NodeId structId) diff --git a/src/Design/ModuleDefinition.h b/src/Design/ModuleDefinition.h index 40702fd6e4..8e55e10a6b 100644 --- a/src/Design/ModuleDefinition.h +++ b/src/Design/ModuleDefinition.h @@ -34,7 +34,9 @@ #include "Design/ModPort.h" #include "Design/Signal.h" #include "Design/ValuedComponentI.h" -#include "headers/containers.h" // uhdm + +// UHDM +#include namespace UHDM { class udp_defn; diff --git a/src/Design/ModuleInstance.cpp b/src/Design/ModuleInstance.cpp index b9cdcf990d..3cf8024fc6 100644 --- a/src/Design/ModuleInstance.cpp +++ b/src/Design/ModuleInstance.cpp @@ -26,11 +26,13 @@ #include #include "Design/FileContent.h" -#include "ElaboratorListener.h" #include "Library/Library.h" #include "SourceCompile/SymbolTable.h" -#include "clone_tree.h" -#include "uhdm.h" + +// UHDM +#include +#include +#include using namespace SURELOG; using namespace UHDM; diff --git a/src/Design/Netlist.h b/src/Design/Netlist.h index 0c09dc87d6..c1a99d218b 100644 --- a/src/Design/Netlist.h +++ b/src/Design/Netlist.h @@ -31,7 +31,9 @@ #include "Design/FileContent.h" #include "Design/ModPort.h" #include "SourceCompile/SymbolTable.h" -#include "headers/uhdm_forward_decl.h" + +// UHDM +#include namespace SURELOG { diff --git a/src/Design/SimpleType.cpp b/src/Design/SimpleType.cpp index 5772d3e7da..fdd8df3502 100644 --- a/src/Design/SimpleType.cpp +++ b/src/Design/SimpleType.cpp @@ -24,7 +24,9 @@ #include "Design/FileContent.h" #include "SourceCompile/SymbolTable.h" -#include "uhdm.h" + +// UHDM +#include using namespace SURELOG; diff --git a/src/Design/Struct.cpp b/src/Design/Struct.cpp index ac9d3929de..aa096b5155 100644 --- a/src/Design/Struct.cpp +++ b/src/Design/Struct.cpp @@ -24,7 +24,9 @@ #include "Design/FileContent.h" #include "SourceCompile/SymbolTable.h" -#include "uhdm.h" + +// UHDM +#include using namespace SURELOG; diff --git a/src/DesignCompile/Builtin.cpp b/src/DesignCompile/Builtin.cpp index 0b7e158cf5..fc14b51f3a 100644 --- a/src/DesignCompile/Builtin.cpp +++ b/src/DesignCompile/Builtin.cpp @@ -30,8 +30,8 @@ #include "Testbench/FunctionMethod.h" // UHDM -#include "headers/Serializer.h" -#include "headers/class_defn.h" +#include +#include namespace SURELOG { static VObjectType convert(std::string_view type) { diff --git a/src/DesignCompile/CompileAssertion.cpp b/src/DesignCompile/CompileAssertion.cpp index 5f04e9c9b0..313032443b 100644 --- a/src/DesignCompile/CompileAssertion.cpp +++ b/src/DesignCompile/CompileAssertion.cpp @@ -30,7 +30,6 @@ #include "Design/Struct.h" #include "Design/Union.h" #include "DesignCompile/CompileHelper.h" -#include "ElaboratorListener.h" #include "Expression/ExprBuilder.h" #include "Expression/Value.h" #include "SourceCompile/CompilationUnit.h" @@ -42,9 +41,12 @@ #include "UhdmWriter.h" #include "Utils/FileUtils.h" #include "Utils/StringUtils.h" -#include "clone_tree.h" -#include "expr.h" -#include "uhdm.h" + +// UHDM +#include +#include +#include +#include namespace SURELOG { diff --git a/src/DesignCompile/CompileClass.cpp b/src/DesignCompile/CompileClass.cpp index 1ea5a70eec..048d89b8b4 100644 --- a/src/DesignCompile/CompileClass.cpp +++ b/src/DesignCompile/CompileClass.cpp @@ -38,7 +38,9 @@ #include "SourceCompile/SymbolTable.h" #include "SourceCompile/VObjectTypes.h" #include "Testbench/ClassDefinition.h" -#include "headers/uhdm.h" + +// UHDM +#include using namespace SURELOG; diff --git a/src/DesignCompile/CompileDesign.cpp b/src/DesignCompile/CompileDesign.cpp index 683e85b94f..a8afb77ab6 100644 --- a/src/DesignCompile/CompileDesign.cpp +++ b/src/DesignCompile/CompileDesign.cpp @@ -28,6 +28,9 @@ #include +#include +#include + #include "CommandLine/CommandLineParser.h" #include "Design/FileContent.h" #include "DesignCompile/Builtin.h" @@ -54,17 +57,14 @@ #include "SourceCompile/PreprocessFile.h" #include "SourceCompile/SymbolTable.h" #include "Testbench/ClassDefinition.h" -#include "vpi_visitor.h" #ifdef USETBB #include #include - -#include "tbb/task_scheduler_init.h" +#include #endif -#include -#include +#include using namespace SURELOG; diff --git a/src/DesignCompile/CompileDesign.h b/src/DesignCompile/CompileDesign.h index ce6d44be23..2937acb14b 100644 --- a/src/DesignCompile/CompileDesign.h +++ b/src/DesignCompile/CompileDesign.h @@ -26,9 +26,11 @@ #include -#include "Serializer.h" #include "SourceCompile/Compiler.h" -#include "include/sv_vpi_user.h" + +// UHDM +#include +#include namespace SURELOG { diff --git a/src/DesignCompile/CompileExpression.cpp b/src/DesignCompile/CompileExpression.cpp index 19f683f364..1913977140 100644 --- a/src/DesignCompile/CompileExpression.cpp +++ b/src/DesignCompile/CompileExpression.cpp @@ -38,7 +38,6 @@ #include "DesignCompile/CompileDesign.h" #include "DesignCompile/CompileHelper.h" #include "DesignCompile/UhdmWriter.h" -#include "ElaboratorListener.h" #include "ErrorReporting/ErrorContainer.h" #include "Expression/ExprBuilder.h" #include "Expression/Value.h" @@ -52,11 +51,14 @@ #include "Utils/FileUtils.h" #include "Utils/NumUtils.h" #include "Utils/StringUtils.h" -#include "clone_tree.h" -#include "expr.h" -#include "headers/ExprEval.h" -#include "uhdm.h" -#include "vpi_visitor.h" + +// UHDM +#include +#include +#include +#include +#include +#include using namespace SURELOG; using namespace UHDM; diff --git a/src/DesignCompile/CompileHelper.cpp b/src/DesignCompile/CompileHelper.cpp index 47d00f4248..a592c44f4b 100644 --- a/src/DesignCompile/CompileHelper.cpp +++ b/src/DesignCompile/CompileHelper.cpp @@ -37,10 +37,8 @@ #include "Design/Union.h" #include "DesignCompile/CompileDesign.h" #include "DesignCompile/UhdmWriter.h" -#include "ElaboratorListener.h" #include "Expression/ExprBuilder.h" #include "Expression/Value.h" -#include "Serializer.h" #include "SourceCompile/CompilationUnit.h" #include "SourceCompile/CompileSourceFile.h" #include "SourceCompile/Compiler.h" @@ -48,9 +46,13 @@ #include "SourceCompile/PreprocessFile.h" #include "Testbench/ClassDefinition.h" #include "Testbench/Property.h" -#include "clone_tree.h" -#include "expr.h" -#include "uhdm.h" + +// UHDM +#include +#include +#include +#include +#include using namespace SURELOG; using namespace UHDM; diff --git a/src/DesignCompile/CompileHelper_test.cpp b/src/DesignCompile/CompileHelper_test.cpp index 87859af845..aab435c99c 100644 --- a/src/DesignCompile/CompileHelper_test.cpp +++ b/src/DesignCompile/CompileHelper_test.cpp @@ -46,7 +46,9 @@ #include "Testbench/ClassDefinition.h" #include "gmock/gmock.h" #include "gtest/gtest.h" -#include "vpi_visitor.h" + +// UHDM +#include using namespace UHDM; diff --git a/src/DesignCompile/CompileStmt.cpp b/src/DesignCompile/CompileStmt.cpp index 8c8c5bb22c..20c1a6a745 100644 --- a/src/DesignCompile/CompileStmt.cpp +++ b/src/DesignCompile/CompileStmt.cpp @@ -44,9 +44,9 @@ #include "Utils/StringUtils.h" // UHDM -#include "ElaboratorListener.h" -#include "expr.h" -#include "uhdm.h" +#include +#include +#include using namespace SURELOG; using namespace UHDM; diff --git a/src/DesignCompile/CompileType.cpp b/src/DesignCompile/CompileType.cpp index 1de6e82981..df2e99a52e 100644 --- a/src/DesignCompile/CompileType.cpp +++ b/src/DesignCompile/CompileType.cpp @@ -48,10 +48,10 @@ #include "Utils/StringUtils.h" // UHDM -#include "ElaboratorListener.h" -#include "clone_tree.h" -#include "expr.h" -#include "uhdm.h" +#include +#include +#include +#include using namespace SURELOG; using namespace UHDM; diff --git a/src/DesignCompile/DesignElaboration.cpp b/src/DesignCompile/DesignElaboration.cpp index 62ca28809d..d7a97c8d5d 100644 --- a/src/DesignCompile/DesignElaboration.cpp +++ b/src/DesignCompile/DesignElaboration.cpp @@ -37,7 +37,6 @@ #include "DesignCompile/CompileDesign.h" #include "DesignCompile/CompileModule.h" #include "DesignCompile/NetlistElaboration.h" -#include "ElaboratorListener.h" #include "ErrorReporting/Error.h" #include "ErrorReporting/ErrorContainer.h" #include "ErrorReporting/ErrorDefinition.h" @@ -53,8 +52,11 @@ #include "Testbench/ClassDefinition.h" #include "Testbench/Property.h" #include "Utils/StringUtils.h" -#include "clone_tree.h" -#include "vpi_visitor.h" + +// UHDM +#include +#include +#include using namespace SURELOG; diff --git a/src/DesignCompile/ElaborationStep.cpp b/src/DesignCompile/ElaborationStep.cpp index 77fc612490..8fdc5752dd 100644 --- a/src/DesignCompile/ElaborationStep.cpp +++ b/src/DesignCompile/ElaborationStep.cpp @@ -53,10 +53,10 @@ #include "Utils/StringUtils.h" // UHDM -#include "headers/ElaboratorListener.h" -#include "headers/Serializer.h" -#include "headers/clone_tree.h" -#include "headers/uhdm.h" +#include +#include +#include +#include using namespace SURELOG; using namespace UHDM; diff --git a/src/DesignCompile/ElaborationStep.h b/src/DesignCompile/ElaborationStep.h index 548ae505db..ec3307fc58 100644 --- a/src/DesignCompile/ElaborationStep.h +++ b/src/DesignCompile/ElaborationStep.h @@ -32,7 +32,9 @@ #include "DesignCompile/CompileDesign.h" #include "DesignCompile/CompileHelper.h" #include "ErrorReporting/ErrorDefinition.h" -#include "headers/uhdm_forward_decl.h" + +// UHDM +#include namespace SURELOG { diff --git a/src/DesignCompile/EvalFunc.cpp b/src/DesignCompile/EvalFunc.cpp index bcdccbff5d..a4c2ddd735 100644 --- a/src/DesignCompile/EvalFunc.cpp +++ b/src/DesignCompile/EvalFunc.cpp @@ -50,10 +50,10 @@ #include "Utils/StringUtils.h" // UHDM -#include "ElaboratorListener.h" -#include "clone_tree.h" -#include "expr.h" -#include "uhdm.h" +#include +#include +#include +#include using namespace SURELOG; using namespace UHDM; diff --git a/src/DesignCompile/NetlistElaboration.cpp b/src/DesignCompile/NetlistElaboration.cpp index d0332ea1fb..07f23fa521 100644 --- a/src/DesignCompile/NetlistElaboration.cpp +++ b/src/DesignCompile/NetlistElaboration.cpp @@ -44,13 +44,13 @@ #include "Design/VObject.h" #include "DesignCompile/CompileDesign.h" #include "DesignCompile/UhdmWriter.h" -#include "ElaboratorListener.h" + #include "ErrorReporting/Error.h" #include "ErrorReporting/ErrorContainer.h" #include "ErrorReporting/ErrorDefinition.h" #include "ErrorReporting/Location.h" #include "Library/Library.h" -#include "Serializer.h" + #include "SourceCompile/CompilationUnit.h" #include "SourceCompile/CompileSourceFile.h" #include "SourceCompile/Compiler.h" @@ -61,8 +61,12 @@ #include "Testbench/ClassDefinition.h" #include "Testbench/Property.h" #include "Utils/StringUtils.h" -#include "clone_tree.h" -#include "uhdm.h" + +// UHDM +#include +#include +#include +#include using namespace SURELOG; using namespace UHDM; @@ -175,8 +179,15 @@ bool NetlistElaboration::elab_parameters_(ModuleInstance* instance, } param_assign* mod_assign = assign->getUhdmParamAssign(); isMultidimensional = assign->isMultidimensional(); + const std::string& paramName = + assign->getFileContent()->SymName(assign->getParamId()); + if (mod_assign) { const any* rhs = mod_assign->Rhs(); + expr* override = instance->getComplexValue(paramName); + if (override) { + rhs = override; + } if (rhs && rhs->UhdmType() == uhdmoperation) { operation* op = (operation*)rhs; int opType = op->VpiOpType(); @@ -196,7 +207,10 @@ bool NetlistElaboration::elab_parameters_(ModuleInstance* instance, if (opType == vpiAssignmentPatternOp) { const any* lhs = pclone->Lhs(); any* rhs = (any*)pclone->Rhs(); - + if (override) { + rhs = UHDM::clone_tree(override, s, &listener); + rhs->VpiParent(pclone); + } rhs = m_helper.expandPatternAssignment((expr*)lhs, (expr*)rhs, mod, m_compileDesign, instance); pclone->Rhs(rhs); @@ -217,14 +231,13 @@ bool NetlistElaboration::elab_parameters_(ModuleInstance* instance, inst_assign->VpiEndLineNo(mod_assign->VpiEndLineNo()); inst_assign->VpiEndColumnNo(mod_assign->VpiEndColumnNo()); inst_assign->Lhs((any*)mod_assign->Lhs()); - const std::string& paramName = - assign->getFileContent()->SymName(assign->getParamId()); - bool override = false; + + bool overriden = false; for (Parameter* tpm : instance->getTypeParams()) { // for parameters that do not resolve to // scalars (complex structs) if (tpm->getName() == paramName) { - override = true; + overriden = true; if (ModuleInstance* pinst = instance->getParent()) { ModuleDefinition* pmod = dynamic_cast(pinst->getDefinition()); @@ -276,7 +289,7 @@ bool NetlistElaboration::elab_parameters_(ModuleInstance* instance, } } } - if ((override == false) && (!isMultidimensional)) { + if ((overriden == false) && (!isMultidimensional)) { Value* value = instance->getValue(paramName, m_exprBuilder); if (value && value->isValid()) { constant* c = s.MakeConstant(); @@ -308,10 +321,10 @@ bool NetlistElaboration::elab_parameters_(ModuleInstance* instance, } } - override = true; + overriden = true; } } - if (override == false) { + if (overriden == false) { expr* exp = instance->getComplexValue(paramName); if (exp) { if (!isMultidimensional) { @@ -341,10 +354,10 @@ bool NetlistElaboration::elab_parameters_(ModuleInstance* instance, } } - override = true; + overriden = true; } } - if (override == false) { + if (overriden == false) { // Default if (assign->getAssignId()) { expr* rhs = (expr*)m_helper.compileExpression( diff --git a/src/DesignCompile/ResolveSymbols.cpp b/src/DesignCompile/ResolveSymbols.cpp index ea06d5d5b4..955cc9c8d5 100644 --- a/src/DesignCompile/ResolveSymbols.cpp +++ b/src/DesignCompile/ResolveSymbols.cpp @@ -27,7 +27,9 @@ #include "ErrorReporting/ErrorDefinition.h" #include "ErrorReporting/Location.h" #include "Testbench/ClassDefinition.h" -#include "headers/uhdm.h" + +// UHDM +#include using namespace SURELOG; diff --git a/src/DesignCompile/TestbenchElaboration.cpp b/src/DesignCompile/TestbenchElaboration.cpp index ab2551f883..02ef2d2fef 100644 --- a/src/DesignCompile/TestbenchElaboration.cpp +++ b/src/DesignCompile/TestbenchElaboration.cpp @@ -33,7 +33,9 @@ #include "SourceCompile/VObjectTypes.h" #include "Testbench/ClassDefinition.h" #include "Testbench/Property.h" -#include "headers/uhdm.h" + +// UHDM +#include using namespace SURELOG; diff --git a/src/DesignCompile/UhdmChecker.cpp b/src/DesignCompile/UhdmChecker.cpp index b93567e9da..1f4ff29945 100644 --- a/src/DesignCompile/UhdmChecker.cpp +++ b/src/DesignCompile/UhdmChecker.cpp @@ -46,7 +46,6 @@ #include "ErrorReporting/ErrorDefinition.h" #include "ErrorReporting/Location.h" #include "Library/Library.h" -#include "Serializer.h" #include "SourceCompile/CompilationUnit.h" #include "SourceCompile/CompileSourceFile.h" #include "SourceCompile/Compiler.h" @@ -56,10 +55,13 @@ #include "Testbench/ClassDefinition.h" #include "Utils/FileUtils.h" #include "Utils/StringUtils.h" -#include "module.h" #include "surelog.h" -#include "uhdm.h" -#include "vpi_visitor.h" + +// UHDM +#include +#include +#include +#include using namespace SURELOG; using namespace UHDM; diff --git a/src/DesignCompile/UhdmWriter.cpp b/src/DesignCompile/UhdmWriter.cpp index e1d441f2c5..b89b8852c9 100644 --- a/src/DesignCompile/UhdmWriter.cpp +++ b/src/DesignCompile/UhdmWriter.cpp @@ -57,15 +57,17 @@ #include "SourceCompile/SymbolTable.h" #include "Testbench/ClassDefinition.h" #include "Utils/StringUtils.h" -#include "headers/ElaboratorListener.h" -#include "headers/Serializer.h" -#include "headers/module.h" -#include "headers/uhdm.h" -#include "headers/vpi_listener.h" -#include "headers/vpi_uhdm.h" -#include "headers/vpi_visitor.h" #include "surelog.h" +// UHDM +#include +#include +#include +#include +#include +#include +#include + using namespace SURELOG; using namespace UHDM; diff --git a/src/Expression/Value.cpp b/src/Expression/Value.cpp index ab6ca2c085..81d3fe1264 100644 --- a/src/Expression/Value.cpp +++ b/src/Expression/Value.cpp @@ -29,7 +29,8 @@ #include #include -#include "include/vpi_user.h" +// UHDM +#include using namespace SURELOG; diff --git a/src/Package/Package.h b/src/Package/Package.h index 562bd0b104..d25f9f6393 100644 --- a/src/Package/Package.h +++ b/src/Package/Package.h @@ -31,7 +31,9 @@ #include "Design/ValuedComponentI.h" #include "Expression/ExprBuilder.h" #include "Library/Library.h" -#include "headers/containers.h" // uhdm + +// UHDM +#include namespace SURELOG { class CompilePackage; diff --git a/src/SourceCompile/Compiler.h b/src/SourceCompile/Compiler.h index 35cb7aee45..ea0a6f63b6 100644 --- a/src/SourceCompile/Compiler.h +++ b/src/SourceCompile/Compiler.h @@ -36,15 +36,16 @@ limitations under the License. #include "SourceCompile/CompileSourceFile.h" #include "SourceCompile/PreprocessFile.h" #include "SourceCompile/SymbolTable.h" -#include "include/sv_vpi_user.h" #ifdef USETBB #include #include - -#include "tbb/task_scheduler_init.h" +#include #endif +// UHDM +#include + namespace SURELOG { class PreprocessFile; diff --git a/src/SourceCompile/PreprocessHarness.h b/src/SourceCompile/PreprocessHarness.h index 80ef6b4202..6eb90b3b24 100644 --- a/src/SourceCompile/PreprocessHarness.h +++ b/src/SourceCompile/PreprocessHarness.h @@ -37,7 +37,9 @@ #include "SourceCompile/CompileSourceFile.h" #include "SourceCompile/PreprocessFile.h" #include "SourceCompile/SymbolTable.h" -#include "include/sv_vpi_user.h" + +// UHDM +#include namespace SURELOG { diff --git a/src/Testbench/ClassDefinition.h b/src/Testbench/ClassDefinition.h index 8615417a1e..ba95377e1e 100644 --- a/src/Testbench/ClassDefinition.h +++ b/src/Testbench/ClassDefinition.h @@ -34,8 +34,10 @@ #include "Testbench/Property.h" #include "Testbench/TaskMethod.h" #include "Testbench/TypeDef.h" -#include "headers/containers.h" // uhdm -#include "headers/uhdm_forward_decl.h" + +// UHDM +#include +#include namespace SURELOG { class CompileClass; diff --git a/src/Testbench/Program.h b/src/Testbench/Program.h index 368437b70f..0cd6069997 100644 --- a/src/Testbench/Program.h +++ b/src/Testbench/Program.h @@ -20,12 +20,14 @@ * * Created on June 1, 2018, 8:58 PM */ - #ifndef PROGRAM_H #define PROGRAM_H + #include "Common/ClockingBlockHolder.h" #include "Design/DesignComponent.h" -#include "headers/containers.h" // uhdm + +// UHDM +#include namespace SURELOG { diff --git a/src/hellodesign.cpp b/src/hellodesign.cpp index 52e8b9f679..619cecf103 100644 --- a/src/hellodesign.cpp +++ b/src/hellodesign.cpp @@ -24,13 +24,16 @@ // Example of usage: // cd tests/UnitElabBlock // hellodesign top.v -parse -mutestdout + #include #include -#include "ElaboratorListener.h" -#include "headers/vpi_listener.h" #include "surelog.h" -#include "uhdm.h" + +// UHDM +#include +#include +#include class DesignListener final : public UHDM::VpiListener { virtual void enterModule(const UHDM::module *const object, diff --git a/src/hellosureworld.cpp b/src/hellosureworld.cpp index 691a670a86..903f169403 100644 --- a/src/hellosureworld.cpp +++ b/src/hellosureworld.cpp @@ -24,11 +24,14 @@ // Example of usage: // cd tests/UnitElabBlock // hellouhdm top.v -parse -mutestdout + #include #include #include "surelog.h" -#include "uhdm.h" + +// UHDM +#include int main(int argc, const char** argv) { // Read command line, compile a design, use -parse argument diff --git a/src/hellouhdm.cpp b/src/hellouhdm.cpp index 0f44f9d791..f32ccfe9c6 100644 --- a/src/hellouhdm.cpp +++ b/src/hellouhdm.cpp @@ -24,13 +24,16 @@ // Example of usage: // cd tests/UnitElabBlock // hellouhdm top.v -parse -mutestdout + #include #include -#include "headers/ElaboratorListener.h" -#include "headers/vpi_listener.h" #include "surelog.h" -#include "uhdm.h" + +// UHDM +#include +#include +#include int main(int argc, const char** argv) { // Read command line, compile a design, use -parse argument diff --git a/tests/ArianeElab/ArianeElab.log b/tests/ArianeElab/ArianeElab.log index f0a6a882ab..80660ebc46 100644 --- a/tests/ArianeElab/ArianeElab.log +++ b/tests/ArianeElab/ArianeElab.log @@ -26117,6 +26117,8 @@ design: (work@top) |vpiOperand: \_ref_obj: (IRQ_S_SOFT), line:292:49, endln:292:59 |vpiName:IRQ_S_SOFT + |vpiActual: + \_parameter: (riscv::IRQ_S_SOFT), line:285:29, endln:285:44, parent:riscv:: |vpiLhs: \_parameter: (riscv::MIP_SSIP), line:292:33, endln:292:59, parent:riscv:: |vpiParamAssign: @@ -26133,6 +26135,8 @@ design: (work@top) |vpiOperand: \_ref_obj: (IRQ_M_SOFT), line:293:49, endln:293:59 |vpiName:IRQ_M_SOFT + |vpiActual: + \_parameter: (riscv::IRQ_M_SOFT), line:286:29, endln:286:44, parent:riscv:: |vpiLhs: \_parameter: (riscv::MIP_MSIP), line:293:33, endln:293:59, parent:riscv:: |vpiParamAssign: @@ -26149,6 +26153,8 @@ design: (work@top) |vpiOperand: \_ref_obj: (IRQ_S_TIMER), line:294:49, endln:294:60 |vpiName:IRQ_S_TIMER + |vpiActual: + \_parameter: (riscv::IRQ_S_TIMER), line:287:29, endln:287:44, parent:riscv:: |vpiLhs: \_parameter: (riscv::MIP_STIP), line:294:33, endln:294:60, parent:riscv:: |vpiParamAssign: @@ -26165,6 +26171,8 @@ design: (work@top) |vpiOperand: \_ref_obj: (IRQ_M_TIMER), line:295:49, endln:295:60 |vpiName:IRQ_M_TIMER + |vpiActual: + \_parameter: (riscv::IRQ_M_TIMER), line:288:29, endln:288:44, parent:riscv:: |vpiLhs: \_parameter: (riscv::MIP_MTIP), line:295:33, endln:295:60, parent:riscv:: |vpiParamAssign: @@ -26181,6 +26189,8 @@ design: (work@top) |vpiOperand: \_ref_obj: (IRQ_S_EXT), line:296:49, endln:296:58 |vpiName:IRQ_S_EXT + |vpiActual: + \_parameter: (riscv::IRQ_S_EXT), line:289:29, endln:289:44, parent:riscv:: |vpiLhs: \_parameter: (riscv::MIP_SEIP), line:296:33, endln:296:58, parent:riscv:: |vpiParamAssign: @@ -26197,6 +26207,8 @@ design: (work@top) |vpiOperand: \_ref_obj: (IRQ_M_EXT), line:297:49, endln:297:58 |vpiName:IRQ_M_EXT + |vpiActual: + \_parameter: (riscv::IRQ_M_EXT), line:290:29, endln:290:45, parent:riscv:: |vpiLhs: \_parameter: (riscv::MIP_MEIP), line:297:33, endln:297:58, parent:riscv:: |vpiParamAssign: @@ -26219,6 +26231,8 @@ design: (work@top) |vpiOperand: \_ref_obj: (XLEN), line:299:60, endln:299:64 |vpiName:XLEN + |vpiActual: + \_parameter: (riscv::XLEN), line:15:16, endln:15:25, parent:riscv:: |vpiOperand: \_constant: , line:299:65, endln:299:66 |vpiConstType:9 @@ -26228,6 +26242,8 @@ design: (work@top) |vpiOperand: \_ref_obj: (IRQ_S_SOFT), line:299:71, endln:299:81 |vpiName:IRQ_S_SOFT + |vpiActual: + \_parameter: (riscv::IRQ_S_SOFT), line:285:29, endln:285:44, parent:riscv:: |vpiLhs: \_parameter: (riscv::S_SW_INTERRUPT), line:299:33, endln:299:81, parent:riscv:: |vpiParamAssign: @@ -26250,6 +26266,8 @@ design: (work@top) |vpiOperand: \_ref_obj: (XLEN), line:300:60, endln:300:64 |vpiName:XLEN + |vpiActual: + \_parameter: (riscv::XLEN), line:15:16, endln:15:25, parent:riscv:: |vpiOperand: \_constant: , line:300:65, endln:300:66 |vpiConstType:9 @@ -26259,6 +26277,8 @@ design: (work@top) |vpiOperand: \_ref_obj: (IRQ_M_SOFT), line:300:71, endln:300:81 |vpiName:IRQ_M_SOFT + |vpiActual: + \_parameter: (riscv::IRQ_M_SOFT), line:286:29, endln:286:44, parent:riscv:: |vpiLhs: \_parameter: (riscv::M_SW_INTERRUPT), line:300:33, endln:300:81, parent:riscv:: |vpiParamAssign: @@ -26281,6 +26301,8 @@ design: (work@top) |vpiOperand: \_ref_obj: (XLEN), line:301:60, endln:301:64 |vpiName:XLEN + |vpiActual: + \_parameter: (riscv::XLEN), line:15:16, endln:15:25, parent:riscv:: |vpiOperand: \_constant: , line:301:65, endln:301:66 |vpiConstType:9 @@ -26290,6 +26312,8 @@ design: (work@top) |vpiOperand: \_ref_obj: (IRQ_S_TIMER), line:301:71, endln:301:82 |vpiName:IRQ_S_TIMER + |vpiActual: + \_parameter: (riscv::IRQ_S_TIMER), line:287:29, endln:287:44, parent:riscv:: |vpiLhs: \_parameter: (riscv::S_TIMER_INTERRUPT), line:301:33, endln:301:82, parent:riscv:: |vpiParamAssign: @@ -26312,6 +26336,8 @@ design: (work@top) |vpiOperand: \_ref_obj: (XLEN), line:302:60, endln:302:64 |vpiName:XLEN + |vpiActual: + \_parameter: (riscv::XLEN), line:15:16, endln:15:25, parent:riscv:: |vpiOperand: \_constant: , line:302:65, endln:302:66 |vpiConstType:9 @@ -26321,6 +26347,8 @@ design: (work@top) |vpiOperand: \_ref_obj: (IRQ_M_TIMER), line:302:71, endln:302:82 |vpiName:IRQ_M_TIMER + |vpiActual: + \_parameter: (riscv::IRQ_M_TIMER), line:288:29, endln:288:44, parent:riscv:: |vpiLhs: \_parameter: (riscv::M_TIMER_INTERRUPT), line:302:33, endln:302:82, parent:riscv:: |vpiParamAssign: @@ -26343,6 +26371,8 @@ design: (work@top) |vpiOperand: \_ref_obj: (XLEN), line:303:60, endln:303:64 |vpiName:XLEN + |vpiActual: + \_parameter: (riscv::XLEN), line:15:16, endln:15:25, parent:riscv:: |vpiOperand: \_constant: , line:303:65, endln:303:66 |vpiConstType:9 @@ -26352,6 +26382,8 @@ design: (work@top) |vpiOperand: \_ref_obj: (IRQ_S_EXT), line:303:71, endln:303:80 |vpiName:IRQ_S_EXT + |vpiActual: + \_parameter: (riscv::IRQ_S_EXT), line:289:29, endln:289:44, parent:riscv:: |vpiLhs: \_parameter: (riscv::S_EXT_INTERRUPT), line:303:33, endln:303:80, parent:riscv:: |vpiParamAssign: @@ -26374,6 +26406,8 @@ design: (work@top) |vpiOperand: \_ref_obj: (XLEN), line:304:60, endln:304:64 |vpiName:XLEN + |vpiActual: + \_parameter: (riscv::XLEN), line:15:16, endln:15:25, parent:riscv:: |vpiOperand: \_constant: , line:304:65, endln:304:66 |vpiConstType:9 @@ -26383,6 +26417,8 @@ design: (work@top) |vpiOperand: \_ref_obj: (IRQ_M_EXT), line:304:71, endln:304:80 |vpiName:IRQ_M_EXT + |vpiActual: + \_parameter: (riscv::IRQ_M_EXT), line:290:29, endln:290:45, parent:riscv:: |vpiLhs: \_parameter: (riscv::M_EXT_INTERRUPT), line:304:33, endln:304:80, parent:riscv:: |vpiParamAssign: @@ -26514,6 +26550,8 @@ design: (work@top) |vpiOperand: \_ref_obj: (IS_XLEN64), line:455:71, endln:455:80 |vpiName:IS_XLEN64 + |vpiActual: + \_parameter: (riscv::IS_XLEN64), line:22:16, endln:22:55, parent:riscv:: |vpiOperand: \_constant: , line:455:56, endln:455:68 |vpiConstType:5 @@ -26523,6 +26561,8 @@ design: (work@top) |vpiOperand: \_ref_obj: (IS_XLEN64), line:455:45, endln:455:54 |vpiName:IS_XLEN64 + |vpiActual: + \_parameter: (riscv::IS_XLEN64), line:22:16, endln:22:55, parent:riscv:: |vpiLhs: \_parameter: (riscv::SSTATUS_SD), line:455:29, endln:455:95, parent:riscv:: |vpiParamAssign: @@ -26748,9 +26788,13 @@ design: (work@top) |vpiOperand: \_ref_obj: (IS_XLEN64), line:476:58, endln:476:67 |vpiName:IS_XLEN64 + |vpiActual: + \_parameter: (riscv::IS_XLEN64), line:22:16, endln:22:55, parent:riscv:: |vpiOperand: \_ref_obj: (IS_XLEN64), line:476:69, endln:476:78 |vpiName:IS_XLEN64 + |vpiActual: + \_parameter: (riscv::IS_XLEN64), line:22:16, endln:22:55, parent:riscv:: |vpiOperand: \_constant: , line:476:80, endln:476:92 |vpiConstType:5 @@ -26773,9 +26817,13 @@ design: (work@top) |vpiOperand: \_ref_obj: (IS_XLEN64), line:477:58, endln:477:67 |vpiName:IS_XLEN64 + |vpiActual: + \_parameter: (riscv::IS_XLEN64), line:22:16, endln:22:55, parent:riscv:: |vpiOperand: \_ref_obj: (IS_XLEN64), line:477:69, endln:477:78 |vpiName:IS_XLEN64 + |vpiActual: + \_parameter: (riscv::IS_XLEN64), line:22:16, endln:22:55, parent:riscv:: |vpiOperand: \_constant: , line:477:80, endln:477:92 |vpiConstType:5 @@ -26802,6 +26850,8 @@ design: (work@top) |vpiOperand: \_ref_obj: (IS_XLEN64), line:478:71, endln:478:80 |vpiName:IS_XLEN64 + |vpiActual: + \_parameter: (riscv::IS_XLEN64), line:22:16, endln:22:55, parent:riscv:: |vpiOperand: \_constant: , line:478:56, endln:478:68 |vpiConstType:5 @@ -26811,6 +26861,8 @@ design: (work@top) |vpiOperand: \_ref_obj: (IS_XLEN64), line:478:45, endln:478:54 |vpiName:IS_XLEN64 + |vpiActual: + \_parameter: (riscv::IS_XLEN64), line:22:16, endln:22:55, parent:riscv:: |vpiLhs: \_parameter: (riscv::MSTATUS_SD), line:478:29, endln:478:95, parent:riscv:: |vpiTypedef: @@ -29041,6 +29093,8 @@ design: (work@top) |vpiOperand: \_ref_obj: (XLEN), line:30:20, endln:30:24 |vpiName:XLEN + |vpiActual: + \_parameter: (riscv::XLEN), line:15:16, endln:15:25, parent:riscv:: |vpiOperand: \_constant: , line:30:25, endln:30:26 |vpiConstType:9 @@ -35021,6 +35075,8 @@ design: (work@top) |vpiOperand: \_ref_obj: (RVA), line:857:42, endln:857:45 |vpiName:RVA + |vpiActual: + \_parameter: (ariane_pkg::RVA), line:816:20, endln:816:30, parent:ariane_pkg:: |vpiOperand: \_constant: , line:857:50, endln:857:51 |vpiConstType:9 @@ -38045,6 +38101,17 @@ design: (work@top) \_ref_obj: (fmt_logic_t.NUM_FP_FORMATS), line:1528:20, endln:1528:34 |vpiName:NUM_FP_FORMATS |vpiFullName:fmt_logic_t.NUM_FP_FORMATS + |vpiActual: + \_parameter: (fpnew_pkg::NUM_FP_FORMATS), line:1505:27, endln:1505:45, parent:fpnew_pkg:: + |vpiName:NUM_FP_FORMATS + |vpiFullName:fpnew_pkg::NUM_FP_FORMATS + |vpiLocalParam:1 + |UINT:5 + |vpiTypespec: + \_int_typespec: (NUM_FP_FORMATS), line:1505:14, endln:1505:17, parent:fpnew_pkg::NUM_FP_FORMATS + |vpiName:NUM_FP_FORMATS + |vpiInstance: + \_package: fpnew_pkg (fpnew_pkg::) dut.sv:1485:1: , endln:1955:11, parent:work@top |vpiOperand: \_constant: , line:1528:35, endln:1528:36 |vpiConstType:9 @@ -38068,6 +38135,8 @@ design: (work@top) |vpiOperand: \_ref_obj: (NUM_FP_FORMATS), line:1528:20, endln:1528:34 |vpiName:NUM_FP_FORMATS + |vpiActual: + \_parameter: (fpnew_pkg::NUM_FP_FORMATS), line:1505:27, endln:1505:45, parent:fpnew_pkg:: |vpiOperand: \_constant: , line:1528:35, endln:1528:36 |vpiConstType:9 @@ -38129,15 +38198,6 @@ design: (work@top) |vpiFullName:fpnew_pkg::max_fp_width::NUM_FP_FORMATS |vpiActual: \_parameter: (fpnew_pkg::NUM_FP_FORMATS), line:1505:27, endln:1505:45, parent:fpnew_pkg:: - |vpiName:NUM_FP_FORMATS - |vpiFullName:fpnew_pkg::NUM_FP_FORMATS - |vpiLocalParam:1 - |UINT:5 - |vpiTypespec: - \_int_typespec: (NUM_FP_FORMATS), line:1505:14, endln:1505:17, parent:fpnew_pkg::NUM_FP_FORMATS - |vpiName:NUM_FP_FORMATS - |vpiInstance: - \_package: fpnew_pkg (fpnew_pkg::) dut.sv:1485:1: , endln:1955:11, parent:work@top |vpiStmt: \_if_stmt: , line:1772:7, endln:1773:66, parent:fpnew_pkg::max_fp_width |vpiCondition: @@ -38231,6 +38291,8 @@ design: (work@top) \_ref_obj: (fmt_logic_t.NUM_FP_FORMATS), line:1528:20, endln:1528:34 |vpiName:NUM_FP_FORMATS |vpiFullName:fmt_logic_t.NUM_FP_FORMATS + |vpiActual: + \_parameter: (fpnew_pkg::NUM_FP_FORMATS), line:1505:27, endln:1505:45, parent:fpnew_pkg:: |vpiOperand: \_constant: , line:1528:35, endln:1528:36 |vpiConstType:9 @@ -38543,6 +38605,8 @@ design: (work@top) \_ref_obj: (fmt_logic_t.NUM_FP_FORMATS), line:1528:20, endln:1528:34 |vpiName:NUM_FP_FORMATS |vpiFullName:fmt_logic_t.NUM_FP_FORMATS + |vpiActual: + \_parameter: (fpnew_pkg::NUM_FP_FORMATS), line:1505:27, endln:1505:45, parent:fpnew_pkg:: |vpiOperand: \_constant: , line:1528:35, endln:1528:36 |vpiConstType:9 @@ -38763,6 +38827,17 @@ design: (work@top) \_ref_obj: (ifmt_logic_t.NUM_INT_FORMATS), line:1566:20, endln:1566:35 |vpiName:NUM_INT_FORMATS |vpiFullName:ifmt_logic_t.NUM_INT_FORMATS + |vpiActual: + \_parameter: (fpnew_pkg::NUM_INT_FORMATS), line:1544:27, endln:1544:46, parent:fpnew_pkg:: + |vpiName:NUM_INT_FORMATS + |vpiFullName:fpnew_pkg::NUM_INT_FORMATS + |vpiLocalParam:1 + |UINT:4 + |vpiTypespec: + \_int_typespec: (NUM_INT_FORMATS), line:1544:14, endln:1544:17, parent:fpnew_pkg::NUM_INT_FORMATS + |vpiName:NUM_INT_FORMATS + |vpiInstance: + \_package: fpnew_pkg (fpnew_pkg::) dut.sv:1485:1: , endln:1955:11, parent:work@top |vpiOperand: \_constant: , line:1566:36, endln:1566:37 |vpiConstType:9 @@ -38786,6 +38861,8 @@ design: (work@top) |vpiOperand: \_ref_obj: (NUM_INT_FORMATS), line:1566:20, endln:1566:35 |vpiName:NUM_INT_FORMATS + |vpiActual: + \_parameter: (fpnew_pkg::NUM_INT_FORMATS), line:1544:27, endln:1544:46, parent:fpnew_pkg:: |vpiOperand: \_constant: , line:1566:36, endln:1566:37 |vpiConstType:9 @@ -38847,15 +38924,6 @@ design: (work@top) |vpiFullName:fpnew_pkg::max_int_width::NUM_INT_FORMATS |vpiActual: \_parameter: (fpnew_pkg::NUM_INT_FORMATS), line:1544:27, endln:1544:46, parent:fpnew_pkg:: - |vpiName:NUM_INT_FORMATS - |vpiFullName:fpnew_pkg::NUM_INT_FORMATS - |vpiLocalParam:1 - |UINT:4 - |vpiTypespec: - \_int_typespec: (NUM_INT_FORMATS), line:1544:14, endln:1544:17, parent:fpnew_pkg::NUM_INT_FORMATS - |vpiName:NUM_INT_FORMATS - |vpiInstance: - \_package: fpnew_pkg (fpnew_pkg::) dut.sv:1485:1: , endln:1955:11, parent:work@top |vpiStmt: \_begin: (fpnew_pkg::max_int_width), line:1818:56, endln:1820:8, parent:fpnew_pkg::max_int_width |vpiFullName:fpnew_pkg::max_int_width @@ -39404,6 +39472,8 @@ design: (work@top) \_ref_obj: (fmt_logic_t.NUM_FP_FORMATS), line:1528:20, endln:1528:34 |vpiName:NUM_FP_FORMATS |vpiFullName:fmt_logic_t.NUM_FP_FORMATS + |vpiActual: + \_parameter: (fpnew_pkg::NUM_FP_FORMATS), line:1505:27, endln:1505:45, parent:fpnew_pkg:: |vpiOperand: \_constant: , line:1528:35, endln:1528:36 |vpiConstType:9 @@ -39501,6 +39571,8 @@ design: (work@top) \_ref_obj: (fmt_logic_t.NUM_FP_FORMATS), line:1528:20, endln:1528:34 |vpiName:NUM_FP_FORMATS |vpiFullName:fmt_logic_t.NUM_FP_FORMATS + |vpiActual: + \_parameter: (fpnew_pkg::NUM_FP_FORMATS), line:1505:27, endln:1505:45, parent:fpnew_pkg:: |vpiOperand: \_constant: , line:1528:35, endln:1528:36 |vpiConstType:9 @@ -39669,6 +39741,8 @@ design: (work@top) \_ref_obj: (fmt_logic_t.NUM_FP_FORMATS), line:1528:20, endln:1528:34 |vpiName:NUM_FP_FORMATS |vpiFullName:fmt_logic_t.NUM_FP_FORMATS + |vpiActual: + \_parameter: (fpnew_pkg::NUM_FP_FORMATS), line:1505:27, endln:1505:45, parent:fpnew_pkg:: |vpiOperand: \_constant: , line:1528:35, endln:1528:36 |vpiConstType:9 @@ -39701,6 +39775,8 @@ design: (work@top) \_ref_obj: (ifmt_logic_t.NUM_INT_FORMATS), line:1566:20, endln:1566:35 |vpiName:NUM_INT_FORMATS |vpiFullName:ifmt_logic_t.NUM_INT_FORMATS + |vpiActual: + \_parameter: (fpnew_pkg::NUM_INT_FORMATS), line:1544:27, endln:1544:46, parent:fpnew_pkg:: |vpiOperand: \_constant: , line:1566:36, endln:1566:37 |vpiConstType:9 @@ -39969,6 +40045,8 @@ design: (work@top) \_ref_obj: (fmt_logic_t.NUM_FP_FORMATS), line:1528:20, endln:1528:34 |vpiName:NUM_FP_FORMATS |vpiFullName:fmt_logic_t.NUM_FP_FORMATS + |vpiActual: + \_parameter: (fpnew_pkg::NUM_FP_FORMATS), line:1505:27, endln:1505:45, parent:fpnew_pkg:: |vpiOperand: \_constant: , line:1528:35, endln:1528:36 |vpiConstType:9 @@ -40166,6 +40244,8 @@ design: (work@top) \_ref_obj: (fmt_logic_t.NUM_FP_FORMATS), line:1528:20, endln:1528:34 |vpiName:NUM_FP_FORMATS |vpiFullName:fmt_logic_t.NUM_FP_FORMATS + |vpiActual: + \_parameter: (fpnew_pkg::NUM_FP_FORMATS), line:1505:27, endln:1505:45, parent:fpnew_pkg:: |vpiOperand: \_constant: , line:1528:35, endln:1528:36 |vpiConstType:9 @@ -40198,6 +40278,8 @@ design: (work@top) \_ref_obj: (ifmt_logic_t.NUM_INT_FORMATS), line:1566:20, endln:1566:35 |vpiName:NUM_INT_FORMATS |vpiFullName:ifmt_logic_t.NUM_INT_FORMATS + |vpiActual: + \_parameter: (fpnew_pkg::NUM_INT_FORMATS), line:1544:27, endln:1544:46, parent:fpnew_pkg:: |vpiOperand: \_constant: , line:1566:36, endln:1566:37 |vpiConstType:9 @@ -40580,6 +40662,8 @@ design: (work@top) \_ref_obj: (fmt_logic_t.NUM_FP_FORMATS), line:1528:20, endln:1528:34 |vpiName:NUM_FP_FORMATS |vpiFullName:fmt_logic_t.NUM_FP_FORMATS + |vpiActual: + \_parameter: (fpnew_pkg::NUM_FP_FORMATS), line:1505:27, endln:1505:45, parent:fpnew_pkg:: |vpiOperand: \_constant: , line:1528:35, endln:1528:36 |vpiConstType:9 @@ -40716,6 +40800,8 @@ design: (work@top) \_ref_obj: (fmt_logic_t.NUM_FP_FORMATS), line:1528:20, endln:1528:34 |vpiName:NUM_FP_FORMATS |vpiFullName:fmt_logic_t.NUM_FP_FORMATS + |vpiActual: + \_parameter: (fpnew_pkg::NUM_FP_FORMATS), line:1505:27, endln:1505:45, parent:fpnew_pkg:: |vpiOperand: \_constant: , line:1528:35, endln:1528:36 |vpiConstType:9 @@ -40865,6 +40951,8 @@ design: (work@top) \_ref_obj: (fmt_logic_t.NUM_FP_FORMATS), line:1528:20, endln:1528:34 |vpiName:NUM_FP_FORMATS |vpiFullName:fmt_logic_t.NUM_FP_FORMATS + |vpiActual: + \_parameter: (fpnew_pkg::NUM_FP_FORMATS), line:1505:27, endln:1505:45, parent:fpnew_pkg:: |vpiOperand: \_constant: , line:1528:35, endln:1528:36 |vpiConstType:9 @@ -40997,6 +41085,8 @@ design: (work@top) \_ref_obj: (fmt_unsigned_t.NUM_FP_FORMATS), line:1529:20, endln:1529:34 |vpiName:NUM_FP_FORMATS |vpiFullName:fmt_unsigned_t.NUM_FP_FORMATS + |vpiActual: + \_parameter: (fpnew_pkg::NUM_FP_FORMATS), line:1505:27, endln:1505:45, parent:fpnew_pkg:: |vpiOperand: \_constant: , line:1529:35, endln:1529:36 |vpiConstType:9 @@ -41034,6 +41124,8 @@ design: (work@top) |vpiOperand: \_ref_obj: (NUM_FP_FORMATS), line:1529:20, endln:1529:34 |vpiName:NUM_FP_FORMATS + |vpiActual: + \_parameter: (fpnew_pkg::NUM_FP_FORMATS), line:1505:27, endln:1505:45, parent:fpnew_pkg:: |vpiOperand: \_constant: , line:1529:35, endln:1529:36 |vpiConstType:9 @@ -41086,6 +41178,8 @@ design: (work@top) \_ref_obj: (fmt_logic_t.NUM_FP_FORMATS), line:1528:20, endln:1528:34 |vpiName:NUM_FP_FORMATS |vpiFullName:fmt_logic_t.NUM_FP_FORMATS + |vpiActual: + \_parameter: (fpnew_pkg::NUM_FP_FORMATS), line:1505:27, endln:1505:45, parent:fpnew_pkg:: |vpiOperand: \_constant: , line:1528:35, endln:1528:36 |vpiConstType:9 @@ -41285,6 +41379,8 @@ design: (work@top) \_ref_obj: (fpnew_pkg::CPK_FORMATS::fmt_logic_t::NUM_FP_FORMATS), line:1528:20, endln:1528:34 |vpiName:NUM_FP_FORMATS |vpiFullName:fpnew_pkg::CPK_FORMATS::fmt_logic_t::NUM_FP_FORMATS + |vpiActual: + \_parameter: (fpnew_pkg::NUM_FP_FORMATS), line:1505:27, endln:1505:45, parent:fpnew_pkg:: |vpiOperand: \_constant: , line:1528:35, endln:1528:36 |vpiConstType:9 @@ -41381,6 +41477,8 @@ design: (work@top) \_ref_obj: (fmt_logic_t.NUM_FP_FORMATS), line:1528:20, endln:1528:34 |vpiName:NUM_FP_FORMATS |vpiFullName:fmt_logic_t.NUM_FP_FORMATS + |vpiActual: + \_parameter: (fpnew_pkg::NUM_FP_FORMATS), line:1505:27, endln:1505:45, parent:fpnew_pkg:: |vpiOperand: \_constant: , line:1528:35, endln:1528:36 |vpiConstType:9 @@ -41412,6 +41510,8 @@ design: (work@top) \_ref_obj: (ifmt_logic_t.NUM_INT_FORMATS), line:1566:20, endln:1566:35 |vpiName:NUM_INT_FORMATS |vpiFullName:ifmt_logic_t.NUM_INT_FORMATS + |vpiActual: + \_parameter: (fpnew_pkg::NUM_INT_FORMATS), line:1544:27, endln:1544:46, parent:fpnew_pkg:: |vpiOperand: \_constant: , line:1566:36, endln:1566:37 |vpiConstType:9 @@ -41494,6 +41594,8 @@ design: (work@top) \_ref_obj: (fmt_unsigned_t.NUM_FP_FORMATS), line:1529:20, endln:1529:34 |vpiName:NUM_FP_FORMATS |vpiFullName:fmt_unsigned_t.NUM_FP_FORMATS + |vpiActual: + \_parameter: (fpnew_pkg::NUM_FP_FORMATS), line:1505:27, endln:1505:45, parent:fpnew_pkg:: |vpiOperand: \_constant: , line:1529:35, endln:1529:36 |vpiConstType:9 @@ -41532,6 +41634,8 @@ design: (work@top) \_ref_obj: (fmt_unsigned_t.fmt_unsigned_t.NUM_FP_FORMATS), line:1529:20, endln:1529:34 |vpiName:NUM_FP_FORMATS |vpiFullName:fmt_unsigned_t.fmt_unsigned_t.NUM_FP_FORMATS + |vpiActual: + \_parameter: (fpnew_pkg::NUM_FP_FORMATS), line:1505:27, endln:1505:45, parent:fpnew_pkg:: |vpiOperand: \_constant: , line:1529:35, endln:1529:36 |vpiConstType:9 @@ -41590,6 +41694,8 @@ design: (work@top) \_ref_obj: (fmt_unsigned_t.NUM_FP_FORMATS), line:1529:20, endln:1529:34 |vpiName:NUM_FP_FORMATS |vpiFullName:fmt_unsigned_t.NUM_FP_FORMATS + |vpiActual: + \_parameter: (fpnew_pkg::NUM_FP_FORMATS), line:1505:27, endln:1505:45, parent:fpnew_pkg:: |vpiOperand: \_constant: , line:1529:35, endln:1529:36 |vpiConstType:9 @@ -47575,6 +47681,12 @@ design: (work@top) |vpiOperand: \_ref_obj: (XLEN), line:30:20, endln:30:24 |vpiName:XLEN + |vpiActual: + \_parameter: (riscv::XLEN), line:15:16, endln:15:25, parent:riscv:: + |vpiName:XLEN + |vpiFullName:riscv::XLEN + |vpiLocalParam:1 + |UINT:64 |vpiOperand: \_constant: , line:30:25, endln:30:26 |vpiConstType:9 @@ -50595,10 +50707,6 @@ design: (work@top) \_string_var: (riscv::spikeCommitLog::rf_s), line:640:19, endln:640:25, parent:riscv::spikeCommitLog |vpiParameter: \_parameter: (riscv::XLEN), line:15:16, endln:15:25, parent:riscv:: - |vpiName:XLEN - |vpiFullName:riscv::XLEN - |vpiLocalParam:1 - |UINT:64 |vpiParameter: \_parameter: (riscv::VLEN), line:19:16, endln:19:51, parent:riscv:: |vpiName:VLEN @@ -53526,6 +53634,8 @@ design: (work@top) |vpiOperand: \_ref_obj: (IRQ_S_SOFT), line:292:49, endln:292:59 |vpiName:IRQ_S_SOFT + |vpiActual: + \_parameter: (riscv::IRQ_S_SOFT), line:285:29, endln:285:44, parent:riscv:: |vpiLhs: \_parameter: (riscv::MIP_SSIP), line:292:33, endln:292:59, parent:riscv:: |vpiParamAssign: @@ -53542,6 +53652,8 @@ design: (work@top) |vpiOperand: \_ref_obj: (IRQ_M_SOFT), line:293:49, endln:293:59 |vpiName:IRQ_M_SOFT + |vpiActual: + \_parameter: (riscv::IRQ_M_SOFT), line:286:29, endln:286:44, parent:riscv:: |vpiLhs: \_parameter: (riscv::MIP_MSIP), line:293:33, endln:293:59, parent:riscv:: |vpiParamAssign: @@ -53558,6 +53670,8 @@ design: (work@top) |vpiOperand: \_ref_obj: (IRQ_S_TIMER), line:294:49, endln:294:60 |vpiName:IRQ_S_TIMER + |vpiActual: + \_parameter: (riscv::IRQ_S_TIMER), line:287:29, endln:287:44, parent:riscv:: |vpiLhs: \_parameter: (riscv::MIP_STIP), line:294:33, endln:294:60, parent:riscv:: |vpiParamAssign: @@ -53574,6 +53688,8 @@ design: (work@top) |vpiOperand: \_ref_obj: (IRQ_M_TIMER), line:295:49, endln:295:60 |vpiName:IRQ_M_TIMER + |vpiActual: + \_parameter: (riscv::IRQ_M_TIMER), line:288:29, endln:288:44, parent:riscv:: |vpiLhs: \_parameter: (riscv::MIP_MTIP), line:295:33, endln:295:60, parent:riscv:: |vpiParamAssign: @@ -53590,6 +53706,8 @@ design: (work@top) |vpiOperand: \_ref_obj: (IRQ_S_EXT), line:296:49, endln:296:58 |vpiName:IRQ_S_EXT + |vpiActual: + \_parameter: (riscv::IRQ_S_EXT), line:289:29, endln:289:44, parent:riscv:: |vpiLhs: \_parameter: (riscv::MIP_SEIP), line:296:33, endln:296:58, parent:riscv:: |vpiParamAssign: @@ -53606,6 +53724,8 @@ design: (work@top) |vpiOperand: \_ref_obj: (IRQ_M_EXT), line:297:49, endln:297:58 |vpiName:IRQ_M_EXT + |vpiActual: + \_parameter: (riscv::IRQ_M_EXT), line:290:29, endln:290:45, parent:riscv:: |vpiLhs: \_parameter: (riscv::MIP_MEIP), line:297:33, endln:297:58, parent:riscv:: |vpiParamAssign: @@ -53628,6 +53748,8 @@ design: (work@top) |vpiOperand: \_ref_obj: (XLEN), line:299:60, endln:299:64 |vpiName:XLEN + |vpiActual: + \_parameter: (riscv::XLEN), line:15:16, endln:15:25, parent:riscv:: |vpiOperand: \_constant: , line:299:65, endln:299:66 |vpiConstType:9 @@ -53637,6 +53759,8 @@ design: (work@top) |vpiOperand: \_ref_obj: (IRQ_S_SOFT), line:299:71, endln:299:81 |vpiName:IRQ_S_SOFT + |vpiActual: + \_parameter: (riscv::IRQ_S_SOFT), line:285:29, endln:285:44, parent:riscv:: |vpiLhs: \_parameter: (riscv::S_SW_INTERRUPT), line:299:33, endln:299:81, parent:riscv:: |vpiParamAssign: @@ -53659,6 +53783,8 @@ design: (work@top) |vpiOperand: \_ref_obj: (XLEN), line:300:60, endln:300:64 |vpiName:XLEN + |vpiActual: + \_parameter: (riscv::XLEN), line:15:16, endln:15:25, parent:riscv:: |vpiOperand: \_constant: , line:300:65, endln:300:66 |vpiConstType:9 @@ -53668,6 +53794,8 @@ design: (work@top) |vpiOperand: \_ref_obj: (IRQ_M_SOFT), line:300:71, endln:300:81 |vpiName:IRQ_M_SOFT + |vpiActual: + \_parameter: (riscv::IRQ_M_SOFT), line:286:29, endln:286:44, parent:riscv:: |vpiLhs: \_parameter: (riscv::M_SW_INTERRUPT), line:300:33, endln:300:81, parent:riscv:: |vpiParamAssign: @@ -53690,6 +53818,8 @@ design: (work@top) |vpiOperand: \_ref_obj: (XLEN), line:301:60, endln:301:64 |vpiName:XLEN + |vpiActual: + \_parameter: (riscv::XLEN), line:15:16, endln:15:25, parent:riscv:: |vpiOperand: \_constant: , line:301:65, endln:301:66 |vpiConstType:9 @@ -53699,6 +53829,8 @@ design: (work@top) |vpiOperand: \_ref_obj: (IRQ_S_TIMER), line:301:71, endln:301:82 |vpiName:IRQ_S_TIMER + |vpiActual: + \_parameter: (riscv::IRQ_S_TIMER), line:287:29, endln:287:44, parent:riscv:: |vpiLhs: \_parameter: (riscv::S_TIMER_INTERRUPT), line:301:33, endln:301:82, parent:riscv:: |vpiParamAssign: @@ -53721,6 +53853,8 @@ design: (work@top) |vpiOperand: \_ref_obj: (XLEN), line:302:60, endln:302:64 |vpiName:XLEN + |vpiActual: + \_parameter: (riscv::XLEN), line:15:16, endln:15:25, parent:riscv:: |vpiOperand: \_constant: , line:302:65, endln:302:66 |vpiConstType:9 @@ -53730,6 +53864,8 @@ design: (work@top) |vpiOperand: \_ref_obj: (IRQ_M_TIMER), line:302:71, endln:302:82 |vpiName:IRQ_M_TIMER + |vpiActual: + \_parameter: (riscv::IRQ_M_TIMER), line:288:29, endln:288:44, parent:riscv:: |vpiLhs: \_parameter: (riscv::M_TIMER_INTERRUPT), line:302:33, endln:302:82, parent:riscv:: |vpiParamAssign: @@ -53752,6 +53888,8 @@ design: (work@top) |vpiOperand: \_ref_obj: (XLEN), line:303:60, endln:303:64 |vpiName:XLEN + |vpiActual: + \_parameter: (riscv::XLEN), line:15:16, endln:15:25, parent:riscv:: |vpiOperand: \_constant: , line:303:65, endln:303:66 |vpiConstType:9 @@ -53761,6 +53899,8 @@ design: (work@top) |vpiOperand: \_ref_obj: (IRQ_S_EXT), line:303:71, endln:303:80 |vpiName:IRQ_S_EXT + |vpiActual: + \_parameter: (riscv::IRQ_S_EXT), line:289:29, endln:289:44, parent:riscv:: |vpiLhs: \_parameter: (riscv::S_EXT_INTERRUPT), line:303:33, endln:303:80, parent:riscv:: |vpiParamAssign: @@ -53783,6 +53923,8 @@ design: (work@top) |vpiOperand: \_ref_obj: (XLEN), line:304:60, endln:304:64 |vpiName:XLEN + |vpiActual: + \_parameter: (riscv::XLEN), line:15:16, endln:15:25, parent:riscv:: |vpiOperand: \_constant: , line:304:65, endln:304:66 |vpiConstType:9 @@ -53792,6 +53934,8 @@ design: (work@top) |vpiOperand: \_ref_obj: (IRQ_M_EXT), line:304:71, endln:304:80 |vpiName:IRQ_M_EXT + |vpiActual: + \_parameter: (riscv::IRQ_M_EXT), line:290:29, endln:290:45, parent:riscv:: |vpiLhs: \_parameter: (riscv::M_EXT_INTERRUPT), line:304:33, endln:304:80, parent:riscv:: |vpiParamAssign: @@ -53923,6 +54067,8 @@ design: (work@top) |vpiOperand: \_ref_obj: (IS_XLEN64), line:455:71, endln:455:80 |vpiName:IS_XLEN64 + |vpiActual: + \_parameter: (riscv::IS_XLEN64), line:22:16, endln:22:55, parent:riscv:: |vpiOperand: \_constant: , line:455:56, endln:455:68 |vpiConstType:5 @@ -53932,6 +54078,8 @@ design: (work@top) |vpiOperand: \_ref_obj: (IS_XLEN64), line:455:45, endln:455:54 |vpiName:IS_XLEN64 + |vpiActual: + \_parameter: (riscv::IS_XLEN64), line:22:16, endln:22:55, parent:riscv:: |vpiLhs: \_parameter: (riscv::SSTATUS_SD), line:455:29, endln:455:95, parent:riscv:: |vpiParamAssign: @@ -54157,9 +54305,13 @@ design: (work@top) |vpiOperand: \_ref_obj: (IS_XLEN64), line:476:58, endln:476:67 |vpiName:IS_XLEN64 + |vpiActual: + \_parameter: (riscv::IS_XLEN64), line:22:16, endln:22:55, parent:riscv:: |vpiOperand: \_ref_obj: (IS_XLEN64), line:476:69, endln:476:78 |vpiName:IS_XLEN64 + |vpiActual: + \_parameter: (riscv::IS_XLEN64), line:22:16, endln:22:55, parent:riscv:: |vpiOperand: \_constant: , line:476:80, endln:476:92 |vpiConstType:5 @@ -54182,9 +54334,13 @@ design: (work@top) |vpiOperand: \_ref_obj: (IS_XLEN64), line:477:58, endln:477:67 |vpiName:IS_XLEN64 + |vpiActual: + \_parameter: (riscv::IS_XLEN64), line:22:16, endln:22:55, parent:riscv:: |vpiOperand: \_ref_obj: (IS_XLEN64), line:477:69, endln:477:78 |vpiName:IS_XLEN64 + |vpiActual: + \_parameter: (riscv::IS_XLEN64), line:22:16, endln:22:55, parent:riscv:: |vpiOperand: \_constant: , line:477:80, endln:477:92 |vpiConstType:5 @@ -54211,6 +54367,8 @@ design: (work@top) |vpiOperand: \_ref_obj: (IS_XLEN64), line:478:71, endln:478:80 |vpiName:IS_XLEN64 + |vpiActual: + \_parameter: (riscv::IS_XLEN64), line:22:16, endln:22:55, parent:riscv:: |vpiOperand: \_constant: , line:478:56, endln:478:68 |vpiConstType:5 @@ -54220,6 +54378,8 @@ design: (work@top) |vpiOperand: \_ref_obj: (IS_XLEN64), line:478:45, endln:478:54 |vpiName:IS_XLEN64 + |vpiActual: + \_parameter: (riscv::IS_XLEN64), line:22:16, endln:22:55, parent:riscv:: |vpiLhs: \_parameter: (riscv::MSTATUS_SD), line:478:29, endln:478:95, parent:riscv:: |vpiTypedef: @@ -57376,6 +57536,8 @@ design: (work@top) |vpiOperand: \_ref_obj: (RVA), line:857:42, endln:857:45 |vpiName:RVA + |vpiActual: + \_parameter: (ariane_pkg::RVA), line:816:20, endln:816:30, parent:ariane_pkg:: |vpiOperand: \_constant: , line:857:50, endln:857:51 |vpiConstType:9 @@ -71226,6 +71388,17 @@ design: (work@top) \_ref_obj: (fmt_logic_t.NUM_FP_FORMATS), line:1528:20, endln:1528:34 |vpiName:NUM_FP_FORMATS |vpiFullName:fmt_logic_t.NUM_FP_FORMATS + |vpiActual: + \_parameter: (fpnew_pkg::NUM_FP_FORMATS), line:1505:27, endln:1505:45, parent:fpnew_pkg:: + |vpiName:NUM_FP_FORMATS + |vpiFullName:fpnew_pkg::NUM_FP_FORMATS + |vpiLocalParam:1 + |UINT:5 + |vpiTypespec: + \_int_typespec: (NUM_FP_FORMATS), line:1505:14, endln:1505:17, parent:fpnew_pkg::NUM_FP_FORMATS + |vpiName:NUM_FP_FORMATS + |vpiInstance: + \_package: fpnew_pkg (fpnew_pkg::) dut.sv:1485:1: , endln:1955:11, parent:work@top |vpiOperand: \_constant: , line:1528:35, endln:1528:36 |vpiConstType:9 @@ -71249,6 +71422,8 @@ design: (work@top) |vpiOperand: \_ref_obj: (NUM_FP_FORMATS), line:1528:20, endln:1528:34 |vpiName:NUM_FP_FORMATS + |vpiActual: + \_parameter: (fpnew_pkg::NUM_FP_FORMATS), line:1505:27, endln:1505:45, parent:fpnew_pkg:: |vpiOperand: \_constant: , line:1528:35, endln:1528:36 |vpiConstType:9 @@ -71310,15 +71485,6 @@ design: (work@top) |vpiFullName:fpnew_pkg::max_fp_width::NUM_FP_FORMATS |vpiActual: \_parameter: (fpnew_pkg::NUM_FP_FORMATS), line:1505:27, endln:1505:45, parent:fpnew_pkg:: - |vpiName:NUM_FP_FORMATS - |vpiFullName:fpnew_pkg::NUM_FP_FORMATS - |vpiLocalParam:1 - |UINT:5 - |vpiTypespec: - \_int_typespec: (NUM_FP_FORMATS), line:1505:14, endln:1505:17, parent:fpnew_pkg::NUM_FP_FORMATS - |vpiName:NUM_FP_FORMATS - |vpiInstance: - \_package: fpnew_pkg (fpnew_pkg::) dut.sv:1485:1: , endln:1955:11, parent:work@top |vpiStmt: \_if_stmt: , line:1772:7, endln:1773:66, parent:fpnew_pkg::max_fp_width |vpiCondition: @@ -71412,6 +71578,8 @@ design: (work@top) \_ref_obj: (fmt_logic_t.NUM_FP_FORMATS), line:1528:20, endln:1528:34 |vpiName:NUM_FP_FORMATS |vpiFullName:fmt_logic_t.NUM_FP_FORMATS + |vpiActual: + \_parameter: (fpnew_pkg::NUM_FP_FORMATS), line:1505:27, endln:1505:45, parent:fpnew_pkg:: |vpiOperand: \_constant: , line:1528:35, endln:1528:36 |vpiConstType:9 @@ -71724,6 +71892,8 @@ design: (work@top) \_ref_obj: (fmt_logic_t.NUM_FP_FORMATS), line:1528:20, endln:1528:34 |vpiName:NUM_FP_FORMATS |vpiFullName:fmt_logic_t.NUM_FP_FORMATS + |vpiActual: + \_parameter: (fpnew_pkg::NUM_FP_FORMATS), line:1505:27, endln:1505:45, parent:fpnew_pkg:: |vpiOperand: \_constant: , line:1528:35, endln:1528:36 |vpiConstType:9 @@ -71944,6 +72114,17 @@ design: (work@top) \_ref_obj: (ifmt_logic_t.NUM_INT_FORMATS), line:1566:20, endln:1566:35 |vpiName:NUM_INT_FORMATS |vpiFullName:ifmt_logic_t.NUM_INT_FORMATS + |vpiActual: + \_parameter: (fpnew_pkg::NUM_INT_FORMATS), line:1544:27, endln:1544:46, parent:fpnew_pkg:: + |vpiName:NUM_INT_FORMATS + |vpiFullName:fpnew_pkg::NUM_INT_FORMATS + |vpiLocalParam:1 + |UINT:4 + |vpiTypespec: + \_int_typespec: (NUM_INT_FORMATS), line:1544:14, endln:1544:17, parent:fpnew_pkg::NUM_INT_FORMATS + |vpiName:NUM_INT_FORMATS + |vpiInstance: + \_package: fpnew_pkg (fpnew_pkg::) dut.sv:1485:1: , endln:1955:11, parent:work@top |vpiOperand: \_constant: , line:1566:36, endln:1566:37 |vpiConstType:9 @@ -71967,6 +72148,8 @@ design: (work@top) |vpiOperand: \_ref_obj: (NUM_INT_FORMATS), line:1566:20, endln:1566:35 |vpiName:NUM_INT_FORMATS + |vpiActual: + \_parameter: (fpnew_pkg::NUM_INT_FORMATS), line:1544:27, endln:1544:46, parent:fpnew_pkg:: |vpiOperand: \_constant: , line:1566:36, endln:1566:37 |vpiConstType:9 @@ -72028,15 +72211,6 @@ design: (work@top) |vpiFullName:fpnew_pkg::max_int_width::NUM_INT_FORMATS |vpiActual: \_parameter: (fpnew_pkg::NUM_INT_FORMATS), line:1544:27, endln:1544:46, parent:fpnew_pkg:: - |vpiName:NUM_INT_FORMATS - |vpiFullName:fpnew_pkg::NUM_INT_FORMATS - |vpiLocalParam:1 - |UINT:4 - |vpiTypespec: - \_int_typespec: (NUM_INT_FORMATS), line:1544:14, endln:1544:17, parent:fpnew_pkg::NUM_INT_FORMATS - |vpiName:NUM_INT_FORMATS - |vpiInstance: - \_package: fpnew_pkg (fpnew_pkg::) dut.sv:1485:1: , endln:1955:11, parent:work@top |vpiStmt: \_begin: (fpnew_pkg::max_int_width), line:1818:56, endln:1820:8, parent:fpnew_pkg::max_int_width |vpiFullName:fpnew_pkg::max_int_width @@ -72585,6 +72759,8 @@ design: (work@top) \_ref_obj: (fmt_logic_t.NUM_FP_FORMATS), line:1528:20, endln:1528:34 |vpiName:NUM_FP_FORMATS |vpiFullName:fmt_logic_t.NUM_FP_FORMATS + |vpiActual: + \_parameter: (fpnew_pkg::NUM_FP_FORMATS), line:1505:27, endln:1505:45, parent:fpnew_pkg:: |vpiOperand: \_constant: , line:1528:35, endln:1528:36 |vpiConstType:9 @@ -72682,6 +72858,8 @@ design: (work@top) \_ref_obj: (fmt_logic_t.NUM_FP_FORMATS), line:1528:20, endln:1528:34 |vpiName:NUM_FP_FORMATS |vpiFullName:fmt_logic_t.NUM_FP_FORMATS + |vpiActual: + \_parameter: (fpnew_pkg::NUM_FP_FORMATS), line:1505:27, endln:1505:45, parent:fpnew_pkg:: |vpiOperand: \_constant: , line:1528:35, endln:1528:36 |vpiConstType:9 @@ -72850,6 +73028,8 @@ design: (work@top) \_ref_obj: (fmt_logic_t.NUM_FP_FORMATS), line:1528:20, endln:1528:34 |vpiName:NUM_FP_FORMATS |vpiFullName:fmt_logic_t.NUM_FP_FORMATS + |vpiActual: + \_parameter: (fpnew_pkg::NUM_FP_FORMATS), line:1505:27, endln:1505:45, parent:fpnew_pkg:: |vpiOperand: \_constant: , line:1528:35, endln:1528:36 |vpiConstType:9 @@ -72882,6 +73062,8 @@ design: (work@top) \_ref_obj: (ifmt_logic_t.NUM_INT_FORMATS), line:1566:20, endln:1566:35 |vpiName:NUM_INT_FORMATS |vpiFullName:ifmt_logic_t.NUM_INT_FORMATS + |vpiActual: + \_parameter: (fpnew_pkg::NUM_INT_FORMATS), line:1544:27, endln:1544:46, parent:fpnew_pkg:: |vpiOperand: \_constant: , line:1566:36, endln:1566:37 |vpiConstType:9 @@ -73150,6 +73332,8 @@ design: (work@top) \_ref_obj: (fmt_logic_t.NUM_FP_FORMATS), line:1528:20, endln:1528:34 |vpiName:NUM_FP_FORMATS |vpiFullName:fmt_logic_t.NUM_FP_FORMATS + |vpiActual: + \_parameter: (fpnew_pkg::NUM_FP_FORMATS), line:1505:27, endln:1505:45, parent:fpnew_pkg:: |vpiOperand: \_constant: , line:1528:35, endln:1528:36 |vpiConstType:9 @@ -73347,6 +73531,8 @@ design: (work@top) \_ref_obj: (fmt_logic_t.NUM_FP_FORMATS), line:1528:20, endln:1528:34 |vpiName:NUM_FP_FORMATS |vpiFullName:fmt_logic_t.NUM_FP_FORMATS + |vpiActual: + \_parameter: (fpnew_pkg::NUM_FP_FORMATS), line:1505:27, endln:1505:45, parent:fpnew_pkg:: |vpiOperand: \_constant: , line:1528:35, endln:1528:36 |vpiConstType:9 @@ -73379,6 +73565,8 @@ design: (work@top) \_ref_obj: (ifmt_logic_t.NUM_INT_FORMATS), line:1566:20, endln:1566:35 |vpiName:NUM_INT_FORMATS |vpiFullName:ifmt_logic_t.NUM_INT_FORMATS + |vpiActual: + \_parameter: (fpnew_pkg::NUM_INT_FORMATS), line:1544:27, endln:1544:46, parent:fpnew_pkg:: |vpiOperand: \_constant: , line:1566:36, endln:1566:37 |vpiConstType:9 @@ -73761,6 +73949,8 @@ design: (work@top) \_ref_obj: (fmt_logic_t.NUM_FP_FORMATS), line:1528:20, endln:1528:34 |vpiName:NUM_FP_FORMATS |vpiFullName:fmt_logic_t.NUM_FP_FORMATS + |vpiActual: + \_parameter: (fpnew_pkg::NUM_FP_FORMATS), line:1505:27, endln:1505:45, parent:fpnew_pkg:: |vpiOperand: \_constant: , line:1528:35, endln:1528:36 |vpiConstType:9 @@ -73897,6 +74087,8 @@ design: (work@top) \_ref_obj: (fmt_logic_t.NUM_FP_FORMATS), line:1528:20, endln:1528:34 |vpiName:NUM_FP_FORMATS |vpiFullName:fmt_logic_t.NUM_FP_FORMATS + |vpiActual: + \_parameter: (fpnew_pkg::NUM_FP_FORMATS), line:1505:27, endln:1505:45, parent:fpnew_pkg:: |vpiOperand: \_constant: , line:1528:35, endln:1528:36 |vpiConstType:9 @@ -74046,6 +74238,8 @@ design: (work@top) \_ref_obj: (fmt_logic_t.NUM_FP_FORMATS), line:1528:20, endln:1528:34 |vpiName:NUM_FP_FORMATS |vpiFullName:fmt_logic_t.NUM_FP_FORMATS + |vpiActual: + \_parameter: (fpnew_pkg::NUM_FP_FORMATS), line:1505:27, endln:1505:45, parent:fpnew_pkg:: |vpiOperand: \_constant: , line:1528:35, endln:1528:36 |vpiConstType:9 @@ -74178,6 +74372,8 @@ design: (work@top) \_ref_obj: (fmt_unsigned_t.NUM_FP_FORMATS), line:1529:20, endln:1529:34 |vpiName:NUM_FP_FORMATS |vpiFullName:fmt_unsigned_t.NUM_FP_FORMATS + |vpiActual: + \_parameter: (fpnew_pkg::NUM_FP_FORMATS), line:1505:27, endln:1505:45, parent:fpnew_pkg:: |vpiOperand: \_constant: , line:1529:35, endln:1529:36 |vpiConstType:9 @@ -74215,6 +74411,8 @@ design: (work@top) |vpiOperand: \_ref_obj: (NUM_FP_FORMATS), line:1529:20, endln:1529:34 |vpiName:NUM_FP_FORMATS + |vpiActual: + \_parameter: (fpnew_pkg::NUM_FP_FORMATS), line:1505:27, endln:1505:45, parent:fpnew_pkg:: |vpiOperand: \_constant: , line:1529:35, endln:1529:36 |vpiConstType:9 @@ -74267,6 +74465,8 @@ design: (work@top) \_ref_obj: (fmt_logic_t.NUM_FP_FORMATS), line:1528:20, endln:1528:34 |vpiName:NUM_FP_FORMATS |vpiFullName:fmt_logic_t.NUM_FP_FORMATS + |vpiActual: + \_parameter: (fpnew_pkg::NUM_FP_FORMATS), line:1505:27, endln:1505:45, parent:fpnew_pkg:: |vpiOperand: \_constant: , line:1528:35, endln:1528:36 |vpiConstType:9 @@ -74466,6 +74666,8 @@ design: (work@top) \_ref_obj: (fpnew_pkg::CPK_FORMATS::fmt_logic_t::NUM_FP_FORMATS), line:1528:20, endln:1528:34 |vpiName:NUM_FP_FORMATS |vpiFullName:fpnew_pkg::CPK_FORMATS::fmt_logic_t::NUM_FP_FORMATS + |vpiActual: + \_parameter: (fpnew_pkg::NUM_FP_FORMATS), line:1505:27, endln:1505:45, parent:fpnew_pkg:: |vpiOperand: \_constant: , line:1528:35, endln:1528:36 |vpiConstType:9 @@ -74588,6 +74790,8 @@ design: (work@top) \_ref_obj: (fmt_unsigned_t.NUM_FP_FORMATS), line:1529:20, endln:1529:34 |vpiName:NUM_FP_FORMATS |vpiFullName:fmt_unsigned_t.NUM_FP_FORMATS + |vpiActual: + \_parameter: (fpnew_pkg::NUM_FP_FORMATS), line:1505:27, endln:1505:45, parent:fpnew_pkg:: |vpiOperand: \_constant: , line:1529:35, endln:1529:36 |vpiConstType:9 @@ -74626,6 +74830,8 @@ design: (work@top) \_ref_obj: (fmt_unsigned_t.fmt_unsigned_t.NUM_FP_FORMATS), line:1529:20, endln:1529:34 |vpiName:NUM_FP_FORMATS |vpiFullName:fmt_unsigned_t.fmt_unsigned_t.NUM_FP_FORMATS + |vpiActual: + \_parameter: (fpnew_pkg::NUM_FP_FORMATS), line:1505:27, endln:1505:45, parent:fpnew_pkg:: |vpiOperand: \_constant: , line:1529:35, endln:1529:36 |vpiConstType:9 @@ -74684,6 +74890,8 @@ design: (work@top) \_ref_obj: (fmt_unsigned_t.NUM_FP_FORMATS), line:1529:20, endln:1529:34 |vpiName:NUM_FP_FORMATS |vpiFullName:fmt_unsigned_t.NUM_FP_FORMATS + |vpiActual: + \_parameter: (fpnew_pkg::NUM_FP_FORMATS), line:1505:27, endln:1505:45, parent:fpnew_pkg:: |vpiOperand: \_constant: , line:1529:35, endln:1529:36 |vpiConstType:9 @@ -75939,6 +76147,8 @@ design: (work@top) \_ref_obj: (fmt_logic_t.NUM_FP_FORMATS), line:1528:20, endln:1528:34 |vpiName:NUM_FP_FORMATS |vpiFullName:fmt_logic_t.NUM_FP_FORMATS + |vpiActual: + \_parameter: (fpnew_pkg::NUM_FP_FORMATS), line:1505:27, endln:1505:45, parent:fpnew_pkg:: |vpiOperand: \_constant: , line:1528:35, endln:1528:36 |vpiConstType:9 @@ -75970,6 +76180,8 @@ design: (work@top) \_ref_obj: (ifmt_logic_t.NUM_INT_FORMATS), line:1566:20, endln:1566:35 |vpiName:NUM_INT_FORMATS |vpiFullName:ifmt_logic_t.NUM_INT_FORMATS + |vpiActual: + \_parameter: (fpnew_pkg::NUM_INT_FORMATS), line:1544:27, endln:1544:46, parent:fpnew_pkg:: |vpiOperand: \_constant: , line:1566:36, endln:1566:37 |vpiConstType:9 @@ -85208,6 +85420,12 @@ design: (work@top) |vpiOperand: \_ref_obj: (XLEN), line:30:20, endln:30:24 |vpiName:XLEN + |vpiActual: + \_parameter: (riscv::XLEN), line:15:16, endln:15:25, parent:riscv:: + |vpiName:XLEN + |vpiFullName:riscv::XLEN + |vpiLocalParam:1 + |UINT:64 |vpiOperand: \_constant: , line:30:25, endln:30:26 |vpiConstType:9 @@ -88228,10 +88446,6 @@ design: (work@top) \_string_var: (riscv::spikeCommitLog::rf_s), line:640:19, endln:640:25, parent:riscv::spikeCommitLog |vpiParameter: \_parameter: (riscv::XLEN), line:15:16, endln:15:25, parent:riscv:: - |vpiName:XLEN - |vpiFullName:riscv::XLEN - |vpiLocalParam:1 - |UINT:64 |vpiParameter: \_parameter: (riscv::VLEN), line:19:16, endln:19:51, parent:riscv:: |vpiName:VLEN @@ -91159,6 +91373,8 @@ design: (work@top) |vpiOperand: \_ref_obj: (IRQ_S_SOFT), line:292:49, endln:292:59 |vpiName:IRQ_S_SOFT + |vpiActual: + \_parameter: (riscv::IRQ_S_SOFT), line:285:29, endln:285:44, parent:riscv:: |vpiLhs: \_parameter: (riscv::MIP_SSIP), line:292:33, endln:292:59, parent:riscv:: |vpiParamAssign: @@ -91175,6 +91391,8 @@ design: (work@top) |vpiOperand: \_ref_obj: (IRQ_M_SOFT), line:293:49, endln:293:59 |vpiName:IRQ_M_SOFT + |vpiActual: + \_parameter: (riscv::IRQ_M_SOFT), line:286:29, endln:286:44, parent:riscv:: |vpiLhs: \_parameter: (riscv::MIP_MSIP), line:293:33, endln:293:59, parent:riscv:: |vpiParamAssign: @@ -91191,6 +91409,8 @@ design: (work@top) |vpiOperand: \_ref_obj: (IRQ_S_TIMER), line:294:49, endln:294:60 |vpiName:IRQ_S_TIMER + |vpiActual: + \_parameter: (riscv::IRQ_S_TIMER), line:287:29, endln:287:44, parent:riscv:: |vpiLhs: \_parameter: (riscv::MIP_STIP), line:294:33, endln:294:60, parent:riscv:: |vpiParamAssign: @@ -91207,6 +91427,8 @@ design: (work@top) |vpiOperand: \_ref_obj: (IRQ_M_TIMER), line:295:49, endln:295:60 |vpiName:IRQ_M_TIMER + |vpiActual: + \_parameter: (riscv::IRQ_M_TIMER), line:288:29, endln:288:44, parent:riscv:: |vpiLhs: \_parameter: (riscv::MIP_MTIP), line:295:33, endln:295:60, parent:riscv:: |vpiParamAssign: @@ -91223,6 +91445,8 @@ design: (work@top) |vpiOperand: \_ref_obj: (IRQ_S_EXT), line:296:49, endln:296:58 |vpiName:IRQ_S_EXT + |vpiActual: + \_parameter: (riscv::IRQ_S_EXT), line:289:29, endln:289:44, parent:riscv:: |vpiLhs: \_parameter: (riscv::MIP_SEIP), line:296:33, endln:296:58, parent:riscv:: |vpiParamAssign: @@ -91239,6 +91463,8 @@ design: (work@top) |vpiOperand: \_ref_obj: (IRQ_M_EXT), line:297:49, endln:297:58 |vpiName:IRQ_M_EXT + |vpiActual: + \_parameter: (riscv::IRQ_M_EXT), line:290:29, endln:290:45, parent:riscv:: |vpiLhs: \_parameter: (riscv::MIP_MEIP), line:297:33, endln:297:58, parent:riscv:: |vpiParamAssign: @@ -91261,6 +91487,8 @@ design: (work@top) |vpiOperand: \_ref_obj: (XLEN), line:299:60, endln:299:64 |vpiName:XLEN + |vpiActual: + \_parameter: (riscv::XLEN), line:15:16, endln:15:25, parent:riscv:: |vpiOperand: \_constant: , line:299:65, endln:299:66 |vpiConstType:9 @@ -91270,6 +91498,8 @@ design: (work@top) |vpiOperand: \_ref_obj: (IRQ_S_SOFT), line:299:71, endln:299:81 |vpiName:IRQ_S_SOFT + |vpiActual: + \_parameter: (riscv::IRQ_S_SOFT), line:285:29, endln:285:44, parent:riscv:: |vpiLhs: \_parameter: (riscv::S_SW_INTERRUPT), line:299:33, endln:299:81, parent:riscv:: |vpiParamAssign: @@ -91292,6 +91522,8 @@ design: (work@top) |vpiOperand: \_ref_obj: (XLEN), line:300:60, endln:300:64 |vpiName:XLEN + |vpiActual: + \_parameter: (riscv::XLEN), line:15:16, endln:15:25, parent:riscv:: |vpiOperand: \_constant: , line:300:65, endln:300:66 |vpiConstType:9 @@ -91301,6 +91533,8 @@ design: (work@top) |vpiOperand: \_ref_obj: (IRQ_M_SOFT), line:300:71, endln:300:81 |vpiName:IRQ_M_SOFT + |vpiActual: + \_parameter: (riscv::IRQ_M_SOFT), line:286:29, endln:286:44, parent:riscv:: |vpiLhs: \_parameter: (riscv::M_SW_INTERRUPT), line:300:33, endln:300:81, parent:riscv:: |vpiParamAssign: @@ -91323,6 +91557,8 @@ design: (work@top) |vpiOperand: \_ref_obj: (XLEN), line:301:60, endln:301:64 |vpiName:XLEN + |vpiActual: + \_parameter: (riscv::XLEN), line:15:16, endln:15:25, parent:riscv:: |vpiOperand: \_constant: , line:301:65, endln:301:66 |vpiConstType:9 @@ -91332,6 +91568,8 @@ design: (work@top) |vpiOperand: \_ref_obj: (IRQ_S_TIMER), line:301:71, endln:301:82 |vpiName:IRQ_S_TIMER + |vpiActual: + \_parameter: (riscv::IRQ_S_TIMER), line:287:29, endln:287:44, parent:riscv:: |vpiLhs: \_parameter: (riscv::S_TIMER_INTERRUPT), line:301:33, endln:301:82, parent:riscv:: |vpiParamAssign: @@ -91354,6 +91592,8 @@ design: (work@top) |vpiOperand: \_ref_obj: (XLEN), line:302:60, endln:302:64 |vpiName:XLEN + |vpiActual: + \_parameter: (riscv::XLEN), line:15:16, endln:15:25, parent:riscv:: |vpiOperand: \_constant: , line:302:65, endln:302:66 |vpiConstType:9 @@ -91363,6 +91603,8 @@ design: (work@top) |vpiOperand: \_ref_obj: (IRQ_M_TIMER), line:302:71, endln:302:82 |vpiName:IRQ_M_TIMER + |vpiActual: + \_parameter: (riscv::IRQ_M_TIMER), line:288:29, endln:288:44, parent:riscv:: |vpiLhs: \_parameter: (riscv::M_TIMER_INTERRUPT), line:302:33, endln:302:82, parent:riscv:: |vpiParamAssign: @@ -91385,6 +91627,8 @@ design: (work@top) |vpiOperand: \_ref_obj: (XLEN), line:303:60, endln:303:64 |vpiName:XLEN + |vpiActual: + \_parameter: (riscv::XLEN), line:15:16, endln:15:25, parent:riscv:: |vpiOperand: \_constant: , line:303:65, endln:303:66 |vpiConstType:9 @@ -91394,6 +91638,8 @@ design: (work@top) |vpiOperand: \_ref_obj: (IRQ_S_EXT), line:303:71, endln:303:80 |vpiName:IRQ_S_EXT + |vpiActual: + \_parameter: (riscv::IRQ_S_EXT), line:289:29, endln:289:44, parent:riscv:: |vpiLhs: \_parameter: (riscv::S_EXT_INTERRUPT), line:303:33, endln:303:80, parent:riscv:: |vpiParamAssign: @@ -91416,6 +91662,8 @@ design: (work@top) |vpiOperand: \_ref_obj: (XLEN), line:304:60, endln:304:64 |vpiName:XLEN + |vpiActual: + \_parameter: (riscv::XLEN), line:15:16, endln:15:25, parent:riscv:: |vpiOperand: \_constant: , line:304:65, endln:304:66 |vpiConstType:9 @@ -91425,6 +91673,8 @@ design: (work@top) |vpiOperand: \_ref_obj: (IRQ_M_EXT), line:304:71, endln:304:80 |vpiName:IRQ_M_EXT + |vpiActual: + \_parameter: (riscv::IRQ_M_EXT), line:290:29, endln:290:45, parent:riscv:: |vpiLhs: \_parameter: (riscv::M_EXT_INTERRUPT), line:304:33, endln:304:80, parent:riscv:: |vpiParamAssign: @@ -91556,6 +91806,8 @@ design: (work@top) |vpiOperand: \_ref_obj: (IS_XLEN64), line:455:71, endln:455:80 |vpiName:IS_XLEN64 + |vpiActual: + \_parameter: (riscv::IS_XLEN64), line:22:16, endln:22:55, parent:riscv:: |vpiOperand: \_constant: , line:455:56, endln:455:68 |vpiConstType:5 @@ -91565,6 +91817,8 @@ design: (work@top) |vpiOperand: \_ref_obj: (IS_XLEN64), line:455:45, endln:455:54 |vpiName:IS_XLEN64 + |vpiActual: + \_parameter: (riscv::IS_XLEN64), line:22:16, endln:22:55, parent:riscv:: |vpiLhs: \_parameter: (riscv::SSTATUS_SD), line:455:29, endln:455:95, parent:riscv:: |vpiParamAssign: @@ -91790,9 +92044,13 @@ design: (work@top) |vpiOperand: \_ref_obj: (IS_XLEN64), line:476:58, endln:476:67 |vpiName:IS_XLEN64 + |vpiActual: + \_parameter: (riscv::IS_XLEN64), line:22:16, endln:22:55, parent:riscv:: |vpiOperand: \_ref_obj: (IS_XLEN64), line:476:69, endln:476:78 |vpiName:IS_XLEN64 + |vpiActual: + \_parameter: (riscv::IS_XLEN64), line:22:16, endln:22:55, parent:riscv:: |vpiOperand: \_constant: , line:476:80, endln:476:92 |vpiConstType:5 @@ -91815,9 +92073,13 @@ design: (work@top) |vpiOperand: \_ref_obj: (IS_XLEN64), line:477:58, endln:477:67 |vpiName:IS_XLEN64 + |vpiActual: + \_parameter: (riscv::IS_XLEN64), line:22:16, endln:22:55, parent:riscv:: |vpiOperand: \_ref_obj: (IS_XLEN64), line:477:69, endln:477:78 |vpiName:IS_XLEN64 + |vpiActual: + \_parameter: (riscv::IS_XLEN64), line:22:16, endln:22:55, parent:riscv:: |vpiOperand: \_constant: , line:477:80, endln:477:92 |vpiConstType:5 @@ -91844,6 +92106,8 @@ design: (work@top) |vpiOperand: \_ref_obj: (IS_XLEN64), line:478:71, endln:478:80 |vpiName:IS_XLEN64 + |vpiActual: + \_parameter: (riscv::IS_XLEN64), line:22:16, endln:22:55, parent:riscv:: |vpiOperand: \_constant: , line:478:56, endln:478:68 |vpiConstType:5 @@ -91853,6 +92117,8 @@ design: (work@top) |vpiOperand: \_ref_obj: (IS_XLEN64), line:478:45, endln:478:54 |vpiName:IS_XLEN64 + |vpiActual: + \_parameter: (riscv::IS_XLEN64), line:22:16, endln:22:55, parent:riscv:: |vpiLhs: \_parameter: (riscv::MSTATUS_SD), line:478:29, endln:478:95, parent:riscv:: |vpiTypedef: @@ -95009,6 +95275,8 @@ design: (work@top) |vpiOperand: \_ref_obj: (RVA), line:857:42, endln:857:45 |vpiName:RVA + |vpiActual: + \_parameter: (ariane_pkg::RVA), line:816:20, endln:816:30, parent:ariane_pkg:: |vpiOperand: \_constant: , line:857:50, endln:857:51 |vpiConstType:9 @@ -99546,6 +99814,17 @@ design: (work@top) \_ref_obj: (fmt_logic_t.NUM_FP_FORMATS), line:1528:20, endln:1528:34 |vpiName:NUM_FP_FORMATS |vpiFullName:fmt_logic_t.NUM_FP_FORMATS + |vpiActual: + \_parameter: (fpnew_pkg::NUM_FP_FORMATS), line:1505:27, endln:1505:45, parent:fpnew_pkg:: + |vpiName:NUM_FP_FORMATS + |vpiFullName:fpnew_pkg::NUM_FP_FORMATS + |vpiLocalParam:1 + |UINT:5 + |vpiTypespec: + \_int_typespec: (NUM_FP_FORMATS), line:1505:14, endln:1505:17, parent:fpnew_pkg::NUM_FP_FORMATS + |vpiName:NUM_FP_FORMATS + |vpiInstance: + \_package: fpnew_pkg (fpnew_pkg::) dut.sv:1485:1: , endln:1955:11, parent:work@top |vpiOperand: \_constant: , line:1528:35, endln:1528:36 |vpiConstType:9 @@ -99569,6 +99848,8 @@ design: (work@top) |vpiOperand: \_ref_obj: (NUM_FP_FORMATS), line:1528:20, endln:1528:34 |vpiName:NUM_FP_FORMATS + |vpiActual: + \_parameter: (fpnew_pkg::NUM_FP_FORMATS), line:1505:27, endln:1505:45, parent:fpnew_pkg:: |vpiOperand: \_constant: , line:1528:35, endln:1528:36 |vpiConstType:9 @@ -99630,15 +99911,6 @@ design: (work@top) |vpiFullName:fpnew_pkg::max_fp_width::NUM_FP_FORMATS |vpiActual: \_parameter: (fpnew_pkg::NUM_FP_FORMATS), line:1505:27, endln:1505:45, parent:fpnew_pkg:: - |vpiName:NUM_FP_FORMATS - |vpiFullName:fpnew_pkg::NUM_FP_FORMATS - |vpiLocalParam:1 - |UINT:5 - |vpiTypespec: - \_int_typespec: (NUM_FP_FORMATS), line:1505:14, endln:1505:17, parent:fpnew_pkg::NUM_FP_FORMATS - |vpiName:NUM_FP_FORMATS - |vpiInstance: - \_package: fpnew_pkg (fpnew_pkg::) dut.sv:1485:1: , endln:1955:11, parent:work@top |vpiStmt: \_if_stmt: , line:1772:7, endln:1773:66, parent:fpnew_pkg::max_fp_width |vpiCondition: @@ -99732,6 +100004,8 @@ design: (work@top) \_ref_obj: (fmt_logic_t.NUM_FP_FORMATS), line:1528:20, endln:1528:34 |vpiName:NUM_FP_FORMATS |vpiFullName:fmt_logic_t.NUM_FP_FORMATS + |vpiActual: + \_parameter: (fpnew_pkg::NUM_FP_FORMATS), line:1505:27, endln:1505:45, parent:fpnew_pkg:: |vpiOperand: \_constant: , line:1528:35, endln:1528:36 |vpiConstType:9 @@ -100044,6 +100318,8 @@ design: (work@top) \_ref_obj: (fmt_logic_t.NUM_FP_FORMATS), line:1528:20, endln:1528:34 |vpiName:NUM_FP_FORMATS |vpiFullName:fmt_logic_t.NUM_FP_FORMATS + |vpiActual: + \_parameter: (fpnew_pkg::NUM_FP_FORMATS), line:1505:27, endln:1505:45, parent:fpnew_pkg:: |vpiOperand: \_constant: , line:1528:35, endln:1528:36 |vpiConstType:9 @@ -100264,6 +100540,17 @@ design: (work@top) \_ref_obj: (ifmt_logic_t.NUM_INT_FORMATS), line:1566:20, endln:1566:35 |vpiName:NUM_INT_FORMATS |vpiFullName:ifmt_logic_t.NUM_INT_FORMATS + |vpiActual: + \_parameter: (fpnew_pkg::NUM_INT_FORMATS), line:1544:27, endln:1544:46, parent:fpnew_pkg:: + |vpiName:NUM_INT_FORMATS + |vpiFullName:fpnew_pkg::NUM_INT_FORMATS + |vpiLocalParam:1 + |UINT:4 + |vpiTypespec: + \_int_typespec: (NUM_INT_FORMATS), line:1544:14, endln:1544:17, parent:fpnew_pkg::NUM_INT_FORMATS + |vpiName:NUM_INT_FORMATS + |vpiInstance: + \_package: fpnew_pkg (fpnew_pkg::) dut.sv:1485:1: , endln:1955:11, parent:work@top |vpiOperand: \_constant: , line:1566:36, endln:1566:37 |vpiConstType:9 @@ -100287,6 +100574,8 @@ design: (work@top) |vpiOperand: \_ref_obj: (NUM_INT_FORMATS), line:1566:20, endln:1566:35 |vpiName:NUM_INT_FORMATS + |vpiActual: + \_parameter: (fpnew_pkg::NUM_INT_FORMATS), line:1544:27, endln:1544:46, parent:fpnew_pkg:: |vpiOperand: \_constant: , line:1566:36, endln:1566:37 |vpiConstType:9 @@ -100348,15 +100637,6 @@ design: (work@top) |vpiFullName:fpnew_pkg::max_int_width::NUM_INT_FORMATS |vpiActual: \_parameter: (fpnew_pkg::NUM_INT_FORMATS), line:1544:27, endln:1544:46, parent:fpnew_pkg:: - |vpiName:NUM_INT_FORMATS - |vpiFullName:fpnew_pkg::NUM_INT_FORMATS - |vpiLocalParam:1 - |UINT:4 - |vpiTypespec: - \_int_typespec: (NUM_INT_FORMATS), line:1544:14, endln:1544:17, parent:fpnew_pkg::NUM_INT_FORMATS - |vpiName:NUM_INT_FORMATS - |vpiInstance: - \_package: fpnew_pkg (fpnew_pkg::) dut.sv:1485:1: , endln:1955:11, parent:work@top |vpiStmt: \_begin: (fpnew_pkg::max_int_width), line:1818:56, endln:1820:8, parent:fpnew_pkg::max_int_width |vpiFullName:fpnew_pkg::max_int_width @@ -100905,6 +101185,8 @@ design: (work@top) \_ref_obj: (fmt_logic_t.NUM_FP_FORMATS), line:1528:20, endln:1528:34 |vpiName:NUM_FP_FORMATS |vpiFullName:fmt_logic_t.NUM_FP_FORMATS + |vpiActual: + \_parameter: (fpnew_pkg::NUM_FP_FORMATS), line:1505:27, endln:1505:45, parent:fpnew_pkg:: |vpiOperand: \_constant: , line:1528:35, endln:1528:36 |vpiConstType:9 @@ -101002,6 +101284,8 @@ design: (work@top) \_ref_obj: (fmt_logic_t.NUM_FP_FORMATS), line:1528:20, endln:1528:34 |vpiName:NUM_FP_FORMATS |vpiFullName:fmt_logic_t.NUM_FP_FORMATS + |vpiActual: + \_parameter: (fpnew_pkg::NUM_FP_FORMATS), line:1505:27, endln:1505:45, parent:fpnew_pkg:: |vpiOperand: \_constant: , line:1528:35, endln:1528:36 |vpiConstType:9 @@ -101170,6 +101454,8 @@ design: (work@top) \_ref_obj: (fmt_logic_t.NUM_FP_FORMATS), line:1528:20, endln:1528:34 |vpiName:NUM_FP_FORMATS |vpiFullName:fmt_logic_t.NUM_FP_FORMATS + |vpiActual: + \_parameter: (fpnew_pkg::NUM_FP_FORMATS), line:1505:27, endln:1505:45, parent:fpnew_pkg:: |vpiOperand: \_constant: , line:1528:35, endln:1528:36 |vpiConstType:9 @@ -101202,6 +101488,8 @@ design: (work@top) \_ref_obj: (ifmt_logic_t.NUM_INT_FORMATS), line:1566:20, endln:1566:35 |vpiName:NUM_INT_FORMATS |vpiFullName:ifmt_logic_t.NUM_INT_FORMATS + |vpiActual: + \_parameter: (fpnew_pkg::NUM_INT_FORMATS), line:1544:27, endln:1544:46, parent:fpnew_pkg:: |vpiOperand: \_constant: , line:1566:36, endln:1566:37 |vpiConstType:9 @@ -101470,6 +101758,8 @@ design: (work@top) \_ref_obj: (fmt_logic_t.NUM_FP_FORMATS), line:1528:20, endln:1528:34 |vpiName:NUM_FP_FORMATS |vpiFullName:fmt_logic_t.NUM_FP_FORMATS + |vpiActual: + \_parameter: (fpnew_pkg::NUM_FP_FORMATS), line:1505:27, endln:1505:45, parent:fpnew_pkg:: |vpiOperand: \_constant: , line:1528:35, endln:1528:36 |vpiConstType:9 @@ -101667,6 +101957,8 @@ design: (work@top) \_ref_obj: (fmt_logic_t.NUM_FP_FORMATS), line:1528:20, endln:1528:34 |vpiName:NUM_FP_FORMATS |vpiFullName:fmt_logic_t.NUM_FP_FORMATS + |vpiActual: + \_parameter: (fpnew_pkg::NUM_FP_FORMATS), line:1505:27, endln:1505:45, parent:fpnew_pkg:: |vpiOperand: \_constant: , line:1528:35, endln:1528:36 |vpiConstType:9 @@ -101699,6 +101991,8 @@ design: (work@top) \_ref_obj: (ifmt_logic_t.NUM_INT_FORMATS), line:1566:20, endln:1566:35 |vpiName:NUM_INT_FORMATS |vpiFullName:ifmt_logic_t.NUM_INT_FORMATS + |vpiActual: + \_parameter: (fpnew_pkg::NUM_INT_FORMATS), line:1544:27, endln:1544:46, parent:fpnew_pkg:: |vpiOperand: \_constant: , line:1566:36, endln:1566:37 |vpiConstType:9 @@ -102081,6 +102375,8 @@ design: (work@top) \_ref_obj: (fmt_logic_t.NUM_FP_FORMATS), line:1528:20, endln:1528:34 |vpiName:NUM_FP_FORMATS |vpiFullName:fmt_logic_t.NUM_FP_FORMATS + |vpiActual: + \_parameter: (fpnew_pkg::NUM_FP_FORMATS), line:1505:27, endln:1505:45, parent:fpnew_pkg:: |vpiOperand: \_constant: , line:1528:35, endln:1528:36 |vpiConstType:9 @@ -102217,6 +102513,8 @@ design: (work@top) \_ref_obj: (fmt_logic_t.NUM_FP_FORMATS), line:1528:20, endln:1528:34 |vpiName:NUM_FP_FORMATS |vpiFullName:fmt_logic_t.NUM_FP_FORMATS + |vpiActual: + \_parameter: (fpnew_pkg::NUM_FP_FORMATS), line:1505:27, endln:1505:45, parent:fpnew_pkg:: |vpiOperand: \_constant: , line:1528:35, endln:1528:36 |vpiConstType:9 @@ -102366,6 +102664,8 @@ design: (work@top) \_ref_obj: (fmt_logic_t.NUM_FP_FORMATS), line:1528:20, endln:1528:34 |vpiName:NUM_FP_FORMATS |vpiFullName:fmt_logic_t.NUM_FP_FORMATS + |vpiActual: + \_parameter: (fpnew_pkg::NUM_FP_FORMATS), line:1505:27, endln:1505:45, parent:fpnew_pkg:: |vpiOperand: \_constant: , line:1528:35, endln:1528:36 |vpiConstType:9 @@ -102498,6 +102798,8 @@ design: (work@top) \_ref_obj: (fmt_unsigned_t.NUM_FP_FORMATS), line:1529:20, endln:1529:34 |vpiName:NUM_FP_FORMATS |vpiFullName:fmt_unsigned_t.NUM_FP_FORMATS + |vpiActual: + \_parameter: (fpnew_pkg::NUM_FP_FORMATS), line:1505:27, endln:1505:45, parent:fpnew_pkg:: |vpiOperand: \_constant: , line:1529:35, endln:1529:36 |vpiConstType:9 @@ -102535,6 +102837,8 @@ design: (work@top) |vpiOperand: \_ref_obj: (NUM_FP_FORMATS), line:1529:20, endln:1529:34 |vpiName:NUM_FP_FORMATS + |vpiActual: + \_parameter: (fpnew_pkg::NUM_FP_FORMATS), line:1505:27, endln:1505:45, parent:fpnew_pkg:: |vpiOperand: \_constant: , line:1529:35, endln:1529:36 |vpiConstType:9 @@ -102587,6 +102891,8 @@ design: (work@top) \_ref_obj: (fmt_logic_t.NUM_FP_FORMATS), line:1528:20, endln:1528:34 |vpiName:NUM_FP_FORMATS |vpiFullName:fmt_logic_t.NUM_FP_FORMATS + |vpiActual: + \_parameter: (fpnew_pkg::NUM_FP_FORMATS), line:1505:27, endln:1505:45, parent:fpnew_pkg:: |vpiOperand: \_constant: , line:1528:35, endln:1528:36 |vpiConstType:9 @@ -102786,6 +103092,8 @@ design: (work@top) \_ref_obj: (fpnew_pkg::CPK_FORMATS::fmt_logic_t::NUM_FP_FORMATS), line:1528:20, endln:1528:34 |vpiName:NUM_FP_FORMATS |vpiFullName:fpnew_pkg::CPK_FORMATS::fmt_logic_t::NUM_FP_FORMATS + |vpiActual: + \_parameter: (fpnew_pkg::NUM_FP_FORMATS), line:1505:27, endln:1505:45, parent:fpnew_pkg:: |vpiOperand: \_constant: , line:1528:35, endln:1528:36 |vpiConstType:9 @@ -102882,6 +103190,8 @@ design: (work@top) \_ref_obj: (fmt_logic_t.NUM_FP_FORMATS), line:1528:20, endln:1528:34 |vpiName:NUM_FP_FORMATS |vpiFullName:fmt_logic_t.NUM_FP_FORMATS + |vpiActual: + \_parameter: (fpnew_pkg::NUM_FP_FORMATS), line:1505:27, endln:1505:45, parent:fpnew_pkg:: |vpiOperand: \_constant: , line:1528:35, endln:1528:36 |vpiConstType:9 @@ -102913,6 +103223,8 @@ design: (work@top) \_ref_obj: (ifmt_logic_t.NUM_INT_FORMATS), line:1566:20, endln:1566:35 |vpiName:NUM_INT_FORMATS |vpiFullName:ifmt_logic_t.NUM_INT_FORMATS + |vpiActual: + \_parameter: (fpnew_pkg::NUM_INT_FORMATS), line:1544:27, endln:1544:46, parent:fpnew_pkg:: |vpiOperand: \_constant: , line:1566:36, endln:1566:37 |vpiConstType:9 @@ -102995,6 +103307,8 @@ design: (work@top) \_ref_obj: (fmt_unsigned_t.NUM_FP_FORMATS), line:1529:20, endln:1529:34 |vpiName:NUM_FP_FORMATS |vpiFullName:fmt_unsigned_t.NUM_FP_FORMATS + |vpiActual: + \_parameter: (fpnew_pkg::NUM_FP_FORMATS), line:1505:27, endln:1505:45, parent:fpnew_pkg:: |vpiOperand: \_constant: , line:1529:35, endln:1529:36 |vpiConstType:9 @@ -103033,6 +103347,8 @@ design: (work@top) \_ref_obj: (fmt_unsigned_t.fmt_unsigned_t.NUM_FP_FORMATS), line:1529:20, endln:1529:34 |vpiName:NUM_FP_FORMATS |vpiFullName:fmt_unsigned_t.fmt_unsigned_t.NUM_FP_FORMATS + |vpiActual: + \_parameter: (fpnew_pkg::NUM_FP_FORMATS), line:1505:27, endln:1505:45, parent:fpnew_pkg:: |vpiOperand: \_constant: , line:1529:35, endln:1529:36 |vpiConstType:9 @@ -103091,6 +103407,8 @@ design: (work@top) \_ref_obj: (fmt_unsigned_t.NUM_FP_FORMATS), line:1529:20, endln:1529:34 |vpiName:NUM_FP_FORMATS |vpiFullName:fmt_unsigned_t.NUM_FP_FORMATS + |vpiActual: + \_parameter: (fpnew_pkg::NUM_FP_FORMATS), line:1505:27, endln:1505:45, parent:fpnew_pkg:: |vpiOperand: \_constant: , line:1529:35, endln:1529:36 |vpiConstType:9 @@ -104942,63 +105260,338 @@ design: (work@top) |vpiParamAssign: \_param_assign: , line:1960:45, endln:1960:84, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk |vpiRhs: - \_operation: , line:1960:62, endln:1960:84 + \_operation: , line:2015:25, endln:2015:37 |vpiOpType:75 |vpiOperand: \_tagged_pattern: |vpiPattern: - \_constant: , line:1695:20, endln:1695:22 + \_constant: , line:1964:42, endln:1964:56 |vpiConstType:9 |vpiDecompile:64 |vpiSize:64 |UINT:64 + |vpiTypespec: + \_int_typespec: (WIDTH), line:1964:14, endln:1964:17 + |vpiName:WIDTH |vpiTypespec: - \_string_typespec: (Width), line:1695:5, endln:1695:10 + \_string_typespec: (Width), line:1994:7, endln:1994:12 |vpiName:Width |vpiOperand: \_tagged_pattern: |vpiPattern: - \_constant: , line:1696:20, endln:1696:24 - |vpiConstType:3 - |vpiDecompile:1'b1 + \_constant: , line:1995:22, endln:1995:39 + |vpiConstType:17 + |vpiDecompile:0 |vpiSize:1 - |BIN:1 + |SCAL:0 |vpiTypespec: - \_string_typespec: (EnableVectors), line:1696:5, endln:1696:18 + \_string_typespec: (EnableVectors), line:1995:7, endln:1995:20 |vpiName:EnableVectors |vpiOperand: \_tagged_pattern: |vpiPattern: - \_constant: , line:1697:20, endln:1697:24 + \_constant: , line:1996:22, endln:1996:26 |vpiConstType:3 |vpiDecompile:1'b1 |vpiSize:1 |BIN:1 |vpiTypespec: - \_string_typespec: (EnableNanBox), line:1697:5, endln:1697:17 + \_string_typespec: (EnableNanBox), line:1996:7, endln:1996:19 |vpiName:EnableNanBox |vpiOperand: \_tagged_pattern: |vpiPattern: - \_constant: , line:1698:20, endln:1698:28 - |vpiConstType:3 - |vpiDecompile:5'b11111 - |vpiSize:5 - |BIN:11111 + \_operation: , line:1997:22, endln:1997:52 + |vpiOpType:33 + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.RVF), line:1997:23, endln:1997:26 + |vpiName:RVF + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.RVF + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.RVF), line:813:20, endln:813:42, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i + |vpiName:RVF + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.RVF + |vpiImported:ariane_pkg + |vpiLocalParam:1 + |INT:1 + |vpiTypespec: + \_bit_typespec: (RVF), line:813:16, endln:813:19, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.RVF + |vpiName:RVF + |vpiInstance: + \_package: ariane_pkg (ariane_pkg::) dut.sv:674:1: , endln:1483:11, parent:work@top + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.RVD), line:1997:28, endln:1997:31 + |vpiName:RVD + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.RVD + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.RVD), line:814:20, endln:814:42, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i + |vpiName:RVD + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.RVD + |vpiImported:ariane_pkg + |vpiLocalParam:1 + |INT:1 + |vpiTypespec: + \_bit_typespec: (RVD), line:814:16, endln:814:19, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.RVD + |vpiName:RVD + |vpiInstance: + \_package: ariane_pkg (ariane_pkg::) dut.sv:674:1: , endln:1483:11, parent:work@top + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.XF16), line:1997:33, endln:1997:37 + |vpiName:XF16 + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.XF16 + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.XF16), line:819:20, endln:819:34, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i + |vpiName:XF16 + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.XF16 + |vpiImported:ariane_pkg + |vpiLocalParam:1 + |BIN:0 + |vpiTypespec: + \_bit_typespec: (XF16), line:819:16, endln:819:19, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.XF16 + |vpiName:XF16 + |vpiInstance: + \_package: ariane_pkg (ariane_pkg::) dut.sv:674:1: , endln:1483:11, parent:work@top + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.XF8), line:1997:39, endln:1997:42 + |vpiName:XF8 + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.XF8 + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.XF8), line:821:20, endln:821:34, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i + |vpiName:XF8 + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.XF8 + |vpiImported:ariane_pkg + |vpiLocalParam:1 + |BIN:0 + |vpiTypespec: + \_bit_typespec: (XF8), line:821:16, endln:821:19, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.XF8 + |vpiName:XF8 + |vpiInstance: + \_package: ariane_pkg (ariane_pkg::) dut.sv:674:1: , endln:1483:11, parent:work@top + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.XF16ALT), line:1997:44, endln:1997:51 + |vpiName:XF16ALT + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.XF16ALT + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.XF16ALT), line:820:20, endln:820:34, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i + |vpiName:XF16ALT + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.XF16ALT + |vpiImported:ariane_pkg + |vpiLocalParam:1 + |BIN:0 + |vpiTypespec: + \_bit_typespec: (XF16ALT), line:820:16, endln:820:19, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.XF16ALT + |vpiName:XF16ALT + |vpiInstance: + \_package: ariane_pkg (ariane_pkg::) dut.sv:674:1: , endln:1483:11, parent:work@top |vpiTypespec: - \_string_typespec: (FpFmtMask), line:1698:5, endln:1698:14 + \_string_typespec: (FpFmtMask), line:1997:7, endln:1997:16 |vpiName:FpFmtMask |vpiOperand: \_tagged_pattern: |vpiPattern: - \_constant: , line:1699:20, endln:1699:27 - |vpiConstType:3 - |vpiDecompile:4'b1111 - |vpiSize:4 - |BIN:1111 + \_operation: , line:1998:22, endln:1998:76 + |vpiOpType:33 + |vpiOperand: + \_operation: , line:1998:23, endln:1998:28 + |vpiOpType:26 + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.XFVEC), line:1998:23, endln:1998:28 + |vpiName:XFVEC + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.XFVEC + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.XFVEC), line:822:20, endln:822:34, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i + |vpiName:XFVEC + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.XFVEC + |vpiImported:ariane_pkg + |vpiLocalParam:1 + |BIN:0 + |vpiTypespec: + \_bit_typespec: (XFVEC), line:822:16, endln:822:19, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.XFVEC + |vpiName:XFVEC + |vpiInstance: + \_package: ariane_pkg (ariane_pkg::) dut.sv:674:1: , endln:1483:11, parent:work@top + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.XF8), line:1998:32, endln:1998:35 + |vpiName:XF8 + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.XF8 + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.XF8), line:821:20, endln:821:34, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i + |vpiOperand: + \_operation: , line:1998:37, endln:1998:42 + |vpiOpType:26 + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.XFVEC), line:1998:37, endln:1998:42 + |vpiName:XFVEC + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.XFVEC + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.XFVEC), line:822:20, endln:822:34, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i + |vpiOperand: + \_operation: , line:1998:47, endln:1998:62 + |vpiOpType:27 + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.XF16), line:1998:47, endln:1998:51 + |vpiName:XF16 + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.XF16 + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.XF16), line:819:20, endln:819:34, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.XF16ALT), line:1998:55, endln:1998:62 + |vpiName:XF16ALT + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.XF16ALT + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.XF16ALT), line:820:20, endln:820:34, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i + |vpiOperand: + \_constant: , line:1998:65, endln:1998:69 + |vpiConstType:3 + |vpiDecompile:1'b1 + |vpiSize:1 + |BIN:1 + |vpiOperand: + \_constant: , line:1998:71, endln:1998:75 + |vpiConstType:3 + |vpiDecompile:1'b1 + |vpiSize:1 + |BIN:1 |vpiTypespec: - \_string_typespec: (IntFmtMask), line:1699:5, endln:1699:15 + \_string_typespec: (IntFmtMask), line:1998:7, endln:1998:17 + |vpiName:IntFmtMask + |vpiTypespec: + \_struct_typespec: (fpnew_pkg::fpu_features_t), line:1662:11, endln:1662:17 + |vpiPacked:1 + |vpiName:fpnew_pkg::fpu_features_t + |vpiTypespecMember: + \_typespec_member: (Width), line:1663:18, endln:1663:23, parent:fpnew_pkg::fpu_features_t + |vpiName:Width + |vpiTypespec: + \_int_typespec: , line:1663:5, endln:1663:8, parent:Width + |vpiInstance: + \_package: fpnew_pkg (fpnew_pkg::) dut.sv:1485:1: , endln:1955:11, parent:work@top + |vpiTypespecMember: + \_typespec_member: (EnableVectors), line:1664:18, endln:1664:31, parent:fpnew_pkg::fpu_features_t + |vpiName:EnableVectors + |vpiTypespec: + \_logic_typespec: , line:1664:5, endln:1664:10, parent:EnableVectors + |vpiInstance: + \_package: fpnew_pkg (fpnew_pkg::) dut.sv:1485:1: , endln:1955:11, parent:work@top + |vpiTypespecMember: + \_typespec_member: (EnableNanBox), line:1665:18, endln:1665:30, parent:fpnew_pkg::fpu_features_t + |vpiName:EnableNanBox + |vpiTypespec: + \_logic_typespec: , line:1665:5, endln:1665:10, parent:EnableNanBox + |vpiInstance: + \_package: fpnew_pkg (fpnew_pkg::) dut.sv:1485:1: , endln:1955:11, parent:work@top + |vpiTypespecMember: + \_typespec_member: (FpFmtMask), line:1666:18, endln:1666:27, parent:fpnew_pkg::fpu_features_t + |vpiName:FpFmtMask + |vpiTypespec: + \_logic_typespec: (fmt_logic_t), line:1528:11, endln:1528:16, parent:FpFmtMask + |vpiName:fmt_logic_t + |vpiRange: + \_range: , line:1528:18, endln:1528:36, parent:fmt_logic_t + |vpiLeftRange: + \_constant: , line:1528:18, endln:1528:19 + |vpiConstType:9 + |vpiDecompile:0 + |vpiSize:64 + |UINT:0 + |vpiRightRange: + \_operation: , line:1528:20, endln:1528:34 + |vpiOpType:11 + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.fpnew_pkg::fpu_features_t.FpFmtMask.fmt_logic_t.NUM_FP_FORMATS), line:1528:20, endln:1528:34 + |vpiName:NUM_FP_FORMATS + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.fpnew_pkg::fpu_features_t.FpFmtMask.fmt_logic_t.NUM_FP_FORMATS + |vpiOperand: + \_constant: , line:1528:35, endln:1528:36 + |vpiConstType:9 + |vpiDecompile:1 + |vpiSize:64 + |UINT:1 + |vpiTypedefAlias: + \_logic_typespec: (fmt_logic_t), line:1528:11, endln:1528:16, parent:fmt_logic_t + |vpiName:fmt_logic_t + |vpiRange: + \_range: , line:1528:18, endln:1528:36, parent:fmt_logic_t + |vpiLeftRange: + \_constant: , line:1528:18, endln:1528:19 + |vpiConstType:9 + |vpiDecompile:0 + |vpiSize:64 + |UINT:0 + |vpiRightRange: + \_operation: , line:1528:20, endln:1528:34 + |vpiOpType:11 + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.fpnew_pkg::fpu_features_t.FpFmtMask.fmt_logic_t.fmt_logic_t.NUM_FP_FORMATS), line:1528:20, endln:1528:34 + |vpiName:NUM_FP_FORMATS + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.fpnew_pkg::fpu_features_t.FpFmtMask.fmt_logic_t.fmt_logic_t.NUM_FP_FORMATS + |vpiOperand: + \_constant: , line:1528:35, endln:1528:36 + |vpiConstType:9 + |vpiDecompile:1 + |vpiSize:64 + |UINT:1 + |vpiInstance: + \_package: fpnew_pkg (fpnew_pkg::) dut.sv:1485:1: , endln:1955:11, parent:work@top + |vpiInstance: + \_package: fpnew_pkg (fpnew_pkg::) dut.sv:1485:1: , endln:1955:11, parent:work@top + |vpiTypespecMember: + \_typespec_member: (IntFmtMask), line:1667:18, endln:1667:28, parent:fpnew_pkg::fpu_features_t |vpiName:IntFmtMask + |vpiTypespec: + \_logic_typespec: (ifmt_logic_t), line:1566:11, endln:1566:16, parent:IntFmtMask + |vpiName:ifmt_logic_t + |vpiRange: + \_range: , line:1566:18, endln:1566:37, parent:ifmt_logic_t + |vpiLeftRange: + \_constant: , line:1566:18, endln:1566:19 + |vpiConstType:9 + |vpiDecompile:0 + |vpiSize:64 + |UINT:0 + |vpiRightRange: + \_operation: , line:1566:20, endln:1566:35 + |vpiOpType:11 + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.fpnew_pkg::fpu_features_t.IntFmtMask.ifmt_logic_t.NUM_INT_FORMATS), line:1566:20, endln:1566:35 + |vpiName:NUM_INT_FORMATS + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.fpnew_pkg::fpu_features_t.IntFmtMask.ifmt_logic_t.NUM_INT_FORMATS + |vpiOperand: + \_constant: , line:1566:36, endln:1566:37 + |vpiConstType:9 + |vpiDecompile:1 + |vpiSize:64 + |UINT:1 + |vpiTypedefAlias: + \_logic_typespec: (ifmt_logic_t), line:1566:11, endln:1566:16, parent:ifmt_logic_t + |vpiName:ifmt_logic_t + |vpiRange: + \_range: , line:1566:18, endln:1566:37, parent:ifmt_logic_t + |vpiLeftRange: + \_constant: , line:1566:18, endln:1566:19 + |vpiConstType:9 + |vpiDecompile:0 + |vpiSize:64 + |UINT:0 + |vpiRightRange: + \_operation: , line:1566:20, endln:1566:35 + |vpiOpType:11 + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.fpnew_pkg::fpu_features_t.IntFmtMask.ifmt_logic_t.ifmt_logic_t.NUM_INT_FORMATS), line:1566:20, endln:1566:35 + |vpiName:NUM_INT_FORMATS + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.fpnew_pkg::fpu_features_t.IntFmtMask.ifmt_logic_t.ifmt_logic_t.NUM_INT_FORMATS + |vpiOperand: + \_constant: , line:1566:36, endln:1566:37 + |vpiConstType:9 + |vpiDecompile:1 + |vpiSize:64 + |UINT:1 + |vpiInstance: + \_package: fpnew_pkg (fpnew_pkg::) dut.sv:1485:1: , endln:1955:11, parent:work@top + |vpiInstance: + \_package: fpnew_pkg (fpnew_pkg::) dut.sv:1485:1: , endln:1955:11, parent:work@top + |vpiInstance: + \_package: fpnew_pkg (fpnew_pkg::) dut.sv:1485:1: , endln:1955:11, parent:work@top |vpiLhs: \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.Features), line:1960:45, endln:1960:84 |vpiName:Features @@ -105008,102 +105601,702 @@ design: (work@top) |vpiParamAssign: \_param_assign: , line:1961:45, endln:1961:87, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk |vpiRhs: - \_operation: , line:1961:62, endln:1961:87 + \_operation: , line:2016:25, endln:2016:43 |vpiOpType:75 |vpiOperand: \_tagged_pattern: |vpiPattern: - \_operation: , line:1727:17, endln:1727:30 + \_operation: , line:2002:18, endln:2006:39 |vpiOpType:75 |vpiOperand: - \_tagged_pattern: - |vpiPattern: - \_constant: , line:1727:28, endln:1727:29 - |vpiConstType:9 - |vpiDecompile:0 - |vpiSize:64 - |UINT:0 - |vpiTypespec: - \_string_typespec: (default), line:1727:19, endln:1727:26 - |vpiName:default + \_operation: , line:2003:18, endln:2003:96 + |vpiOpType:75 + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.LAT_COMP_FP32), line:2003:20, endln:2003:33 + |vpiName:LAT_COMP_FP32 + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.LAT_COMP_FP32 + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.LAT_COMP_FP32), line:825:29, endln:825:51, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i + |vpiName:LAT_COMP_FP32 + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.LAT_COMP_FP32 + |vpiImported:ariane_pkg + |vpiLocalParam:1 + |DEC:2 + |vpiTypespec: + \_int_typespec: (LAT_COMP_FP32), line:825:16, endln:825:19, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.LAT_COMP_FP32 + |vpiName:LAT_COMP_FP32 + |vpiInstance: + \_package: ariane_pkg (ariane_pkg::) dut.sv:674:1: , endln:1483:11, parent:work@top + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.LAT_COMP_FP64), line:2003:35, endln:2003:48 + |vpiName:LAT_COMP_FP64 + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.LAT_COMP_FP64 + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.LAT_COMP_FP64), line:826:29, endln:826:51, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i + |vpiName:LAT_COMP_FP64 + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.LAT_COMP_FP64 + |vpiImported:ariane_pkg + |vpiLocalParam:1 + |DEC:3 + |vpiTypespec: + \_int_typespec: (LAT_COMP_FP64), line:826:16, endln:826:19, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.LAT_COMP_FP64 + |vpiName:LAT_COMP_FP64 + |vpiInstance: + \_package: ariane_pkg (ariane_pkg::) dut.sv:674:1: , endln:1483:11, parent:work@top + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.LAT_COMP_FP16), line:2003:50, endln:2003:63 + |vpiName:LAT_COMP_FP16 + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.LAT_COMP_FP16 + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.LAT_COMP_FP16), line:827:29, endln:827:51, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i + |vpiName:LAT_COMP_FP16 + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.LAT_COMP_FP16 + |vpiImported:ariane_pkg + |vpiLocalParam:1 + |DEC:1 + |vpiTypespec: + \_int_typespec: (LAT_COMP_FP16), line:827:16, endln:827:19, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.LAT_COMP_FP16 + |vpiName:LAT_COMP_FP16 + |vpiInstance: + \_package: ariane_pkg (ariane_pkg::) dut.sv:674:1: , endln:1483:11, parent:work@top + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.LAT_COMP_FP8), line:2003:65, endln:2003:77 + |vpiName:LAT_COMP_FP8 + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.LAT_COMP_FP8 + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.LAT_COMP_FP8), line:829:29, endln:829:51, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i + |vpiName:LAT_COMP_FP8 + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.LAT_COMP_FP8 + |vpiImported:ariane_pkg + |vpiLocalParam:1 + |DEC:1 + |vpiTypespec: + \_int_typespec: (LAT_COMP_FP8), line:829:16, endln:829:19, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.LAT_COMP_FP8 + |vpiName:LAT_COMP_FP8 + |vpiInstance: + \_package: ariane_pkg (ariane_pkg::) dut.sv:674:1: , endln:1483:11, parent:work@top + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.LAT_COMP_FP16ALT), line:2003:79, endln:2003:95 + |vpiName:LAT_COMP_FP16ALT + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.LAT_COMP_FP16ALT + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.LAT_COMP_FP16ALT), line:828:29, endln:828:51, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i + |vpiName:LAT_COMP_FP16ALT + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.LAT_COMP_FP16ALT + |vpiImported:ariane_pkg + |vpiLocalParam:1 + |DEC:1 + |vpiTypespec: + \_int_typespec: (LAT_COMP_FP16ALT), line:828:16, endln:828:19, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.LAT_COMP_FP16ALT + |vpiName:LAT_COMP_FP16ALT + |vpiInstance: + \_package: ariane_pkg (ariane_pkg::) dut.sv:674:1: , endln:1483:11, parent:work@top + |vpiOperand: + \_operation: , line:2004:18, endln:2004:41 + |vpiOpType:75 + |vpiOperand: + \_tagged_pattern: + |vpiPattern: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.LAT_DIVSQRT), line:2004:29, endln:2004:40 + |vpiName:LAT_DIVSQRT + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.LAT_DIVSQRT + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.LAT_DIVSQRT), line:830:29, endln:830:51, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i + |vpiName:LAT_DIVSQRT + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.LAT_DIVSQRT + |vpiImported:ariane_pkg + |vpiLocalParam:1 + |DEC:2 + |vpiTypespec: + \_int_typespec: (LAT_DIVSQRT), line:830:16, endln:830:19, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.LAT_DIVSQRT + |vpiName:LAT_DIVSQRT + |vpiInstance: + \_package: ariane_pkg (ariane_pkg::) dut.sv:674:1: , endln:1483:11, parent:work@top + |vpiTypespec: + \_string_typespec: (default), line:2004:20, endln:2004:27 + |vpiName:default + |vpiOperand: + \_operation: , line:2005:18, endln:2005:41 + |vpiOpType:75 + |vpiOperand: + \_tagged_pattern: + |vpiPattern: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.LAT_NONCOMP), line:2005:29, endln:2005:40 + |vpiName:LAT_NONCOMP + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.LAT_NONCOMP + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.LAT_NONCOMP), line:831:29, endln:831:51, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i + |vpiName:LAT_NONCOMP + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.LAT_NONCOMP + |vpiImported:ariane_pkg + |vpiLocalParam:1 + |DEC:1 + |vpiTypespec: + \_int_typespec: (LAT_NONCOMP), line:831:16, endln:831:19, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.LAT_NONCOMP + |vpiName:LAT_NONCOMP + |vpiInstance: + \_package: ariane_pkg (ariane_pkg::) dut.sv:674:1: , endln:1483:11, parent:work@top + |vpiTypespec: + \_string_typespec: (default), line:2005:20, endln:2005:27 + |vpiName:default + |vpiOperand: + \_operation: , line:2006:18, endln:2006:38 + |vpiOpType:75 + |vpiOperand: + \_tagged_pattern: + |vpiPattern: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.LAT_CONV), line:2006:29, endln:2006:37 + |vpiName:LAT_CONV + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.LAT_CONV + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.LAT_CONV), line:832:29, endln:832:51, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i + |vpiName:LAT_CONV + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.LAT_CONV + |vpiImported:ariane_pkg + |vpiLocalParam:1 + |DEC:2 + |vpiTypespec: + \_int_typespec: (LAT_CONV), line:832:16, endln:832:19, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.LAT_CONV + |vpiName:LAT_CONV + |vpiInstance: + \_package: ariane_pkg (ariane_pkg::) dut.sv:674:1: , endln:1483:11, parent:work@top + |vpiTypespec: + \_string_typespec: (default), line:2006:20, endln:2006:27 + |vpiName:default |vpiTypespec: - \_string_typespec: (PipeRegs), line:1727:5, endln:1727:13 + \_string_typespec: (PipeRegs), line:2002:7, endln:2002:15 |vpiName:PipeRegs |vpiOperand: \_tagged_pattern: |vpiPattern: - \_operation: , line:1728:17, endln:1731:38 + \_operation: , line:2007:18, endln:2010:50 |vpiOpType:75 |vpiOperand: - \_operation: , line:1728:19, endln:1728:39 + \_operation: , line:2007:20, endln:2007:51 |vpiOpType:75 |vpiOperand: \_tagged_pattern: |vpiPattern: - \_constant: , line:1728:30, endln:1728:38 + \_constant: , line:2007:31, endln:2007:50 |vpiConstType:7 |vpiDecompile:1 |vpiSize:64 |INT:1 |vpiTypespec: - \_string_typespec: (default), line:1728:21, endln:1728:28 + \_string_typespec: (default), line:2007:22, endln:2007:29 |vpiName:default |vpiOperand: - \_operation: , line:1729:19, endln:1729:37 + \_operation: , line:2008:20, endln:2008:49 |vpiOpType:75 |vpiOperand: \_tagged_pattern: |vpiPattern: - \_constant: , line:1729:30, endln:1729:36 + \_constant: , line:2008:31, endln:2008:48 |vpiConstType:7 |vpiDecompile:2 |vpiSize:64 |INT:2 |vpiTypespec: - \_string_typespec: (default), line:1729:21, endln:1729:28 + \_string_typespec: (default), line:2008:22, endln:2008:29 |vpiName:default |vpiOperand: - \_operation: , line:1730:19, endln:1730:39 + \_operation: , line:2009:20, endln:2009:51 |vpiOpType:75 |vpiOperand: \_tagged_pattern: |vpiPattern: - \_constant: , line:1730:30, endln:1730:38 + \_constant: , line:2009:31, endln:2009:50 |vpiConstType:7 |vpiDecompile:1 |vpiSize:64 |INT:1 |vpiTypespec: - \_string_typespec: (default), line:1730:21, endln:1730:28 + \_string_typespec: (default), line:2009:22, endln:2009:29 |vpiName:default |vpiOperand: - \_operation: , line:1731:19, endln:1731:37 + \_operation: , line:2010:20, endln:2010:49 |vpiOpType:75 |vpiOperand: \_tagged_pattern: |vpiPattern: - \_constant: , line:1731:30, endln:1731:36 + \_constant: , line:2010:31, endln:2010:48 |vpiConstType:7 |vpiDecompile:2 |vpiSize:64 |INT:2 |vpiTypespec: - \_string_typespec: (default), line:1731:21, endln:1731:28 + \_string_typespec: (default), line:2010:22, endln:2010:29 |vpiName:default |vpiTypespec: - \_string_typespec: (UnitTypes), line:1728:5, endln:1728:14 + \_string_typespec: (UnitTypes), line:2007:7, endln:2007:16 |vpiName:UnitTypes |vpiOperand: \_tagged_pattern: |vpiPattern: - \_constant: , line:1732:17, endln:1732:23 + \_constant: , line:2011:19, endln:2011:41 |vpiConstType:7 - |vpiDecompile:0 + |vpiDecompile:3 |vpiSize:64 - |INT:0 + |INT:3 |vpiTypespec: - \_string_typespec: (PipeConfig), line:1732:5, endln:1732:15 + \_string_typespec: (PipeConfig), line:2011:7, endln:2011:17 |vpiName:PipeConfig + |vpiTypespec: + \_struct_typespec: (fpnew_pkg::fpu_implementation_t), line:1720:11, endln:1720:17 + |vpiPacked:1 + |vpiName:fpnew_pkg::fpu_implementation_t + |vpiTypespecMember: + \_typespec_member: (PipeRegs), line:1721:28, endln:1721:36, parent:fpnew_pkg::fpu_implementation_t + |vpiName:PipeRegs + |vpiTypespec: + \_logic_typespec: (opgrp_fmt_unsigned_t), parent:PipeRegs + |vpiName:opgrp_fmt_unsigned_t + |vpiElemTypespec: + \_logic_typespec: , parent:opgrp_fmt_unsigned_t + |vpiElemTypespec: + \_logic_typespec: (fmt_unsigned_t), line:1529:11, endln:1529:16 + |vpiName:fmt_unsigned_t + |vpiRange: + \_range: , line:1529:18, endln:1529:36, parent:fmt_unsigned_t + |vpiLeftRange: + \_constant: , line:1529:18, endln:1529:19 + |vpiConstType:9 + |vpiDecompile:0 + |vpiSize:64 + |UINT:0 + |vpiRightRange: + \_operation: , line:1529:20, endln:1529:34 + |vpiOpType:11 + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.fpnew_pkg::fpu_implementation_t.PipeRegs.opgrp_fmt_unsigned_t.fmt_unsigned_t.NUM_FP_FORMATS), line:1529:20, endln:1529:34 + |vpiName:NUM_FP_FORMATS + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.fpnew_pkg::fpu_implementation_t.PipeRegs.opgrp_fmt_unsigned_t.fmt_unsigned_t.NUM_FP_FORMATS + |vpiOperand: + \_constant: , line:1529:35, endln:1529:36 + |vpiConstType:9 + |vpiDecompile:1 + |vpiSize:64 + |UINT:1 + |vpiRange: + \_range: , line:1529:38, endln:1529:42, parent:fmt_unsigned_t + |vpiLeftRange: + \_constant: , line:1529:38, endln:1529:40 + |vpiConstType:9 + |vpiDecompile:31 + |vpiSize:64 + |UINT:31 + |vpiRightRange: + \_constant: , line:1529:41, endln:1529:42 + |vpiConstType:9 + |vpiDecompile:0 + |vpiSize:64 + |UINT:0 + |vpiTypedefAlias: + \_logic_typespec: (fmt_unsigned_t), line:1529:11, endln:1529:16, parent:fmt_unsigned_t + |vpiName:fmt_unsigned_t + |vpiRange: + \_range: , line:1529:18, endln:1529:36, parent:fmt_unsigned_t + |vpiLeftRange: + \_constant: , line:1529:18, endln:1529:19 + |vpiConstType:9 + |vpiDecompile:0 + |vpiSize:64 + |UINT:0 + |vpiRightRange: + \_operation: , line:1529:20, endln:1529:34 + |vpiOpType:11 + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.fpnew_pkg::fpu_implementation_t.PipeRegs.opgrp_fmt_unsigned_t.fmt_unsigned_t.fmt_unsigned_t.NUM_FP_FORMATS), line:1529:20, endln:1529:34 + |vpiName:NUM_FP_FORMATS + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.fpnew_pkg::fpu_implementation_t.PipeRegs.opgrp_fmt_unsigned_t.fmt_unsigned_t.fmt_unsigned_t.NUM_FP_FORMATS + |vpiOperand: + \_constant: , line:1529:35, endln:1529:36 + |vpiConstType:9 + |vpiDecompile:1 + |vpiSize:64 + |UINT:1 + |vpiRange: + \_range: , line:1529:38, endln:1529:42, parent:fmt_unsigned_t + |vpiLeftRange: + \_constant: , line:1529:38, endln:1529:40 + |vpiConstType:9 + |vpiDecompile:31 + |vpiSize:64 + |UINT:31 + |vpiRightRange: + \_constant: , line:1529:41, endln:1529:42 + |vpiConstType:9 + |vpiDecompile:0 + |vpiSize:64 + |UINT:0 + |vpiInstance: + \_package: fpnew_pkg (fpnew_pkg::) dut.sv:1485:1: , endln:1955:11, parent:work@top + |vpiInstance: + \_package: fpnew_pkg (fpnew_pkg::) dut.sv:1485:1: , endln:1955:11, parent:work@top + |vpiRange: + \_range: , line:1659:27, endln:1659:43 + |vpiLeftRange: + \_constant: , line:1659:27, endln:1659:28 + |vpiConstType:9 + |vpiDecompile:0 + |vpiSize:64 + |UINT:0 + |vpiRightRange: + \_constant: , line:1659:29, endln:1659:41 + |vpiConstType:7 + |vpiDecompile:3 + |vpiSize:64 + |INT:3 + |vpiTypedefAlias: + \_logic_typespec: + |vpiElemTypespec: + \_logic_typespec: (fmt_unsigned_t), line:1529:11, endln:1529:16 + |vpiName:fmt_unsigned_t + |vpiRange: + \_range: , line:1529:18, endln:1529:36, parent:fmt_unsigned_t + |vpiLeftRange: + \_constant: , line:1529:18, endln:1529:19 + |vpiConstType:9 + |vpiDecompile:0 + |vpiSize:64 + |UINT:0 + |vpiRightRange: + \_operation: , line:1529:20, endln:1529:34 + |vpiOpType:11 + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.fpnew_pkg::fpu_implementation_t.PipeRegs.opgrp_fmt_unsigned_t.fmt_unsigned_t.NUM_FP_FORMATS), line:1529:20, endln:1529:34 + |vpiName:NUM_FP_FORMATS + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.fpnew_pkg::fpu_implementation_t.PipeRegs.opgrp_fmt_unsigned_t.fmt_unsigned_t.NUM_FP_FORMATS + |vpiOperand: + \_constant: , line:1529:35, endln:1529:36 + |vpiConstType:9 + |vpiDecompile:1 + |vpiSize:64 + |UINT:1 + |vpiRange: + \_range: , line:1529:38, endln:1529:42, parent:fmt_unsigned_t + |vpiLeftRange: + \_constant: , line:1529:38, endln:1529:40 + |vpiConstType:9 + |vpiDecompile:31 + |vpiSize:64 + |UINT:31 + |vpiRightRange: + \_constant: , line:1529:41, endln:1529:42 + |vpiConstType:9 + |vpiDecompile:0 + |vpiSize:64 + |UINT:0 + |vpiTypedefAlias: + \_logic_typespec: (fmt_unsigned_t), line:1529:11, endln:1529:16, parent:fmt_unsigned_t + |vpiName:fmt_unsigned_t + |vpiRange: + \_range: , line:1529:18, endln:1529:36, parent:fmt_unsigned_t + |vpiLeftRange: + \_constant: , line:1529:18, endln:1529:19 + |vpiConstType:9 + |vpiDecompile:0 + |vpiSize:64 + |UINT:0 + |vpiRightRange: + \_operation: , line:1529:20, endln:1529:34 + |vpiOpType:11 + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.fpnew_pkg::fpu_implementation_t.PipeRegs.opgrp_fmt_unsigned_t.fmt_unsigned_t.fmt_unsigned_t.NUM_FP_FORMATS), line:1529:20, endln:1529:34 + |vpiName:NUM_FP_FORMATS + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.fpnew_pkg::fpu_implementation_t.PipeRegs.opgrp_fmt_unsigned_t.fmt_unsigned_t.fmt_unsigned_t.NUM_FP_FORMATS + |vpiOperand: + \_constant: , line:1529:35, endln:1529:36 + |vpiConstType:9 + |vpiDecompile:1 + |vpiSize:64 + |UINT:1 + |vpiRange: + \_range: , line:1529:38, endln:1529:42, parent:fmt_unsigned_t + |vpiLeftRange: + \_constant: , line:1529:38, endln:1529:40 + |vpiConstType:9 + |vpiDecompile:31 + |vpiSize:64 + |UINT:31 + |vpiRightRange: + \_constant: , line:1529:41, endln:1529:42 + |vpiConstType:9 + |vpiDecompile:0 + |vpiSize:64 + |UINT:0 + |vpiInstance: + \_package: fpnew_pkg (fpnew_pkg::) dut.sv:1485:1: , endln:1955:11, parent:work@top + |vpiInstance: + \_package: fpnew_pkg (fpnew_pkg::) dut.sv:1485:1: , endln:1955:11, parent:work@top + |vpiRange: + \_range: , line:1659:27, endln:1659:43 + |vpiLeftRange: + \_constant: , line:1659:27, endln:1659:28 + |vpiConstType:9 + |vpiDecompile:0 + |vpiSize:64 + |UINT:0 + |vpiRightRange: + \_constant: , line:1659:29, endln:1659:41 + |vpiConstType:7 + |vpiDecompile:3 + |vpiSize:64 + |INT:3 + |vpiInstance: + \_package: fpnew_pkg (fpnew_pkg::) dut.sv:1485:1: , endln:1955:11, parent:work@top + |vpiInstance: + \_package: fpnew_pkg (fpnew_pkg::) dut.sv:1485:1: , endln:1955:11, parent:work@top + |vpiRange: + \_range: , line:1659:27, endln:1659:43, parent:opgrp_fmt_unsigned_t + |vpiLeftRange: + \_constant: , line:1659:27, endln:1659:28 + |vpiConstType:9 + |vpiDecompile:0 + |vpiSize:64 + |UINT:0 + |vpiRightRange: + \_constant: , line:1659:29, endln:1659:41 + |vpiConstType:7 + |vpiDecompile:3 + |vpiSize:64 + |INT:3 + |vpiInstance: + \_package: fpnew_pkg (fpnew_pkg::) dut.sv:1485:1: , endln:1955:11, parent:work@top + |vpiTypespecMember: + \_typespec_member: (UnitTypes), line:1722:28, endln:1722:37, parent:fpnew_pkg::fpu_implementation_t + |vpiName:UnitTypes + |vpiTypespec: + \_packed_array_typespec: (opgrp_fmt_unit_types_t), parent:UnitTypes + |vpiName:opgrp_fmt_unit_types_t + |vpiRange: + \_range: , line:1654:24, endln:1654:42, parent:opgrp_fmt_unit_types_t + |vpiLeftRange: + \_constant: , line:1654:24, endln:1654:25 + |vpiConstType:9 + |vpiDecompile:0 + |vpiSize:64 + |UINT:0 + |vpiRightRange: + \_constant: , line:1654:26, endln:1654:40 + |vpiConstType:7 + |vpiDecompile:4 + |vpiSize:64 + |INT:4 + |vpiElemTypespec: + \_enum_typespec: (fpnew_pkg::unit_type_t), line:1651:5, endln:1651:16, parent:opgrp_fmt_unit_types_t + |vpiName:fpnew_pkg::unit_type_t + |vpiBaseTypespec: + \_logic_typespec: , line:1647:16, endln:1647:21, parent:fpnew_pkg::unit_type_t + |vpiRange: + \_range: , line:1647:23, endln:1647:26 + |vpiLeftRange: + \_constant: , line:1647:23, endln:1647:24 + |vpiConstType:9 + |vpiDecompile:1 + |vpiSize:64 + |UINT:1 + |vpiRightRange: + \_constant: , line:1647:25, endln:1647:26 + |vpiConstType:9 + |vpiDecompile:0 + |vpiSize:64 + |UINT:0 + |vpiInstance: + \_package: fpnew_pkg (fpnew_pkg::) dut.sv:1485:1: , endln:1955:11, parent:work@top + |vpiEnumConst: + \_enum_const: (DISABLED), line:1648:5, endln:1648:13, parent:fpnew_pkg::unit_type_t + |vpiName:DISABLED + |vpiDecompile:0 + |INT:0 + |vpiSize:64 + |vpiEnumConst: + \_enum_const: (PARALLEL), line:1649:5, endln:1649:13, parent:fpnew_pkg::unit_type_t + |vpiName:PARALLEL + |vpiDecompile:1 + |INT:1 + |vpiSize:64 + |vpiEnumConst: + \_enum_const: (MERGED), line:1650:5, endln:1650:11, parent:fpnew_pkg::unit_type_t + |vpiName:MERGED + |vpiDecompile:2 + |INT:2 + |vpiSize:64 + |vpiInstance: + \_package: fpnew_pkg (fpnew_pkg::) dut.sv:1485:1: , endln:1955:11, parent:work@top + |vpiTypedefAlias: + \_packed_array_typespec: (fmt_unit_types_t), parent:opgrp_fmt_unit_types_t + |vpiName:fmt_unit_types_t + |vpiRange: + \_range: , line:1654:24, endln:1654:42, parent:fmt_unit_types_t + |vpiLeftRange: + \_constant: , line:1654:24, endln:1654:25 + |vpiConstType:9 + |vpiDecompile:0 + |vpiSize:64 + |UINT:0 + |vpiRightRange: + \_constant: , line:1654:26, endln:1654:40 + |vpiConstType:7 + |vpiDecompile:4 + |vpiSize:64 + |INT:4 + |vpiElemTypespec: + \_enum_typespec: (fpnew_pkg::unit_type_t), line:1651:5, endln:1651:16, parent:fmt_unit_types_t + |vpiName:fpnew_pkg::unit_type_t + |vpiBaseTypespec: + \_logic_typespec: , line:1647:16, endln:1647:21, parent:fpnew_pkg::unit_type_t + |vpiRange: + \_range: , line:1647:23, endln:1647:26 + |vpiLeftRange: + \_constant: , line:1647:23, endln:1647:24 + |vpiConstType:9 + |vpiDecompile:1 + |vpiSize:64 + |UINT:1 + |vpiRightRange: + \_constant: , line:1647:25, endln:1647:26 + |vpiConstType:9 + |vpiDecompile:0 + |vpiSize:64 + |UINT:0 + |vpiInstance: + \_package: fpnew_pkg (fpnew_pkg::) dut.sv:1485:1: , endln:1955:11, parent:work@top + |vpiEnumConst: + \_enum_const: (DISABLED), line:1648:5, endln:1648:13, parent:fpnew_pkg::unit_type_t + |vpiName:DISABLED + |vpiDecompile:0 + |INT:0 + |vpiSize:64 + |vpiEnumConst: + \_enum_const: (PARALLEL), line:1649:5, endln:1649:13, parent:fpnew_pkg::unit_type_t + |vpiName:PARALLEL + |vpiDecompile:1 + |INT:1 + |vpiSize:64 + |vpiEnumConst: + \_enum_const: (MERGED), line:1650:5, endln:1650:11, parent:fpnew_pkg::unit_type_t + |vpiName:MERGED + |vpiDecompile:2 + |INT:2 + |vpiSize:64 + |vpiInstance: + \_package: fpnew_pkg (fpnew_pkg::) dut.sv:1485:1: , endln:1955:11, parent:work@top + |vpiTypedefAlias: + \_packed_array_typespec: , parent:fmt_unit_types_t + |vpiRange: + \_range: , line:1654:24, endln:1654:42 + |vpiLeftRange: + \_constant: , line:1654:24, endln:1654:25 + |vpiConstType:9 + |vpiDecompile:0 + |vpiSize:64 + |UINT:0 + |vpiRightRange: + \_constant: , line:1654:26, endln:1654:40 + |vpiConstType:7 + |vpiDecompile:4 + |vpiSize:64 + |INT:4 + |vpiElemTypespec: + \_enum_typespec: (fpnew_pkg::unit_type_t), line:1651:5, endln:1651:16 + |vpiName:fpnew_pkg::unit_type_t + |vpiBaseTypespec: + \_logic_typespec: , line:1647:16, endln:1647:21, parent:fpnew_pkg::unit_type_t + |vpiRange: + \_range: , line:1647:23, endln:1647:26 + |vpiLeftRange: + \_constant: , line:1647:23, endln:1647:24 + |vpiConstType:9 + |vpiDecompile:1 + |vpiSize:64 + |UINT:1 + |vpiRightRange: + \_constant: , line:1647:25, endln:1647:26 + |vpiConstType:9 + |vpiDecompile:0 + |vpiSize:64 + |UINT:0 + |vpiInstance: + \_package: fpnew_pkg (fpnew_pkg::) dut.sv:1485:1: , endln:1955:11, parent:work@top + |vpiEnumConst: + \_enum_const: (DISABLED), line:1648:5, endln:1648:13, parent:fpnew_pkg::unit_type_t + |vpiName:DISABLED + |vpiDecompile:0 + |INT:0 + |vpiSize:64 + |vpiEnumConst: + \_enum_const: (PARALLEL), line:1649:5, endln:1649:13, parent:fpnew_pkg::unit_type_t + |vpiName:PARALLEL + |vpiDecompile:1 + |INT:1 + |vpiSize:64 + |vpiEnumConst: + \_enum_const: (MERGED), line:1650:5, endln:1650:11, parent:fpnew_pkg::unit_type_t + |vpiName:MERGED + |vpiDecompile:2 + |INT:2 + |vpiSize:64 + |vpiInstance: + \_package: fpnew_pkg (fpnew_pkg::) dut.sv:1485:1: , endln:1955:11, parent:work@top + |vpiInstance: + \_package: fpnew_pkg (fpnew_pkg::) dut.sv:1485:1: , endln:1955:11, parent:work@top + |vpiInstance: + \_package: fpnew_pkg (fpnew_pkg::) dut.sv:1485:1: , endln:1955:11, parent:work@top + |vpiInstance: + \_package: fpnew_pkg (fpnew_pkg::) dut.sv:1485:1: , endln:1955:11, parent:work@top + |vpiTypespecMember: + \_typespec_member: (PipeConfig), line:1723:28, endln:1723:38, parent:fpnew_pkg::fpu_implementation_t + |vpiName:PipeConfig + |vpiTypespec: + \_enum_typespec: (fpnew_pkg::pipe_config_t), line:1644:5, endln:1644:18, parent:PipeConfig + |vpiName:fpnew_pkg::pipe_config_t + |vpiBaseTypespec: + \_logic_typespec: , line:1639:16, endln:1639:21, parent:fpnew_pkg::pipe_config_t + |vpiRange: + \_range: , line:1639:23, endln:1639:26 + |vpiLeftRange: + \_constant: , line:1639:23, endln:1639:24 + |vpiConstType:9 + |vpiDecompile:1 + |vpiSize:64 + |UINT:1 + |vpiRightRange: + \_constant: , line:1639:25, endln:1639:26 + |vpiConstType:9 + |vpiDecompile:0 + |vpiSize:64 + |UINT:0 + |vpiInstance: + \_package: fpnew_pkg (fpnew_pkg::) dut.sv:1485:1: , endln:1955:11, parent:work@top + |vpiEnumConst: + \_enum_const: (BEFORE), line:1640:5, endln:1640:11, parent:fpnew_pkg::pipe_config_t + |vpiName:BEFORE + |vpiDecompile:0 + |INT:0 + |vpiSize:64 + |vpiEnumConst: + \_enum_const: (AFTER), line:1641:5, endln:1641:10, parent:fpnew_pkg::pipe_config_t + |vpiName:AFTER + |vpiDecompile:1 + |INT:1 + |vpiSize:64 + |vpiEnumConst: + \_enum_const: (INSIDE), line:1642:5, endln:1642:11, parent:fpnew_pkg::pipe_config_t + |vpiName:INSIDE + |vpiDecompile:2 + |INT:2 + |vpiSize:64 + |vpiEnumConst: + \_enum_const: (DISTRIBUTED), line:1643:5, endln:1643:16, parent:fpnew_pkg::pipe_config_t + |vpiName:DISTRIBUTED + |vpiDecompile:3 + |INT:3 + |vpiSize:64 + |vpiInstance: + \_package: fpnew_pkg (fpnew_pkg::) dut.sv:1485:1: , endln:1955:11, parent:work@top + |vpiInstance: + \_package: fpnew_pkg (fpnew_pkg::) dut.sv:1485:1: , endln:1955:11, parent:work@top |vpiLhs: \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.Implementation), line:1961:45, endln:1961:87 |vpiName:Implementation @@ -105813,60 +107006,35 @@ design: (work@top) \_operation: , line:1997:22, endln:1997:52 |vpiOpType:33 |vpiOperand: - \_constant: , line:1997:23, endln:1997:26 - |vpiConstType:7 - |vpiDecompile:1 - |vpiSize:64 - |INT:1 - |vpiTypespec: - \_bit_typespec: (RVF), line:813:16, endln:813:19 - |vpiName:RVF - |vpiInstance: - \_package: ariane_pkg (ariane_pkg::) dut.sv:674:1: , endln:1483:11, parent:work@top + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.RVF), line:1997:23, endln:1997:26 + |vpiName:RVF + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.RVF + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.RVF), line:813:20, endln:813:42, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i |vpiOperand: - \_constant: , line:1997:28, endln:1997:31 - |vpiConstType:7 - |vpiDecompile:1 - |vpiSize:64 - |INT:1 - |vpiTypespec: - \_bit_typespec: (RVD), line:814:16, endln:814:19 - |vpiName:RVD - |vpiInstance: - \_package: ariane_pkg (ariane_pkg::) dut.sv:674:1: , endln:1483:11, parent:work@top + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.RVD), line:1997:28, endln:1997:31 + |vpiName:RVD + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.RVD + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.RVD), line:814:20, endln:814:42, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i |vpiOperand: - \_constant: , line:1997:33, endln:1997:37 - |vpiConstType:17 - |vpiDecompile:0 - |vpiSize:1 - |SCAL:0 - |vpiTypespec: - \_bit_typespec: (XF16), line:819:16, endln:819:19 - |vpiName:XF16 - |vpiInstance: - \_package: ariane_pkg (ariane_pkg::) dut.sv:674:1: , endln:1483:11, parent:work@top + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.XF16), line:1997:33, endln:1997:37 + |vpiName:XF16 + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.XF16 + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.XF16), line:819:20, endln:819:34, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i |vpiOperand: - \_constant: , line:1997:39, endln:1997:42 - |vpiConstType:17 - |vpiDecompile:0 - |vpiSize:1 - |SCAL:0 - |vpiTypespec: - \_bit_typespec: (XF8), line:821:16, endln:821:19 - |vpiName:XF8 - |vpiInstance: - \_package: ariane_pkg (ariane_pkg::) dut.sv:674:1: , endln:1483:11, parent:work@top + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.XF8), line:1997:39, endln:1997:42 + |vpiName:XF8 + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.XF8 + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.XF8), line:821:20, endln:821:34, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i |vpiOperand: - \_constant: , line:1997:44, endln:1997:51 - |vpiConstType:17 - |vpiDecompile:0 - |vpiSize:1 - |SCAL:0 - |vpiTypespec: - \_bit_typespec: (XF16ALT), line:820:16, endln:820:19 - |vpiName:XF16ALT - |vpiInstance: - \_package: ariane_pkg (ariane_pkg::) dut.sv:674:1: , endln:1483:11, parent:work@top + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.XF16ALT), line:1997:44, endln:1997:51 + |vpiName:XF16ALT + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.XF16ALT + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.XF16ALT), line:820:20, endln:820:34, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i |vpiTypespec: \_string_typespec: (FpFmtMask), line:1997:7, endln:1997:16 |vpiName:FpFmtMask @@ -105879,66 +107047,41 @@ design: (work@top) \_operation: , line:1998:23, endln:1998:28 |vpiOpType:26 |vpiOperand: - \_constant: , line:1998:23, endln:1998:28 - |vpiConstType:17 - |vpiDecompile:0 - |vpiSize:1 - |SCAL:0 - |vpiTypespec: - \_bit_typespec: (XFVEC), line:822:16, endln:822:19 - |vpiName:XFVEC - |vpiInstance: - \_package: ariane_pkg (ariane_pkg::) dut.sv:674:1: , endln:1483:11, parent:work@top + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.XFVEC), line:1998:23, endln:1998:28 + |vpiName:XFVEC + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.XFVEC + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.XFVEC), line:822:20, endln:822:34, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i |vpiOperand: - \_constant: , line:1998:32, endln:1998:35 - |vpiConstType:17 - |vpiDecompile:0 - |vpiSize:1 - |SCAL:0 - |vpiTypespec: - \_bit_typespec: (XF8), line:821:16, endln:821:19 - |vpiName:XF8 - |vpiInstance: - \_package: ariane_pkg (ariane_pkg::) dut.sv:674:1: , endln:1483:11, parent:work@top + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.XF8), line:1998:32, endln:1998:35 + |vpiName:XF8 + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.XF8 + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.XF8), line:821:20, endln:821:34, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i |vpiOperand: \_operation: , line:1998:37, endln:1998:42 |vpiOpType:26 |vpiOperand: - \_constant: , line:1998:37, endln:1998:42 - |vpiConstType:17 - |vpiDecompile:0 - |vpiSize:1 - |SCAL:0 - |vpiTypespec: - \_bit_typespec: (XFVEC), line:822:16, endln:822:19 - |vpiName:XFVEC - |vpiInstance: - \_package: ariane_pkg (ariane_pkg::) dut.sv:674:1: , endln:1483:11, parent:work@top + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.XFVEC), line:1998:37, endln:1998:42 + |vpiName:XFVEC + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.XFVEC + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.XFVEC), line:822:20, endln:822:34, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i |vpiOperand: \_operation: , line:1998:47, endln:1998:62 |vpiOpType:27 |vpiOperand: - \_constant: , line:1998:47, endln:1998:51 - |vpiConstType:17 - |vpiDecompile:0 - |vpiSize:1 - |SCAL:0 - |vpiTypespec: - \_bit_typespec: (XF16), line:819:16, endln:819:19 - |vpiName:XF16 - |vpiInstance: - \_package: ariane_pkg (ariane_pkg::) dut.sv:674:1: , endln:1483:11, parent:work@top + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.XF16), line:1998:47, endln:1998:51 + |vpiName:XF16 + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.XF16 + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.XF16), line:819:20, endln:819:34, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i |vpiOperand: - \_constant: , line:1998:55, endln:1998:62 - |vpiConstType:17 - |vpiDecompile:0 - |vpiSize:1 - |SCAL:0 - |vpiTypespec: - \_bit_typespec: (XF16ALT), line:820:16, endln:820:19 - |vpiName:XF16ALT - |vpiInstance: - \_package: ariane_pkg (ariane_pkg::) dut.sv:674:1: , endln:1483:11, parent:work@top + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.XF16ALT), line:1998:55, endln:1998:62 + |vpiName:XF16ALT + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.XF16ALT + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.XF16ALT), line:820:20, endln:820:34, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i |vpiOperand: \_constant: , line:1998:65, endln:1998:69 |vpiConstType:3 @@ -105954,6 +107097,143 @@ design: (work@top) |vpiTypespec: \_string_typespec: (IntFmtMask), line:1998:7, endln:1998:17 |vpiName:IntFmtMask + |vpiTypespec: + \_struct_typespec: (fpnew_pkg::fpu_features_t), line:1662:11, endln:1662:17 + |vpiPacked:1 + |vpiName:fpnew_pkg::fpu_features_t + |vpiTypespecMember: + \_typespec_member: (Width), line:1663:18, endln:1663:23, parent:fpnew_pkg::fpu_features_t + |vpiName:Width + |vpiTypespec: + \_int_typespec: , line:1663:5, endln:1663:8, parent:Width + |vpiInstance: + \_package: fpnew_pkg (fpnew_pkg::) dut.sv:1485:1: , endln:1955:11, parent:work@top + |vpiTypespecMember: + \_typespec_member: (EnableVectors), line:1664:18, endln:1664:31, parent:fpnew_pkg::fpu_features_t + |vpiName:EnableVectors + |vpiTypespec: + \_logic_typespec: , line:1664:5, endln:1664:10, parent:EnableVectors + |vpiInstance: + \_package: fpnew_pkg (fpnew_pkg::) dut.sv:1485:1: , endln:1955:11, parent:work@top + |vpiTypespecMember: + \_typespec_member: (EnableNanBox), line:1665:18, endln:1665:30, parent:fpnew_pkg::fpu_features_t + |vpiName:EnableNanBox + |vpiTypespec: + \_logic_typespec: , line:1665:5, endln:1665:10, parent:EnableNanBox + |vpiInstance: + \_package: fpnew_pkg (fpnew_pkg::) dut.sv:1485:1: , endln:1955:11, parent:work@top + |vpiTypespecMember: + \_typespec_member: (FpFmtMask), line:1666:18, endln:1666:27, parent:fpnew_pkg::fpu_features_t + |vpiName:FpFmtMask + |vpiTypespec: + \_logic_typespec: (fmt_logic_t), line:1528:11, endln:1528:16, parent:FpFmtMask + |vpiName:fmt_logic_t + |vpiRange: + \_range: , line:1528:18, endln:1528:36, parent:fmt_logic_t + |vpiLeftRange: + \_constant: , line:1528:18, endln:1528:19 + |vpiConstType:9 + |vpiDecompile:0 + |vpiSize:64 + |UINT:0 + |vpiRightRange: + \_operation: , line:1528:20, endln:1528:34 + |vpiOpType:11 + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.fpnew_pkg::fpu_features_t.FpFmtMask.fmt_logic_t.NUM_FP_FORMATS), line:1528:20, endln:1528:34 + |vpiName:NUM_FP_FORMATS + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.fpnew_pkg::fpu_features_t.FpFmtMask.fmt_logic_t.NUM_FP_FORMATS + |vpiOperand: + \_constant: , line:1528:35, endln:1528:36 + |vpiConstType:9 + |vpiDecompile:1 + |vpiSize:64 + |UINT:1 + |vpiTypedefAlias: + \_logic_typespec: (fmt_logic_t), line:1528:11, endln:1528:16, parent:fmt_logic_t + |vpiName:fmt_logic_t + |vpiRange: + \_range: , line:1528:18, endln:1528:36, parent:fmt_logic_t + |vpiLeftRange: + \_constant: , line:1528:18, endln:1528:19 + |vpiConstType:9 + |vpiDecompile:0 + |vpiSize:64 + |UINT:0 + |vpiRightRange: + \_operation: , line:1528:20, endln:1528:34 + |vpiOpType:11 + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.fpnew_pkg::fpu_features_t.FpFmtMask.fmt_logic_t.fmt_logic_t.NUM_FP_FORMATS), line:1528:20, endln:1528:34 + |vpiName:NUM_FP_FORMATS + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.fpnew_pkg::fpu_features_t.FpFmtMask.fmt_logic_t.fmt_logic_t.NUM_FP_FORMATS + |vpiOperand: + \_constant: , line:1528:35, endln:1528:36 + |vpiConstType:9 + |vpiDecompile:1 + |vpiSize:64 + |UINT:1 + |vpiInstance: + \_package: fpnew_pkg (fpnew_pkg::) dut.sv:1485:1: , endln:1955:11, parent:work@top + |vpiInstance: + \_package: fpnew_pkg (fpnew_pkg::) dut.sv:1485:1: , endln:1955:11, parent:work@top + |vpiTypespecMember: + \_typespec_member: (IntFmtMask), line:1667:18, endln:1667:28, parent:fpnew_pkg::fpu_features_t + |vpiName:IntFmtMask + |vpiTypespec: + \_logic_typespec: (ifmt_logic_t), line:1566:11, endln:1566:16, parent:IntFmtMask + |vpiName:ifmt_logic_t + |vpiRange: + \_range: , line:1566:18, endln:1566:37, parent:ifmt_logic_t + |vpiLeftRange: + \_constant: , line:1566:18, endln:1566:19 + |vpiConstType:9 + |vpiDecompile:0 + |vpiSize:64 + |UINT:0 + |vpiRightRange: + \_operation: , line:1566:20, endln:1566:35 + |vpiOpType:11 + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.fpnew_pkg::fpu_features_t.IntFmtMask.ifmt_logic_t.NUM_INT_FORMATS), line:1566:20, endln:1566:35 + |vpiName:NUM_INT_FORMATS + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.fpnew_pkg::fpu_features_t.IntFmtMask.ifmt_logic_t.NUM_INT_FORMATS + |vpiOperand: + \_constant: , line:1566:36, endln:1566:37 + |vpiConstType:9 + |vpiDecompile:1 + |vpiSize:64 + |UINT:1 + |vpiTypedefAlias: + \_logic_typespec: (ifmt_logic_t), line:1566:11, endln:1566:16, parent:ifmt_logic_t + |vpiName:ifmt_logic_t + |vpiRange: + \_range: , line:1566:18, endln:1566:37, parent:ifmt_logic_t + |vpiLeftRange: + \_constant: , line:1566:18, endln:1566:19 + |vpiConstType:9 + |vpiDecompile:0 + |vpiSize:64 + |UINT:0 + |vpiRightRange: + \_operation: , line:1566:20, endln:1566:35 + |vpiOpType:11 + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.fpnew_pkg::fpu_features_t.IntFmtMask.ifmt_logic_t.ifmt_logic_t.NUM_INT_FORMATS), line:1566:20, endln:1566:35 + |vpiName:NUM_INT_FORMATS + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.fpnew_pkg::fpu_features_t.IntFmtMask.ifmt_logic_t.ifmt_logic_t.NUM_INT_FORMATS + |vpiOperand: + \_constant: , line:1566:36, endln:1566:37 + |vpiConstType:9 + |vpiDecompile:1 + |vpiSize:64 + |UINT:1 + |vpiInstance: + \_package: fpnew_pkg (fpnew_pkg::) dut.sv:1485:1: , endln:1955:11, parent:work@top + |vpiInstance: + \_package: fpnew_pkg (fpnew_pkg::) dut.sv:1485:1: , endln:1955:11, parent:work@top + |vpiInstance: + \_package: fpnew_pkg (fpnew_pkg::) dut.sv:1485:1: , endln:1955:11, parent:work@top |vpiLhs: \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.FPU_FEATURES), line:1993:38, endln:1999:6, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen |vpiParamAssign: @@ -105970,187 +107250,611 @@ design: (work@top) \_operation: , line:2003:18, endln:2003:96 |vpiOpType:75 |vpiOperand: - \_constant: , line:2003:20, endln:2003:33 - |vpiConstType:7 - |vpiDecompile:2 - |INT:2 - |vpiTypespec: - \_int_typespec: (LAT_COMP_FP32), line:825:16, endln:825:19 - |vpiName:LAT_COMP_FP32 - |vpiInstance: - \_package: ariane_pkg (ariane_pkg::) dut.sv:674:1: , endln:1483:11, parent:work@top + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.LAT_COMP_FP32), line:2003:20, endln:2003:33 + |vpiName:LAT_COMP_FP32 + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.LAT_COMP_FP32 + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.LAT_COMP_FP32), line:825:29, endln:825:51, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i |vpiOperand: - \_constant: , line:2003:35, endln:2003:48 - |vpiConstType:7 - |vpiDecompile:3 - |INT:3 + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.LAT_COMP_FP64), line:2003:35, endln:2003:48 + |vpiName:LAT_COMP_FP64 + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.LAT_COMP_FP64 + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.LAT_COMP_FP64), line:826:29, endln:826:51, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.LAT_COMP_FP16), line:2003:50, endln:2003:63 + |vpiName:LAT_COMP_FP16 + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.LAT_COMP_FP16 + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.LAT_COMP_FP16), line:827:29, endln:827:51, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.LAT_COMP_FP8), line:2003:65, endln:2003:77 + |vpiName:LAT_COMP_FP8 + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.LAT_COMP_FP8 + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.LAT_COMP_FP8), line:829:29, endln:829:51, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.LAT_COMP_FP16ALT), line:2003:79, endln:2003:95 + |vpiName:LAT_COMP_FP16ALT + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.LAT_COMP_FP16ALT + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.LAT_COMP_FP16ALT), line:828:29, endln:828:51, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i + |vpiOperand: + \_operation: , line:2004:18, endln:2004:41 + |vpiOpType:75 + |vpiOperand: + \_tagged_pattern: + |vpiPattern: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.LAT_DIVSQRT), line:2004:29, endln:2004:40 + |vpiName:LAT_DIVSQRT + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.LAT_DIVSQRT + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.LAT_DIVSQRT), line:830:29, endln:830:51, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i |vpiTypespec: - \_int_typespec: (LAT_COMP_FP64), line:826:16, endln:826:19 - |vpiName:LAT_COMP_FP64 - |vpiInstance: - \_package: ariane_pkg (ariane_pkg::) dut.sv:674:1: , endln:1483:11, parent:work@top + \_string_typespec: (default), line:2004:20, endln:2004:27 + |vpiName:default + |vpiOperand: + \_operation: , line:2005:18, endln:2005:41 + |vpiOpType:75 |vpiOperand: - \_constant: , line:2003:50, endln:2003:63 - |vpiConstType:7 - |vpiDecompile:1 - |INT:1 + \_tagged_pattern: + |vpiPattern: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.LAT_NONCOMP), line:2005:29, endln:2005:40 + |vpiName:LAT_NONCOMP + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.LAT_NONCOMP + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.LAT_NONCOMP), line:831:29, endln:831:51, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i |vpiTypespec: - \_int_typespec: (LAT_COMP_FP16), line:827:16, endln:827:19 - |vpiName:LAT_COMP_FP16 - |vpiInstance: - \_package: ariane_pkg (ariane_pkg::) dut.sv:674:1: , endln:1483:11, parent:work@top + \_string_typespec: (default), line:2005:20, endln:2005:27 + |vpiName:default + |vpiOperand: + \_operation: , line:2006:18, endln:2006:38 + |vpiOpType:75 |vpiOperand: - \_constant: , line:2003:65, endln:2003:77 - |vpiConstType:7 - |vpiDecompile:1 - |INT:1 + \_tagged_pattern: + |vpiPattern: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.LAT_CONV), line:2006:29, endln:2006:37 + |vpiName:LAT_CONV + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.LAT_CONV + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.LAT_CONV), line:832:29, endln:832:51, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i |vpiTypespec: - \_int_typespec: (LAT_COMP_FP8), line:829:16, endln:829:19 - |vpiName:LAT_COMP_FP8 - |vpiInstance: - \_package: ariane_pkg (ariane_pkg::) dut.sv:674:1: , endln:1483:11, parent:work@top + \_string_typespec: (default), line:2006:20, endln:2006:27 + |vpiName:default + |vpiTypespec: + \_string_typespec: (PipeRegs), line:2002:7, endln:2002:15 + |vpiName:PipeRegs + |vpiOperand: + \_tagged_pattern: + |vpiPattern: + \_operation: , line:2007:18, endln:2010:50 + |vpiOpType:75 + |vpiOperand: + \_operation: , line:2007:20, endln:2007:51 + |vpiOpType:75 |vpiOperand: - \_constant: , line:2003:79, endln:2003:95 - |vpiConstType:7 - |vpiDecompile:1 - |INT:1 + \_tagged_pattern: + |vpiPattern: + \_constant: , line:2007:31, endln:2007:50 + |vpiConstType:7 + |vpiDecompile:1 + |vpiSize:64 + |INT:1 |vpiTypespec: - \_int_typespec: (LAT_COMP_FP16ALT), line:828:16, endln:828:19 - |vpiName:LAT_COMP_FP16ALT - |vpiInstance: - \_package: ariane_pkg (ariane_pkg::) dut.sv:674:1: , endln:1483:11, parent:work@top + \_string_typespec: (default), line:2007:22, endln:2007:29 + |vpiName:default |vpiOperand: - \_operation: , line:2004:18, endln:2004:41 + \_operation: , line:2008:20, endln:2008:49 |vpiOpType:75 |vpiOperand: \_tagged_pattern: |vpiPattern: - \_constant: , line:2004:29, endln:2004:40 + \_constant: , line:2008:31, endln:2008:48 |vpiConstType:7 |vpiDecompile:2 + |vpiSize:64 |INT:2 - |vpiTypespec: - \_int_typespec: (LAT_DIVSQRT), line:830:16, endln:830:19 - |vpiName:LAT_DIVSQRT - |vpiInstance: - \_package: ariane_pkg (ariane_pkg::) dut.sv:674:1: , endln:1483:11, parent:work@top |vpiTypespec: - \_string_typespec: (default), line:2004:20, endln:2004:27 + \_string_typespec: (default), line:2008:22, endln:2008:29 |vpiName:default |vpiOperand: - \_operation: , line:2005:18, endln:2005:41 + \_operation: , line:2009:20, endln:2009:51 |vpiOpType:75 |vpiOperand: \_tagged_pattern: |vpiPattern: - \_constant: , line:2005:29, endln:2005:40 + \_constant: , line:2009:31, endln:2009:50 |vpiConstType:7 |vpiDecompile:1 + |vpiSize:64 |INT:1 - |vpiTypespec: - \_int_typespec: (LAT_NONCOMP), line:831:16, endln:831:19 - |vpiName:LAT_NONCOMP - |vpiInstance: - \_package: ariane_pkg (ariane_pkg::) dut.sv:674:1: , endln:1483:11, parent:work@top |vpiTypespec: - \_string_typespec: (default), line:2005:20, endln:2005:27 + \_string_typespec: (default), line:2009:22, endln:2009:29 |vpiName:default |vpiOperand: - \_operation: , line:2006:18, endln:2006:38 + \_operation: , line:2010:20, endln:2010:49 |vpiOpType:75 |vpiOperand: \_tagged_pattern: |vpiPattern: - \_constant: , line:2006:29, endln:2006:37 + \_constant: , line:2010:31, endln:2010:48 |vpiConstType:7 |vpiDecompile:2 + |vpiSize:64 |INT:2 - |vpiTypespec: - \_int_typespec: (LAT_CONV), line:832:16, endln:832:19 - |vpiName:LAT_CONV + |vpiTypespec: + \_string_typespec: (default), line:2010:22, endln:2010:29 + |vpiName:default + |vpiTypespec: + \_string_typespec: (UnitTypes), line:2007:7, endln:2007:16 + |vpiName:UnitTypes + |vpiOperand: + \_tagged_pattern: + |vpiPattern: + \_constant: , line:2011:19, endln:2011:41 + |vpiConstType:7 + |vpiDecompile:3 + |vpiSize:64 + |INT:3 + |vpiTypespec: + \_string_typespec: (PipeConfig), line:2011:7, endln:2011:17 + |vpiName:PipeConfig + |vpiTypespec: + \_struct_typespec: (fpnew_pkg::fpu_implementation_t), line:1720:11, endln:1720:17 + |vpiPacked:1 + |vpiName:fpnew_pkg::fpu_implementation_t + |vpiTypespecMember: + \_typespec_member: (PipeRegs), line:1721:28, endln:1721:36, parent:fpnew_pkg::fpu_implementation_t + |vpiName:PipeRegs + |vpiTypespec: + \_logic_typespec: (opgrp_fmt_unsigned_t), parent:PipeRegs + |vpiName:opgrp_fmt_unsigned_t + |vpiElemTypespec: + \_logic_typespec: , parent:opgrp_fmt_unsigned_t + |vpiElemTypespec: + \_logic_typespec: (fmt_unsigned_t), line:1529:11, endln:1529:16 + |vpiName:fmt_unsigned_t + |vpiRange: + \_range: , line:1529:18, endln:1529:36, parent:fmt_unsigned_t + |vpiLeftRange: + \_constant: , line:1529:18, endln:1529:19 + |vpiConstType:9 + |vpiDecompile:0 + |vpiSize:64 + |UINT:0 + |vpiRightRange: + \_operation: , line:1529:20, endln:1529:34 + |vpiOpType:11 + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.fpnew_pkg::fpu_implementation_t.PipeRegs.opgrp_fmt_unsigned_t.fmt_unsigned_t.NUM_FP_FORMATS), line:1529:20, endln:1529:34 + |vpiName:NUM_FP_FORMATS + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.fpnew_pkg::fpu_implementation_t.PipeRegs.opgrp_fmt_unsigned_t.fmt_unsigned_t.NUM_FP_FORMATS + |vpiOperand: + \_constant: , line:1529:35, endln:1529:36 + |vpiConstType:9 + |vpiDecompile:1 + |vpiSize:64 + |UINT:1 + |vpiRange: + \_range: , line:1529:38, endln:1529:42, parent:fmt_unsigned_t + |vpiLeftRange: + \_constant: , line:1529:38, endln:1529:40 + |vpiConstType:9 + |vpiDecompile:31 + |vpiSize:64 + |UINT:31 + |vpiRightRange: + \_constant: , line:1529:41, endln:1529:42 + |vpiConstType:9 + |vpiDecompile:0 + |vpiSize:64 + |UINT:0 + |vpiTypedefAlias: + \_logic_typespec: (fmt_unsigned_t), line:1529:11, endln:1529:16, parent:fmt_unsigned_t + |vpiName:fmt_unsigned_t + |vpiRange: + \_range: , line:1529:18, endln:1529:36, parent:fmt_unsigned_t + |vpiLeftRange: + \_constant: , line:1529:18, endln:1529:19 + |vpiConstType:9 + |vpiDecompile:0 + |vpiSize:64 + |UINT:0 + |vpiRightRange: + \_operation: , line:1529:20, endln:1529:34 + |vpiOpType:11 + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.fpnew_pkg::fpu_implementation_t.PipeRegs.opgrp_fmt_unsigned_t.fmt_unsigned_t.fmt_unsigned_t.NUM_FP_FORMATS), line:1529:20, endln:1529:34 + |vpiName:NUM_FP_FORMATS + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.fpnew_pkg::fpu_implementation_t.PipeRegs.opgrp_fmt_unsigned_t.fmt_unsigned_t.fmt_unsigned_t.NUM_FP_FORMATS + |vpiOperand: + \_constant: , line:1529:35, endln:1529:36 + |vpiConstType:9 + |vpiDecompile:1 + |vpiSize:64 + |UINT:1 + |vpiRange: + \_range: , line:1529:38, endln:1529:42, parent:fmt_unsigned_t + |vpiLeftRange: + \_constant: , line:1529:38, endln:1529:40 + |vpiConstType:9 + |vpiDecompile:31 + |vpiSize:64 + |UINT:31 + |vpiRightRange: + \_constant: , line:1529:41, endln:1529:42 + |vpiConstType:9 + |vpiDecompile:0 + |vpiSize:64 + |UINT:0 |vpiInstance: - \_package: ariane_pkg (ariane_pkg::) dut.sv:674:1: , endln:1483:11, parent:work@top - |vpiTypespec: - \_string_typespec: (default), line:2006:20, endln:2006:27 - |vpiName:default - |vpiTypespec: - \_string_typespec: (PipeRegs), line:2002:7, endln:2002:15 - |vpiName:PipeRegs - |vpiOperand: - \_tagged_pattern: - |vpiPattern: - \_operation: , line:2007:18, endln:2010:50 - |vpiOpType:75 - |vpiOperand: - \_operation: , line:2007:20, endln:2007:51 - |vpiOpType:75 - |vpiOperand: - \_tagged_pattern: - |vpiPattern: - \_constant: , line:2007:31, endln:2007:50 - |vpiConstType:7 - |vpiDecompile:1 + \_package: fpnew_pkg (fpnew_pkg::) dut.sv:1485:1: , endln:1955:11, parent:work@top + |vpiInstance: + \_package: fpnew_pkg (fpnew_pkg::) dut.sv:1485:1: , endln:1955:11, parent:work@top + |vpiRange: + \_range: , line:1659:27, endln:1659:43 + |vpiLeftRange: + \_constant: , line:1659:27, endln:1659:28 + |vpiConstType:9 + |vpiDecompile:0 + |vpiSize:64 + |UINT:0 + |vpiRightRange: + \_constant: , line:1659:29, endln:1659:41 + |vpiConstType:7 + |vpiDecompile:3 + |vpiSize:64 + |INT:3 + |vpiTypedefAlias: + \_logic_typespec: + |vpiElemTypespec: + \_logic_typespec: (fmt_unsigned_t), line:1529:11, endln:1529:16 + |vpiName:fmt_unsigned_t + |vpiRange: + \_range: , line:1529:18, endln:1529:36, parent:fmt_unsigned_t + |vpiLeftRange: + \_constant: , line:1529:18, endln:1529:19 + |vpiConstType:9 + |vpiDecompile:0 + |vpiSize:64 + |UINT:0 + |vpiRightRange: + \_operation: , line:1529:20, endln:1529:34 + |vpiOpType:11 + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.fpnew_pkg::fpu_implementation_t.PipeRegs.opgrp_fmt_unsigned_t.fmt_unsigned_t.NUM_FP_FORMATS), line:1529:20, endln:1529:34 + |vpiName:NUM_FP_FORMATS + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.fpnew_pkg::fpu_implementation_t.PipeRegs.opgrp_fmt_unsigned_t.fmt_unsigned_t.NUM_FP_FORMATS + |vpiOperand: + \_constant: , line:1529:35, endln:1529:36 + |vpiConstType:9 + |vpiDecompile:1 + |vpiSize:64 + |UINT:1 + |vpiRange: + \_range: , line:1529:38, endln:1529:42, parent:fmt_unsigned_t + |vpiLeftRange: + \_constant: , line:1529:38, endln:1529:40 + |vpiConstType:9 + |vpiDecompile:31 + |vpiSize:64 + |UINT:31 + |vpiRightRange: + \_constant: , line:1529:41, endln:1529:42 + |vpiConstType:9 + |vpiDecompile:0 + |vpiSize:64 + |UINT:0 + |vpiTypedefAlias: + \_logic_typespec: (fmt_unsigned_t), line:1529:11, endln:1529:16, parent:fmt_unsigned_t + |vpiName:fmt_unsigned_t + |vpiRange: + \_range: , line:1529:18, endln:1529:36, parent:fmt_unsigned_t + |vpiLeftRange: + \_constant: , line:1529:18, endln:1529:19 + |vpiConstType:9 + |vpiDecompile:0 + |vpiSize:64 + |UINT:0 + |vpiRightRange: + \_operation: , line:1529:20, endln:1529:34 + |vpiOpType:11 + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.fpnew_pkg::fpu_implementation_t.PipeRegs.opgrp_fmt_unsigned_t.fmt_unsigned_t.fmt_unsigned_t.NUM_FP_FORMATS), line:1529:20, endln:1529:34 + |vpiName:NUM_FP_FORMATS + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.fpnew_pkg::fpu_implementation_t.PipeRegs.opgrp_fmt_unsigned_t.fmt_unsigned_t.fmt_unsigned_t.NUM_FP_FORMATS + |vpiOperand: + \_constant: , line:1529:35, endln:1529:36 + |vpiConstType:9 + |vpiDecompile:1 + |vpiSize:64 + |UINT:1 + |vpiRange: + \_range: , line:1529:38, endln:1529:42, parent:fmt_unsigned_t + |vpiLeftRange: + \_constant: , line:1529:38, endln:1529:40 + |vpiConstType:9 + |vpiDecompile:31 + |vpiSize:64 + |UINT:31 + |vpiRightRange: + \_constant: , line:1529:41, endln:1529:42 + |vpiConstType:9 + |vpiDecompile:0 + |vpiSize:64 + |UINT:0 + |vpiInstance: + \_package: fpnew_pkg (fpnew_pkg::) dut.sv:1485:1: , endln:1955:11, parent:work@top + |vpiInstance: + \_package: fpnew_pkg (fpnew_pkg::) dut.sv:1485:1: , endln:1955:11, parent:work@top + |vpiRange: + \_range: , line:1659:27, endln:1659:43 + |vpiLeftRange: + \_constant: , line:1659:27, endln:1659:28 + |vpiConstType:9 + |vpiDecompile:0 + |vpiSize:64 + |UINT:0 + |vpiRightRange: + \_constant: , line:1659:29, endln:1659:41 + |vpiConstType:7 + |vpiDecompile:3 + |vpiSize:64 + |INT:3 + |vpiInstance: + \_package: fpnew_pkg (fpnew_pkg::) dut.sv:1485:1: , endln:1955:11, parent:work@top + |vpiInstance: + \_package: fpnew_pkg (fpnew_pkg::) dut.sv:1485:1: , endln:1955:11, parent:work@top + |vpiRange: + \_range: , line:1659:27, endln:1659:43, parent:opgrp_fmt_unsigned_t + |vpiLeftRange: + \_constant: , line:1659:27, endln:1659:28 + |vpiConstType:9 + |vpiDecompile:0 |vpiSize:64 - |INT:1 - |vpiTypespec: - \_string_typespec: (default), line:2007:22, endln:2007:29 - |vpiName:default - |vpiOperand: - \_operation: , line:2008:20, endln:2008:49 - |vpiOpType:75 - |vpiOperand: - \_tagged_pattern: - |vpiPattern: - \_constant: , line:2008:31, endln:2008:48 + |UINT:0 + |vpiRightRange: + \_constant: , line:1659:29, endln:1659:41 |vpiConstType:7 - |vpiDecompile:2 + |vpiDecompile:3 |vpiSize:64 - |INT:2 - |vpiTypespec: - \_string_typespec: (default), line:2008:22, endln:2008:29 - |vpiName:default - |vpiOperand: - \_operation: , line:2009:20, endln:2009:51 - |vpiOpType:75 - |vpiOperand: - \_tagged_pattern: - |vpiPattern: - \_constant: , line:2009:31, endln:2009:50 + |INT:3 + |vpiInstance: + \_package: fpnew_pkg (fpnew_pkg::) dut.sv:1485:1: , endln:1955:11, parent:work@top + |vpiTypespecMember: + \_typespec_member: (UnitTypes), line:1722:28, endln:1722:37, parent:fpnew_pkg::fpu_implementation_t + |vpiName:UnitTypes + |vpiTypespec: + \_packed_array_typespec: (opgrp_fmt_unit_types_t), parent:UnitTypes + |vpiName:opgrp_fmt_unit_types_t + |vpiRange: + \_range: , line:1654:24, endln:1654:42, parent:opgrp_fmt_unit_types_t + |vpiLeftRange: + \_constant: , line:1654:24, endln:1654:25 + |vpiConstType:9 + |vpiDecompile:0 + |vpiSize:64 + |UINT:0 + |vpiRightRange: + \_constant: , line:1654:26, endln:1654:40 |vpiConstType:7 - |vpiDecompile:1 + |vpiDecompile:4 + |vpiSize:64 + |INT:4 + |vpiElemTypespec: + \_enum_typespec: (fpnew_pkg::unit_type_t), line:1651:5, endln:1651:16, parent:opgrp_fmt_unit_types_t + |vpiName:fpnew_pkg::unit_type_t + |vpiBaseTypespec: + \_logic_typespec: , line:1647:16, endln:1647:21, parent:fpnew_pkg::unit_type_t + |vpiRange: + \_range: , line:1647:23, endln:1647:26 + |vpiLeftRange: + \_constant: , line:1647:23, endln:1647:24 + |vpiConstType:9 + |vpiDecompile:1 + |vpiSize:64 + |UINT:1 + |vpiRightRange: + \_constant: , line:1647:25, endln:1647:26 + |vpiConstType:9 + |vpiDecompile:0 + |vpiSize:64 + |UINT:0 + |vpiInstance: + \_package: fpnew_pkg (fpnew_pkg::) dut.sv:1485:1: , endln:1955:11, parent:work@top + |vpiEnumConst: + \_enum_const: (DISABLED), line:1648:5, endln:1648:13, parent:fpnew_pkg::unit_type_t + |vpiName:DISABLED + |vpiDecompile:0 + |INT:0 |vpiSize:64 + |vpiEnumConst: + \_enum_const: (PARALLEL), line:1649:5, endln:1649:13, parent:fpnew_pkg::unit_type_t + |vpiName:PARALLEL + |vpiDecompile:1 |INT:1 - |vpiTypespec: - \_string_typespec: (default), line:2009:22, endln:2009:29 - |vpiName:default - |vpiOperand: - \_operation: , line:2010:20, endln:2010:49 - |vpiOpType:75 - |vpiOperand: - \_tagged_pattern: - |vpiPattern: - \_constant: , line:2010:31, endln:2010:48 - |vpiConstType:7 - |vpiDecompile:2 |vpiSize:64 + |vpiEnumConst: + \_enum_const: (MERGED), line:1650:5, endln:1650:11, parent:fpnew_pkg::unit_type_t + |vpiName:MERGED + |vpiDecompile:2 |INT:2 - |vpiTypespec: - \_string_typespec: (default), line:2010:22, endln:2010:29 - |vpiName:default - |vpiTypespec: - \_string_typespec: (UnitTypes), line:2007:7, endln:2007:16 - |vpiName:UnitTypes - |vpiOperand: - \_tagged_pattern: - |vpiPattern: - \_constant: , line:2011:19, endln:2011:41 - |vpiConstType:7 - |vpiDecompile:3 - |vpiSize:64 - |INT:3 - |vpiTypespec: - \_string_typespec: (PipeConfig), line:2011:7, endln:2011:17 + |vpiSize:64 + |vpiInstance: + \_package: fpnew_pkg (fpnew_pkg::) dut.sv:1485:1: , endln:1955:11, parent:work@top + |vpiTypedefAlias: + \_packed_array_typespec: (fmt_unit_types_t), parent:opgrp_fmt_unit_types_t + |vpiName:fmt_unit_types_t + |vpiRange: + \_range: , line:1654:24, endln:1654:42, parent:fmt_unit_types_t + |vpiLeftRange: + \_constant: , line:1654:24, endln:1654:25 + |vpiConstType:9 + |vpiDecompile:0 + |vpiSize:64 + |UINT:0 + |vpiRightRange: + \_constant: , line:1654:26, endln:1654:40 + |vpiConstType:7 + |vpiDecompile:4 + |vpiSize:64 + |INT:4 + |vpiElemTypespec: + \_enum_typespec: (fpnew_pkg::unit_type_t), line:1651:5, endln:1651:16, parent:fmt_unit_types_t + |vpiName:fpnew_pkg::unit_type_t + |vpiBaseTypespec: + \_logic_typespec: , line:1647:16, endln:1647:21, parent:fpnew_pkg::unit_type_t + |vpiRange: + \_range: , line:1647:23, endln:1647:26 + |vpiLeftRange: + \_constant: , line:1647:23, endln:1647:24 + |vpiConstType:9 + |vpiDecompile:1 + |vpiSize:64 + |UINT:1 + |vpiRightRange: + \_constant: , line:1647:25, endln:1647:26 + |vpiConstType:9 + |vpiDecompile:0 + |vpiSize:64 + |UINT:0 + |vpiInstance: + \_package: fpnew_pkg (fpnew_pkg::) dut.sv:1485:1: , endln:1955:11, parent:work@top + |vpiEnumConst: + \_enum_const: (DISABLED), line:1648:5, endln:1648:13, parent:fpnew_pkg::unit_type_t + |vpiName:DISABLED + |vpiDecompile:0 + |INT:0 + |vpiSize:64 + |vpiEnumConst: + \_enum_const: (PARALLEL), line:1649:5, endln:1649:13, parent:fpnew_pkg::unit_type_t + |vpiName:PARALLEL + |vpiDecompile:1 + |INT:1 + |vpiSize:64 + |vpiEnumConst: + \_enum_const: (MERGED), line:1650:5, endln:1650:11, parent:fpnew_pkg::unit_type_t + |vpiName:MERGED + |vpiDecompile:2 + |INT:2 + |vpiSize:64 + |vpiInstance: + \_package: fpnew_pkg (fpnew_pkg::) dut.sv:1485:1: , endln:1955:11, parent:work@top + |vpiTypedefAlias: + \_packed_array_typespec: , parent:fmt_unit_types_t + |vpiRange: + \_range: , line:1654:24, endln:1654:42 + |vpiLeftRange: + \_constant: , line:1654:24, endln:1654:25 + |vpiConstType:9 + |vpiDecompile:0 + |vpiSize:64 + |UINT:0 + |vpiRightRange: + \_constant: , line:1654:26, endln:1654:40 + |vpiConstType:7 + |vpiDecompile:4 + |vpiSize:64 + |INT:4 + |vpiElemTypespec: + \_enum_typespec: (fpnew_pkg::unit_type_t), line:1651:5, endln:1651:16 + |vpiName:fpnew_pkg::unit_type_t + |vpiBaseTypespec: + \_logic_typespec: , line:1647:16, endln:1647:21, parent:fpnew_pkg::unit_type_t + |vpiRange: + \_range: , line:1647:23, endln:1647:26 + |vpiLeftRange: + \_constant: , line:1647:23, endln:1647:24 + |vpiConstType:9 + |vpiDecompile:1 + |vpiSize:64 + |UINT:1 + |vpiRightRange: + \_constant: , line:1647:25, endln:1647:26 + |vpiConstType:9 + |vpiDecompile:0 + |vpiSize:64 + |UINT:0 + |vpiInstance: + \_package: fpnew_pkg (fpnew_pkg::) dut.sv:1485:1: , endln:1955:11, parent:work@top + |vpiEnumConst: + \_enum_const: (DISABLED), line:1648:5, endln:1648:13, parent:fpnew_pkg::unit_type_t + |vpiName:DISABLED + |vpiDecompile:0 + |INT:0 + |vpiSize:64 + |vpiEnumConst: + \_enum_const: (PARALLEL), line:1649:5, endln:1649:13, parent:fpnew_pkg::unit_type_t + |vpiName:PARALLEL + |vpiDecompile:1 + |INT:1 + |vpiSize:64 + |vpiEnumConst: + \_enum_const: (MERGED), line:1650:5, endln:1650:11, parent:fpnew_pkg::unit_type_t + |vpiName:MERGED + |vpiDecompile:2 + |INT:2 + |vpiSize:64 + |vpiInstance: + \_package: fpnew_pkg (fpnew_pkg::) dut.sv:1485:1: , endln:1955:11, parent:work@top + |vpiInstance: + \_package: fpnew_pkg (fpnew_pkg::) dut.sv:1485:1: , endln:1955:11, parent:work@top + |vpiInstance: + \_package: fpnew_pkg (fpnew_pkg::) dut.sv:1485:1: , endln:1955:11, parent:work@top + |vpiInstance: + \_package: fpnew_pkg (fpnew_pkg::) dut.sv:1485:1: , endln:1955:11, parent:work@top + |vpiTypespecMember: + \_typespec_member: (PipeConfig), line:1723:28, endln:1723:38, parent:fpnew_pkg::fpu_implementation_t |vpiName:PipeConfig + |vpiTypespec: + \_enum_typespec: (fpnew_pkg::pipe_config_t), line:1644:5, endln:1644:18, parent:PipeConfig + |vpiName:fpnew_pkg::pipe_config_t + |vpiBaseTypespec: + \_logic_typespec: , line:1639:16, endln:1639:21, parent:fpnew_pkg::pipe_config_t + |vpiRange: + \_range: , line:1639:23, endln:1639:26 + |vpiLeftRange: + \_constant: , line:1639:23, endln:1639:24 + |vpiConstType:9 + |vpiDecompile:1 + |vpiSize:64 + |UINT:1 + |vpiRightRange: + \_constant: , line:1639:25, endln:1639:26 + |vpiConstType:9 + |vpiDecompile:0 + |vpiSize:64 + |UINT:0 + |vpiInstance: + \_package: fpnew_pkg (fpnew_pkg::) dut.sv:1485:1: , endln:1955:11, parent:work@top + |vpiEnumConst: + \_enum_const: (BEFORE), line:1640:5, endln:1640:11, parent:fpnew_pkg::pipe_config_t + |vpiName:BEFORE + |vpiDecompile:0 + |INT:0 + |vpiSize:64 + |vpiEnumConst: + \_enum_const: (AFTER), line:1641:5, endln:1641:10, parent:fpnew_pkg::pipe_config_t + |vpiName:AFTER + |vpiDecompile:1 + |INT:1 + |vpiSize:64 + |vpiEnumConst: + \_enum_const: (INSIDE), line:1642:5, endln:1642:11, parent:fpnew_pkg::pipe_config_t + |vpiName:INSIDE + |vpiDecompile:2 + |INT:2 + |vpiSize:64 + |vpiEnumConst: + \_enum_const: (DISTRIBUTED), line:1643:5, endln:1643:16, parent:fpnew_pkg::pipe_config_t + |vpiName:DISTRIBUTED + |vpiDecompile:3 + |INT:3 + |vpiSize:64 + |vpiInstance: + \_package: fpnew_pkg (fpnew_pkg::) dut.sv:1485:1: , endln:1955:11, parent:work@top + |vpiInstance: + \_package: fpnew_pkg (fpnew_pkg::) dut.sv:1485:1: , endln:1955:11, parent:work@top |vpiLhs: \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.FPU_IMPLEMENTATION), line:2001:44, endln:2012:6, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen |vpiTaskFunc: @@ -109515,100 +111219,20 @@ design: (work@top) |UINT:1 |vpiParameter: \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.LAT_COMP_FP16), line:827:29, endln:827:51, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i - |vpiName:LAT_COMP_FP16 - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.LAT_COMP_FP16 - |vpiImported:ariane_pkg - |vpiLocalParam:1 - |DEC:1 - |vpiTypespec: - \_int_typespec: (LAT_COMP_FP16), line:827:16, endln:827:19, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.LAT_COMP_FP16 - |vpiName:LAT_COMP_FP16 - |vpiInstance: - \_package: ariane_pkg (ariane_pkg::) dut.sv:674:1: , endln:1483:11, parent:work@top |vpiParameter: \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.LAT_COMP_FP16ALT), line:828:29, endln:828:51, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i - |vpiName:LAT_COMP_FP16ALT - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.LAT_COMP_FP16ALT - |vpiImported:ariane_pkg - |vpiLocalParam:1 - |DEC:1 - |vpiTypespec: - \_int_typespec: (LAT_COMP_FP16ALT), line:828:16, endln:828:19, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.LAT_COMP_FP16ALT - |vpiName:LAT_COMP_FP16ALT - |vpiInstance: - \_package: ariane_pkg (ariane_pkg::) dut.sv:674:1: , endln:1483:11, parent:work@top |vpiParameter: \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.LAT_COMP_FP32), line:825:29, endln:825:51, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i - |vpiName:LAT_COMP_FP32 - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.LAT_COMP_FP32 - |vpiImported:ariane_pkg - |vpiLocalParam:1 - |DEC:2 - |vpiTypespec: - \_int_typespec: (LAT_COMP_FP32), line:825:16, endln:825:19, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.LAT_COMP_FP32 - |vpiName:LAT_COMP_FP32 - |vpiInstance: - \_package: ariane_pkg (ariane_pkg::) dut.sv:674:1: , endln:1483:11, parent:work@top |vpiParameter: \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.LAT_COMP_FP64), line:826:29, endln:826:51, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i - |vpiName:LAT_COMP_FP64 - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.LAT_COMP_FP64 - |vpiImported:ariane_pkg - |vpiLocalParam:1 - |DEC:3 - |vpiTypespec: - \_int_typespec: (LAT_COMP_FP64), line:826:16, endln:826:19, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.LAT_COMP_FP64 - |vpiName:LAT_COMP_FP64 - |vpiInstance: - \_package: ariane_pkg (ariane_pkg::) dut.sv:674:1: , endln:1483:11, parent:work@top |vpiParameter: \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.LAT_COMP_FP8), line:829:29, endln:829:51, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i - |vpiName:LAT_COMP_FP8 - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.LAT_COMP_FP8 - |vpiImported:ariane_pkg - |vpiLocalParam:1 - |DEC:1 - |vpiTypespec: - \_int_typespec: (LAT_COMP_FP8), line:829:16, endln:829:19, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.LAT_COMP_FP8 - |vpiName:LAT_COMP_FP8 - |vpiInstance: - \_package: ariane_pkg (ariane_pkg::) dut.sv:674:1: , endln:1483:11, parent:work@top |vpiParameter: \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.LAT_CONV), line:832:29, endln:832:51, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i - |vpiName:LAT_CONV - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.LAT_CONV - |vpiImported:ariane_pkg - |vpiLocalParam:1 - |DEC:2 - |vpiTypespec: - \_int_typespec: (LAT_CONV), line:832:16, endln:832:19, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.LAT_CONV - |vpiName:LAT_CONV - |vpiInstance: - \_package: ariane_pkg (ariane_pkg::) dut.sv:674:1: , endln:1483:11, parent:work@top |vpiParameter: \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.LAT_DIVSQRT), line:830:29, endln:830:51, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i - |vpiName:LAT_DIVSQRT - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.LAT_DIVSQRT - |vpiImported:ariane_pkg - |vpiLocalParam:1 - |DEC:2 - |vpiTypespec: - \_int_typespec: (LAT_DIVSQRT), line:830:16, endln:830:19, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.LAT_DIVSQRT - |vpiName:LAT_DIVSQRT - |vpiInstance: - \_package: ariane_pkg (ariane_pkg::) dut.sv:674:1: , endln:1483:11, parent:work@top |vpiParameter: \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.LAT_NONCOMP), line:831:29, endln:831:51, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i - |vpiName:LAT_NONCOMP - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.LAT_NONCOMP - |vpiImported:ariane_pkg - |vpiLocalParam:1 - |DEC:1 - |vpiTypespec: - \_int_typespec: (LAT_NONCOMP), line:831:16, endln:831:19, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.LAT_NONCOMP - |vpiName:LAT_NONCOMP - |vpiInstance: - \_package: ariane_pkg (ariane_pkg::) dut.sv:674:1: , endln:1483:11, parent:work@top |vpiParameter: \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.MachineIrq), line:1014:16, endln:1014:30, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i |vpiName:MachineIrq @@ -109708,28 +111332,8 @@ design: (work@top) \_package: ariane_pkg (ariane_pkg::) dut.sv:674:1: , endln:1483:11, parent:work@top |vpiParameter: \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.RVD), line:814:20, endln:814:42, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i - |vpiName:RVD - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.RVD - |vpiImported:ariane_pkg - |vpiLocalParam:1 - |INT:1 - |vpiTypespec: - \_bit_typespec: (RVD), line:814:16, endln:814:19, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.RVD - |vpiName:RVD - |vpiInstance: - \_package: ariane_pkg (ariane_pkg::) dut.sv:674:1: , endln:1483:11, parent:work@top |vpiParameter: \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.RVF), line:813:20, endln:813:42, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i - |vpiName:RVF - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.RVF - |vpiImported:ariane_pkg - |vpiLocalParam:1 - |INT:1 - |vpiTypespec: - \_bit_typespec: (RVF), line:813:16, endln:813:19, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.RVF - |vpiName:RVF - |vpiInstance: - \_package: ariane_pkg (ariane_pkg::) dut.sv:674:1: , endln:1483:11, parent:work@top |vpiParameter: \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.RVFVEC), line:848:20, endln:848:58, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i |vpiName:RVFVEC @@ -109808,28 +111412,8 @@ design: (work@top) |UINT:3 |vpiParameter: \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.XF16), line:819:20, endln:819:34, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i - |vpiName:XF16 - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.XF16 - |vpiImported:ariane_pkg - |vpiLocalParam:1 - |BIN:0 - |vpiTypespec: - \_bit_typespec: (XF16), line:819:16, endln:819:19, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.XF16 - |vpiName:XF16 - |vpiInstance: - \_package: ariane_pkg (ariane_pkg::) dut.sv:674:1: , endln:1483:11, parent:work@top |vpiParameter: \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.XF16ALT), line:820:20, endln:820:34, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i - |vpiName:XF16ALT - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.XF16ALT - |vpiImported:ariane_pkg - |vpiLocalParam:1 - |BIN:0 - |vpiTypespec: - \_bit_typespec: (XF16ALT), line:820:16, endln:820:19, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.XF16ALT - |vpiName:XF16ALT - |vpiInstance: - \_package: ariane_pkg (ariane_pkg::) dut.sv:674:1: , endln:1483:11, parent:work@top |vpiParameter: \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.XF16ALTVEC), line:850:20, endln:850:58, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i |vpiName:XF16ALTVEC @@ -109856,16 +111440,6 @@ design: (work@top) \_package: ariane_pkg (ariane_pkg::) dut.sv:674:1: , endln:1483:11, parent:work@top |vpiParameter: \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.XF8), line:821:20, endln:821:34, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i - |vpiName:XF8 - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.XF8 - |vpiImported:ariane_pkg - |vpiLocalParam:1 - |BIN:0 - |vpiTypespec: - \_bit_typespec: (XF8), line:821:16, endln:821:19, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.XF8 - |vpiName:XF8 - |vpiInstance: - \_package: ariane_pkg (ariane_pkg::) dut.sv:674:1: , endln:1483:11, parent:work@top |vpiParameter: \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.XF8VEC), line:851:20, endln:851:57, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i |vpiName:XF8VEC @@ -109880,16 +111454,6 @@ design: (work@top) \_package: ariane_pkg (ariane_pkg::) dut.sv:674:1: , endln:1483:11, parent:work@top |vpiParameter: \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.XFVEC), line:822:20, endln:822:34, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i - |vpiName:XFVEC - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.XFVEC - |vpiImported:ariane_pkg - |vpiLocalParam:1 - |BIN:0 - |vpiTypespec: - \_bit_typespec: (XFVEC), line:822:16, endln:822:19, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.XFVEC - |vpiName:XFVEC - |vpiInstance: - \_package: ariane_pkg (ariane_pkg::) dut.sv:674:1: , endln:1483:11, parent:work@top |vpiParameter: \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.ZERO_TVAL), line:902:20, endln:902:36, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i |vpiName:ZERO_TVAL @@ -112276,178 +113840,10 @@ design: (work@top) |vpiParamAssign: \_param_assign: , line:2027:40, endln:2027:83, parent:work@top.i_ariane.ex_stage_i |vpiRhs: - \_operation: , line:2027:52, endln:2027:83 - |vpiOpType:75 - |vpiOperand: - \_tagged_pattern: - |vpiPattern: - \_constant: , line:707:17, endln:707:18 - |vpiConstType:9 - |vpiDecompile:2 - |vpiSize:64 - |UINT:2 - |vpiTypespec: - \_string_typespec: (RASDepth), line:707:7, endln:707:15 - |vpiName:RASDepth - |vpiOperand: - \_tagged_pattern: - |vpiPattern: - \_constant: , line:708:19, endln:708:21 - |vpiConstType:9 - |vpiDecompile:32 - |vpiSize:64 - |UINT:32 - |vpiTypespec: - \_string_typespec: (BTBEntries), line:708:7, endln:708:17 - |vpiName:BTBEntries - |vpiOperand: - \_tagged_pattern: - |vpiPattern: - \_constant: , line:709:19, endln:709:22 - |vpiConstType:9 - |vpiDecompile:128 - |vpiSize:64 - |UINT:128 - |vpiTypespec: - \_string_typespec: (BHTEntries), line:709:7, endln:709:17 - |vpiName:BHTEntries - |vpiOperand: - \_tagged_pattern: - |vpiPattern: - \_constant: , line:711:29, endln:711:30 - |vpiConstType:9 - |vpiDecompile:2 - |vpiSize:64 - |UINT:2 - |vpiTypespec: - \_string_typespec: (NrNonIdempotentRules), line:711:7, endln:711:27 - |vpiName:NrNonIdempotentRules - |vpiOperand: - \_tagged_pattern: - |vpiPattern: - \_constant: , line:712:30, endln:712:44 - |vpiConstType:3 - |vpiSize:128 - |BIN:00 - |vpiTypespec: - \_string_typespec: (NonIdempotentAddrBase), line:712:7, endln:712:28 - |vpiName:NonIdempotentAddrBase - |vpiOperand: - \_tagged_pattern: - |vpiPattern: - \_constant: , line:713:30, endln:713:44 - |vpiConstType:3 - |vpiSize:128 - |BIN:00 - |vpiTypespec: - \_string_typespec: (NonIdempotentLength), line:713:7, endln:713:26 - |vpiName:NonIdempotentLength - |vpiOperand: - \_tagged_pattern: - |vpiPattern: - \_constant: , line:714:29, endln:714:30 - |vpiConstType:9 - |vpiDecompile:3 - |vpiSize:64 - |UINT:3 - |vpiTypespec: - \_string_typespec: (NrExecuteRegionRules), line:714:7, endln:714:27 - |vpiName:NrExecuteRegionRules - |vpiOperand: - \_tagged_pattern: - |vpiPattern: - \_constant: , line:716:30, endln:716:64 - |vpiConstType:3 - |vpiSize:192 - |BIN:1000000000000000000000000000000010000000000000000 - |vpiTypespec: - \_string_typespec: (ExecuteRegionAddrBase), line:716:7, endln:716:28 - |vpiName:ExecuteRegionAddrBase - |vpiOperand: - \_tagged_pattern: - |vpiPattern: - \_constant: , line:717:30, endln:717:67 - |vpiConstType:3 - |vpiSize:192 - |BIN:1000000000000000000000000000000100000000000000001000000000000 - |vpiTypespec: - \_string_typespec: (ExecuteRegionLength), line:717:7, endln:717:26 - |vpiName:ExecuteRegionLength - |vpiOperand: - \_tagged_pattern: - |vpiPattern: - \_constant: , line:719:31, endln:719:32 - |vpiConstType:9 - |vpiDecompile:1 - |vpiSize:64 - |UINT:1 - |vpiTypespec: - \_string_typespec: (NrCachedRegionRules), line:719:7, endln:719:26 - |vpiName:NrCachedRegionRules - |vpiOperand: - \_tagged_pattern: - |vpiPattern: - \_constant: , line:720:30, endln:720:45 - |vpiConstType:3 - |vpiSize:64 - |BIN:10000000000000000000000000000000 - |vpiTypespec: - \_string_typespec: (CachedRegionAddrBase), line:720:7, endln:720:27 - |vpiName:CachedRegionAddrBase - |vpiOperand: - \_tagged_pattern: - |vpiPattern: - \_constant: , line:721:30, endln:721:44 - |vpiConstType:3 - |vpiSize:64 - |BIN:1000000000000000000000000000000 - |vpiTypespec: - \_string_typespec: (CachedRegionLength), line:721:7, endln:721:25 - |vpiName:CachedRegionLength - |vpiOperand: - \_tagged_pattern: - |vpiPattern: - \_constant: , line:723:31, endln:723:35 - |vpiConstType:3 - |vpiDecompile:1'b1 - |vpiSize:1 - |BIN:1 - |vpiTypespec: - \_string_typespec: (Axi64BitCompliant), line:723:7, endln:723:24 - |vpiName:Axi64BitCompliant - |vpiOperand: - \_tagged_pattern: - |vpiPattern: - \_constant: , line:724:31, endln:724:35 - |vpiConstType:3 - |vpiDecompile:1'b0 - |vpiSize:1 - |BIN:0 - |vpiTypespec: - \_string_typespec: (SwapEndianess), line:724:7, endln:724:20 - |vpiName:SwapEndianess - |vpiOperand: - \_tagged_pattern: - |vpiPattern: - \_constant: , line:726:31, endln:726:36 - |vpiConstType:5 - |vpiDecompile:64'h0 - |vpiSize:64 - |HEX:0 - |vpiTypespec: - \_string_typespec: (DmBaseAddress), line:726:7, endln:726:20 - |vpiName:DmBaseAddress - |vpiOperand: - \_tagged_pattern: - |vpiPattern: - \_constant: , line:727:31, endln:727:32 - |vpiConstType:9 - |vpiDecompile:8 - |vpiSize:64 - |UINT:8 - |vpiTypespec: - \_string_typespec: (NrPMPEntries), line:727:7, endln:727:19 - |vpiName:NrPMPEntries + \_ref_obj: (ariane_soc::ArianeSocCfg), line:2063:19, endln:2063:28 + |vpiName:ariane_soc::ArianeSocCfg + |vpiTypespec: + \_struct_typespec: (ariane_pkg::ariane_cfg_t), line:684:13, endln:684:19, parent:work@ex_stage.ArianeCfg |vpiLhs: \_parameter: (work@top.i_ariane.ex_stage_i.ArianeCfg), line:2027:40, endln:2027:83, parent:work@top.i_ariane.ex_stage_i |vpiParamAssign: @@ -113159,6 +114555,8 @@ design: (work@top) |vpiOperand: \_ref_obj: (RVA), line:857:42, endln:857:45 |vpiName:RVA + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.RVA), line:816:20, endln:816:30, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i |vpiOperand: \_constant: , line:857:50, endln:857:51 |vpiConstType:9 @@ -113186,6 +114584,8 @@ design: (work@top) |vpiOperand: \_ref_obj: (RVD), line:859:41, endln:859:44 |vpiName:RVD + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.RVD), line:814:20, endln:814:42, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i |vpiOperand: \_constant: , line:859:49, endln:859:50 |vpiConstType:9 @@ -113198,6 +114598,8 @@ design: (work@top) |vpiOperand: \_ref_obj: (RVF), line:860:41, endln:860:44 |vpiName:RVF + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.RVF), line:813:20, endln:813:42, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i |vpiOperand: \_constant: , line:860:49, endln:860:50 |vpiConstType:9 @@ -113285,6 +114687,8 @@ design: (work@top) |vpiOperand: \_ref_obj: (NSX), line:866:41, endln:866:44 |vpiName:NSX + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.NSX), line:846:20, endln:846:54, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i |vpiOperand: \_constant: , line:866:48, endln:866:50 |vpiConstType:9 @@ -115640,178 +117044,8 @@ design: (work@top) |vpiParamAssign: \_param_assign: , line:2059:38, endln:2059:85, parent:work@top.i_ariane |vpiRhs: - \_operation: , line:2059:54, endln:2059:85 - |vpiOpType:75 - |vpiOperand: - \_tagged_pattern: - |vpiPattern: - \_constant: , line:707:17, endln:707:18 - |vpiConstType:9 - |vpiDecompile:2 - |vpiSize:64 - |UINT:2 - |vpiTypespec: - \_string_typespec: (RASDepth), line:707:7, endln:707:15 - |vpiName:RASDepth - |vpiOperand: - \_tagged_pattern: - |vpiPattern: - \_constant: , line:708:19, endln:708:21 - |vpiConstType:9 - |vpiDecompile:32 - |vpiSize:64 - |UINT:32 - |vpiTypespec: - \_string_typespec: (BTBEntries), line:708:7, endln:708:17 - |vpiName:BTBEntries - |vpiOperand: - \_tagged_pattern: - |vpiPattern: - \_constant: , line:709:19, endln:709:22 - |vpiConstType:9 - |vpiDecompile:128 - |vpiSize:64 - |UINT:128 - |vpiTypespec: - \_string_typespec: (BHTEntries), line:709:7, endln:709:17 - |vpiName:BHTEntries - |vpiOperand: - \_tagged_pattern: - |vpiPattern: - \_constant: , line:711:29, endln:711:30 - |vpiConstType:9 - |vpiDecompile:2 - |vpiSize:64 - |UINT:2 - |vpiTypespec: - \_string_typespec: (NrNonIdempotentRules), line:711:7, endln:711:27 - |vpiName:NrNonIdempotentRules - |vpiOperand: - \_tagged_pattern: - |vpiPattern: - \_constant: , line:712:30, endln:712:44 - |vpiConstType:3 - |vpiSize:128 - |BIN:00 - |vpiTypespec: - \_string_typespec: (NonIdempotentAddrBase), line:712:7, endln:712:28 - |vpiName:NonIdempotentAddrBase - |vpiOperand: - \_tagged_pattern: - |vpiPattern: - \_constant: , line:713:30, endln:713:44 - |vpiConstType:3 - |vpiSize:128 - |BIN:00 - |vpiTypespec: - \_string_typespec: (NonIdempotentLength), line:713:7, endln:713:26 - |vpiName:NonIdempotentLength - |vpiOperand: - \_tagged_pattern: - |vpiPattern: - \_constant: , line:714:29, endln:714:30 - |vpiConstType:9 - |vpiDecompile:3 - |vpiSize:64 - |UINT:3 - |vpiTypespec: - \_string_typespec: (NrExecuteRegionRules), line:714:7, endln:714:27 - |vpiName:NrExecuteRegionRules - |vpiOperand: - \_tagged_pattern: - |vpiPattern: - \_constant: , line:716:30, endln:716:64 - |vpiConstType:3 - |vpiSize:192 - |BIN:1000000000000000000000000000000010000000000000000 - |vpiTypespec: - \_string_typespec: (ExecuteRegionAddrBase), line:716:7, endln:716:28 - |vpiName:ExecuteRegionAddrBase - |vpiOperand: - \_tagged_pattern: - |vpiPattern: - \_constant: , line:717:30, endln:717:67 - |vpiConstType:3 - |vpiSize:192 - |BIN:1000000000000000000000000000000100000000000000001000000000000 - |vpiTypespec: - \_string_typespec: (ExecuteRegionLength), line:717:7, endln:717:26 - |vpiName:ExecuteRegionLength - |vpiOperand: - \_tagged_pattern: - |vpiPattern: - \_constant: , line:719:31, endln:719:32 - |vpiConstType:9 - |vpiDecompile:1 - |vpiSize:64 - |UINT:1 - |vpiTypespec: - \_string_typespec: (NrCachedRegionRules), line:719:7, endln:719:26 - |vpiName:NrCachedRegionRules - |vpiOperand: - \_tagged_pattern: - |vpiPattern: - \_constant: , line:720:30, endln:720:45 - |vpiConstType:3 - |vpiSize:64 - |BIN:10000000000000000000000000000000 - |vpiTypespec: - \_string_typespec: (CachedRegionAddrBase), line:720:7, endln:720:27 - |vpiName:CachedRegionAddrBase - |vpiOperand: - \_tagged_pattern: - |vpiPattern: - \_constant: , line:721:30, endln:721:44 - |vpiConstType:3 - |vpiSize:64 - |BIN:1000000000000000000000000000000 - |vpiTypespec: - \_string_typespec: (CachedRegionLength), line:721:7, endln:721:25 - |vpiName:CachedRegionLength - |vpiOperand: - \_tagged_pattern: - |vpiPattern: - \_constant: , line:723:31, endln:723:35 - |vpiConstType:3 - |vpiDecompile:1'b1 - |vpiSize:1 - |BIN:1 - |vpiTypespec: - \_string_typespec: (Axi64BitCompliant), line:723:7, endln:723:24 - |vpiName:Axi64BitCompliant - |vpiOperand: - \_tagged_pattern: - |vpiPattern: - \_constant: , line:724:31, endln:724:35 - |vpiConstType:3 - |vpiDecompile:1'b0 - |vpiSize:1 - |BIN:0 - |vpiTypespec: - \_string_typespec: (SwapEndianess), line:724:7, endln:724:20 - |vpiName:SwapEndianess - |vpiOperand: - \_tagged_pattern: - |vpiPattern: - \_constant: , line:726:31, endln:726:36 - |vpiConstType:5 - |vpiDecompile:64'h0 - |vpiSize:64 - |HEX:0 - |vpiTypespec: - \_string_typespec: (DmBaseAddress), line:726:7, endln:726:20 - |vpiName:DmBaseAddress - |vpiOperand: - \_tagged_pattern: - |vpiPattern: - \_constant: , line:727:31, endln:727:32 - |vpiConstType:9 - |vpiDecompile:8 - |vpiSize:64 - |UINT:8 - |vpiTypespec: - \_string_typespec: (NrPMPEntries), line:727:7, endln:727:19 - |vpiName:NrPMPEntries + \_ref_obj: (ariane_soc::ArianeSocCfg), line:2071:19, endln:2071:43 + |vpiName:ariane_soc::ArianeSocCfg |vpiLhs: \_parameter: (work@top.i_ariane.ArianeCfg), line:2059:38, endln:2059:85, parent:work@top.i_ariane |vpiParamAssign: @@ -116523,6 +117757,8 @@ design: (work@top) |vpiOperand: \_ref_obj: (RVA), line:857:42, endln:857:45 |vpiName:RVA + |vpiActual: + \_parameter: (work@top.i_ariane.RVA), line:816:20, endln:816:30, parent:work@top.i_ariane |vpiOperand: \_constant: , line:857:50, endln:857:51 |vpiConstType:9 @@ -116550,6 +117786,8 @@ design: (work@top) |vpiOperand: \_ref_obj: (RVD), line:859:41, endln:859:44 |vpiName:RVD + |vpiActual: + \_parameter: (work@top.i_ariane.RVD), line:814:20, endln:814:42, parent:work@top.i_ariane |vpiOperand: \_constant: , line:859:49, endln:859:50 |vpiConstType:9 @@ -116562,6 +117800,8 @@ design: (work@top) |vpiOperand: \_ref_obj: (RVF), line:860:41, endln:860:44 |vpiName:RVF + |vpiActual: + \_parameter: (work@top.i_ariane.RVF), line:813:20, endln:813:42, parent:work@top.i_ariane |vpiOperand: \_constant: , line:860:49, endln:860:50 |vpiConstType:9 @@ -116649,6 +117889,8 @@ design: (work@top) |vpiOperand: \_ref_obj: (NSX), line:866:41, endln:866:44 |vpiName:NSX + |vpiActual: + \_parameter: (work@top.i_ariane.NSX), line:846:20, endln:846:54, parent:work@top.i_ariane |vpiOperand: \_constant: , line:866:48, endln:866:50 |vpiConstType:9 diff --git a/tests/ArianeElab2/ArianeElab2.log b/tests/ArianeElab2/ArianeElab2.log index 2e1b292f97..9cbfa71858 100644 --- a/tests/ArianeElab2/ArianeElab2.log +++ b/tests/ArianeElab2/ArianeElab2.log @@ -20729,38 +20729,22 @@ n<> u<20670> t l<1:1> el<2131:1> [INF:CP0335] dut.sv:1974: Compile generate block "work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[2]". -[INF:CP0335] dut.sv:1983: Compile generate block "work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[2].active_format". - [INF:CP0335] dut.sv:1974: Compile generate block "work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[3]". -[INF:CP0335] dut.sv:1983: Compile generate block "work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[3].active_format". - [INF:CP0335] dut.sv:1974: Compile generate block "work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[4]". -[INF:CP0335] dut.sv:1983: Compile generate block "work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[4].active_format". - [INF:CP0335] dut.sv:2019: Compile generate block "work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1]". [INF:CP0335] dut.sv:1974: Compile generate block "work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[0]". -[INF:CP0335] dut.sv:1983: Compile generate block "work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[0].active_format". - [INF:CP0335] dut.sv:1974: Compile generate block "work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[1]". -[INF:CP0335] dut.sv:1983: Compile generate block "work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[1].active_format". - [INF:CP0335] dut.sv:1974: Compile generate block "work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[2]". -[INF:CP0335] dut.sv:1983: Compile generate block "work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[2].active_format". - [INF:CP0335] dut.sv:1974: Compile generate block "work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3]". -[INF:CP0335] dut.sv:1983: Compile generate block "work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].active_format". - [INF:CP0335] dut.sv:1974: Compile generate block "work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[4]". -[INF:CP0335] dut.sv:1983: Compile generate block "work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[4].active_format". - [INF:CP0335] dut.sv:2019: Compile generate block "work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2]". [INF:CP0335] dut.sv:1974: Compile generate block "work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[0]". @@ -20773,91 +20757,43 @@ n<> u<20670> t l<1:1> el<2131:1> [INF:CP0335] dut.sv:1974: Compile generate block "work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[2]". -[INF:CP0335] dut.sv:1983: Compile generate block "work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[2].active_format". - [INF:CP0335] dut.sv:1974: Compile generate block "work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[3]". -[INF:CP0335] dut.sv:1983: Compile generate block "work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[3].active_format". - [INF:CP0335] dut.sv:1974: Compile generate block "work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[4]". -[INF:CP0335] dut.sv:1983: Compile generate block "work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[4].active_format". - [INF:CP0335] dut.sv:2019: Compile generate block "work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3]". [INF:CP0335] dut.sv:1974: Compile generate block "work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[0]". -[INF:CP0335] dut.sv:1983: Compile generate block "work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[0].active_format". - [INF:CP0335] dut.sv:1974: Compile generate block "work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[1]". -[INF:CP0335] dut.sv:1983: Compile generate block "work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[1].active_format". - [INF:CP0335] dut.sv:1974: Compile generate block "work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[2]". -[INF:CP0335] dut.sv:1983: Compile generate block "work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[2].active_format". - [INF:CP0335] dut.sv:1974: Compile generate block "work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3]". -[INF:CP0335] dut.sv:1983: Compile generate block "work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3].active_format". - [INF:CP0335] dut.sv:1974: Compile generate block "work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[4]". -[INF:CP0335] dut.sv:1983: Compile generate block "work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[4].active_format". - [NTE:EL0503] dut.sv:2125: Top level module "work@top". [WRN:EL0500] dut.sv:1989: Cannot find a module definition for "work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[0].active_format::fpnew_opgroup_fmt_slice". [WRN:EL0500] dut.sv:1989: Cannot find a module definition for "work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[1].active_format::fpnew_opgroup_fmt_slice". -[WRN:EL0500] dut.sv:1989: Cannot find a module definition for "work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[2].active_format::fpnew_opgroup_fmt_slice". - -[WRN:EL0500] dut.sv:1989: Cannot find a module definition for "work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[3].active_format::fpnew_opgroup_fmt_slice". - -[WRN:EL0500] dut.sv:1989: Cannot find a module definition for "work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[4].active_format::fpnew_opgroup_fmt_slice". - -[WRN:EL0500] dut.sv:1989: Cannot find a module definition for "work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[0].active_format::fpnew_opgroup_fmt_slice". - -[WRN:EL0500] dut.sv:1989: Cannot find a module definition for "work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[1].active_format::fpnew_opgroup_fmt_slice". - -[WRN:EL0500] dut.sv:1989: Cannot find a module definition for "work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[2].active_format::fpnew_opgroup_fmt_slice". - -[WRN:EL0500] dut.sv:1989: Cannot find a module definition for "work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].active_format::fpnew_opgroup_fmt_slice". - -[WRN:EL0500] dut.sv:1989: Cannot find a module definition for "work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[4].active_format::fpnew_opgroup_fmt_slice". - [WRN:EL0500] dut.sv:1989: Cannot find a module definition for "work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[0].active_format::fpnew_opgroup_fmt_slice". [WRN:EL0500] dut.sv:1989: Cannot find a module definition for "work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[1].active_format::fpnew_opgroup_fmt_slice". -[WRN:EL0500] dut.sv:1989: Cannot find a module definition for "work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[2].active_format::fpnew_opgroup_fmt_slice". - -[WRN:EL0500] dut.sv:1989: Cannot find a module definition for "work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[3].active_format::fpnew_opgroup_fmt_slice". - -[WRN:EL0500] dut.sv:1989: Cannot find a module definition for "work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[4].active_format::fpnew_opgroup_fmt_slice". - -[WRN:EL0500] dut.sv:1989: Cannot find a module definition for "work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[0].active_format::fpnew_opgroup_fmt_slice". - -[WRN:EL0500] dut.sv:1989: Cannot find a module definition for "work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[1].active_format::fpnew_opgroup_fmt_slice". - -[WRN:EL0500] dut.sv:1989: Cannot find a module definition for "work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[2].active_format::fpnew_opgroup_fmt_slice". - -[WRN:EL0500] dut.sv:1989: Cannot find a module definition for "work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3].active_format::fpnew_opgroup_fmt_slice". - -[WRN:EL0500] dut.sv:1989: Cannot find a module definition for "work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[4].active_format::fpnew_opgroup_fmt_slice". - [NTE:EL0508] Nb Top level modules: 1. [NTE:EL0509] Max instance depth: 12. -[NTE:EL0510] Nb instances: 29. +[NTE:EL0510] Nb instances: 13. -[NTE:EL0511] Nb leaf instances: 20. +[NTE:EL0511] Nb leaf instances: 4. -[WRN:EL0512] Nb undefined modules: 20. +[WRN:EL0512] Nb undefined modules: 4. -[WRN:EL0513] Nb undefined instances: 20. +[WRN:EL0513] Nb undefined instances: 4. [INF:UH0706] Creating UHDM Model... @@ -26814,6 +26750,8 @@ design: (work@top) |vpiOperand: \_ref_obj: (IRQ_S_SOFT), line:292:49, endln:292:59 |vpiName:IRQ_S_SOFT + |vpiActual: + \_parameter: (riscv::IRQ_S_SOFT), line:285:29, endln:285:44, parent:riscv:: |vpiLhs: \_parameter: (riscv::MIP_SSIP), line:292:33, endln:292:59, parent:riscv:: |vpiParamAssign: @@ -26830,6 +26768,8 @@ design: (work@top) |vpiOperand: \_ref_obj: (IRQ_M_SOFT), line:293:49, endln:293:59 |vpiName:IRQ_M_SOFT + |vpiActual: + \_parameter: (riscv::IRQ_M_SOFT), line:286:29, endln:286:44, parent:riscv:: |vpiLhs: \_parameter: (riscv::MIP_MSIP), line:293:33, endln:293:59, parent:riscv:: |vpiParamAssign: @@ -26846,6 +26786,8 @@ design: (work@top) |vpiOperand: \_ref_obj: (IRQ_S_TIMER), line:294:49, endln:294:60 |vpiName:IRQ_S_TIMER + |vpiActual: + \_parameter: (riscv::IRQ_S_TIMER), line:287:29, endln:287:44, parent:riscv:: |vpiLhs: \_parameter: (riscv::MIP_STIP), line:294:33, endln:294:60, parent:riscv:: |vpiParamAssign: @@ -26862,6 +26804,8 @@ design: (work@top) |vpiOperand: \_ref_obj: (IRQ_M_TIMER), line:295:49, endln:295:60 |vpiName:IRQ_M_TIMER + |vpiActual: + \_parameter: (riscv::IRQ_M_TIMER), line:288:29, endln:288:44, parent:riscv:: |vpiLhs: \_parameter: (riscv::MIP_MTIP), line:295:33, endln:295:60, parent:riscv:: |vpiParamAssign: @@ -26878,6 +26822,8 @@ design: (work@top) |vpiOperand: \_ref_obj: (IRQ_S_EXT), line:296:49, endln:296:58 |vpiName:IRQ_S_EXT + |vpiActual: + \_parameter: (riscv::IRQ_S_EXT), line:289:29, endln:289:44, parent:riscv:: |vpiLhs: \_parameter: (riscv::MIP_SEIP), line:296:33, endln:296:58, parent:riscv:: |vpiParamAssign: @@ -26894,6 +26840,8 @@ design: (work@top) |vpiOperand: \_ref_obj: (IRQ_M_EXT), line:297:49, endln:297:58 |vpiName:IRQ_M_EXT + |vpiActual: + \_parameter: (riscv::IRQ_M_EXT), line:290:29, endln:290:45, parent:riscv:: |vpiLhs: \_parameter: (riscv::MIP_MEIP), line:297:33, endln:297:58, parent:riscv:: |vpiParamAssign: @@ -26916,6 +26864,8 @@ design: (work@top) |vpiOperand: \_ref_obj: (XLEN), line:299:60, endln:299:64 |vpiName:XLEN + |vpiActual: + \_parameter: (riscv::XLEN), line:15:16, endln:15:25, parent:riscv:: |vpiOperand: \_constant: , line:299:65, endln:299:66 |vpiConstType:9 @@ -26925,6 +26875,8 @@ design: (work@top) |vpiOperand: \_ref_obj: (IRQ_S_SOFT), line:299:71, endln:299:81 |vpiName:IRQ_S_SOFT + |vpiActual: + \_parameter: (riscv::IRQ_S_SOFT), line:285:29, endln:285:44, parent:riscv:: |vpiLhs: \_parameter: (riscv::S_SW_INTERRUPT), line:299:33, endln:299:81, parent:riscv:: |vpiParamAssign: @@ -26947,6 +26899,8 @@ design: (work@top) |vpiOperand: \_ref_obj: (XLEN), line:300:60, endln:300:64 |vpiName:XLEN + |vpiActual: + \_parameter: (riscv::XLEN), line:15:16, endln:15:25, parent:riscv:: |vpiOperand: \_constant: , line:300:65, endln:300:66 |vpiConstType:9 @@ -26956,6 +26910,8 @@ design: (work@top) |vpiOperand: \_ref_obj: (IRQ_M_SOFT), line:300:71, endln:300:81 |vpiName:IRQ_M_SOFT + |vpiActual: + \_parameter: (riscv::IRQ_M_SOFT), line:286:29, endln:286:44, parent:riscv:: |vpiLhs: \_parameter: (riscv::M_SW_INTERRUPT), line:300:33, endln:300:81, parent:riscv:: |vpiParamAssign: @@ -26978,6 +26934,8 @@ design: (work@top) |vpiOperand: \_ref_obj: (XLEN), line:301:60, endln:301:64 |vpiName:XLEN + |vpiActual: + \_parameter: (riscv::XLEN), line:15:16, endln:15:25, parent:riscv:: |vpiOperand: \_constant: , line:301:65, endln:301:66 |vpiConstType:9 @@ -26987,6 +26945,8 @@ design: (work@top) |vpiOperand: \_ref_obj: (IRQ_S_TIMER), line:301:71, endln:301:82 |vpiName:IRQ_S_TIMER + |vpiActual: + \_parameter: (riscv::IRQ_S_TIMER), line:287:29, endln:287:44, parent:riscv:: |vpiLhs: \_parameter: (riscv::S_TIMER_INTERRUPT), line:301:33, endln:301:82, parent:riscv:: |vpiParamAssign: @@ -27009,6 +26969,8 @@ design: (work@top) |vpiOperand: \_ref_obj: (XLEN), line:302:60, endln:302:64 |vpiName:XLEN + |vpiActual: + \_parameter: (riscv::XLEN), line:15:16, endln:15:25, parent:riscv:: |vpiOperand: \_constant: , line:302:65, endln:302:66 |vpiConstType:9 @@ -27018,6 +26980,8 @@ design: (work@top) |vpiOperand: \_ref_obj: (IRQ_M_TIMER), line:302:71, endln:302:82 |vpiName:IRQ_M_TIMER + |vpiActual: + \_parameter: (riscv::IRQ_M_TIMER), line:288:29, endln:288:44, parent:riscv:: |vpiLhs: \_parameter: (riscv::M_TIMER_INTERRUPT), line:302:33, endln:302:82, parent:riscv:: |vpiParamAssign: @@ -27040,6 +27004,8 @@ design: (work@top) |vpiOperand: \_ref_obj: (XLEN), line:303:60, endln:303:64 |vpiName:XLEN + |vpiActual: + \_parameter: (riscv::XLEN), line:15:16, endln:15:25, parent:riscv:: |vpiOperand: \_constant: , line:303:65, endln:303:66 |vpiConstType:9 @@ -27049,6 +27015,8 @@ design: (work@top) |vpiOperand: \_ref_obj: (IRQ_S_EXT), line:303:71, endln:303:80 |vpiName:IRQ_S_EXT + |vpiActual: + \_parameter: (riscv::IRQ_S_EXT), line:289:29, endln:289:44, parent:riscv:: |vpiLhs: \_parameter: (riscv::S_EXT_INTERRUPT), line:303:33, endln:303:80, parent:riscv:: |vpiParamAssign: @@ -27071,6 +27039,8 @@ design: (work@top) |vpiOperand: \_ref_obj: (XLEN), line:304:60, endln:304:64 |vpiName:XLEN + |vpiActual: + \_parameter: (riscv::XLEN), line:15:16, endln:15:25, parent:riscv:: |vpiOperand: \_constant: , line:304:65, endln:304:66 |vpiConstType:9 @@ -27080,6 +27050,8 @@ design: (work@top) |vpiOperand: \_ref_obj: (IRQ_M_EXT), line:304:71, endln:304:80 |vpiName:IRQ_M_EXT + |vpiActual: + \_parameter: (riscv::IRQ_M_EXT), line:290:29, endln:290:45, parent:riscv:: |vpiLhs: \_parameter: (riscv::M_EXT_INTERRUPT), line:304:33, endln:304:80, parent:riscv:: |vpiParamAssign: @@ -27211,6 +27183,8 @@ design: (work@top) |vpiOperand: \_ref_obj: (IS_XLEN64), line:455:71, endln:455:80 |vpiName:IS_XLEN64 + |vpiActual: + \_parameter: (riscv::IS_XLEN64), line:22:16, endln:22:55, parent:riscv:: |vpiOperand: \_constant: , line:455:56, endln:455:68 |vpiConstType:5 @@ -27220,6 +27194,8 @@ design: (work@top) |vpiOperand: \_ref_obj: (IS_XLEN64), line:455:45, endln:455:54 |vpiName:IS_XLEN64 + |vpiActual: + \_parameter: (riscv::IS_XLEN64), line:22:16, endln:22:55, parent:riscv:: |vpiLhs: \_parameter: (riscv::SSTATUS_SD), line:455:29, endln:455:95, parent:riscv:: |vpiParamAssign: @@ -27445,9 +27421,13 @@ design: (work@top) |vpiOperand: \_ref_obj: (IS_XLEN64), line:476:58, endln:476:67 |vpiName:IS_XLEN64 + |vpiActual: + \_parameter: (riscv::IS_XLEN64), line:22:16, endln:22:55, parent:riscv:: |vpiOperand: \_ref_obj: (IS_XLEN64), line:476:69, endln:476:78 |vpiName:IS_XLEN64 + |vpiActual: + \_parameter: (riscv::IS_XLEN64), line:22:16, endln:22:55, parent:riscv:: |vpiOperand: \_constant: , line:476:80, endln:476:92 |vpiConstType:5 @@ -27470,9 +27450,13 @@ design: (work@top) |vpiOperand: \_ref_obj: (IS_XLEN64), line:477:58, endln:477:67 |vpiName:IS_XLEN64 + |vpiActual: + \_parameter: (riscv::IS_XLEN64), line:22:16, endln:22:55, parent:riscv:: |vpiOperand: \_ref_obj: (IS_XLEN64), line:477:69, endln:477:78 |vpiName:IS_XLEN64 + |vpiActual: + \_parameter: (riscv::IS_XLEN64), line:22:16, endln:22:55, parent:riscv:: |vpiOperand: \_constant: , line:477:80, endln:477:92 |vpiConstType:5 @@ -27499,6 +27483,8 @@ design: (work@top) |vpiOperand: \_ref_obj: (IS_XLEN64), line:478:71, endln:478:80 |vpiName:IS_XLEN64 + |vpiActual: + \_parameter: (riscv::IS_XLEN64), line:22:16, endln:22:55, parent:riscv:: |vpiOperand: \_constant: , line:478:56, endln:478:68 |vpiConstType:5 @@ -27508,6 +27494,8 @@ design: (work@top) |vpiOperand: \_ref_obj: (IS_XLEN64), line:478:45, endln:478:54 |vpiName:IS_XLEN64 + |vpiActual: + \_parameter: (riscv::IS_XLEN64), line:22:16, endln:22:55, parent:riscv:: |vpiLhs: \_parameter: (riscv::MSTATUS_SD), line:478:29, endln:478:95, parent:riscv:: |vpiTypedef: @@ -29738,6 +29726,8 @@ design: (work@top) |vpiOperand: \_ref_obj: (XLEN), line:30:20, endln:30:24 |vpiName:XLEN + |vpiActual: + \_parameter: (riscv::XLEN), line:15:16, endln:15:25, parent:riscv:: |vpiOperand: \_constant: , line:30:25, endln:30:26 |vpiConstType:9 @@ -35718,6 +35708,8 @@ design: (work@top) |vpiOperand: \_ref_obj: (RVA), line:857:42, endln:857:45 |vpiName:RVA + |vpiActual: + \_parameter: (ariane_pkg::RVA), line:816:20, endln:816:30, parent:ariane_pkg:: |vpiOperand: \_constant: , line:857:50, endln:857:51 |vpiConstType:9 @@ -38742,6 +38734,17 @@ design: (work@top) \_ref_obj: (fmt_logic_t.NUM_FP_FORMATS), line:1528:20, endln:1528:34 |vpiName:NUM_FP_FORMATS |vpiFullName:fmt_logic_t.NUM_FP_FORMATS + |vpiActual: + \_parameter: (fpnew_pkg::NUM_FP_FORMATS), line:1505:27, endln:1505:45, parent:fpnew_pkg:: + |vpiName:NUM_FP_FORMATS + |vpiFullName:fpnew_pkg::NUM_FP_FORMATS + |vpiLocalParam:1 + |UINT:5 + |vpiTypespec: + \_int_typespec: (NUM_FP_FORMATS), line:1505:14, endln:1505:17, parent:fpnew_pkg::NUM_FP_FORMATS + |vpiName:NUM_FP_FORMATS + |vpiInstance: + \_package: fpnew_pkg (fpnew_pkg::) dut.sv:1485:1: , endln:1955:11, parent:work@top |vpiOperand: \_constant: , line:1528:35, endln:1528:36 |vpiConstType:9 @@ -38765,6 +38768,8 @@ design: (work@top) |vpiOperand: \_ref_obj: (NUM_FP_FORMATS), line:1528:20, endln:1528:34 |vpiName:NUM_FP_FORMATS + |vpiActual: + \_parameter: (fpnew_pkg::NUM_FP_FORMATS), line:1505:27, endln:1505:45, parent:fpnew_pkg:: |vpiOperand: \_constant: , line:1528:35, endln:1528:36 |vpiConstType:9 @@ -38826,15 +38831,6 @@ design: (work@top) |vpiFullName:fpnew_pkg::max_fp_width::NUM_FP_FORMATS |vpiActual: \_parameter: (fpnew_pkg::NUM_FP_FORMATS), line:1505:27, endln:1505:45, parent:fpnew_pkg:: - |vpiName:NUM_FP_FORMATS - |vpiFullName:fpnew_pkg::NUM_FP_FORMATS - |vpiLocalParam:1 - |UINT:5 - |vpiTypespec: - \_int_typespec: (NUM_FP_FORMATS), line:1505:14, endln:1505:17, parent:fpnew_pkg::NUM_FP_FORMATS - |vpiName:NUM_FP_FORMATS - |vpiInstance: - \_package: fpnew_pkg (fpnew_pkg::) dut.sv:1485:1: , endln:1955:11, parent:work@top |vpiStmt: \_if_stmt: , line:1772:7, endln:1773:66, parent:fpnew_pkg::max_fp_width |vpiCondition: @@ -38928,6 +38924,8 @@ design: (work@top) \_ref_obj: (fmt_logic_t.NUM_FP_FORMATS), line:1528:20, endln:1528:34 |vpiName:NUM_FP_FORMATS |vpiFullName:fmt_logic_t.NUM_FP_FORMATS + |vpiActual: + \_parameter: (fpnew_pkg::NUM_FP_FORMATS), line:1505:27, endln:1505:45, parent:fpnew_pkg:: |vpiOperand: \_constant: , line:1528:35, endln:1528:36 |vpiConstType:9 @@ -39240,6 +39238,8 @@ design: (work@top) \_ref_obj: (fmt_logic_t.NUM_FP_FORMATS), line:1528:20, endln:1528:34 |vpiName:NUM_FP_FORMATS |vpiFullName:fmt_logic_t.NUM_FP_FORMATS + |vpiActual: + \_parameter: (fpnew_pkg::NUM_FP_FORMATS), line:1505:27, endln:1505:45, parent:fpnew_pkg:: |vpiOperand: \_constant: , line:1528:35, endln:1528:36 |vpiConstType:9 @@ -39460,6 +39460,17 @@ design: (work@top) \_ref_obj: (ifmt_logic_t.NUM_INT_FORMATS), line:1566:20, endln:1566:35 |vpiName:NUM_INT_FORMATS |vpiFullName:ifmt_logic_t.NUM_INT_FORMATS + |vpiActual: + \_parameter: (fpnew_pkg::NUM_INT_FORMATS), line:1544:27, endln:1544:46, parent:fpnew_pkg:: + |vpiName:NUM_INT_FORMATS + |vpiFullName:fpnew_pkg::NUM_INT_FORMATS + |vpiLocalParam:1 + |UINT:4 + |vpiTypespec: + \_int_typespec: (NUM_INT_FORMATS), line:1544:14, endln:1544:17, parent:fpnew_pkg::NUM_INT_FORMATS + |vpiName:NUM_INT_FORMATS + |vpiInstance: + \_package: fpnew_pkg (fpnew_pkg::) dut.sv:1485:1: , endln:1955:11, parent:work@top |vpiOperand: \_constant: , line:1566:36, endln:1566:37 |vpiConstType:9 @@ -39483,6 +39494,8 @@ design: (work@top) |vpiOperand: \_ref_obj: (NUM_INT_FORMATS), line:1566:20, endln:1566:35 |vpiName:NUM_INT_FORMATS + |vpiActual: + \_parameter: (fpnew_pkg::NUM_INT_FORMATS), line:1544:27, endln:1544:46, parent:fpnew_pkg:: |vpiOperand: \_constant: , line:1566:36, endln:1566:37 |vpiConstType:9 @@ -39544,15 +39557,6 @@ design: (work@top) |vpiFullName:fpnew_pkg::max_int_width::NUM_INT_FORMATS |vpiActual: \_parameter: (fpnew_pkg::NUM_INT_FORMATS), line:1544:27, endln:1544:46, parent:fpnew_pkg:: - |vpiName:NUM_INT_FORMATS - |vpiFullName:fpnew_pkg::NUM_INT_FORMATS - |vpiLocalParam:1 - |UINT:4 - |vpiTypespec: - \_int_typespec: (NUM_INT_FORMATS), line:1544:14, endln:1544:17, parent:fpnew_pkg::NUM_INT_FORMATS - |vpiName:NUM_INT_FORMATS - |vpiInstance: - \_package: fpnew_pkg (fpnew_pkg::) dut.sv:1485:1: , endln:1955:11, parent:work@top |vpiStmt: \_begin: (fpnew_pkg::max_int_width), line:1818:56, endln:1820:8, parent:fpnew_pkg::max_int_width |vpiFullName:fpnew_pkg::max_int_width @@ -40101,6 +40105,8 @@ design: (work@top) \_ref_obj: (fmt_logic_t.NUM_FP_FORMATS), line:1528:20, endln:1528:34 |vpiName:NUM_FP_FORMATS |vpiFullName:fmt_logic_t.NUM_FP_FORMATS + |vpiActual: + \_parameter: (fpnew_pkg::NUM_FP_FORMATS), line:1505:27, endln:1505:45, parent:fpnew_pkg:: |vpiOperand: \_constant: , line:1528:35, endln:1528:36 |vpiConstType:9 @@ -40198,6 +40204,8 @@ design: (work@top) \_ref_obj: (fmt_logic_t.NUM_FP_FORMATS), line:1528:20, endln:1528:34 |vpiName:NUM_FP_FORMATS |vpiFullName:fmt_logic_t.NUM_FP_FORMATS + |vpiActual: + \_parameter: (fpnew_pkg::NUM_FP_FORMATS), line:1505:27, endln:1505:45, parent:fpnew_pkg:: |vpiOperand: \_constant: , line:1528:35, endln:1528:36 |vpiConstType:9 @@ -40366,6 +40374,8 @@ design: (work@top) \_ref_obj: (fmt_logic_t.NUM_FP_FORMATS), line:1528:20, endln:1528:34 |vpiName:NUM_FP_FORMATS |vpiFullName:fmt_logic_t.NUM_FP_FORMATS + |vpiActual: + \_parameter: (fpnew_pkg::NUM_FP_FORMATS), line:1505:27, endln:1505:45, parent:fpnew_pkg:: |vpiOperand: \_constant: , line:1528:35, endln:1528:36 |vpiConstType:9 @@ -40398,6 +40408,8 @@ design: (work@top) \_ref_obj: (ifmt_logic_t.NUM_INT_FORMATS), line:1566:20, endln:1566:35 |vpiName:NUM_INT_FORMATS |vpiFullName:ifmt_logic_t.NUM_INT_FORMATS + |vpiActual: + \_parameter: (fpnew_pkg::NUM_INT_FORMATS), line:1544:27, endln:1544:46, parent:fpnew_pkg:: |vpiOperand: \_constant: , line:1566:36, endln:1566:37 |vpiConstType:9 @@ -40666,6 +40678,8 @@ design: (work@top) \_ref_obj: (fmt_logic_t.NUM_FP_FORMATS), line:1528:20, endln:1528:34 |vpiName:NUM_FP_FORMATS |vpiFullName:fmt_logic_t.NUM_FP_FORMATS + |vpiActual: + \_parameter: (fpnew_pkg::NUM_FP_FORMATS), line:1505:27, endln:1505:45, parent:fpnew_pkg:: |vpiOperand: \_constant: , line:1528:35, endln:1528:36 |vpiConstType:9 @@ -40863,6 +40877,8 @@ design: (work@top) \_ref_obj: (fmt_logic_t.NUM_FP_FORMATS), line:1528:20, endln:1528:34 |vpiName:NUM_FP_FORMATS |vpiFullName:fmt_logic_t.NUM_FP_FORMATS + |vpiActual: + \_parameter: (fpnew_pkg::NUM_FP_FORMATS), line:1505:27, endln:1505:45, parent:fpnew_pkg:: |vpiOperand: \_constant: , line:1528:35, endln:1528:36 |vpiConstType:9 @@ -40895,6 +40911,8 @@ design: (work@top) \_ref_obj: (ifmt_logic_t.NUM_INT_FORMATS), line:1566:20, endln:1566:35 |vpiName:NUM_INT_FORMATS |vpiFullName:ifmt_logic_t.NUM_INT_FORMATS + |vpiActual: + \_parameter: (fpnew_pkg::NUM_INT_FORMATS), line:1544:27, endln:1544:46, parent:fpnew_pkg:: |vpiOperand: \_constant: , line:1566:36, endln:1566:37 |vpiConstType:9 @@ -41277,6 +41295,8 @@ design: (work@top) \_ref_obj: (fmt_logic_t.NUM_FP_FORMATS), line:1528:20, endln:1528:34 |vpiName:NUM_FP_FORMATS |vpiFullName:fmt_logic_t.NUM_FP_FORMATS + |vpiActual: + \_parameter: (fpnew_pkg::NUM_FP_FORMATS), line:1505:27, endln:1505:45, parent:fpnew_pkg:: |vpiOperand: \_constant: , line:1528:35, endln:1528:36 |vpiConstType:9 @@ -41413,6 +41433,8 @@ design: (work@top) \_ref_obj: (fmt_logic_t.NUM_FP_FORMATS), line:1528:20, endln:1528:34 |vpiName:NUM_FP_FORMATS |vpiFullName:fmt_logic_t.NUM_FP_FORMATS + |vpiActual: + \_parameter: (fpnew_pkg::NUM_FP_FORMATS), line:1505:27, endln:1505:45, parent:fpnew_pkg:: |vpiOperand: \_constant: , line:1528:35, endln:1528:36 |vpiConstType:9 @@ -41562,6 +41584,8 @@ design: (work@top) \_ref_obj: (fmt_logic_t.NUM_FP_FORMATS), line:1528:20, endln:1528:34 |vpiName:NUM_FP_FORMATS |vpiFullName:fmt_logic_t.NUM_FP_FORMATS + |vpiActual: + \_parameter: (fpnew_pkg::NUM_FP_FORMATS), line:1505:27, endln:1505:45, parent:fpnew_pkg:: |vpiOperand: \_constant: , line:1528:35, endln:1528:36 |vpiConstType:9 @@ -41694,6 +41718,8 @@ design: (work@top) \_ref_obj: (fmt_unsigned_t.NUM_FP_FORMATS), line:1529:20, endln:1529:34 |vpiName:NUM_FP_FORMATS |vpiFullName:fmt_unsigned_t.NUM_FP_FORMATS + |vpiActual: + \_parameter: (fpnew_pkg::NUM_FP_FORMATS), line:1505:27, endln:1505:45, parent:fpnew_pkg:: |vpiOperand: \_constant: , line:1529:35, endln:1529:36 |vpiConstType:9 @@ -41731,6 +41757,8 @@ design: (work@top) |vpiOperand: \_ref_obj: (NUM_FP_FORMATS), line:1529:20, endln:1529:34 |vpiName:NUM_FP_FORMATS + |vpiActual: + \_parameter: (fpnew_pkg::NUM_FP_FORMATS), line:1505:27, endln:1505:45, parent:fpnew_pkg:: |vpiOperand: \_constant: , line:1529:35, endln:1529:36 |vpiConstType:9 @@ -41783,6 +41811,8 @@ design: (work@top) \_ref_obj: (fmt_logic_t.NUM_FP_FORMATS), line:1528:20, endln:1528:34 |vpiName:NUM_FP_FORMATS |vpiFullName:fmt_logic_t.NUM_FP_FORMATS + |vpiActual: + \_parameter: (fpnew_pkg::NUM_FP_FORMATS), line:1505:27, endln:1505:45, parent:fpnew_pkg:: |vpiOperand: \_constant: , line:1528:35, endln:1528:36 |vpiConstType:9 @@ -41982,6 +42012,8 @@ design: (work@top) \_ref_obj: (fpnew_pkg::CPK_FORMATS::fmt_logic_t::NUM_FP_FORMATS), line:1528:20, endln:1528:34 |vpiName:NUM_FP_FORMATS |vpiFullName:fpnew_pkg::CPK_FORMATS::fmt_logic_t::NUM_FP_FORMATS + |vpiActual: + \_parameter: (fpnew_pkg::NUM_FP_FORMATS), line:1505:27, endln:1505:45, parent:fpnew_pkg:: |vpiOperand: \_constant: , line:1528:35, endln:1528:36 |vpiConstType:9 @@ -42078,6 +42110,8 @@ design: (work@top) \_ref_obj: (fmt_logic_t.NUM_FP_FORMATS), line:1528:20, endln:1528:34 |vpiName:NUM_FP_FORMATS |vpiFullName:fmt_logic_t.NUM_FP_FORMATS + |vpiActual: + \_parameter: (fpnew_pkg::NUM_FP_FORMATS), line:1505:27, endln:1505:45, parent:fpnew_pkg:: |vpiOperand: \_constant: , line:1528:35, endln:1528:36 |vpiConstType:9 @@ -42109,6 +42143,8 @@ design: (work@top) \_ref_obj: (ifmt_logic_t.NUM_INT_FORMATS), line:1566:20, endln:1566:35 |vpiName:NUM_INT_FORMATS |vpiFullName:ifmt_logic_t.NUM_INT_FORMATS + |vpiActual: + \_parameter: (fpnew_pkg::NUM_INT_FORMATS), line:1544:27, endln:1544:46, parent:fpnew_pkg:: |vpiOperand: \_constant: , line:1566:36, endln:1566:37 |vpiConstType:9 @@ -42191,6 +42227,8 @@ design: (work@top) \_ref_obj: (fmt_unsigned_t.NUM_FP_FORMATS), line:1529:20, endln:1529:34 |vpiName:NUM_FP_FORMATS |vpiFullName:fmt_unsigned_t.NUM_FP_FORMATS + |vpiActual: + \_parameter: (fpnew_pkg::NUM_FP_FORMATS), line:1505:27, endln:1505:45, parent:fpnew_pkg:: |vpiOperand: \_constant: , line:1529:35, endln:1529:36 |vpiConstType:9 @@ -42229,6 +42267,8 @@ design: (work@top) \_ref_obj: (fmt_unsigned_t.fmt_unsigned_t.NUM_FP_FORMATS), line:1529:20, endln:1529:34 |vpiName:NUM_FP_FORMATS |vpiFullName:fmt_unsigned_t.fmt_unsigned_t.NUM_FP_FORMATS + |vpiActual: + \_parameter: (fpnew_pkg::NUM_FP_FORMATS), line:1505:27, endln:1505:45, parent:fpnew_pkg:: |vpiOperand: \_constant: , line:1529:35, endln:1529:36 |vpiConstType:9 @@ -42287,6 +42327,8 @@ design: (work@top) \_ref_obj: (fmt_unsigned_t.NUM_FP_FORMATS), line:1529:20, endln:1529:34 |vpiName:NUM_FP_FORMATS |vpiFullName:fmt_unsigned_t.NUM_FP_FORMATS + |vpiActual: + \_parameter: (fpnew_pkg::NUM_FP_FORMATS), line:1505:27, endln:1505:45, parent:fpnew_pkg:: |vpiOperand: \_constant: , line:1529:35, endln:1529:36 |vpiConstType:9 @@ -48272,6 +48314,12 @@ design: (work@top) |vpiOperand: \_ref_obj: (XLEN), line:30:20, endln:30:24 |vpiName:XLEN + |vpiActual: + \_parameter: (riscv::XLEN), line:15:16, endln:15:25, parent:riscv:: + |vpiName:XLEN + |vpiFullName:riscv::XLEN + |vpiLocalParam:1 + |UINT:64 |vpiOperand: \_constant: , line:30:25, endln:30:26 |vpiConstType:9 @@ -51292,10 +51340,6 @@ design: (work@top) \_string_var: (riscv::spikeCommitLog::rf_s), line:640:19, endln:640:25, parent:riscv::spikeCommitLog |vpiParameter: \_parameter: (riscv::XLEN), line:15:16, endln:15:25, parent:riscv:: - |vpiName:XLEN - |vpiFullName:riscv::XLEN - |vpiLocalParam:1 - |UINT:64 |vpiParameter: \_parameter: (riscv::VLEN), line:19:16, endln:19:51, parent:riscv:: |vpiName:VLEN @@ -54223,6 +54267,8 @@ design: (work@top) |vpiOperand: \_ref_obj: (IRQ_S_SOFT), line:292:49, endln:292:59 |vpiName:IRQ_S_SOFT + |vpiActual: + \_parameter: (riscv::IRQ_S_SOFT), line:285:29, endln:285:44, parent:riscv:: |vpiLhs: \_parameter: (riscv::MIP_SSIP), line:292:33, endln:292:59, parent:riscv:: |vpiParamAssign: @@ -54239,6 +54285,8 @@ design: (work@top) |vpiOperand: \_ref_obj: (IRQ_M_SOFT), line:293:49, endln:293:59 |vpiName:IRQ_M_SOFT + |vpiActual: + \_parameter: (riscv::IRQ_M_SOFT), line:286:29, endln:286:44, parent:riscv:: |vpiLhs: \_parameter: (riscv::MIP_MSIP), line:293:33, endln:293:59, parent:riscv:: |vpiParamAssign: @@ -54255,6 +54303,8 @@ design: (work@top) |vpiOperand: \_ref_obj: (IRQ_S_TIMER), line:294:49, endln:294:60 |vpiName:IRQ_S_TIMER + |vpiActual: + \_parameter: (riscv::IRQ_S_TIMER), line:287:29, endln:287:44, parent:riscv:: |vpiLhs: \_parameter: (riscv::MIP_STIP), line:294:33, endln:294:60, parent:riscv:: |vpiParamAssign: @@ -54271,6 +54321,8 @@ design: (work@top) |vpiOperand: \_ref_obj: (IRQ_M_TIMER), line:295:49, endln:295:60 |vpiName:IRQ_M_TIMER + |vpiActual: + \_parameter: (riscv::IRQ_M_TIMER), line:288:29, endln:288:44, parent:riscv:: |vpiLhs: \_parameter: (riscv::MIP_MTIP), line:295:33, endln:295:60, parent:riscv:: |vpiParamAssign: @@ -54287,6 +54339,8 @@ design: (work@top) |vpiOperand: \_ref_obj: (IRQ_S_EXT), line:296:49, endln:296:58 |vpiName:IRQ_S_EXT + |vpiActual: + \_parameter: (riscv::IRQ_S_EXT), line:289:29, endln:289:44, parent:riscv:: |vpiLhs: \_parameter: (riscv::MIP_SEIP), line:296:33, endln:296:58, parent:riscv:: |vpiParamAssign: @@ -54303,6 +54357,8 @@ design: (work@top) |vpiOperand: \_ref_obj: (IRQ_M_EXT), line:297:49, endln:297:58 |vpiName:IRQ_M_EXT + |vpiActual: + \_parameter: (riscv::IRQ_M_EXT), line:290:29, endln:290:45, parent:riscv:: |vpiLhs: \_parameter: (riscv::MIP_MEIP), line:297:33, endln:297:58, parent:riscv:: |vpiParamAssign: @@ -54325,6 +54381,8 @@ design: (work@top) |vpiOperand: \_ref_obj: (XLEN), line:299:60, endln:299:64 |vpiName:XLEN + |vpiActual: + \_parameter: (riscv::XLEN), line:15:16, endln:15:25, parent:riscv:: |vpiOperand: \_constant: , line:299:65, endln:299:66 |vpiConstType:9 @@ -54334,6 +54392,8 @@ design: (work@top) |vpiOperand: \_ref_obj: (IRQ_S_SOFT), line:299:71, endln:299:81 |vpiName:IRQ_S_SOFT + |vpiActual: + \_parameter: (riscv::IRQ_S_SOFT), line:285:29, endln:285:44, parent:riscv:: |vpiLhs: \_parameter: (riscv::S_SW_INTERRUPT), line:299:33, endln:299:81, parent:riscv:: |vpiParamAssign: @@ -54356,6 +54416,8 @@ design: (work@top) |vpiOperand: \_ref_obj: (XLEN), line:300:60, endln:300:64 |vpiName:XLEN + |vpiActual: + \_parameter: (riscv::XLEN), line:15:16, endln:15:25, parent:riscv:: |vpiOperand: \_constant: , line:300:65, endln:300:66 |vpiConstType:9 @@ -54365,6 +54427,8 @@ design: (work@top) |vpiOperand: \_ref_obj: (IRQ_M_SOFT), line:300:71, endln:300:81 |vpiName:IRQ_M_SOFT + |vpiActual: + \_parameter: (riscv::IRQ_M_SOFT), line:286:29, endln:286:44, parent:riscv:: |vpiLhs: \_parameter: (riscv::M_SW_INTERRUPT), line:300:33, endln:300:81, parent:riscv:: |vpiParamAssign: @@ -54387,6 +54451,8 @@ design: (work@top) |vpiOperand: \_ref_obj: (XLEN), line:301:60, endln:301:64 |vpiName:XLEN + |vpiActual: + \_parameter: (riscv::XLEN), line:15:16, endln:15:25, parent:riscv:: |vpiOperand: \_constant: , line:301:65, endln:301:66 |vpiConstType:9 @@ -54396,6 +54462,8 @@ design: (work@top) |vpiOperand: \_ref_obj: (IRQ_S_TIMER), line:301:71, endln:301:82 |vpiName:IRQ_S_TIMER + |vpiActual: + \_parameter: (riscv::IRQ_S_TIMER), line:287:29, endln:287:44, parent:riscv:: |vpiLhs: \_parameter: (riscv::S_TIMER_INTERRUPT), line:301:33, endln:301:82, parent:riscv:: |vpiParamAssign: @@ -54418,6 +54486,8 @@ design: (work@top) |vpiOperand: \_ref_obj: (XLEN), line:302:60, endln:302:64 |vpiName:XLEN + |vpiActual: + \_parameter: (riscv::XLEN), line:15:16, endln:15:25, parent:riscv:: |vpiOperand: \_constant: , line:302:65, endln:302:66 |vpiConstType:9 @@ -54427,6 +54497,8 @@ design: (work@top) |vpiOperand: \_ref_obj: (IRQ_M_TIMER), line:302:71, endln:302:82 |vpiName:IRQ_M_TIMER + |vpiActual: + \_parameter: (riscv::IRQ_M_TIMER), line:288:29, endln:288:44, parent:riscv:: |vpiLhs: \_parameter: (riscv::M_TIMER_INTERRUPT), line:302:33, endln:302:82, parent:riscv:: |vpiParamAssign: @@ -54449,6 +54521,8 @@ design: (work@top) |vpiOperand: \_ref_obj: (XLEN), line:303:60, endln:303:64 |vpiName:XLEN + |vpiActual: + \_parameter: (riscv::XLEN), line:15:16, endln:15:25, parent:riscv:: |vpiOperand: \_constant: , line:303:65, endln:303:66 |vpiConstType:9 @@ -54458,6 +54532,8 @@ design: (work@top) |vpiOperand: \_ref_obj: (IRQ_S_EXT), line:303:71, endln:303:80 |vpiName:IRQ_S_EXT + |vpiActual: + \_parameter: (riscv::IRQ_S_EXT), line:289:29, endln:289:44, parent:riscv:: |vpiLhs: \_parameter: (riscv::S_EXT_INTERRUPT), line:303:33, endln:303:80, parent:riscv:: |vpiParamAssign: @@ -54480,6 +54556,8 @@ design: (work@top) |vpiOperand: \_ref_obj: (XLEN), line:304:60, endln:304:64 |vpiName:XLEN + |vpiActual: + \_parameter: (riscv::XLEN), line:15:16, endln:15:25, parent:riscv:: |vpiOperand: \_constant: , line:304:65, endln:304:66 |vpiConstType:9 @@ -54489,6 +54567,8 @@ design: (work@top) |vpiOperand: \_ref_obj: (IRQ_M_EXT), line:304:71, endln:304:80 |vpiName:IRQ_M_EXT + |vpiActual: + \_parameter: (riscv::IRQ_M_EXT), line:290:29, endln:290:45, parent:riscv:: |vpiLhs: \_parameter: (riscv::M_EXT_INTERRUPT), line:304:33, endln:304:80, parent:riscv:: |vpiParamAssign: @@ -54620,6 +54700,8 @@ design: (work@top) |vpiOperand: \_ref_obj: (IS_XLEN64), line:455:71, endln:455:80 |vpiName:IS_XLEN64 + |vpiActual: + \_parameter: (riscv::IS_XLEN64), line:22:16, endln:22:55, parent:riscv:: |vpiOperand: \_constant: , line:455:56, endln:455:68 |vpiConstType:5 @@ -54629,6 +54711,8 @@ design: (work@top) |vpiOperand: \_ref_obj: (IS_XLEN64), line:455:45, endln:455:54 |vpiName:IS_XLEN64 + |vpiActual: + \_parameter: (riscv::IS_XLEN64), line:22:16, endln:22:55, parent:riscv:: |vpiLhs: \_parameter: (riscv::SSTATUS_SD), line:455:29, endln:455:95, parent:riscv:: |vpiParamAssign: @@ -54854,9 +54938,13 @@ design: (work@top) |vpiOperand: \_ref_obj: (IS_XLEN64), line:476:58, endln:476:67 |vpiName:IS_XLEN64 + |vpiActual: + \_parameter: (riscv::IS_XLEN64), line:22:16, endln:22:55, parent:riscv:: |vpiOperand: \_ref_obj: (IS_XLEN64), line:476:69, endln:476:78 |vpiName:IS_XLEN64 + |vpiActual: + \_parameter: (riscv::IS_XLEN64), line:22:16, endln:22:55, parent:riscv:: |vpiOperand: \_constant: , line:476:80, endln:476:92 |vpiConstType:5 @@ -54879,9 +54967,13 @@ design: (work@top) |vpiOperand: \_ref_obj: (IS_XLEN64), line:477:58, endln:477:67 |vpiName:IS_XLEN64 + |vpiActual: + \_parameter: (riscv::IS_XLEN64), line:22:16, endln:22:55, parent:riscv:: |vpiOperand: \_ref_obj: (IS_XLEN64), line:477:69, endln:477:78 |vpiName:IS_XLEN64 + |vpiActual: + \_parameter: (riscv::IS_XLEN64), line:22:16, endln:22:55, parent:riscv:: |vpiOperand: \_constant: , line:477:80, endln:477:92 |vpiConstType:5 @@ -54908,6 +55000,8 @@ design: (work@top) |vpiOperand: \_ref_obj: (IS_XLEN64), line:478:71, endln:478:80 |vpiName:IS_XLEN64 + |vpiActual: + \_parameter: (riscv::IS_XLEN64), line:22:16, endln:22:55, parent:riscv:: |vpiOperand: \_constant: , line:478:56, endln:478:68 |vpiConstType:5 @@ -54917,6 +55011,8 @@ design: (work@top) |vpiOperand: \_ref_obj: (IS_XLEN64), line:478:45, endln:478:54 |vpiName:IS_XLEN64 + |vpiActual: + \_parameter: (riscv::IS_XLEN64), line:22:16, endln:22:55, parent:riscv:: |vpiLhs: \_parameter: (riscv::MSTATUS_SD), line:478:29, endln:478:95, parent:riscv:: |vpiTypedef: @@ -58073,6 +58169,8 @@ design: (work@top) |vpiOperand: \_ref_obj: (RVA), line:857:42, endln:857:45 |vpiName:RVA + |vpiActual: + \_parameter: (ariane_pkg::RVA), line:816:20, endln:816:30, parent:ariane_pkg:: |vpiOperand: \_constant: , line:857:50, endln:857:51 |vpiConstType:9 @@ -71934,6 +72032,17 @@ design: (work@top) \_ref_obj: (fmt_logic_t.NUM_FP_FORMATS), line:1528:20, endln:1528:34 |vpiName:NUM_FP_FORMATS |vpiFullName:fmt_logic_t.NUM_FP_FORMATS + |vpiActual: + \_parameter: (fpnew_pkg::NUM_FP_FORMATS), line:1505:27, endln:1505:45, parent:fpnew_pkg:: + |vpiName:NUM_FP_FORMATS + |vpiFullName:fpnew_pkg::NUM_FP_FORMATS + |vpiLocalParam:1 + |UINT:5 + |vpiTypespec: + \_int_typespec: (NUM_FP_FORMATS), line:1505:14, endln:1505:17, parent:fpnew_pkg::NUM_FP_FORMATS + |vpiName:NUM_FP_FORMATS + |vpiInstance: + \_package: fpnew_pkg (fpnew_pkg::) dut.sv:1485:1: , endln:1955:11, parent:work@top |vpiOperand: \_constant: , line:1528:35, endln:1528:36 |vpiConstType:9 @@ -71957,6 +72066,8 @@ design: (work@top) |vpiOperand: \_ref_obj: (NUM_FP_FORMATS), line:1528:20, endln:1528:34 |vpiName:NUM_FP_FORMATS + |vpiActual: + \_parameter: (fpnew_pkg::NUM_FP_FORMATS), line:1505:27, endln:1505:45, parent:fpnew_pkg:: |vpiOperand: \_constant: , line:1528:35, endln:1528:36 |vpiConstType:9 @@ -72018,15 +72129,6 @@ design: (work@top) |vpiFullName:fpnew_pkg::max_fp_width::NUM_FP_FORMATS |vpiActual: \_parameter: (fpnew_pkg::NUM_FP_FORMATS), line:1505:27, endln:1505:45, parent:fpnew_pkg:: - |vpiName:NUM_FP_FORMATS - |vpiFullName:fpnew_pkg::NUM_FP_FORMATS - |vpiLocalParam:1 - |UINT:5 - |vpiTypespec: - \_int_typespec: (NUM_FP_FORMATS), line:1505:14, endln:1505:17, parent:fpnew_pkg::NUM_FP_FORMATS - |vpiName:NUM_FP_FORMATS - |vpiInstance: - \_package: fpnew_pkg (fpnew_pkg::) dut.sv:1485:1: , endln:1955:11, parent:work@top |vpiStmt: \_if_stmt: , line:1772:7, endln:1773:66, parent:fpnew_pkg::max_fp_width |vpiCondition: @@ -72120,6 +72222,8 @@ design: (work@top) \_ref_obj: (fmt_logic_t.NUM_FP_FORMATS), line:1528:20, endln:1528:34 |vpiName:NUM_FP_FORMATS |vpiFullName:fmt_logic_t.NUM_FP_FORMATS + |vpiActual: + \_parameter: (fpnew_pkg::NUM_FP_FORMATS), line:1505:27, endln:1505:45, parent:fpnew_pkg:: |vpiOperand: \_constant: , line:1528:35, endln:1528:36 |vpiConstType:9 @@ -72432,6 +72536,8 @@ design: (work@top) \_ref_obj: (fmt_logic_t.NUM_FP_FORMATS), line:1528:20, endln:1528:34 |vpiName:NUM_FP_FORMATS |vpiFullName:fmt_logic_t.NUM_FP_FORMATS + |vpiActual: + \_parameter: (fpnew_pkg::NUM_FP_FORMATS), line:1505:27, endln:1505:45, parent:fpnew_pkg:: |vpiOperand: \_constant: , line:1528:35, endln:1528:36 |vpiConstType:9 @@ -72652,6 +72758,17 @@ design: (work@top) \_ref_obj: (ifmt_logic_t.NUM_INT_FORMATS), line:1566:20, endln:1566:35 |vpiName:NUM_INT_FORMATS |vpiFullName:ifmt_logic_t.NUM_INT_FORMATS + |vpiActual: + \_parameter: (fpnew_pkg::NUM_INT_FORMATS), line:1544:27, endln:1544:46, parent:fpnew_pkg:: + |vpiName:NUM_INT_FORMATS + |vpiFullName:fpnew_pkg::NUM_INT_FORMATS + |vpiLocalParam:1 + |UINT:4 + |vpiTypespec: + \_int_typespec: (NUM_INT_FORMATS), line:1544:14, endln:1544:17, parent:fpnew_pkg::NUM_INT_FORMATS + |vpiName:NUM_INT_FORMATS + |vpiInstance: + \_package: fpnew_pkg (fpnew_pkg::) dut.sv:1485:1: , endln:1955:11, parent:work@top |vpiOperand: \_constant: , line:1566:36, endln:1566:37 |vpiConstType:9 @@ -72675,6 +72792,8 @@ design: (work@top) |vpiOperand: \_ref_obj: (NUM_INT_FORMATS), line:1566:20, endln:1566:35 |vpiName:NUM_INT_FORMATS + |vpiActual: + \_parameter: (fpnew_pkg::NUM_INT_FORMATS), line:1544:27, endln:1544:46, parent:fpnew_pkg:: |vpiOperand: \_constant: , line:1566:36, endln:1566:37 |vpiConstType:9 @@ -72736,15 +72855,6 @@ design: (work@top) |vpiFullName:fpnew_pkg::max_int_width::NUM_INT_FORMATS |vpiActual: \_parameter: (fpnew_pkg::NUM_INT_FORMATS), line:1544:27, endln:1544:46, parent:fpnew_pkg:: - |vpiName:NUM_INT_FORMATS - |vpiFullName:fpnew_pkg::NUM_INT_FORMATS - |vpiLocalParam:1 - |UINT:4 - |vpiTypespec: - \_int_typespec: (NUM_INT_FORMATS), line:1544:14, endln:1544:17, parent:fpnew_pkg::NUM_INT_FORMATS - |vpiName:NUM_INT_FORMATS - |vpiInstance: - \_package: fpnew_pkg (fpnew_pkg::) dut.sv:1485:1: , endln:1955:11, parent:work@top |vpiStmt: \_begin: (fpnew_pkg::max_int_width), line:1818:56, endln:1820:8, parent:fpnew_pkg::max_int_width |vpiFullName:fpnew_pkg::max_int_width @@ -73248,6 +73358,8 @@ design: (work@top) \_ref_obj: (fmt_logic_t.NUM_FP_FORMATS), line:1528:20, endln:1528:34 |vpiName:NUM_FP_FORMATS |vpiFullName:fmt_logic_t.NUM_FP_FORMATS + |vpiActual: + \_parameter: (fpnew_pkg::NUM_FP_FORMATS), line:1505:27, endln:1505:45, parent:fpnew_pkg:: |vpiOperand: \_constant: , line:1528:35, endln:1528:36 |vpiConstType:9 @@ -73345,6 +73457,8 @@ design: (work@top) \_ref_obj: (fmt_logic_t.NUM_FP_FORMATS), line:1528:20, endln:1528:34 |vpiName:NUM_FP_FORMATS |vpiFullName:fmt_logic_t.NUM_FP_FORMATS + |vpiActual: + \_parameter: (fpnew_pkg::NUM_FP_FORMATS), line:1505:27, endln:1505:45, parent:fpnew_pkg:: |vpiOperand: \_constant: , line:1528:35, endln:1528:36 |vpiConstType:9 @@ -73513,6 +73627,8 @@ design: (work@top) \_ref_obj: (fmt_logic_t.NUM_FP_FORMATS), line:1528:20, endln:1528:34 |vpiName:NUM_FP_FORMATS |vpiFullName:fmt_logic_t.NUM_FP_FORMATS + |vpiActual: + \_parameter: (fpnew_pkg::NUM_FP_FORMATS), line:1505:27, endln:1505:45, parent:fpnew_pkg:: |vpiOperand: \_constant: , line:1528:35, endln:1528:36 |vpiConstType:9 @@ -73545,6 +73661,8 @@ design: (work@top) \_ref_obj: (ifmt_logic_t.NUM_INT_FORMATS), line:1566:20, endln:1566:35 |vpiName:NUM_INT_FORMATS |vpiFullName:ifmt_logic_t.NUM_INT_FORMATS + |vpiActual: + \_parameter: (fpnew_pkg::NUM_INT_FORMATS), line:1544:27, endln:1544:46, parent:fpnew_pkg:: |vpiOperand: \_constant: , line:1566:36, endln:1566:37 |vpiConstType:9 @@ -73813,6 +73931,8 @@ design: (work@top) \_ref_obj: (fmt_logic_t.NUM_FP_FORMATS), line:1528:20, endln:1528:34 |vpiName:NUM_FP_FORMATS |vpiFullName:fmt_logic_t.NUM_FP_FORMATS + |vpiActual: + \_parameter: (fpnew_pkg::NUM_FP_FORMATS), line:1505:27, endln:1505:45, parent:fpnew_pkg:: |vpiOperand: \_constant: , line:1528:35, endln:1528:36 |vpiConstType:9 @@ -74010,6 +74130,8 @@ design: (work@top) \_ref_obj: (fmt_logic_t.NUM_FP_FORMATS), line:1528:20, endln:1528:34 |vpiName:NUM_FP_FORMATS |vpiFullName:fmt_logic_t.NUM_FP_FORMATS + |vpiActual: + \_parameter: (fpnew_pkg::NUM_FP_FORMATS), line:1505:27, endln:1505:45, parent:fpnew_pkg:: |vpiOperand: \_constant: , line:1528:35, endln:1528:36 |vpiConstType:9 @@ -74042,6 +74164,8 @@ design: (work@top) \_ref_obj: (ifmt_logic_t.NUM_INT_FORMATS), line:1566:20, endln:1566:35 |vpiName:NUM_INT_FORMATS |vpiFullName:ifmt_logic_t.NUM_INT_FORMATS + |vpiActual: + \_parameter: (fpnew_pkg::NUM_INT_FORMATS), line:1544:27, endln:1544:46, parent:fpnew_pkg:: |vpiOperand: \_constant: , line:1566:36, endln:1566:37 |vpiConstType:9 @@ -74424,6 +74548,8 @@ design: (work@top) \_ref_obj: (fmt_logic_t.NUM_FP_FORMATS), line:1528:20, endln:1528:34 |vpiName:NUM_FP_FORMATS |vpiFullName:fmt_logic_t.NUM_FP_FORMATS + |vpiActual: + \_parameter: (fpnew_pkg::NUM_FP_FORMATS), line:1505:27, endln:1505:45, parent:fpnew_pkg:: |vpiOperand: \_constant: , line:1528:35, endln:1528:36 |vpiConstType:9 @@ -74560,6 +74686,8 @@ design: (work@top) \_ref_obj: (fmt_logic_t.NUM_FP_FORMATS), line:1528:20, endln:1528:34 |vpiName:NUM_FP_FORMATS |vpiFullName:fmt_logic_t.NUM_FP_FORMATS + |vpiActual: + \_parameter: (fpnew_pkg::NUM_FP_FORMATS), line:1505:27, endln:1505:45, parent:fpnew_pkg:: |vpiOperand: \_constant: , line:1528:35, endln:1528:36 |vpiConstType:9 @@ -74709,6 +74837,8 @@ design: (work@top) \_ref_obj: (fmt_logic_t.NUM_FP_FORMATS), line:1528:20, endln:1528:34 |vpiName:NUM_FP_FORMATS |vpiFullName:fmt_logic_t.NUM_FP_FORMATS + |vpiActual: + \_parameter: (fpnew_pkg::NUM_FP_FORMATS), line:1505:27, endln:1505:45, parent:fpnew_pkg:: |vpiOperand: \_constant: , line:1528:35, endln:1528:36 |vpiConstType:9 @@ -74841,6 +74971,8 @@ design: (work@top) \_ref_obj: (fmt_unsigned_t.NUM_FP_FORMATS), line:1529:20, endln:1529:34 |vpiName:NUM_FP_FORMATS |vpiFullName:fmt_unsigned_t.NUM_FP_FORMATS + |vpiActual: + \_parameter: (fpnew_pkg::NUM_FP_FORMATS), line:1505:27, endln:1505:45, parent:fpnew_pkg:: |vpiOperand: \_constant: , line:1529:35, endln:1529:36 |vpiConstType:9 @@ -74878,6 +75010,8 @@ design: (work@top) |vpiOperand: \_ref_obj: (NUM_FP_FORMATS), line:1529:20, endln:1529:34 |vpiName:NUM_FP_FORMATS + |vpiActual: + \_parameter: (fpnew_pkg::NUM_FP_FORMATS), line:1505:27, endln:1505:45, parent:fpnew_pkg:: |vpiOperand: \_constant: , line:1529:35, endln:1529:36 |vpiConstType:9 @@ -74930,6 +75064,8 @@ design: (work@top) \_ref_obj: (fmt_logic_t.NUM_FP_FORMATS), line:1528:20, endln:1528:34 |vpiName:NUM_FP_FORMATS |vpiFullName:fmt_logic_t.NUM_FP_FORMATS + |vpiActual: + \_parameter: (fpnew_pkg::NUM_FP_FORMATS), line:1505:27, endln:1505:45, parent:fpnew_pkg:: |vpiOperand: \_constant: , line:1528:35, endln:1528:36 |vpiConstType:9 @@ -75129,6 +75265,8 @@ design: (work@top) \_ref_obj: (fpnew_pkg::CPK_FORMATS::fmt_logic_t::NUM_FP_FORMATS), line:1528:20, endln:1528:34 |vpiName:NUM_FP_FORMATS |vpiFullName:fpnew_pkg::CPK_FORMATS::fmt_logic_t::NUM_FP_FORMATS + |vpiActual: + \_parameter: (fpnew_pkg::NUM_FP_FORMATS), line:1505:27, endln:1505:45, parent:fpnew_pkg:: |vpiOperand: \_constant: , line:1528:35, endln:1528:36 |vpiConstType:9 @@ -75225,6 +75363,8 @@ design: (work@top) \_ref_obj: (fmt_logic_t.NUM_FP_FORMATS), line:1528:20, endln:1528:34 |vpiName:NUM_FP_FORMATS |vpiFullName:fmt_logic_t.NUM_FP_FORMATS + |vpiActual: + \_parameter: (fpnew_pkg::NUM_FP_FORMATS), line:1505:27, endln:1505:45, parent:fpnew_pkg:: |vpiOperand: \_constant: , line:1528:35, endln:1528:36 |vpiConstType:9 @@ -75256,6 +75396,8 @@ design: (work@top) \_ref_obj: (ifmt_logic_t.NUM_INT_FORMATS), line:1566:20, endln:1566:35 |vpiName:NUM_INT_FORMATS |vpiFullName:ifmt_logic_t.NUM_INT_FORMATS + |vpiActual: + \_parameter: (fpnew_pkg::NUM_INT_FORMATS), line:1544:27, endln:1544:46, parent:fpnew_pkg:: |vpiOperand: \_constant: , line:1566:36, endln:1566:37 |vpiConstType:9 @@ -75338,6 +75480,8 @@ design: (work@top) \_ref_obj: (fmt_unsigned_t.NUM_FP_FORMATS), line:1529:20, endln:1529:34 |vpiName:NUM_FP_FORMATS |vpiFullName:fmt_unsigned_t.NUM_FP_FORMATS + |vpiActual: + \_parameter: (fpnew_pkg::NUM_FP_FORMATS), line:1505:27, endln:1505:45, parent:fpnew_pkg:: |vpiOperand: \_constant: , line:1529:35, endln:1529:36 |vpiConstType:9 @@ -75376,6 +75520,8 @@ design: (work@top) \_ref_obj: (fmt_unsigned_t.fmt_unsigned_t.NUM_FP_FORMATS), line:1529:20, endln:1529:34 |vpiName:NUM_FP_FORMATS |vpiFullName:fmt_unsigned_t.fmt_unsigned_t.NUM_FP_FORMATS + |vpiActual: + \_parameter: (fpnew_pkg::NUM_FP_FORMATS), line:1505:27, endln:1505:45, parent:fpnew_pkg:: |vpiOperand: \_constant: , line:1529:35, endln:1529:36 |vpiConstType:9 @@ -75434,6 +75580,8 @@ design: (work@top) \_ref_obj: (fmt_unsigned_t.NUM_FP_FORMATS), line:1529:20, endln:1529:34 |vpiName:NUM_FP_FORMATS |vpiFullName:fmt_unsigned_t.NUM_FP_FORMATS + |vpiActual: + \_parameter: (fpnew_pkg::NUM_FP_FORMATS), line:1505:27, endln:1505:45, parent:fpnew_pkg:: |vpiOperand: \_constant: , line:1529:35, endln:1529:36 |vpiConstType:9 @@ -86193,6 +86341,12 @@ design: (work@top) |vpiOperand: \_ref_obj: (XLEN), line:30:20, endln:30:24 |vpiName:XLEN + |vpiActual: + \_parameter: (riscv::XLEN), line:15:16, endln:15:25, parent:riscv:: + |vpiName:XLEN + |vpiFullName:riscv::XLEN + |vpiLocalParam:1 + |UINT:64 |vpiOperand: \_constant: , line:30:25, endln:30:26 |vpiConstType:9 @@ -89213,10 +89367,6 @@ design: (work@top) \_string_var: (riscv::spikeCommitLog::rf_s), line:640:19, endln:640:25, parent:riscv::spikeCommitLog |vpiParameter: \_parameter: (riscv::XLEN), line:15:16, endln:15:25, parent:riscv:: - |vpiName:XLEN - |vpiFullName:riscv::XLEN - |vpiLocalParam:1 - |UINT:64 |vpiParameter: \_parameter: (riscv::VLEN), line:19:16, endln:19:51, parent:riscv:: |vpiName:VLEN @@ -92144,6 +92294,8 @@ design: (work@top) |vpiOperand: \_ref_obj: (IRQ_S_SOFT), line:292:49, endln:292:59 |vpiName:IRQ_S_SOFT + |vpiActual: + \_parameter: (riscv::IRQ_S_SOFT), line:285:29, endln:285:44, parent:riscv:: |vpiLhs: \_parameter: (riscv::MIP_SSIP), line:292:33, endln:292:59, parent:riscv:: |vpiParamAssign: @@ -92160,6 +92312,8 @@ design: (work@top) |vpiOperand: \_ref_obj: (IRQ_M_SOFT), line:293:49, endln:293:59 |vpiName:IRQ_M_SOFT + |vpiActual: + \_parameter: (riscv::IRQ_M_SOFT), line:286:29, endln:286:44, parent:riscv:: |vpiLhs: \_parameter: (riscv::MIP_MSIP), line:293:33, endln:293:59, parent:riscv:: |vpiParamAssign: @@ -92176,6 +92330,8 @@ design: (work@top) |vpiOperand: \_ref_obj: (IRQ_S_TIMER), line:294:49, endln:294:60 |vpiName:IRQ_S_TIMER + |vpiActual: + \_parameter: (riscv::IRQ_S_TIMER), line:287:29, endln:287:44, parent:riscv:: |vpiLhs: \_parameter: (riscv::MIP_STIP), line:294:33, endln:294:60, parent:riscv:: |vpiParamAssign: @@ -92192,6 +92348,8 @@ design: (work@top) |vpiOperand: \_ref_obj: (IRQ_M_TIMER), line:295:49, endln:295:60 |vpiName:IRQ_M_TIMER + |vpiActual: + \_parameter: (riscv::IRQ_M_TIMER), line:288:29, endln:288:44, parent:riscv:: |vpiLhs: \_parameter: (riscv::MIP_MTIP), line:295:33, endln:295:60, parent:riscv:: |vpiParamAssign: @@ -92208,6 +92366,8 @@ design: (work@top) |vpiOperand: \_ref_obj: (IRQ_S_EXT), line:296:49, endln:296:58 |vpiName:IRQ_S_EXT + |vpiActual: + \_parameter: (riscv::IRQ_S_EXT), line:289:29, endln:289:44, parent:riscv:: |vpiLhs: \_parameter: (riscv::MIP_SEIP), line:296:33, endln:296:58, parent:riscv:: |vpiParamAssign: @@ -92224,6 +92384,8 @@ design: (work@top) |vpiOperand: \_ref_obj: (IRQ_M_EXT), line:297:49, endln:297:58 |vpiName:IRQ_M_EXT + |vpiActual: + \_parameter: (riscv::IRQ_M_EXT), line:290:29, endln:290:45, parent:riscv:: |vpiLhs: \_parameter: (riscv::MIP_MEIP), line:297:33, endln:297:58, parent:riscv:: |vpiParamAssign: @@ -92246,6 +92408,8 @@ design: (work@top) |vpiOperand: \_ref_obj: (XLEN), line:299:60, endln:299:64 |vpiName:XLEN + |vpiActual: + \_parameter: (riscv::XLEN), line:15:16, endln:15:25, parent:riscv:: |vpiOperand: \_constant: , line:299:65, endln:299:66 |vpiConstType:9 @@ -92255,6 +92419,8 @@ design: (work@top) |vpiOperand: \_ref_obj: (IRQ_S_SOFT), line:299:71, endln:299:81 |vpiName:IRQ_S_SOFT + |vpiActual: + \_parameter: (riscv::IRQ_S_SOFT), line:285:29, endln:285:44, parent:riscv:: |vpiLhs: \_parameter: (riscv::S_SW_INTERRUPT), line:299:33, endln:299:81, parent:riscv:: |vpiParamAssign: @@ -92277,6 +92443,8 @@ design: (work@top) |vpiOperand: \_ref_obj: (XLEN), line:300:60, endln:300:64 |vpiName:XLEN + |vpiActual: + \_parameter: (riscv::XLEN), line:15:16, endln:15:25, parent:riscv:: |vpiOperand: \_constant: , line:300:65, endln:300:66 |vpiConstType:9 @@ -92286,6 +92454,8 @@ design: (work@top) |vpiOperand: \_ref_obj: (IRQ_M_SOFT), line:300:71, endln:300:81 |vpiName:IRQ_M_SOFT + |vpiActual: + \_parameter: (riscv::IRQ_M_SOFT), line:286:29, endln:286:44, parent:riscv:: |vpiLhs: \_parameter: (riscv::M_SW_INTERRUPT), line:300:33, endln:300:81, parent:riscv:: |vpiParamAssign: @@ -92308,6 +92478,8 @@ design: (work@top) |vpiOperand: \_ref_obj: (XLEN), line:301:60, endln:301:64 |vpiName:XLEN + |vpiActual: + \_parameter: (riscv::XLEN), line:15:16, endln:15:25, parent:riscv:: |vpiOperand: \_constant: , line:301:65, endln:301:66 |vpiConstType:9 @@ -92317,6 +92489,8 @@ design: (work@top) |vpiOperand: \_ref_obj: (IRQ_S_TIMER), line:301:71, endln:301:82 |vpiName:IRQ_S_TIMER + |vpiActual: + \_parameter: (riscv::IRQ_S_TIMER), line:287:29, endln:287:44, parent:riscv:: |vpiLhs: \_parameter: (riscv::S_TIMER_INTERRUPT), line:301:33, endln:301:82, parent:riscv:: |vpiParamAssign: @@ -92339,6 +92513,8 @@ design: (work@top) |vpiOperand: \_ref_obj: (XLEN), line:302:60, endln:302:64 |vpiName:XLEN + |vpiActual: + \_parameter: (riscv::XLEN), line:15:16, endln:15:25, parent:riscv:: |vpiOperand: \_constant: , line:302:65, endln:302:66 |vpiConstType:9 @@ -92348,6 +92524,8 @@ design: (work@top) |vpiOperand: \_ref_obj: (IRQ_M_TIMER), line:302:71, endln:302:82 |vpiName:IRQ_M_TIMER + |vpiActual: + \_parameter: (riscv::IRQ_M_TIMER), line:288:29, endln:288:44, parent:riscv:: |vpiLhs: \_parameter: (riscv::M_TIMER_INTERRUPT), line:302:33, endln:302:82, parent:riscv:: |vpiParamAssign: @@ -92370,6 +92548,8 @@ design: (work@top) |vpiOperand: \_ref_obj: (XLEN), line:303:60, endln:303:64 |vpiName:XLEN + |vpiActual: + \_parameter: (riscv::XLEN), line:15:16, endln:15:25, parent:riscv:: |vpiOperand: \_constant: , line:303:65, endln:303:66 |vpiConstType:9 @@ -92379,6 +92559,8 @@ design: (work@top) |vpiOperand: \_ref_obj: (IRQ_S_EXT), line:303:71, endln:303:80 |vpiName:IRQ_S_EXT + |vpiActual: + \_parameter: (riscv::IRQ_S_EXT), line:289:29, endln:289:44, parent:riscv:: |vpiLhs: \_parameter: (riscv::S_EXT_INTERRUPT), line:303:33, endln:303:80, parent:riscv:: |vpiParamAssign: @@ -92401,6 +92583,8 @@ design: (work@top) |vpiOperand: \_ref_obj: (XLEN), line:304:60, endln:304:64 |vpiName:XLEN + |vpiActual: + \_parameter: (riscv::XLEN), line:15:16, endln:15:25, parent:riscv:: |vpiOperand: \_constant: , line:304:65, endln:304:66 |vpiConstType:9 @@ -92410,6 +92594,8 @@ design: (work@top) |vpiOperand: \_ref_obj: (IRQ_M_EXT), line:304:71, endln:304:80 |vpiName:IRQ_M_EXT + |vpiActual: + \_parameter: (riscv::IRQ_M_EXT), line:290:29, endln:290:45, parent:riscv:: |vpiLhs: \_parameter: (riscv::M_EXT_INTERRUPT), line:304:33, endln:304:80, parent:riscv:: |vpiParamAssign: @@ -92541,6 +92727,8 @@ design: (work@top) |vpiOperand: \_ref_obj: (IS_XLEN64), line:455:71, endln:455:80 |vpiName:IS_XLEN64 + |vpiActual: + \_parameter: (riscv::IS_XLEN64), line:22:16, endln:22:55, parent:riscv:: |vpiOperand: \_constant: , line:455:56, endln:455:68 |vpiConstType:5 @@ -92550,6 +92738,8 @@ design: (work@top) |vpiOperand: \_ref_obj: (IS_XLEN64), line:455:45, endln:455:54 |vpiName:IS_XLEN64 + |vpiActual: + \_parameter: (riscv::IS_XLEN64), line:22:16, endln:22:55, parent:riscv:: |vpiLhs: \_parameter: (riscv::SSTATUS_SD), line:455:29, endln:455:95, parent:riscv:: |vpiParamAssign: @@ -92775,9 +92965,13 @@ design: (work@top) |vpiOperand: \_ref_obj: (IS_XLEN64), line:476:58, endln:476:67 |vpiName:IS_XLEN64 + |vpiActual: + \_parameter: (riscv::IS_XLEN64), line:22:16, endln:22:55, parent:riscv:: |vpiOperand: \_ref_obj: (IS_XLEN64), line:476:69, endln:476:78 |vpiName:IS_XLEN64 + |vpiActual: + \_parameter: (riscv::IS_XLEN64), line:22:16, endln:22:55, parent:riscv:: |vpiOperand: \_constant: , line:476:80, endln:476:92 |vpiConstType:5 @@ -92800,9 +92994,13 @@ design: (work@top) |vpiOperand: \_ref_obj: (IS_XLEN64), line:477:58, endln:477:67 |vpiName:IS_XLEN64 + |vpiActual: + \_parameter: (riscv::IS_XLEN64), line:22:16, endln:22:55, parent:riscv:: |vpiOperand: \_ref_obj: (IS_XLEN64), line:477:69, endln:477:78 |vpiName:IS_XLEN64 + |vpiActual: + \_parameter: (riscv::IS_XLEN64), line:22:16, endln:22:55, parent:riscv:: |vpiOperand: \_constant: , line:477:80, endln:477:92 |vpiConstType:5 @@ -92829,6 +93027,8 @@ design: (work@top) |vpiOperand: \_ref_obj: (IS_XLEN64), line:478:71, endln:478:80 |vpiName:IS_XLEN64 + |vpiActual: + \_parameter: (riscv::IS_XLEN64), line:22:16, endln:22:55, parent:riscv:: |vpiOperand: \_constant: , line:478:56, endln:478:68 |vpiConstType:5 @@ -92838,6 +93038,8 @@ design: (work@top) |vpiOperand: \_ref_obj: (IS_XLEN64), line:478:45, endln:478:54 |vpiName:IS_XLEN64 + |vpiActual: + \_parameter: (riscv::IS_XLEN64), line:22:16, endln:22:55, parent:riscv:: |vpiLhs: \_parameter: (riscv::MSTATUS_SD), line:478:29, endln:478:95, parent:riscv:: |vpiTypedef: @@ -95994,6 +96196,8 @@ design: (work@top) |vpiOperand: \_ref_obj: (RVA), line:857:42, endln:857:45 |vpiName:RVA + |vpiActual: + \_parameter: (ariane_pkg::RVA), line:816:20, endln:816:30, parent:ariane_pkg:: |vpiOperand: \_constant: , line:857:50, endln:857:51 |vpiConstType:9 @@ -98885,14 +99089,14 @@ design: (work@top) \_param_assign: , parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[0].active_format.i_fmt_slice |vpiRhs: \_constant: , line:1993, endln:1993:0 - |vpiConstType:9 - |vpiDecompile:1 - |vpiSize:1 - |UINT:1 + |vpiConstType:7 + |vpiDecompile:0 + |vpiSize:64 + |INT:0 |vpiLhs: - \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.EnableVectors) + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[1].active_format.i_fmt_slice.EnableVectors) |vpiName:EnableVectors - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.EnableVectors + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[1].active_format.i_fmt_slice.EnableVectors |vpiParamAssign: \_param_assign: , parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[0].active_format.i_fmt_slice |vpiRhs: @@ -98906,6 +99110,18 @@ design: (work@top) |vpiName:FpFormat |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[0].active_format.i_fmt_slice.FpFormat |vpiParamAssign: + \_param_assign: , parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[0].active_format.i_fmt_slice + |vpiRhs: + \_constant: , line:1994, endln:1994:0 + |vpiConstType:7 + |vpiDecompile:2 + |vpiSize:64 + |INT:2 + |vpiLhs: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[0].active_format.i_fmt_slice.NumPipeRegs) + |vpiName:NumPipeRegs + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[0].active_format.i_fmt_slice.NumPipeRegs + |vpiParamAssign: \_param_assign: , parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[0].active_format.i_fmt_slice |vpiRhs: \_constant: , line:1990, endln:1990:0 @@ -98914,21 +99130,21 @@ design: (work@top) |vpiSize:64 |UINT:0 |vpiLhs: - \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.OpGroup) + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[1].active_format.i_fmt_slice.OpGroup) |vpiName:OpGroup - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.OpGroup + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[1].active_format.i_fmt_slice.OpGroup |vpiParamAssign: \_param_assign: , parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[0].active_format.i_fmt_slice |vpiRhs: \_constant: , line:1995, endln:1995:0 |vpiConstType:7 - |vpiDecompile:0 + |vpiDecompile:3 |vpiSize:64 - |INT:0 + |INT:3 |vpiLhs: - \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.PipeConfig) + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[1].active_format.i_fmt_slice.PipeConfig) |vpiName:PipeConfig - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.PipeConfig + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[1].active_format.i_fmt_slice.PipeConfig |vpiParamAssign: \_param_assign: , parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[0].active_format.i_fmt_slice |vpiRhs: @@ -98938,9 +99154,9 @@ design: (work@top) |vpiSize:64 |UINT:64 |vpiLhs: - \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.Width) + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[1].active_format.i_fmt_slice.Width) |vpiName:Width - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.Width + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[1].active_format.i_fmt_slice.Width |vpiVariables: \_logic_var: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[0].active_format.in_valid), line:1985:13, endln:1985:21, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[0].active_format |vpiName:in_valid @@ -98968,6 +99184,7 @@ design: (work@top) |vpiName:DEBUGME |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[0].DEBUGME |vpiLocalParam:1 + |INT:1 |vpiParameter: \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[0].DEBUGME2), line:1980:16, endln:1980:44, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[0] |vpiName:DEBUGME2 @@ -99026,11 +99243,88 @@ design: (work@top) |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[0] |UINT:1 |vpiArgument: - \_constant: , line:1976:78, endln:1976:87 - |vpiConstType:9 - |vpiDecompile:31 - |vpiSize:5 - |UINT:31 + \_operation: , line:1976:78, endln:1976:87, parent:fpnew_pkg::any_enabled_multi + |vpiOpType:33 + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[0].RVF), line:2049:23, endln:2049:26 + |vpiName:RVF + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[0].RVF + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.RVF), line:813:20, endln:813:42, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i + |vpiName:RVF + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.RVF + |vpiImported:ariane_pkg + |vpiLocalParam:1 + |INT:1 + |vpiTypespec: + \_bit_typespec: (RVF), line:813:16, endln:813:19, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.RVF + |vpiName:RVF + |vpiInstance: + \_package: ariane_pkg (ariane_pkg::) dut.sv:674:1: , endln:1483:11, parent:work@top + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[0].RVD), line:2049:28, endln:2049:31 + |vpiName:RVD + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[0].RVD + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.RVD), line:814:20, endln:814:42, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i + |vpiName:RVD + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.RVD + |vpiImported:ariane_pkg + |vpiLocalParam:1 + |INT:1 + |vpiTypespec: + \_bit_typespec: (RVD), line:814:16, endln:814:19, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.RVD + |vpiName:RVD + |vpiInstance: + \_package: ariane_pkg (ariane_pkg::) dut.sv:674:1: , endln:1483:11, parent:work@top + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[0].XF16), line:2049:33, endln:2049:37 + |vpiName:XF16 + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[0].XF16 + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.XF16), line:819:20, endln:819:34, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i + |vpiName:XF16 + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.XF16 + |vpiImported:ariane_pkg + |vpiLocalParam:1 + |BIN:0 + |vpiTypespec: + \_bit_typespec: (XF16), line:819:16, endln:819:19, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.XF16 + |vpiName:XF16 + |vpiInstance: + \_package: ariane_pkg (ariane_pkg::) dut.sv:674:1: , endln:1483:11, parent:work@top + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[0].XF8), line:2049:39, endln:2049:42 + |vpiName:XF8 + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[0].XF8 + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.XF8), line:821:20, endln:821:34, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i + |vpiName:XF8 + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.XF8 + |vpiImported:ariane_pkg + |vpiLocalParam:1 + |BIN:0 + |vpiTypespec: + \_bit_typespec: (XF8), line:821:16, endln:821:19, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.XF8 + |vpiName:XF8 + |vpiInstance: + \_package: ariane_pkg (ariane_pkg::) dut.sv:674:1: , endln:1483:11, parent:work@top + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[0].XF16ALT), line:2049:44, endln:2049:51 + |vpiName:XF16ALT + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[0].XF16ALT + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.XF16ALT), line:820:20, endln:820:34, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i + |vpiName:XF16ALT + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.XF16ALT + |vpiImported:ariane_pkg + |vpiLocalParam:1 + |BIN:0 + |vpiTypespec: + \_bit_typespec: (XF16ALT), line:820:16, endln:820:19, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.XF16ALT + |vpiName:XF16ALT + |vpiInstance: + \_package: ariane_pkg (ariane_pkg::) dut.sv:674:1: , endln:1483:11, parent:work@top |vpiLhs: \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[0].ANY_MERGED), line:1976:22, endln:1976:88, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[0] |vpiParamAssign: @@ -99084,21 +99378,48 @@ design: (work@top) |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[0] |UINT:1 |vpiArgument: - \_constant: , line:1978:87, endln:1978:96 - |vpiConstType:9 - |vpiDecompile:31 - |vpiSize:5 - |UINT:31 + \_operation: , line:1978:87, endln:1978:96, parent:fpnew_pkg::is_first_enabled_multi + |vpiOpType:33 + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[0].RVF), line:2049:23, endln:2049:26 + |vpiName:RVF + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[0].RVF + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.RVF), line:813:20, endln:813:42, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[0].RVD), line:2049:28, endln:2049:31 + |vpiName:RVD + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[0].RVD + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.RVD), line:814:20, endln:814:42, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[0].XF16), line:2049:33, endln:2049:37 + |vpiName:XF16 + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[0].XF16 + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.XF16), line:819:20, endln:819:34, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[0].XF8), line:2049:39, endln:2049:42 + |vpiName:XF8 + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[0].XF8 + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.XF8), line:821:20, endln:821:34, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[0].XF16ALT), line:2049:44, endln:2049:51 + |vpiName:XF16ALT + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[0].XF16ALT + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.XF16ALT), line:820:20, endln:820:34, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i |vpiLhs: \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[0].IS_FIRST_MERGED), line:1977:22, endln:1978:97, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[0] |vpiParamAssign: \_param_assign: , line:1979:16, endln:1979:40, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[0] |vpiRhs: \_constant: , line:1979:26, endln:1979:40 - |vpiConstType:9 + |vpiConstType:7 |vpiDecompile:1 - |vpiSize:1 - |UINT:1 + |vpiSize:64 + |INT:1 |vpiLhs: \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[0].DEBUGME), line:1979:16, endln:1979:40, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[0] |vpiParamAssign: @@ -99177,12 +99498,12 @@ design: (work@top) \_param_assign: , parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[1].active_format.i_fmt_slice |vpiRhs: \_constant: , line:1993, endln:1993:0 - |vpiConstType:9 - |vpiDecompile:1 - |vpiSize:1 - |UINT:1 + |vpiConstType:7 + |vpiDecompile:0 + |vpiSize:64 + |INT:0 |vpiLhs: - \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.EnableVectors) + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[1].active_format.i_fmt_slice.EnableVectors) |vpiParamAssign: \_param_assign: , parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[1].active_format.i_fmt_slice |vpiRhs: @@ -99196,6 +99517,18 @@ design: (work@top) |vpiName:FpFormat |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[1].active_format.i_fmt_slice.FpFormat |vpiParamAssign: + \_param_assign: , parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[1].active_format.i_fmt_slice + |vpiRhs: + \_constant: , line:1994, endln:1994:0 + |vpiConstType:7 + |vpiDecompile:3 + |vpiSize:64 + |INT:3 + |vpiLhs: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[1].active_format.i_fmt_slice.NumPipeRegs) + |vpiName:NumPipeRegs + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[1].active_format.i_fmt_slice.NumPipeRegs + |vpiParamAssign: \_param_assign: , parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[1].active_format.i_fmt_slice |vpiRhs: \_constant: , line:1990, endln:1990:0 @@ -99204,17 +99537,17 @@ design: (work@top) |vpiSize:64 |UINT:0 |vpiLhs: - \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.OpGroup) + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[1].active_format.i_fmt_slice.OpGroup) |vpiParamAssign: \_param_assign: , parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[1].active_format.i_fmt_slice |vpiRhs: \_constant: , line:1995, endln:1995:0 |vpiConstType:7 - |vpiDecompile:0 + |vpiDecompile:3 |vpiSize:64 - |INT:0 + |INT:3 |vpiLhs: - \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.PipeConfig) + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[1].active_format.i_fmt_slice.PipeConfig) |vpiParamAssign: \_param_assign: , parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[1].active_format.i_fmt_slice |vpiRhs: @@ -99224,7 +99557,7 @@ design: (work@top) |vpiSize:64 |UINT:64 |vpiLhs: - \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.Width) + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[1].active_format.i_fmt_slice.Width) |vpiVariables: \_logic_var: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[1].active_format.in_valid), line:1985:13, endln:1985:21, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[1].active_format |vpiName:in_valid @@ -99252,6 +99585,7 @@ design: (work@top) |vpiName:DEBUGME |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[1].DEBUGME |vpiLocalParam:1 + |INT:1 |vpiParameter: \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[1].DEBUGME2), line:1980:16, endln:1980:44, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[1] |vpiName:DEBUGME2 @@ -99310,11 +99644,38 @@ design: (work@top) |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[1] |UINT:1 |vpiArgument: - \_constant: , line:1976:78, endln:1976:87 - |vpiConstType:9 - |vpiDecompile:31 - |vpiSize:5 - |UINT:31 + \_operation: , line:1976:78, endln:1976:87, parent:fpnew_pkg::any_enabled_multi + |vpiOpType:33 + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[1].RVF), line:2049:23, endln:2049:26 + |vpiName:RVF + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[1].RVF + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.RVF), line:813:20, endln:813:42, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[1].RVD), line:2049:28, endln:2049:31 + |vpiName:RVD + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[1].RVD + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.RVD), line:814:20, endln:814:42, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[1].XF16), line:2049:33, endln:2049:37 + |vpiName:XF16 + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[1].XF16 + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.XF16), line:819:20, endln:819:34, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[1].XF8), line:2049:39, endln:2049:42 + |vpiName:XF8 + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[1].XF8 + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.XF8), line:821:20, endln:821:34, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[1].XF16ALT), line:2049:44, endln:2049:51 + |vpiName:XF16ALT + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[1].XF16ALT + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.XF16ALT), line:820:20, endln:820:34, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i |vpiLhs: \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[1].ANY_MERGED), line:1976:22, endln:1976:88, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[1] |vpiParamAssign: @@ -99368,21 +99729,48 @@ design: (work@top) |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[1] |UINT:1 |vpiArgument: - \_constant: , line:1978:87, endln:1978:96 - |vpiConstType:9 - |vpiDecompile:31 - |vpiSize:5 - |UINT:31 + \_operation: , line:1978:87, endln:1978:96, parent:fpnew_pkg::is_first_enabled_multi + |vpiOpType:33 + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[1].RVF), line:2049:23, endln:2049:26 + |vpiName:RVF + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[1].RVF + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.RVF), line:813:20, endln:813:42, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[1].RVD), line:2049:28, endln:2049:31 + |vpiName:RVD + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[1].RVD + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.RVD), line:814:20, endln:814:42, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[1].XF16), line:2049:33, endln:2049:37 + |vpiName:XF16 + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[1].XF16 + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.XF16), line:819:20, endln:819:34, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[1].XF8), line:2049:39, endln:2049:42 + |vpiName:XF8 + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[1].XF8 + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.XF8), line:821:20, endln:821:34, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[1].XF16ALT), line:2049:44, endln:2049:51 + |vpiName:XF16ALT + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[1].XF16ALT + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.XF16ALT), line:820:20, endln:820:34, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i |vpiLhs: \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[1].IS_FIRST_MERGED), line:1977:22, endln:1978:97, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[1] |vpiParamAssign: \_param_assign: , line:1979:16, endln:1979:40, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[1] |vpiRhs: \_constant: , line:1979:26, endln:1979:40 - |vpiConstType:9 + |vpiConstType:7 |vpiDecompile:1 - |vpiSize:1 - |UINT:1 + |vpiSize:64 + |INT:1 |vpiLhs: \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[1].DEBUGME), line:1979:16, endln:1979:40, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[1] |vpiParamAssign: @@ -99410,111 +99798,6 @@ design: (work@top) |vpiGenScope: \_gen_scope: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[2]), parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[2] |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[2] - |vpiGenScopeArray: - \_gen_scope_array: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[2].active_format), line:1983:5, endln:1999:11, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[2] - |vpiName:active_format - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[2].active_format - |vpiGenScope: - \_gen_scope: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[2].active_format), parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[2].active_format - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[2].active_format - |vpiContAssign: - \_cont_assign: , line:1987:14, endln:1987:56, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[2].active_format - |vpiRhs: - \_operation: , line:1987:25, endln:1987:35 - |vpiOpType:28 - |vpiOperand: - \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[2].active_format.in_valid_i), line:1987:25, endln:1987:35 - |vpiName:in_valid_i - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[2].active_format.in_valid_i - |vpiOperand: - \_operation: , line:1987:39, endln:1987:55 - |vpiOpType:14 - |vpiOperand: - \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[2].active_format.dst_fmt_i), line:1987:39, endln:1987:48 - |vpiName:dst_fmt_i - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[2].active_format.dst_fmt_i - |vpiOperand: - \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[2].active_format.fmt), line:1987:52, endln:1987:55 - |vpiName:fmt - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[2].active_format.fmt - |vpiActual: - \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[2].fmt), line:1974, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[2] - |vpiName:fmt - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[2].fmt - |UINT:2 - |vpiLhs: - \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[2].active_format.in_valid), line:1987:14, endln:1987:22 - |vpiName:in_valid - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[2].active_format.in_valid - |vpiActual: - \_logic_var: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[2].active_format.in_valid), line:1985:13, endln:1985:21, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[2].active_format - |vpiName:in_valid - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[2].active_format.in_valid - |vpiAutomatic:1 - |vpiVisibility:1 - |vpiModule: - \_module: work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[2].active_format::fpnew_opgroup_fmt_slice (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice) dut.sv:1989: , parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[2].active_format - |vpiDefName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[2].active_format::fpnew_opgroup_fmt_slice - |vpiName:i_fmt_slice - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice - |vpiParamAssign: - \_param_assign: , parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice - |vpiRhs: - \_constant: , line:1993, endln:1993:0 - |vpiConstType:9 - |vpiDecompile:1 - |vpiSize:1 - |UINT:1 - |vpiLhs: - \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.EnableVectors) - |vpiParamAssign: - \_param_assign: , parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice - |vpiRhs: - \_constant: , line:1991, endln:1991:0 - |vpiConstType:9 - |vpiDecompile:2 - |vpiSize:64 - |UINT:2 - |vpiLhs: - \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice.FpFormat) - |vpiName:FpFormat - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice.FpFormat - |vpiParamAssign: - \_param_assign: , parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice - |vpiRhs: - \_constant: , line:1990, endln:1990:0 - |vpiConstType:9 - |vpiDecompile:0 - |vpiSize:64 - |UINT:0 - |vpiLhs: - \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.OpGroup) - |vpiParamAssign: - \_param_assign: , parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice - |vpiRhs: - \_constant: , line:1995, endln:1995:0 - |vpiConstType:7 - |vpiDecompile:0 - |vpiSize:64 - |INT:0 - |vpiLhs: - \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.PipeConfig) - |vpiParamAssign: - \_param_assign: , parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice - |vpiRhs: - \_constant: , line:1992, endln:1992:0 - |vpiConstType:9 - |vpiDecompile:64 - |vpiSize:64 - |UINT:64 - |vpiLhs: - \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.Width) - |vpiVariables: - \_logic_var: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[2].active_format.in_valid), line:1985:13, endln:1985:21, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[2].active_format - |vpiName:in_valid - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[2].active_format.in_valid - |vpiAutomatic:1 - |vpiVisibility:1 |vpiParameter: \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[2].ANY_MERGED), line:1976:22, endln:1976:88, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[2] |vpiName:ANY_MERGED @@ -99536,6 +99819,7 @@ design: (work@top) |vpiName:DEBUGME |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[2].DEBUGME |vpiLocalParam:1 + |SCAL:0 |vpiParameter: \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[2].DEBUGME2), line:1980:16, endln:1980:44, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[2] |vpiName:DEBUGME2 @@ -99548,6 +99832,9 @@ design: (work@top) |vpiLocalParam:1 |vpiParameter: \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[2].fmt), line:1974, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[2] + |vpiName:fmt + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[2].fmt + |UINT:2 |vpiParamAssign: \_param_assign: , line:1976:22, endln:1976:88, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[2] |vpiRhs: @@ -99594,11 +99881,38 @@ design: (work@top) |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[2] |UINT:1 |vpiArgument: - \_constant: , line:1976:78, endln:1976:87 - |vpiConstType:9 - |vpiDecompile:31 - |vpiSize:5 - |UINT:31 + \_operation: , line:1976:78, endln:1976:87, parent:fpnew_pkg::any_enabled_multi + |vpiOpType:33 + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[2].RVF), line:2049:23, endln:2049:26 + |vpiName:RVF + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[2].RVF + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.RVF), line:813:20, endln:813:42, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[2].RVD), line:2049:28, endln:2049:31 + |vpiName:RVD + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[2].RVD + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.RVD), line:814:20, endln:814:42, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[2].XF16), line:2049:33, endln:2049:37 + |vpiName:XF16 + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[2].XF16 + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.XF16), line:819:20, endln:819:34, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[2].XF8), line:2049:39, endln:2049:42 + |vpiName:XF8 + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[2].XF8 + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.XF8), line:821:20, endln:821:34, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[2].XF16ALT), line:2049:44, endln:2049:51 + |vpiName:XF16ALT + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[2].XF16ALT + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.XF16ALT), line:820:20, endln:820:34, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i |vpiLhs: \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[2].ANY_MERGED), line:1976:22, endln:1976:88, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[2] |vpiParamAssign: @@ -99652,21 +99966,48 @@ design: (work@top) |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[2] |UINT:1 |vpiArgument: - \_constant: , line:1978:87, endln:1978:96 - |vpiConstType:9 - |vpiDecompile:31 - |vpiSize:5 - |UINT:31 + \_operation: , line:1978:87, endln:1978:96, parent:fpnew_pkg::is_first_enabled_multi + |vpiOpType:33 + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[2].RVF), line:2049:23, endln:2049:26 + |vpiName:RVF + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[2].RVF + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.RVF), line:813:20, endln:813:42, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[2].RVD), line:2049:28, endln:2049:31 + |vpiName:RVD + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[2].RVD + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.RVD), line:814:20, endln:814:42, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[2].XF16), line:2049:33, endln:2049:37 + |vpiName:XF16 + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[2].XF16 + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.XF16), line:819:20, endln:819:34, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[2].XF8), line:2049:39, endln:2049:42 + |vpiName:XF8 + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[2].XF8 + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.XF8), line:821:20, endln:821:34, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[2].XF16ALT), line:2049:44, endln:2049:51 + |vpiName:XF16ALT + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[2].XF16ALT + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.XF16ALT), line:820:20, endln:820:34, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i |vpiLhs: \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[2].IS_FIRST_MERGED), line:1977:22, endln:1978:97, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[2] |vpiParamAssign: \_param_assign: , line:1979:16, endln:1979:40, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[2] |vpiRhs: \_constant: , line:1979:26, endln:1979:40 - |vpiConstType:9 - |vpiDecompile:1 - |vpiSize:1 - |UINT:1 + |vpiConstType:7 + |vpiDecompile:0 + |vpiSize:64 + |INT:0 |vpiLhs: \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[2].DEBUGME), line:1979:16, endln:1979:40, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[2] |vpiParamAssign: @@ -99682,9 +100023,9 @@ design: (work@top) |vpiRhs: \_constant: , line:1981:28, endln:1981:88 |vpiConstType:9 - |vpiDecompile:1 + |vpiDecompile:0 |vpiSize:64 - |UINT:1 + |UINT:0 |vpiLhs: \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[2].DEBUGME3), line:1981:17, endln:1981:88, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[2] |vpiGenScopeArray: @@ -99694,111 +100035,6 @@ design: (work@top) |vpiGenScope: \_gen_scope: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[3]), parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[3] |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[3] - |vpiGenScopeArray: - \_gen_scope_array: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[3].active_format), line:1983:5, endln:1999:11, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[3] - |vpiName:active_format - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[3].active_format - |vpiGenScope: - \_gen_scope: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[3].active_format), parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[3].active_format - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[3].active_format - |vpiContAssign: - \_cont_assign: , line:1987:14, endln:1987:56, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[3].active_format - |vpiRhs: - \_operation: , line:1987:25, endln:1987:35 - |vpiOpType:28 - |vpiOperand: - \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[3].active_format.in_valid_i), line:1987:25, endln:1987:35 - |vpiName:in_valid_i - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[3].active_format.in_valid_i - |vpiOperand: - \_operation: , line:1987:39, endln:1987:55 - |vpiOpType:14 - |vpiOperand: - \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[3].active_format.dst_fmt_i), line:1987:39, endln:1987:48 - |vpiName:dst_fmt_i - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[3].active_format.dst_fmt_i - |vpiOperand: - \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[3].active_format.fmt), line:1987:52, endln:1987:55 - |vpiName:fmt - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[3].active_format.fmt - |vpiActual: - \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[3].fmt), line:1974, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[3] - |vpiName:fmt - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[3].fmt - |UINT:3 - |vpiLhs: - \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[3].active_format.in_valid), line:1987:14, endln:1987:22 - |vpiName:in_valid - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[3].active_format.in_valid - |vpiActual: - \_logic_var: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[3].active_format.in_valid), line:1985:13, endln:1985:21, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[3].active_format - |vpiName:in_valid - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[3].active_format.in_valid - |vpiAutomatic:1 - |vpiVisibility:1 - |vpiModule: - \_module: work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[3].active_format::fpnew_opgroup_fmt_slice (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice) dut.sv:1989: , parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[3].active_format - |vpiDefName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[3].active_format::fpnew_opgroup_fmt_slice - |vpiName:i_fmt_slice - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice - |vpiParamAssign: - \_param_assign: , parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice - |vpiRhs: - \_constant: , line:1993, endln:1993:0 - |vpiConstType:9 - |vpiDecompile:1 - |vpiSize:1 - |UINT:1 - |vpiLhs: - \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.EnableVectors) - |vpiParamAssign: - \_param_assign: , parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice - |vpiRhs: - \_constant: , line:1991, endln:1991:0 - |vpiConstType:9 - |vpiDecompile:3 - |vpiSize:64 - |UINT:3 - |vpiLhs: - \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.FpFormat) - |vpiName:FpFormat - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.FpFormat - |vpiParamAssign: - \_param_assign: , parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice - |vpiRhs: - \_constant: , line:1990, endln:1990:0 - |vpiConstType:9 - |vpiDecompile:0 - |vpiSize:64 - |UINT:0 - |vpiLhs: - \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.OpGroup) - |vpiParamAssign: - \_param_assign: , parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice - |vpiRhs: - \_constant: , line:1995, endln:1995:0 - |vpiConstType:7 - |vpiDecompile:0 - |vpiSize:64 - |INT:0 - |vpiLhs: - \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.PipeConfig) - |vpiParamAssign: - \_param_assign: , parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice - |vpiRhs: - \_constant: , line:1992, endln:1992:0 - |vpiConstType:9 - |vpiDecompile:64 - |vpiSize:64 - |UINT:64 - |vpiLhs: - \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.Width) - |vpiVariables: - \_logic_var: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[3].active_format.in_valid), line:1985:13, endln:1985:21, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[3].active_format - |vpiName:in_valid - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[3].active_format.in_valid - |vpiAutomatic:1 - |vpiVisibility:1 |vpiParameter: \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[3].ANY_MERGED), line:1976:22, endln:1976:88, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[3] |vpiName:ANY_MERGED @@ -99820,6 +100056,7 @@ design: (work@top) |vpiName:DEBUGME |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[3].DEBUGME |vpiLocalParam:1 + |SCAL:0 |vpiParameter: \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[3].DEBUGME2), line:1980:16, endln:1980:44, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[3] |vpiName:DEBUGME2 @@ -99832,6 +100069,9 @@ design: (work@top) |vpiLocalParam:1 |vpiParameter: \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[3].fmt), line:1974, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[3] + |vpiName:fmt + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[3].fmt + |UINT:3 |vpiParamAssign: \_param_assign: , line:1976:22, endln:1976:88, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[3] |vpiRhs: @@ -99878,11 +100118,38 @@ design: (work@top) |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[3] |UINT:1 |vpiArgument: - \_constant: , line:1976:78, endln:1976:87 - |vpiConstType:9 - |vpiDecompile:31 - |vpiSize:5 - |UINT:31 + \_operation: , line:1976:78, endln:1976:87, parent:fpnew_pkg::any_enabled_multi + |vpiOpType:33 + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[3].RVF), line:2049:23, endln:2049:26 + |vpiName:RVF + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[3].RVF + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.RVF), line:813:20, endln:813:42, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[3].RVD), line:2049:28, endln:2049:31 + |vpiName:RVD + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[3].RVD + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.RVD), line:814:20, endln:814:42, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[3].XF16), line:2049:33, endln:2049:37 + |vpiName:XF16 + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[3].XF16 + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.XF16), line:819:20, endln:819:34, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[3].XF8), line:2049:39, endln:2049:42 + |vpiName:XF8 + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[3].XF8 + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.XF8), line:821:20, endln:821:34, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[3].XF16ALT), line:2049:44, endln:2049:51 + |vpiName:XF16ALT + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[3].XF16ALT + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.XF16ALT), line:820:20, endln:820:34, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i |vpiLhs: \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[3].ANY_MERGED), line:1976:22, endln:1976:88, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[3] |vpiParamAssign: @@ -99936,21 +100203,48 @@ design: (work@top) |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[3] |UINT:1 |vpiArgument: - \_constant: , line:1978:87, endln:1978:96 - |vpiConstType:9 - |vpiDecompile:31 - |vpiSize:5 - |UINT:31 + \_operation: , line:1978:87, endln:1978:96, parent:fpnew_pkg::is_first_enabled_multi + |vpiOpType:33 + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[3].RVF), line:2049:23, endln:2049:26 + |vpiName:RVF + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[3].RVF + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.RVF), line:813:20, endln:813:42, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[3].RVD), line:2049:28, endln:2049:31 + |vpiName:RVD + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[3].RVD + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.RVD), line:814:20, endln:814:42, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[3].XF16), line:2049:33, endln:2049:37 + |vpiName:XF16 + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[3].XF16 + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.XF16), line:819:20, endln:819:34, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[3].XF8), line:2049:39, endln:2049:42 + |vpiName:XF8 + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[3].XF8 + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.XF8), line:821:20, endln:821:34, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[3].XF16ALT), line:2049:44, endln:2049:51 + |vpiName:XF16ALT + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[3].XF16ALT + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.XF16ALT), line:820:20, endln:820:34, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i |vpiLhs: \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[3].IS_FIRST_MERGED), line:1977:22, endln:1978:97, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[3] |vpiParamAssign: \_param_assign: , line:1979:16, endln:1979:40, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[3] |vpiRhs: \_constant: , line:1979:26, endln:1979:40 - |vpiConstType:9 - |vpiDecompile:1 - |vpiSize:1 - |UINT:1 + |vpiConstType:7 + |vpiDecompile:0 + |vpiSize:64 + |INT:0 |vpiLhs: \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[3].DEBUGME), line:1979:16, endln:1979:40, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[3] |vpiParamAssign: @@ -99966,9 +100260,9 @@ design: (work@top) |vpiRhs: \_constant: , line:1981:28, endln:1981:88 |vpiConstType:9 - |vpiDecompile:1 + |vpiDecompile:0 |vpiSize:64 - |UINT:1 + |UINT:0 |vpiLhs: \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[3].DEBUGME3), line:1981:17, endln:1981:88, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[3] |vpiGenScopeArray: @@ -99978,111 +100272,6 @@ design: (work@top) |vpiGenScope: \_gen_scope: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[4]), parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[4] |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[4] - |vpiGenScopeArray: - \_gen_scope_array: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[4].active_format), line:1983:5, endln:1999:11, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[4] - |vpiName:active_format - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[4].active_format - |vpiGenScope: - \_gen_scope: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[4].active_format), parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[4].active_format - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[4].active_format - |vpiContAssign: - \_cont_assign: , line:1987:14, endln:1987:56, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[4].active_format - |vpiRhs: - \_operation: , line:1987:25, endln:1987:35 - |vpiOpType:28 - |vpiOperand: - \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[4].active_format.in_valid_i), line:1987:25, endln:1987:35 - |vpiName:in_valid_i - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[4].active_format.in_valid_i - |vpiOperand: - \_operation: , line:1987:39, endln:1987:55 - |vpiOpType:14 - |vpiOperand: - \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[4].active_format.dst_fmt_i), line:1987:39, endln:1987:48 - |vpiName:dst_fmt_i - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[4].active_format.dst_fmt_i - |vpiOperand: - \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[4].active_format.fmt), line:1987:52, endln:1987:55 - |vpiName:fmt - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[4].active_format.fmt - |vpiActual: - \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[4].fmt), line:1974, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[4] - |vpiName:fmt - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[4].fmt - |UINT:4 - |vpiLhs: - \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[4].active_format.in_valid), line:1987:14, endln:1987:22 - |vpiName:in_valid - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[4].active_format.in_valid - |vpiActual: - \_logic_var: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[4].active_format.in_valid), line:1985:13, endln:1985:21, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[4].active_format - |vpiName:in_valid - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[4].active_format.in_valid - |vpiAutomatic:1 - |vpiVisibility:1 - |vpiModule: - \_module: work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[4].active_format::fpnew_opgroup_fmt_slice (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice) dut.sv:1989: , parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[4].active_format - |vpiDefName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[4].active_format::fpnew_opgroup_fmt_slice - |vpiName:i_fmt_slice - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice - |vpiParamAssign: - \_param_assign: , parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice - |vpiRhs: - \_constant: , line:1993, endln:1993:0 - |vpiConstType:9 - |vpiDecompile:1 - |vpiSize:1 - |UINT:1 - |vpiLhs: - \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.EnableVectors) - |vpiParamAssign: - \_param_assign: , parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice - |vpiRhs: - \_constant: , line:1991, endln:1991:0 - |vpiConstType:9 - |vpiDecompile:4 - |vpiSize:64 - |UINT:4 - |vpiLhs: - \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.FpFormat) - |vpiName:FpFormat - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.FpFormat - |vpiParamAssign: - \_param_assign: , parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice - |vpiRhs: - \_constant: , line:1990, endln:1990:0 - |vpiConstType:9 - |vpiDecompile:0 - |vpiSize:64 - |UINT:0 - |vpiLhs: - \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.OpGroup) - |vpiParamAssign: - \_param_assign: , parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice - |vpiRhs: - \_constant: , line:1995, endln:1995:0 - |vpiConstType:7 - |vpiDecompile:0 - |vpiSize:64 - |INT:0 - |vpiLhs: - \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.PipeConfig) - |vpiParamAssign: - \_param_assign: , parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice - |vpiRhs: - \_constant: , line:1992, endln:1992:0 - |vpiConstType:9 - |vpiDecompile:64 - |vpiSize:64 - |UINT:64 - |vpiLhs: - \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.Width) - |vpiVariables: - \_logic_var: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[4].active_format.in_valid), line:1985:13, endln:1985:21, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[4].active_format - |vpiName:in_valid - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[4].active_format.in_valid - |vpiAutomatic:1 - |vpiVisibility:1 |vpiParameter: \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[4].ANY_MERGED), line:1976:22, endln:1976:88, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[4] |vpiName:ANY_MERGED @@ -100104,6 +100293,7 @@ design: (work@top) |vpiName:DEBUGME |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[4].DEBUGME |vpiLocalParam:1 + |SCAL:0 |vpiParameter: \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[4].DEBUGME2), line:1980:16, endln:1980:44, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[4] |vpiName:DEBUGME2 @@ -100116,6 +100306,9 @@ design: (work@top) |vpiLocalParam:1 |vpiParameter: \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[4].fmt), line:1974, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[4] + |vpiName:fmt + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[4].fmt + |UINT:4 |vpiParamAssign: \_param_assign: , line:1976:22, endln:1976:88, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[4] |vpiRhs: @@ -100162,11 +100355,38 @@ design: (work@top) |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[4] |UINT:1 |vpiArgument: - \_constant: , line:1976:78, endln:1976:87 - |vpiConstType:9 - |vpiDecompile:31 - |vpiSize:5 - |UINT:31 + \_operation: , line:1976:78, endln:1976:87, parent:fpnew_pkg::any_enabled_multi + |vpiOpType:33 + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[4].RVF), line:2049:23, endln:2049:26 + |vpiName:RVF + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[4].RVF + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.RVF), line:813:20, endln:813:42, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[4].RVD), line:2049:28, endln:2049:31 + |vpiName:RVD + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[4].RVD + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.RVD), line:814:20, endln:814:42, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[4].XF16), line:2049:33, endln:2049:37 + |vpiName:XF16 + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[4].XF16 + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.XF16), line:819:20, endln:819:34, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[4].XF8), line:2049:39, endln:2049:42 + |vpiName:XF8 + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[4].XF8 + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.XF8), line:821:20, endln:821:34, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[4].XF16ALT), line:2049:44, endln:2049:51 + |vpiName:XF16ALT + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[4].XF16ALT + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.XF16ALT), line:820:20, endln:820:34, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i |vpiLhs: \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[4].ANY_MERGED), line:1976:22, endln:1976:88, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[4] |vpiParamAssign: @@ -100220,21 +100440,48 @@ design: (work@top) |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[4] |UINT:1 |vpiArgument: - \_constant: , line:1978:87, endln:1978:96 - |vpiConstType:9 - |vpiDecompile:31 - |vpiSize:5 - |UINT:31 + \_operation: , line:1978:87, endln:1978:96, parent:fpnew_pkg::is_first_enabled_multi + |vpiOpType:33 + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[4].RVF), line:2049:23, endln:2049:26 + |vpiName:RVF + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[4].RVF + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.RVF), line:813:20, endln:813:42, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[4].RVD), line:2049:28, endln:2049:31 + |vpiName:RVD + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[4].RVD + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.RVD), line:814:20, endln:814:42, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[4].XF16), line:2049:33, endln:2049:37 + |vpiName:XF16 + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[4].XF16 + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.XF16), line:819:20, endln:819:34, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[4].XF8), line:2049:39, endln:2049:42 + |vpiName:XF8 + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[4].XF8 + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.XF8), line:821:20, endln:821:34, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[4].XF16ALT), line:2049:44, endln:2049:51 + |vpiName:XF16ALT + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[4].XF16ALT + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.XF16ALT), line:820:20, endln:820:34, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i |vpiLhs: \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[4].IS_FIRST_MERGED), line:1977:22, endln:1978:97, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[4] |vpiParamAssign: \_param_assign: , line:1979:16, endln:1979:40, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[4] |vpiRhs: \_constant: , line:1979:26, endln:1979:40 - |vpiConstType:9 - |vpiDecompile:1 - |vpiSize:1 - |UINT:1 + |vpiConstType:7 + |vpiDecompile:0 + |vpiSize:64 + |INT:0 |vpiLhs: \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[4].DEBUGME), line:1979:16, endln:1979:40, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[4] |vpiParamAssign: @@ -100250,17 +100497,17 @@ design: (work@top) |vpiRhs: \_constant: , line:1981:28, endln:1981:88 |vpiConstType:9 - |vpiDecompile:1 + |vpiDecompile:0 |vpiSize:64 - |UINT:1 + |UINT:0 |vpiLhs: \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[4].DEBUGME3), line:1981:17, endln:1981:88, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[4] |vpiParameter: - \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.OpGroup) + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[1].active_format.i_fmt_slice.OpGroup) |vpiParameter: - \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.Width) + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[1].active_format.i_fmt_slice.Width) |vpiParameter: - \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.EnableVectors) + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[1].active_format.i_fmt_slice.EnableVectors) |vpiParameter: \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.FpFmtMask), line:1963:41, endln:1963:59, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block |vpiName:FpFmtMask @@ -100674,6 +100921,17 @@ design: (work@top) \_ref_obj: (fmt_logic_t.NUM_FP_FORMATS), line:1528:20, endln:1528:34 |vpiName:NUM_FP_FORMATS |vpiFullName:fmt_logic_t.NUM_FP_FORMATS + |vpiActual: + \_parameter: (fpnew_pkg::NUM_FP_FORMATS), line:1505:27, endln:1505:45, parent:fpnew_pkg:: + |vpiName:NUM_FP_FORMATS + |vpiFullName:fpnew_pkg::NUM_FP_FORMATS + |vpiLocalParam:1 + |UINT:5 + |vpiTypespec: + \_int_typespec: (NUM_FP_FORMATS), line:1505:14, endln:1505:17, parent:fpnew_pkg::NUM_FP_FORMATS + |vpiName:NUM_FP_FORMATS + |vpiInstance: + \_package: fpnew_pkg (fpnew_pkg::) dut.sv:1485:1: , endln:1955:11, parent:work@top |vpiOperand: \_constant: , line:1528:35, endln:1528:36 |vpiConstType:9 @@ -100697,6 +100955,8 @@ design: (work@top) |vpiOperand: \_ref_obj: (NUM_FP_FORMATS), line:1528:20, endln:1528:34 |vpiName:NUM_FP_FORMATS + |vpiActual: + \_parameter: (fpnew_pkg::NUM_FP_FORMATS), line:1505:27, endln:1505:45, parent:fpnew_pkg:: |vpiOperand: \_constant: , line:1528:35, endln:1528:36 |vpiConstType:9 @@ -100758,15 +101018,6 @@ design: (work@top) |vpiFullName:fpnew_pkg::max_fp_width::NUM_FP_FORMATS |vpiActual: \_parameter: (fpnew_pkg::NUM_FP_FORMATS), line:1505:27, endln:1505:45, parent:fpnew_pkg:: - |vpiName:NUM_FP_FORMATS - |vpiFullName:fpnew_pkg::NUM_FP_FORMATS - |vpiLocalParam:1 - |UINT:5 - |vpiTypespec: - \_int_typespec: (NUM_FP_FORMATS), line:1505:14, endln:1505:17, parent:fpnew_pkg::NUM_FP_FORMATS - |vpiName:NUM_FP_FORMATS - |vpiInstance: - \_package: fpnew_pkg (fpnew_pkg::) dut.sv:1485:1: , endln:1955:11, parent:work@top |vpiStmt: \_if_stmt: , line:1772:7, endln:1773:66, parent:fpnew_pkg::max_fp_width |vpiCondition: @@ -100860,6 +101111,8 @@ design: (work@top) \_ref_obj: (fmt_logic_t.NUM_FP_FORMATS), line:1528:20, endln:1528:34 |vpiName:NUM_FP_FORMATS |vpiFullName:fmt_logic_t.NUM_FP_FORMATS + |vpiActual: + \_parameter: (fpnew_pkg::NUM_FP_FORMATS), line:1505:27, endln:1505:45, parent:fpnew_pkg:: |vpiOperand: \_constant: , line:1528:35, endln:1528:36 |vpiConstType:9 @@ -101172,6 +101425,8 @@ design: (work@top) \_ref_obj: (fmt_logic_t.NUM_FP_FORMATS), line:1528:20, endln:1528:34 |vpiName:NUM_FP_FORMATS |vpiFullName:fmt_logic_t.NUM_FP_FORMATS + |vpiActual: + \_parameter: (fpnew_pkg::NUM_FP_FORMATS), line:1505:27, endln:1505:45, parent:fpnew_pkg:: |vpiOperand: \_constant: , line:1528:35, endln:1528:36 |vpiConstType:9 @@ -101392,6 +101647,17 @@ design: (work@top) \_ref_obj: (ifmt_logic_t.NUM_INT_FORMATS), line:1566:20, endln:1566:35 |vpiName:NUM_INT_FORMATS |vpiFullName:ifmt_logic_t.NUM_INT_FORMATS + |vpiActual: + \_parameter: (fpnew_pkg::NUM_INT_FORMATS), line:1544:27, endln:1544:46, parent:fpnew_pkg:: + |vpiName:NUM_INT_FORMATS + |vpiFullName:fpnew_pkg::NUM_INT_FORMATS + |vpiLocalParam:1 + |UINT:4 + |vpiTypespec: + \_int_typespec: (NUM_INT_FORMATS), line:1544:14, endln:1544:17, parent:fpnew_pkg::NUM_INT_FORMATS + |vpiName:NUM_INT_FORMATS + |vpiInstance: + \_package: fpnew_pkg (fpnew_pkg::) dut.sv:1485:1: , endln:1955:11, parent:work@top |vpiOperand: \_constant: , line:1566:36, endln:1566:37 |vpiConstType:9 @@ -101415,6 +101681,8 @@ design: (work@top) |vpiOperand: \_ref_obj: (NUM_INT_FORMATS), line:1566:20, endln:1566:35 |vpiName:NUM_INT_FORMATS + |vpiActual: + \_parameter: (fpnew_pkg::NUM_INT_FORMATS), line:1544:27, endln:1544:46, parent:fpnew_pkg:: |vpiOperand: \_constant: , line:1566:36, endln:1566:37 |vpiConstType:9 @@ -101476,15 +101744,6 @@ design: (work@top) |vpiFullName:fpnew_pkg::max_int_width::NUM_INT_FORMATS |vpiActual: \_parameter: (fpnew_pkg::NUM_INT_FORMATS), line:1544:27, endln:1544:46, parent:fpnew_pkg:: - |vpiName:NUM_INT_FORMATS - |vpiFullName:fpnew_pkg::NUM_INT_FORMATS - |vpiLocalParam:1 - |UINT:4 - |vpiTypespec: - \_int_typespec: (NUM_INT_FORMATS), line:1544:14, endln:1544:17, parent:fpnew_pkg::NUM_INT_FORMATS - |vpiName:NUM_INT_FORMATS - |vpiInstance: - \_package: fpnew_pkg (fpnew_pkg::) dut.sv:1485:1: , endln:1955:11, parent:work@top |vpiStmt: \_begin: (fpnew_pkg::max_int_width), line:1818:56, endln:1820:8, parent:fpnew_pkg::max_int_width |vpiFullName:fpnew_pkg::max_int_width @@ -102033,6 +102292,8 @@ design: (work@top) \_ref_obj: (fmt_logic_t.NUM_FP_FORMATS), line:1528:20, endln:1528:34 |vpiName:NUM_FP_FORMATS |vpiFullName:fmt_logic_t.NUM_FP_FORMATS + |vpiActual: + \_parameter: (fpnew_pkg::NUM_FP_FORMATS), line:1505:27, endln:1505:45, parent:fpnew_pkg:: |vpiOperand: \_constant: , line:1528:35, endln:1528:36 |vpiConstType:9 @@ -102130,6 +102391,8 @@ design: (work@top) \_ref_obj: (fmt_logic_t.NUM_FP_FORMATS), line:1528:20, endln:1528:34 |vpiName:NUM_FP_FORMATS |vpiFullName:fmt_logic_t.NUM_FP_FORMATS + |vpiActual: + \_parameter: (fpnew_pkg::NUM_FP_FORMATS), line:1505:27, endln:1505:45, parent:fpnew_pkg:: |vpiOperand: \_constant: , line:1528:35, endln:1528:36 |vpiConstType:9 @@ -102298,6 +102561,8 @@ design: (work@top) \_ref_obj: (fmt_logic_t.NUM_FP_FORMATS), line:1528:20, endln:1528:34 |vpiName:NUM_FP_FORMATS |vpiFullName:fmt_logic_t.NUM_FP_FORMATS + |vpiActual: + \_parameter: (fpnew_pkg::NUM_FP_FORMATS), line:1505:27, endln:1505:45, parent:fpnew_pkg:: |vpiOperand: \_constant: , line:1528:35, endln:1528:36 |vpiConstType:9 @@ -102330,6 +102595,8 @@ design: (work@top) \_ref_obj: (ifmt_logic_t.NUM_INT_FORMATS), line:1566:20, endln:1566:35 |vpiName:NUM_INT_FORMATS |vpiFullName:ifmt_logic_t.NUM_INT_FORMATS + |vpiActual: + \_parameter: (fpnew_pkg::NUM_INT_FORMATS), line:1544:27, endln:1544:46, parent:fpnew_pkg:: |vpiOperand: \_constant: , line:1566:36, endln:1566:37 |vpiConstType:9 @@ -102598,6 +102865,8 @@ design: (work@top) \_ref_obj: (fmt_logic_t.NUM_FP_FORMATS), line:1528:20, endln:1528:34 |vpiName:NUM_FP_FORMATS |vpiFullName:fmt_logic_t.NUM_FP_FORMATS + |vpiActual: + \_parameter: (fpnew_pkg::NUM_FP_FORMATS), line:1505:27, endln:1505:45, parent:fpnew_pkg:: |vpiOperand: \_constant: , line:1528:35, endln:1528:36 |vpiConstType:9 @@ -102795,6 +103064,8 @@ design: (work@top) \_ref_obj: (fmt_logic_t.NUM_FP_FORMATS), line:1528:20, endln:1528:34 |vpiName:NUM_FP_FORMATS |vpiFullName:fmt_logic_t.NUM_FP_FORMATS + |vpiActual: + \_parameter: (fpnew_pkg::NUM_FP_FORMATS), line:1505:27, endln:1505:45, parent:fpnew_pkg:: |vpiOperand: \_constant: , line:1528:35, endln:1528:36 |vpiConstType:9 @@ -102827,6 +103098,8 @@ design: (work@top) \_ref_obj: (ifmt_logic_t.NUM_INT_FORMATS), line:1566:20, endln:1566:35 |vpiName:NUM_INT_FORMATS |vpiFullName:ifmt_logic_t.NUM_INT_FORMATS + |vpiActual: + \_parameter: (fpnew_pkg::NUM_INT_FORMATS), line:1544:27, endln:1544:46, parent:fpnew_pkg:: |vpiOperand: \_constant: , line:1566:36, endln:1566:37 |vpiConstType:9 @@ -103209,6 +103482,8 @@ design: (work@top) \_ref_obj: (fmt_logic_t.NUM_FP_FORMATS), line:1528:20, endln:1528:34 |vpiName:NUM_FP_FORMATS |vpiFullName:fmt_logic_t.NUM_FP_FORMATS + |vpiActual: + \_parameter: (fpnew_pkg::NUM_FP_FORMATS), line:1505:27, endln:1505:45, parent:fpnew_pkg:: |vpiOperand: \_constant: , line:1528:35, endln:1528:36 |vpiConstType:9 @@ -103345,6 +103620,8 @@ design: (work@top) \_ref_obj: (fmt_logic_t.NUM_FP_FORMATS), line:1528:20, endln:1528:34 |vpiName:NUM_FP_FORMATS |vpiFullName:fmt_logic_t.NUM_FP_FORMATS + |vpiActual: + \_parameter: (fpnew_pkg::NUM_FP_FORMATS), line:1505:27, endln:1505:45, parent:fpnew_pkg:: |vpiOperand: \_constant: , line:1528:35, endln:1528:36 |vpiConstType:9 @@ -103494,6 +103771,8 @@ design: (work@top) \_ref_obj: (fmt_logic_t.NUM_FP_FORMATS), line:1528:20, endln:1528:34 |vpiName:NUM_FP_FORMATS |vpiFullName:fmt_logic_t.NUM_FP_FORMATS + |vpiActual: + \_parameter: (fpnew_pkg::NUM_FP_FORMATS), line:1505:27, endln:1505:45, parent:fpnew_pkg:: |vpiOperand: \_constant: , line:1528:35, endln:1528:36 |vpiConstType:9 @@ -103626,6 +103905,8 @@ design: (work@top) \_ref_obj: (fmt_unsigned_t.NUM_FP_FORMATS), line:1529:20, endln:1529:34 |vpiName:NUM_FP_FORMATS |vpiFullName:fmt_unsigned_t.NUM_FP_FORMATS + |vpiActual: + \_parameter: (fpnew_pkg::NUM_FP_FORMATS), line:1505:27, endln:1505:45, parent:fpnew_pkg:: |vpiOperand: \_constant: , line:1529:35, endln:1529:36 |vpiConstType:9 @@ -103663,6 +103944,8 @@ design: (work@top) |vpiOperand: \_ref_obj: (NUM_FP_FORMATS), line:1529:20, endln:1529:34 |vpiName:NUM_FP_FORMATS + |vpiActual: + \_parameter: (fpnew_pkg::NUM_FP_FORMATS), line:1505:27, endln:1505:45, parent:fpnew_pkg:: |vpiOperand: \_constant: , line:1529:35, endln:1529:36 |vpiConstType:9 @@ -103715,6 +103998,8 @@ design: (work@top) \_ref_obj: (fmt_logic_t.NUM_FP_FORMATS), line:1528:20, endln:1528:34 |vpiName:NUM_FP_FORMATS |vpiFullName:fmt_logic_t.NUM_FP_FORMATS + |vpiActual: + \_parameter: (fpnew_pkg::NUM_FP_FORMATS), line:1505:27, endln:1505:45, parent:fpnew_pkg:: |vpiOperand: \_constant: , line:1528:35, endln:1528:36 |vpiConstType:9 @@ -103914,6 +104199,8 @@ design: (work@top) \_ref_obj: (fpnew_pkg::CPK_FORMATS::fmt_logic_t::NUM_FP_FORMATS), line:1528:20, endln:1528:34 |vpiName:NUM_FP_FORMATS |vpiFullName:fpnew_pkg::CPK_FORMATS::fmt_logic_t::NUM_FP_FORMATS + |vpiActual: + \_parameter: (fpnew_pkg::NUM_FP_FORMATS), line:1505:27, endln:1505:45, parent:fpnew_pkg:: |vpiOperand: \_constant: , line:1528:35, endln:1528:36 |vpiConstType:9 @@ -104010,6 +104297,8 @@ design: (work@top) \_ref_obj: (fmt_logic_t.NUM_FP_FORMATS), line:1528:20, endln:1528:34 |vpiName:NUM_FP_FORMATS |vpiFullName:fmt_logic_t.NUM_FP_FORMATS + |vpiActual: + \_parameter: (fpnew_pkg::NUM_FP_FORMATS), line:1505:27, endln:1505:45, parent:fpnew_pkg:: |vpiOperand: \_constant: , line:1528:35, endln:1528:36 |vpiConstType:9 @@ -104041,6 +104330,8 @@ design: (work@top) \_ref_obj: (ifmt_logic_t.NUM_INT_FORMATS), line:1566:20, endln:1566:35 |vpiName:NUM_INT_FORMATS |vpiFullName:ifmt_logic_t.NUM_INT_FORMATS + |vpiActual: + \_parameter: (fpnew_pkg::NUM_INT_FORMATS), line:1544:27, endln:1544:46, parent:fpnew_pkg:: |vpiOperand: \_constant: , line:1566:36, endln:1566:37 |vpiConstType:9 @@ -104123,6 +104414,8 @@ design: (work@top) \_ref_obj: (fmt_unsigned_t.NUM_FP_FORMATS), line:1529:20, endln:1529:34 |vpiName:NUM_FP_FORMATS |vpiFullName:fmt_unsigned_t.NUM_FP_FORMATS + |vpiActual: + \_parameter: (fpnew_pkg::NUM_FP_FORMATS), line:1505:27, endln:1505:45, parent:fpnew_pkg:: |vpiOperand: \_constant: , line:1529:35, endln:1529:36 |vpiConstType:9 @@ -104161,6 +104454,8 @@ design: (work@top) \_ref_obj: (fmt_unsigned_t.fmt_unsigned_t.NUM_FP_FORMATS), line:1529:20, endln:1529:34 |vpiName:NUM_FP_FORMATS |vpiFullName:fmt_unsigned_t.fmt_unsigned_t.NUM_FP_FORMATS + |vpiActual: + \_parameter: (fpnew_pkg::NUM_FP_FORMATS), line:1505:27, endln:1505:45, parent:fpnew_pkg:: |vpiOperand: \_constant: , line:1529:35, endln:1529:36 |vpiConstType:9 @@ -104219,6 +104514,8 @@ design: (work@top) \_ref_obj: (fmt_unsigned_t.NUM_FP_FORMATS), line:1529:20, endln:1529:34 |vpiName:NUM_FP_FORMATS |vpiFullName:fmt_unsigned_t.NUM_FP_FORMATS + |vpiActual: + \_parameter: (fpnew_pkg::NUM_FP_FORMATS), line:1505:27, endln:1505:45, parent:fpnew_pkg:: |vpiOperand: \_constant: , line:1529:35, endln:1529:36 |vpiConstType:9 @@ -105638,7 +105935,7 @@ design: (work@top) |vpiInstance: \_package: fpnew_pkg (fpnew_pkg::) dut.sv:1485:1: , endln:1955:11, parent:work@top |vpiParameter: - \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.PipeConfig) + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[1].active_format.i_fmt_slice.PipeConfig) |vpiParameter: \_type_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.TagType), parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.TagType @@ -105673,131 +105970,6 @@ design: (work@top) |vpiGenScope: \_gen_scope: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[0]), parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[0] |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[0] - |vpiGenScopeArray: - \_gen_scope_array: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[0].active_format), line:1983:5, endln:1999:11, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[0] - |vpiName:active_format - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[0].active_format - |vpiGenScope: - \_gen_scope: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[0].active_format), parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[0].active_format - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[0].active_format - |vpiContAssign: - \_cont_assign: , line:1987:14, endln:1987:56, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[0].active_format - |vpiRhs: - \_operation: , line:1987:25, endln:1987:35 - |vpiOpType:28 - |vpiOperand: - \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[0].active_format.in_valid_i), line:1987:25, endln:1987:35 - |vpiName:in_valid_i - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[0].active_format.in_valid_i - |vpiOperand: - \_operation: , line:1987:39, endln:1987:55 - |vpiOpType:14 - |vpiOperand: - \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[0].active_format.dst_fmt_i), line:1987:39, endln:1987:48 - |vpiName:dst_fmt_i - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[0].active_format.dst_fmt_i - |vpiOperand: - \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[0].active_format.fmt), line:1987:52, endln:1987:55 - |vpiName:fmt - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[0].active_format.fmt - |vpiActual: - \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[0].fmt), line:1974, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[0] - |vpiName:fmt - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[0].fmt - |UINT:0 - |vpiLhs: - \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[0].active_format.in_valid), line:1987:14, endln:1987:22 - |vpiName:in_valid - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[0].active_format.in_valid - |vpiActual: - \_logic_var: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[0].active_format.in_valid), line:1985:13, endln:1985:21, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[0].active_format - |vpiName:in_valid - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[0].active_format.in_valid - |vpiAutomatic:1 - |vpiVisibility:1 - |vpiModule: - \_module: work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[0].active_format::fpnew_opgroup_fmt_slice (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[0].active_format.i_fmt_slice) dut.sv:1989: , parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[0].active_format - |vpiDefName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[0].active_format::fpnew_opgroup_fmt_slice - |vpiName:i_fmt_slice - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[0].active_format.i_fmt_slice - |vpiParamAssign: - \_param_assign: , parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[0].active_format.i_fmt_slice - |vpiRhs: - \_constant: , line:1993, endln:1993:0 - |vpiConstType:9 - |vpiDecompile:1 - |vpiSize:1 - |UINT:1 - |vpiLhs: - \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.EnableVectors) - |vpiName:EnableVectors - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.EnableVectors - |vpiParamAssign: - \_param_assign: , parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[0].active_format.i_fmt_slice - |vpiRhs: - \_constant: , line:1991, endln:1991:0 - |vpiConstType:9 - |vpiDecompile:0 - |vpiSize:64 - |UINT:0 - |vpiLhs: - \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[0].active_format.i_fmt_slice.FpFormat) - |vpiName:FpFormat - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[0].active_format.i_fmt_slice.FpFormat - |vpiParamAssign: - \_param_assign: , parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[0].active_format.i_fmt_slice - |vpiRhs: - \_constant: , line:1994, endln:1994:0 - |vpiConstType:9 - |vpiDecompile:0 - |vpiSize:1 - |UINT:0 - |vpiLhs: - \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[0].active_format.i_fmt_slice.NumPipeRegs) - |vpiName:NumPipeRegs - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[0].active_format.i_fmt_slice.NumPipeRegs - |vpiParamAssign: - \_param_assign: , parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[0].active_format.i_fmt_slice - |vpiRhs: - \_constant: , line:1990, endln:1990:0 - |vpiConstType:9 - |vpiDecompile:3 - |vpiSize:64 - |UINT:3 - |vpiLhs: - \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.OpGroup) - |vpiName:OpGroup - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.OpGroup - |vpiParamAssign: - \_param_assign: , parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[0].active_format.i_fmt_slice - |vpiRhs: - \_constant: , line:1995, endln:1995:0 - |vpiConstType:7 - |vpiDecompile:0 - |vpiSize:64 - |INT:0 - |vpiLhs: - \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.PipeConfig) - |vpiName:PipeConfig - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.PipeConfig - |vpiParamAssign: - \_param_assign: , parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[0].active_format.i_fmt_slice - |vpiRhs: - \_constant: , line:1992, endln:1992:0 - |vpiConstType:9 - |vpiDecompile:64 - |vpiSize:64 - |UINT:64 - |vpiLhs: - \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.Width) - |vpiName:Width - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.Width - |vpiVariables: - \_logic_var: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[0].active_format.in_valid), line:1985:13, endln:1985:21, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[0].active_format - |vpiName:in_valid - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[0].active_format.in_valid - |vpiAutomatic:1 - |vpiVisibility:1 |vpiParameter: \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[0].ANY_MERGED), line:1976:22, endln:1976:88, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[0] |vpiName:ANY_MERGED @@ -105819,6 +105991,7 @@ design: (work@top) |vpiName:DEBUGME |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[0].DEBUGME |vpiLocalParam:1 + |INT:1 |vpiParameter: \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[0].DEBUGME2), line:1980:16, endln:1980:44, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[0] |vpiName:DEBUGME2 @@ -105831,6 +106004,9 @@ design: (work@top) |vpiLocalParam:1 |vpiParameter: \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[0].fmt), line:1974, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[0] + |vpiName:fmt + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[0].fmt + |UINT:0 |vpiParamAssign: \_param_assign: , line:1976:22, endln:1976:88, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[0] |vpiRhs: @@ -105859,29 +106035,56 @@ design: (work@top) |vpiElement: \_enum_var: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[0]), parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[0] |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[0] - |UINT:1 + |UINT:2 |vpiElement: \_enum_var: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[0]), parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[0] |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[0] - |UINT:1 + |UINT:2 |vpiElement: \_enum_var: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[0]), parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[0] |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[0] - |UINT:1 + |UINT:2 |vpiElement: \_enum_var: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[0]), parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[0] |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[0] - |UINT:1 + |UINT:2 |vpiElement: \_enum_var: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[0]), parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[0] |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[0] - |UINT:1 + |UINT:2 |vpiArgument: - \_constant: , line:1976:78, endln:1976:87 - |vpiConstType:9 - |vpiDecompile:31 - |vpiSize:5 - |UINT:31 + \_operation: , line:1976:78, endln:1976:87, parent:fpnew_pkg::any_enabled_multi + |vpiOpType:33 + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[0].RVF), line:2049:23, endln:2049:26 + |vpiName:RVF + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[0].RVF + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.RVF), line:813:20, endln:813:42, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[0].RVD), line:2049:28, endln:2049:31 + |vpiName:RVD + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[0].RVD + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.RVD), line:814:20, endln:814:42, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[0].XF16), line:2049:33, endln:2049:37 + |vpiName:XF16 + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[0].XF16 + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.XF16), line:819:20, endln:819:34, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[0].XF8), line:2049:39, endln:2049:42 + |vpiName:XF8 + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[0].XF8 + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.XF8), line:821:20, endln:821:34, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[0].XF16ALT), line:2049:44, endln:2049:51 + |vpiName:XF16ALT + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[0].XF16ALT + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.XF16ALT), line:820:20, endln:820:34, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i |vpiLhs: \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[0].ANY_MERGED), line:1976:22, endln:1976:88, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[0] |vpiParamAssign: @@ -105917,39 +106120,66 @@ design: (work@top) |vpiElement: \_enum_var: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[0]), parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[0] |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[0] - |UINT:1 + |UINT:2 |vpiElement: \_enum_var: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[0]), parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[0] |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[0] - |UINT:1 + |UINT:2 |vpiElement: \_enum_var: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[0]), parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[0] |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[0] - |UINT:1 + |UINT:2 |vpiElement: \_enum_var: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[0]), parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[0] |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[0] - |UINT:1 + |UINT:2 |vpiElement: \_enum_var: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[0]), parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[0] |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[0] - |UINT:1 + |UINT:2 |vpiArgument: - \_constant: , line:1978:87, endln:1978:96 - |vpiConstType:9 - |vpiDecompile:31 - |vpiSize:5 - |UINT:31 + \_operation: , line:1978:87, endln:1978:96, parent:fpnew_pkg::is_first_enabled_multi + |vpiOpType:33 + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[0].RVF), line:2049:23, endln:2049:26 + |vpiName:RVF + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[0].RVF + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.RVF), line:813:20, endln:813:42, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[0].RVD), line:2049:28, endln:2049:31 + |vpiName:RVD + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[0].RVD + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.RVD), line:814:20, endln:814:42, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[0].XF16), line:2049:33, endln:2049:37 + |vpiName:XF16 + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[0].XF16 + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.XF16), line:819:20, endln:819:34, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[0].XF8), line:2049:39, endln:2049:42 + |vpiName:XF8 + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[0].XF8 + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.XF8), line:821:20, endln:821:34, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[0].XF16ALT), line:2049:44, endln:2049:51 + |vpiName:XF16ALT + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[0].XF16ALT + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.XF16ALT), line:820:20, endln:820:34, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i |vpiLhs: \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[0].IS_FIRST_MERGED), line:1977:22, endln:1978:97, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[0] |vpiParamAssign: \_param_assign: , line:1979:16, endln:1979:40, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[0] |vpiRhs: \_constant: , line:1979:26, endln:1979:40 - |vpiConstType:9 + |vpiConstType:7 |vpiDecompile:1 - |vpiSize:1 - |UINT:1 + |vpiSize:64 + |INT:1 |vpiLhs: \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[0].DEBUGME), line:1979:16, endln:1979:40, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[0] |vpiParamAssign: @@ -105957,7 +106187,7 @@ design: (work@top) |vpiRhs: \_enum_var: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[0]), line:1980:27, endln:1980:44 |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[0] - |UINT:1 + |UINT:2 |vpiLhs: \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[0].DEBUGME2), line:1980:16, endln:1980:44, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[0] |vpiParamAssign: @@ -105965,9 +106195,9 @@ design: (work@top) |vpiRhs: \_constant: , line:1981:28, endln:1981:88 |vpiConstType:9 - |vpiDecompile:1 + |vpiDecompile:0 |vpiSize:64 - |UINT:1 + |UINT:0 |vpiLhs: \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[0].DEBUGME3), line:1981:17, endln:1981:88, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[0] |vpiGenScopeArray: @@ -105977,123 +106207,6 @@ design: (work@top) |vpiGenScope: \_gen_scope: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[1]), parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[1] |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[1] - |vpiGenScopeArray: - \_gen_scope_array: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[1].active_format), line:1983:5, endln:1999:11, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[1] - |vpiName:active_format - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[1].active_format - |vpiGenScope: - \_gen_scope: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[1].active_format), parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[1].active_format - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[1].active_format - |vpiContAssign: - \_cont_assign: , line:1987:14, endln:1987:56, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[1].active_format - |vpiRhs: - \_operation: , line:1987:25, endln:1987:35 - |vpiOpType:28 - |vpiOperand: - \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[1].active_format.in_valid_i), line:1987:25, endln:1987:35 - |vpiName:in_valid_i - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[1].active_format.in_valid_i - |vpiOperand: - \_operation: , line:1987:39, endln:1987:55 - |vpiOpType:14 - |vpiOperand: - \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[1].active_format.dst_fmt_i), line:1987:39, endln:1987:48 - |vpiName:dst_fmt_i - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[1].active_format.dst_fmt_i - |vpiOperand: - \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[1].active_format.fmt), line:1987:52, endln:1987:55 - |vpiName:fmt - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[1].active_format.fmt - |vpiActual: - \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[1].fmt), line:1974, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[1] - |vpiName:fmt - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[1].fmt - |UINT:1 - |vpiLhs: - \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[1].active_format.in_valid), line:1987:14, endln:1987:22 - |vpiName:in_valid - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[1].active_format.in_valid - |vpiActual: - \_logic_var: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[1].active_format.in_valid), line:1985:13, endln:1985:21, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[1].active_format - |vpiName:in_valid - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[1].active_format.in_valid - |vpiAutomatic:1 - |vpiVisibility:1 - |vpiModule: - \_module: work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[1].active_format::fpnew_opgroup_fmt_slice (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[1].active_format.i_fmt_slice) dut.sv:1989: , parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[1].active_format - |vpiDefName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[1].active_format::fpnew_opgroup_fmt_slice - |vpiName:i_fmt_slice - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[1].active_format.i_fmt_slice - |vpiParamAssign: - \_param_assign: , parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[1].active_format.i_fmt_slice - |vpiRhs: - \_constant: , line:1993, endln:1993:0 - |vpiConstType:9 - |vpiDecompile:1 - |vpiSize:1 - |UINT:1 - |vpiLhs: - \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.EnableVectors) - |vpiParamAssign: - \_param_assign: , parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[1].active_format.i_fmt_slice - |vpiRhs: - \_constant: , line:1991, endln:1991:0 - |vpiConstType:9 - |vpiDecompile:1 - |vpiSize:64 - |UINT:1 - |vpiLhs: - \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[1].active_format.i_fmt_slice.FpFormat) - |vpiName:FpFormat - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[1].active_format.i_fmt_slice.FpFormat - |vpiParamAssign: - \_param_assign: , parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[1].active_format.i_fmt_slice - |vpiRhs: - \_constant: , line:1994, endln:1994:0 - |vpiConstType:9 - |vpiDecompile:0 - |vpiSize:1 - |UINT:0 - |vpiLhs: - \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[1].active_format.i_fmt_slice.NumPipeRegs) - |vpiName:NumPipeRegs - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[1].active_format.i_fmt_slice.NumPipeRegs - |vpiParamAssign: - \_param_assign: , parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[1].active_format.i_fmt_slice - |vpiRhs: - \_constant: , line:1990, endln:1990:0 - |vpiConstType:9 - |vpiDecompile:3 - |vpiSize:64 - |UINT:3 - |vpiLhs: - \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.OpGroup) - |vpiParamAssign: - \_param_assign: , parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[1].active_format.i_fmt_slice - |vpiRhs: - \_constant: , line:1995, endln:1995:0 - |vpiConstType:7 - |vpiDecompile:0 - |vpiSize:64 - |INT:0 - |vpiLhs: - \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.PipeConfig) - |vpiParamAssign: - \_param_assign: , parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[1].active_format.i_fmt_slice - |vpiRhs: - \_constant: , line:1992, endln:1992:0 - |vpiConstType:9 - |vpiDecompile:64 - |vpiSize:64 - |UINT:64 - |vpiLhs: - \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.Width) - |vpiVariables: - \_logic_var: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[1].active_format.in_valid), line:1985:13, endln:1985:21, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[1].active_format - |vpiName:in_valid - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[1].active_format.in_valid - |vpiAutomatic:1 - |vpiVisibility:1 |vpiParameter: \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[1].ANY_MERGED), line:1976:22, endln:1976:88, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[1] |vpiName:ANY_MERGED @@ -106115,6 +106228,7 @@ design: (work@top) |vpiName:DEBUGME |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[1].DEBUGME |vpiLocalParam:1 + |INT:1 |vpiParameter: \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[1].DEBUGME2), line:1980:16, endln:1980:44, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[1] |vpiName:DEBUGME2 @@ -106127,6 +106241,9 @@ design: (work@top) |vpiLocalParam:1 |vpiParameter: \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[1].fmt), line:1974, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[1] + |vpiName:fmt + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[1].fmt + |UINT:1 |vpiParamAssign: \_param_assign: , line:1976:22, endln:1976:88, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[1] |vpiRhs: @@ -106155,29 +106272,56 @@ design: (work@top) |vpiElement: \_enum_var: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[1]), parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[1] |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[1] - |UINT:1 + |UINT:2 |vpiElement: \_enum_var: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[1]), parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[1] |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[1] - |UINT:1 + |UINT:2 |vpiElement: \_enum_var: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[1]), parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[1] |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[1] - |UINT:1 + |UINT:2 |vpiElement: \_enum_var: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[1]), parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[1] |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[1] - |UINT:1 + |UINT:2 |vpiElement: \_enum_var: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[1]), parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[1] |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[1] - |UINT:1 + |UINT:2 |vpiArgument: - \_constant: , line:1976:78, endln:1976:87 - |vpiConstType:9 - |vpiDecompile:31 - |vpiSize:5 - |UINT:31 + \_operation: , line:1976:78, endln:1976:87, parent:fpnew_pkg::any_enabled_multi + |vpiOpType:33 + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[1].RVF), line:2049:23, endln:2049:26 + |vpiName:RVF + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[1].RVF + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.RVF), line:813:20, endln:813:42, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[1].RVD), line:2049:28, endln:2049:31 + |vpiName:RVD + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[1].RVD + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.RVD), line:814:20, endln:814:42, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[1].XF16), line:2049:33, endln:2049:37 + |vpiName:XF16 + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[1].XF16 + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.XF16), line:819:20, endln:819:34, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[1].XF8), line:2049:39, endln:2049:42 + |vpiName:XF8 + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[1].XF8 + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.XF8), line:821:20, endln:821:34, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[1].XF16ALT), line:2049:44, endln:2049:51 + |vpiName:XF16ALT + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[1].XF16ALT + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.XF16ALT), line:820:20, endln:820:34, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i |vpiLhs: \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[1].ANY_MERGED), line:1976:22, endln:1976:88, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[1] |vpiParamAssign: @@ -106213,39 +106357,66 @@ design: (work@top) |vpiElement: \_enum_var: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[1]), parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[1] |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[1] - |UINT:1 + |UINT:2 |vpiElement: \_enum_var: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[1]), parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[1] |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[1] - |UINT:1 + |UINT:2 |vpiElement: \_enum_var: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[1]), parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[1] |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[1] - |UINT:1 + |UINT:2 |vpiElement: \_enum_var: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[1]), parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[1] |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[1] - |UINT:1 + |UINT:2 |vpiElement: \_enum_var: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[1]), parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[1] |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[1] - |UINT:1 + |UINT:2 |vpiArgument: - \_constant: , line:1978:87, endln:1978:96 - |vpiConstType:9 - |vpiDecompile:31 - |vpiSize:5 - |UINT:31 + \_operation: , line:1978:87, endln:1978:96, parent:fpnew_pkg::is_first_enabled_multi + |vpiOpType:33 + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[1].RVF), line:2049:23, endln:2049:26 + |vpiName:RVF + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[1].RVF + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.RVF), line:813:20, endln:813:42, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[1].RVD), line:2049:28, endln:2049:31 + |vpiName:RVD + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[1].RVD + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.RVD), line:814:20, endln:814:42, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[1].XF16), line:2049:33, endln:2049:37 + |vpiName:XF16 + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[1].XF16 + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.XF16), line:819:20, endln:819:34, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[1].XF8), line:2049:39, endln:2049:42 + |vpiName:XF8 + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[1].XF8 + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.XF8), line:821:20, endln:821:34, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[1].XF16ALT), line:2049:44, endln:2049:51 + |vpiName:XF16ALT + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[1].XF16ALT + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.XF16ALT), line:820:20, endln:820:34, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i |vpiLhs: \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[1].IS_FIRST_MERGED), line:1977:22, endln:1978:97, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[1] |vpiParamAssign: \_param_assign: , line:1979:16, endln:1979:40, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[1] |vpiRhs: \_constant: , line:1979:26, endln:1979:40 - |vpiConstType:9 + |vpiConstType:7 |vpiDecompile:1 - |vpiSize:1 - |UINT:1 + |vpiSize:64 + |INT:1 |vpiLhs: \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[1].DEBUGME), line:1979:16, endln:1979:40, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[1] |vpiParamAssign: @@ -106253,7 +106424,7 @@ design: (work@top) |vpiRhs: \_enum_var: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[1]), line:1980:27, endln:1980:44 |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[1] - |UINT:1 + |UINT:2 |vpiLhs: \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[1].DEBUGME2), line:1980:16, endln:1980:44, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[1] |vpiParamAssign: @@ -106261,9 +106432,9 @@ design: (work@top) |vpiRhs: \_constant: , line:1981:28, endln:1981:88 |vpiConstType:9 - |vpiDecompile:1 + |vpiDecompile:0 |vpiSize:64 - |UINT:1 + |UINT:0 |vpiLhs: \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[1].DEBUGME3), line:1981:17, endln:1981:88, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[1] |vpiGenScopeArray: @@ -106273,123 +106444,6 @@ design: (work@top) |vpiGenScope: \_gen_scope: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[2]), parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[2] |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[2] - |vpiGenScopeArray: - \_gen_scope_array: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[2].active_format), line:1983:5, endln:1999:11, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[2] - |vpiName:active_format - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[2].active_format - |vpiGenScope: - \_gen_scope: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[2].active_format), parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[2].active_format - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[2].active_format - |vpiContAssign: - \_cont_assign: , line:1987:14, endln:1987:56, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[2].active_format - |vpiRhs: - \_operation: , line:1987:25, endln:1987:35 - |vpiOpType:28 - |vpiOperand: - \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[2].active_format.in_valid_i), line:1987:25, endln:1987:35 - |vpiName:in_valid_i - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[2].active_format.in_valid_i - |vpiOperand: - \_operation: , line:1987:39, endln:1987:55 - |vpiOpType:14 - |vpiOperand: - \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[2].active_format.dst_fmt_i), line:1987:39, endln:1987:48 - |vpiName:dst_fmt_i - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[2].active_format.dst_fmt_i - |vpiOperand: - \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[2].active_format.fmt), line:1987:52, endln:1987:55 - |vpiName:fmt - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[2].active_format.fmt - |vpiActual: - \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[2].fmt), line:1974, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[2] - |vpiName:fmt - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[2].fmt - |UINT:2 - |vpiLhs: - \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[2].active_format.in_valid), line:1987:14, endln:1987:22 - |vpiName:in_valid - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[2].active_format.in_valid - |vpiActual: - \_logic_var: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[2].active_format.in_valid), line:1985:13, endln:1985:21, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[2].active_format - |vpiName:in_valid - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[2].active_format.in_valid - |vpiAutomatic:1 - |vpiVisibility:1 - |vpiModule: - \_module: work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[2].active_format::fpnew_opgroup_fmt_slice (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice) dut.sv:1989: , parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[2].active_format - |vpiDefName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[2].active_format::fpnew_opgroup_fmt_slice - |vpiName:i_fmt_slice - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice - |vpiParamAssign: - \_param_assign: , parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice - |vpiRhs: - \_constant: , line:1993, endln:1993:0 - |vpiConstType:9 - |vpiDecompile:1 - |vpiSize:1 - |UINT:1 - |vpiLhs: - \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.EnableVectors) - |vpiParamAssign: - \_param_assign: , parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice - |vpiRhs: - \_constant: , line:1991, endln:1991:0 - |vpiConstType:9 - |vpiDecompile:2 - |vpiSize:64 - |UINT:2 - |vpiLhs: - \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice.FpFormat) - |vpiName:FpFormat - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice.FpFormat - |vpiParamAssign: - \_param_assign: , parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice - |vpiRhs: - \_constant: , line:1994, endln:1994:0 - |vpiConstType:9 - |vpiDecompile:0 - |vpiSize:1 - |UINT:0 - |vpiLhs: - \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice.NumPipeRegs) - |vpiName:NumPipeRegs - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice.NumPipeRegs - |vpiParamAssign: - \_param_assign: , parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice - |vpiRhs: - \_constant: , line:1990, endln:1990:0 - |vpiConstType:9 - |vpiDecompile:3 - |vpiSize:64 - |UINT:3 - |vpiLhs: - \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.OpGroup) - |vpiParamAssign: - \_param_assign: , parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice - |vpiRhs: - \_constant: , line:1995, endln:1995:0 - |vpiConstType:7 - |vpiDecompile:0 - |vpiSize:64 - |INT:0 - |vpiLhs: - \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.PipeConfig) - |vpiParamAssign: - \_param_assign: , parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice - |vpiRhs: - \_constant: , line:1992, endln:1992:0 - |vpiConstType:9 - |vpiDecompile:64 - |vpiSize:64 - |UINT:64 - |vpiLhs: - \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.Width) - |vpiVariables: - \_logic_var: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[2].active_format.in_valid), line:1985:13, endln:1985:21, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[2].active_format - |vpiName:in_valid - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[2].active_format.in_valid - |vpiAutomatic:1 - |vpiVisibility:1 |vpiParameter: \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[2].ANY_MERGED), line:1976:22, endln:1976:88, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[2] |vpiName:ANY_MERGED @@ -106411,6 +106465,7 @@ design: (work@top) |vpiName:DEBUGME |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[2].DEBUGME |vpiLocalParam:1 + |SCAL:0 |vpiParameter: \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[2].DEBUGME2), line:1980:16, endln:1980:44, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[2] |vpiName:DEBUGME2 @@ -106423,6 +106478,9 @@ design: (work@top) |vpiLocalParam:1 |vpiParameter: \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[2].fmt), line:1974, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[2] + |vpiName:fmt + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[2].fmt + |UINT:2 |vpiParamAssign: \_param_assign: , line:1976:22, endln:1976:88, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[2] |vpiRhs: @@ -106451,29 +106509,56 @@ design: (work@top) |vpiElement: \_enum_var: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[2]), parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[2] |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[2] - |UINT:1 + |UINT:2 |vpiElement: \_enum_var: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[2]), parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[2] |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[2] - |UINT:1 + |UINT:2 |vpiElement: \_enum_var: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[2]), parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[2] |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[2] - |UINT:1 + |UINT:2 |vpiElement: \_enum_var: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[2]), parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[2] |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[2] - |UINT:1 + |UINT:2 |vpiElement: \_enum_var: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[2]), parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[2] |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[2] - |UINT:1 + |UINT:2 |vpiArgument: - \_constant: , line:1976:78, endln:1976:87 - |vpiConstType:9 - |vpiDecompile:31 - |vpiSize:5 - |UINT:31 + \_operation: , line:1976:78, endln:1976:87, parent:fpnew_pkg::any_enabled_multi + |vpiOpType:33 + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[2].RVF), line:2049:23, endln:2049:26 + |vpiName:RVF + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[2].RVF + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.RVF), line:813:20, endln:813:42, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[2].RVD), line:2049:28, endln:2049:31 + |vpiName:RVD + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[2].RVD + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.RVD), line:814:20, endln:814:42, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[2].XF16), line:2049:33, endln:2049:37 + |vpiName:XF16 + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[2].XF16 + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.XF16), line:819:20, endln:819:34, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[2].XF8), line:2049:39, endln:2049:42 + |vpiName:XF8 + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[2].XF8 + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.XF8), line:821:20, endln:821:34, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[2].XF16ALT), line:2049:44, endln:2049:51 + |vpiName:XF16ALT + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[2].XF16ALT + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.XF16ALT), line:820:20, endln:820:34, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i |vpiLhs: \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[2].ANY_MERGED), line:1976:22, endln:1976:88, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[2] |vpiParamAssign: @@ -106509,39 +106594,66 @@ design: (work@top) |vpiElement: \_enum_var: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[2]), parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[2] |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[2] - |UINT:1 + |UINT:2 |vpiElement: \_enum_var: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[2]), parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[2] |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[2] - |UINT:1 + |UINT:2 |vpiElement: \_enum_var: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[2]), parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[2] |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[2] - |UINT:1 + |UINT:2 |vpiElement: \_enum_var: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[2]), parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[2] |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[2] - |UINT:1 + |UINT:2 |vpiElement: \_enum_var: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[2]), parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[2] |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[2] - |UINT:1 + |UINT:2 |vpiArgument: - \_constant: , line:1978:87, endln:1978:96 - |vpiConstType:9 - |vpiDecompile:31 - |vpiSize:5 - |UINT:31 + \_operation: , line:1978:87, endln:1978:96, parent:fpnew_pkg::is_first_enabled_multi + |vpiOpType:33 + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[2].RVF), line:2049:23, endln:2049:26 + |vpiName:RVF + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[2].RVF + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.RVF), line:813:20, endln:813:42, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[2].RVD), line:2049:28, endln:2049:31 + |vpiName:RVD + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[2].RVD + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.RVD), line:814:20, endln:814:42, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[2].XF16), line:2049:33, endln:2049:37 + |vpiName:XF16 + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[2].XF16 + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.XF16), line:819:20, endln:819:34, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[2].XF8), line:2049:39, endln:2049:42 + |vpiName:XF8 + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[2].XF8 + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.XF8), line:821:20, endln:821:34, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[2].XF16ALT), line:2049:44, endln:2049:51 + |vpiName:XF16ALT + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[2].XF16ALT + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.XF16ALT), line:820:20, endln:820:34, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i |vpiLhs: \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[2].IS_FIRST_MERGED), line:1977:22, endln:1978:97, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[2] |vpiParamAssign: \_param_assign: , line:1979:16, endln:1979:40, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[2] |vpiRhs: \_constant: , line:1979:26, endln:1979:40 - |vpiConstType:9 - |vpiDecompile:1 - |vpiSize:1 - |UINT:1 + |vpiConstType:7 + |vpiDecompile:0 + |vpiSize:64 + |INT:0 |vpiLhs: \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[2].DEBUGME), line:1979:16, endln:1979:40, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[2] |vpiParamAssign: @@ -106549,7 +106661,7 @@ design: (work@top) |vpiRhs: \_enum_var: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[2]), line:1980:27, endln:1980:44 |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[2] - |UINT:1 + |UINT:2 |vpiLhs: \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[2].DEBUGME2), line:1980:16, endln:1980:44, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[2] |vpiParamAssign: @@ -106557,9 +106669,9 @@ design: (work@top) |vpiRhs: \_constant: , line:1981:28, endln:1981:88 |vpiConstType:9 - |vpiDecompile:1 + |vpiDecompile:0 |vpiSize:64 - |UINT:1 + |UINT:0 |vpiLhs: \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[2].DEBUGME3), line:1981:17, endln:1981:88, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[2] |vpiGenScopeArray: @@ -106569,123 +106681,6 @@ design: (work@top) |vpiGenScope: \_gen_scope: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3]), parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3] |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3] - |vpiGenScopeArray: - \_gen_scope_array: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3].active_format), line:1983:5, endln:1999:11, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3] - |vpiName:active_format - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3].active_format - |vpiGenScope: - \_gen_scope: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3].active_format), parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3].active_format - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3].active_format - |vpiContAssign: - \_cont_assign: , line:1987:14, endln:1987:56, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3].active_format - |vpiRhs: - \_operation: , line:1987:25, endln:1987:35 - |vpiOpType:28 - |vpiOperand: - \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3].active_format.in_valid_i), line:1987:25, endln:1987:35 - |vpiName:in_valid_i - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3].active_format.in_valid_i - |vpiOperand: - \_operation: , line:1987:39, endln:1987:55 - |vpiOpType:14 - |vpiOperand: - \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3].active_format.dst_fmt_i), line:1987:39, endln:1987:48 - |vpiName:dst_fmt_i - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3].active_format.dst_fmt_i - |vpiOperand: - \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3].active_format.fmt), line:1987:52, endln:1987:55 - |vpiName:fmt - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3].active_format.fmt - |vpiActual: - \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3].fmt), line:1974, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3] - |vpiName:fmt - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3].fmt - |UINT:3 - |vpiLhs: - \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3].active_format.in_valid), line:1987:14, endln:1987:22 - |vpiName:in_valid - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3].active_format.in_valid - |vpiActual: - \_logic_var: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3].active_format.in_valid), line:1985:13, endln:1985:21, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3].active_format - |vpiName:in_valid - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3].active_format.in_valid - |vpiAutomatic:1 - |vpiVisibility:1 - |vpiModule: - \_module: work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3].active_format::fpnew_opgroup_fmt_slice (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice) dut.sv:1989: , parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3].active_format - |vpiDefName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3].active_format::fpnew_opgroup_fmt_slice - |vpiName:i_fmt_slice - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice - |vpiParamAssign: - \_param_assign: , parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice - |vpiRhs: - \_constant: , line:1993, endln:1993:0 - |vpiConstType:9 - |vpiDecompile:1 - |vpiSize:1 - |UINT:1 - |vpiLhs: - \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.EnableVectors) - |vpiParamAssign: - \_param_assign: , parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice - |vpiRhs: - \_constant: , line:1991, endln:1991:0 - |vpiConstType:9 - |vpiDecompile:3 - |vpiSize:64 - |UINT:3 - |vpiLhs: - \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.FpFormat) - |vpiName:FpFormat - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.FpFormat - |vpiParamAssign: - \_param_assign: , parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice - |vpiRhs: - \_constant: , line:1994, endln:1994:0 - |vpiConstType:9 - |vpiDecompile:0 - |vpiSize:1 - |UINT:0 - |vpiLhs: - \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.NumPipeRegs) - |vpiName:NumPipeRegs - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.NumPipeRegs - |vpiParamAssign: - \_param_assign: , parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice - |vpiRhs: - \_constant: , line:1990, endln:1990:0 - |vpiConstType:9 - |vpiDecompile:3 - |vpiSize:64 - |UINT:3 - |vpiLhs: - \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.OpGroup) - |vpiParamAssign: - \_param_assign: , parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice - |vpiRhs: - \_constant: , line:1995, endln:1995:0 - |vpiConstType:7 - |vpiDecompile:0 - |vpiSize:64 - |INT:0 - |vpiLhs: - \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.PipeConfig) - |vpiParamAssign: - \_param_assign: , parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice - |vpiRhs: - \_constant: , line:1992, endln:1992:0 - |vpiConstType:9 - |vpiDecompile:64 - |vpiSize:64 - |UINT:64 - |vpiLhs: - \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.Width) - |vpiVariables: - \_logic_var: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3].active_format.in_valid), line:1985:13, endln:1985:21, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3].active_format - |vpiName:in_valid - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3].active_format.in_valid - |vpiAutomatic:1 - |vpiVisibility:1 |vpiParameter: \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3].ANY_MERGED), line:1976:22, endln:1976:88, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3] |vpiName:ANY_MERGED @@ -106707,6 +106702,7 @@ design: (work@top) |vpiName:DEBUGME |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3].DEBUGME |vpiLocalParam:1 + |SCAL:0 |vpiParameter: \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3].DEBUGME2), line:1980:16, endln:1980:44, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3] |vpiName:DEBUGME2 @@ -106719,6 +106715,9 @@ design: (work@top) |vpiLocalParam:1 |vpiParameter: \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3].fmt), line:1974, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3] + |vpiName:fmt + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3].fmt + |UINT:3 |vpiParamAssign: \_param_assign: , line:1976:22, endln:1976:88, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3] |vpiRhs: @@ -106747,29 +106746,56 @@ design: (work@top) |vpiElement: \_enum_var: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3]), parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3] |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3] - |UINT:1 + |UINT:2 |vpiElement: \_enum_var: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3]), parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3] |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3] - |UINT:1 + |UINT:2 |vpiElement: \_enum_var: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3]), parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3] |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3] - |UINT:1 + |UINT:2 |vpiElement: \_enum_var: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3]), parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3] |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3] - |UINT:1 + |UINT:2 |vpiElement: \_enum_var: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3]), parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3] |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3] - |UINT:1 + |UINT:2 |vpiArgument: - \_constant: , line:1976:78, endln:1976:87 - |vpiConstType:9 - |vpiDecompile:31 - |vpiSize:5 - |UINT:31 + \_operation: , line:1976:78, endln:1976:87, parent:fpnew_pkg::any_enabled_multi + |vpiOpType:33 + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3].RVF), line:2049:23, endln:2049:26 + |vpiName:RVF + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3].RVF + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.RVF), line:813:20, endln:813:42, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3].RVD), line:2049:28, endln:2049:31 + |vpiName:RVD + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3].RVD + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.RVD), line:814:20, endln:814:42, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3].XF16), line:2049:33, endln:2049:37 + |vpiName:XF16 + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3].XF16 + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.XF16), line:819:20, endln:819:34, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3].XF8), line:2049:39, endln:2049:42 + |vpiName:XF8 + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3].XF8 + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.XF8), line:821:20, endln:821:34, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3].XF16ALT), line:2049:44, endln:2049:51 + |vpiName:XF16ALT + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3].XF16ALT + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.XF16ALT), line:820:20, endln:820:34, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i |vpiLhs: \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3].ANY_MERGED), line:1976:22, endln:1976:88, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3] |vpiParamAssign: @@ -106805,39 +106831,66 @@ design: (work@top) |vpiElement: \_enum_var: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3]), parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3] |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3] - |UINT:1 + |UINT:2 |vpiElement: \_enum_var: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3]), parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3] |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3] - |UINT:1 + |UINT:2 |vpiElement: \_enum_var: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3]), parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3] |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3] - |UINT:1 + |UINT:2 |vpiElement: \_enum_var: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3]), parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3] |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3] - |UINT:1 + |UINT:2 |vpiElement: \_enum_var: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3]), parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3] |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3] - |UINT:1 + |UINT:2 |vpiArgument: - \_constant: , line:1978:87, endln:1978:96 - |vpiConstType:9 - |vpiDecompile:31 - |vpiSize:5 - |UINT:31 + \_operation: , line:1978:87, endln:1978:96, parent:fpnew_pkg::is_first_enabled_multi + |vpiOpType:33 + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3].RVF), line:2049:23, endln:2049:26 + |vpiName:RVF + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3].RVF + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.RVF), line:813:20, endln:813:42, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3].RVD), line:2049:28, endln:2049:31 + |vpiName:RVD + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3].RVD + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.RVD), line:814:20, endln:814:42, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3].XF16), line:2049:33, endln:2049:37 + |vpiName:XF16 + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3].XF16 + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.XF16), line:819:20, endln:819:34, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3].XF8), line:2049:39, endln:2049:42 + |vpiName:XF8 + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3].XF8 + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.XF8), line:821:20, endln:821:34, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3].XF16ALT), line:2049:44, endln:2049:51 + |vpiName:XF16ALT + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3].XF16ALT + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.XF16ALT), line:820:20, endln:820:34, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i |vpiLhs: \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3].IS_FIRST_MERGED), line:1977:22, endln:1978:97, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3] |vpiParamAssign: \_param_assign: , line:1979:16, endln:1979:40, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3] |vpiRhs: \_constant: , line:1979:26, endln:1979:40 - |vpiConstType:9 - |vpiDecompile:1 - |vpiSize:1 - |UINT:1 + |vpiConstType:7 + |vpiDecompile:0 + |vpiSize:64 + |INT:0 |vpiLhs: \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3].DEBUGME), line:1979:16, endln:1979:40, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3] |vpiParamAssign: @@ -106845,7 +106898,7 @@ design: (work@top) |vpiRhs: \_enum_var: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3]), line:1980:27, endln:1980:44 |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3] - |UINT:1 + |UINT:2 |vpiLhs: \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3].DEBUGME2), line:1980:16, endln:1980:44, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3] |vpiParamAssign: @@ -106853,9 +106906,9 @@ design: (work@top) |vpiRhs: \_constant: , line:1981:28, endln:1981:88 |vpiConstType:9 - |vpiDecompile:1 + |vpiDecompile:0 |vpiSize:64 - |UINT:1 + |UINT:0 |vpiLhs: \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3].DEBUGME3), line:1981:17, endln:1981:88, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3] |vpiGenScopeArray: @@ -106865,123 +106918,6 @@ design: (work@top) |vpiGenScope: \_gen_scope: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[4]), parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[4] |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[4] - |vpiGenScopeArray: - \_gen_scope_array: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[4].active_format), line:1983:5, endln:1999:11, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[4] - |vpiName:active_format - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[4].active_format - |vpiGenScope: - \_gen_scope: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[4].active_format), parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[4].active_format - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[4].active_format - |vpiContAssign: - \_cont_assign: , line:1987:14, endln:1987:56, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[4].active_format - |vpiRhs: - \_operation: , line:1987:25, endln:1987:35 - |vpiOpType:28 - |vpiOperand: - \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[4].active_format.in_valid_i), line:1987:25, endln:1987:35 - |vpiName:in_valid_i - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[4].active_format.in_valid_i - |vpiOperand: - \_operation: , line:1987:39, endln:1987:55 - |vpiOpType:14 - |vpiOperand: - \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[4].active_format.dst_fmt_i), line:1987:39, endln:1987:48 - |vpiName:dst_fmt_i - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[4].active_format.dst_fmt_i - |vpiOperand: - \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[4].active_format.fmt), line:1987:52, endln:1987:55 - |vpiName:fmt - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[4].active_format.fmt - |vpiActual: - \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[4].fmt), line:1974, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[4] - |vpiName:fmt - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[4].fmt - |UINT:4 - |vpiLhs: - \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[4].active_format.in_valid), line:1987:14, endln:1987:22 - |vpiName:in_valid - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[4].active_format.in_valid - |vpiActual: - \_logic_var: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[4].active_format.in_valid), line:1985:13, endln:1985:21, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[4].active_format - |vpiName:in_valid - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[4].active_format.in_valid - |vpiAutomatic:1 - |vpiVisibility:1 - |vpiModule: - \_module: work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[4].active_format::fpnew_opgroup_fmt_slice (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice) dut.sv:1989: , parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[4].active_format - |vpiDefName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[4].active_format::fpnew_opgroup_fmt_slice - |vpiName:i_fmt_slice - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice - |vpiParamAssign: - \_param_assign: , parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice - |vpiRhs: - \_constant: , line:1993, endln:1993:0 - |vpiConstType:9 - |vpiDecompile:1 - |vpiSize:1 - |UINT:1 - |vpiLhs: - \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.EnableVectors) - |vpiParamAssign: - \_param_assign: , parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice - |vpiRhs: - \_constant: , line:1991, endln:1991:0 - |vpiConstType:9 - |vpiDecompile:4 - |vpiSize:64 - |UINT:4 - |vpiLhs: - \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.FpFormat) - |vpiName:FpFormat - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.FpFormat - |vpiParamAssign: - \_param_assign: , parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice - |vpiRhs: - \_constant: , line:1994, endln:1994:0 - |vpiConstType:9 - |vpiDecompile:0 - |vpiSize:1 - |UINT:0 - |vpiLhs: - \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.NumPipeRegs) - |vpiName:NumPipeRegs - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.NumPipeRegs - |vpiParamAssign: - \_param_assign: , parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice - |vpiRhs: - \_constant: , line:1990, endln:1990:0 - |vpiConstType:9 - |vpiDecompile:3 - |vpiSize:64 - |UINT:3 - |vpiLhs: - \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.OpGroup) - |vpiParamAssign: - \_param_assign: , parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice - |vpiRhs: - \_constant: , line:1995, endln:1995:0 - |vpiConstType:7 - |vpiDecompile:0 - |vpiSize:64 - |INT:0 - |vpiLhs: - \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.PipeConfig) - |vpiParamAssign: - \_param_assign: , parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice - |vpiRhs: - \_constant: , line:1992, endln:1992:0 - |vpiConstType:9 - |vpiDecompile:64 - |vpiSize:64 - |UINT:64 - |vpiLhs: - \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.Width) - |vpiVariables: - \_logic_var: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[4].active_format.in_valid), line:1985:13, endln:1985:21, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[4].active_format - |vpiName:in_valid - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[4].active_format.in_valid - |vpiAutomatic:1 - |vpiVisibility:1 |vpiParameter: \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[4].ANY_MERGED), line:1976:22, endln:1976:88, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[4] |vpiName:ANY_MERGED @@ -107003,6 +106939,7 @@ design: (work@top) |vpiName:DEBUGME |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[4].DEBUGME |vpiLocalParam:1 + |SCAL:0 |vpiParameter: \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[4].DEBUGME2), line:1980:16, endln:1980:44, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[4] |vpiName:DEBUGME2 @@ -107015,6 +106952,9 @@ design: (work@top) |vpiLocalParam:1 |vpiParameter: \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[4].fmt), line:1974, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[4] + |vpiName:fmt + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[4].fmt + |UINT:4 |vpiParamAssign: \_param_assign: , line:1976:22, endln:1976:88, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[4] |vpiRhs: @@ -107043,29 +106983,56 @@ design: (work@top) |vpiElement: \_enum_var: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[4]), parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[4] |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[4] - |UINT:1 + |UINT:2 |vpiElement: \_enum_var: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[4]), parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[4] |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[4] - |UINT:1 + |UINT:2 |vpiElement: \_enum_var: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[4]), parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[4] |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[4] - |UINT:1 + |UINT:2 |vpiElement: \_enum_var: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[4]), parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[4] |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[4] - |UINT:1 + |UINT:2 |vpiElement: \_enum_var: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[4]), parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[4] |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[4] - |UINT:1 + |UINT:2 |vpiArgument: - \_constant: , line:1976:78, endln:1976:87 - |vpiConstType:9 - |vpiDecompile:31 - |vpiSize:5 - |UINT:31 + \_operation: , line:1976:78, endln:1976:87, parent:fpnew_pkg::any_enabled_multi + |vpiOpType:33 + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[4].RVF), line:2049:23, endln:2049:26 + |vpiName:RVF + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[4].RVF + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.RVF), line:813:20, endln:813:42, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[4].RVD), line:2049:28, endln:2049:31 + |vpiName:RVD + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[4].RVD + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.RVD), line:814:20, endln:814:42, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[4].XF16), line:2049:33, endln:2049:37 + |vpiName:XF16 + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[4].XF16 + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.XF16), line:819:20, endln:819:34, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[4].XF8), line:2049:39, endln:2049:42 + |vpiName:XF8 + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[4].XF8 + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.XF8), line:821:20, endln:821:34, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[4].XF16ALT), line:2049:44, endln:2049:51 + |vpiName:XF16ALT + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[4].XF16ALT + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.XF16ALT), line:820:20, endln:820:34, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i |vpiLhs: \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[4].ANY_MERGED), line:1976:22, endln:1976:88, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[4] |vpiParamAssign: @@ -107101,39 +107068,66 @@ design: (work@top) |vpiElement: \_enum_var: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[4]), parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[4] |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[4] - |UINT:1 + |UINT:2 |vpiElement: \_enum_var: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[4]), parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[4] |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[4] - |UINT:1 + |UINT:2 |vpiElement: \_enum_var: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[4]), parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[4] |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[4] - |UINT:1 + |UINT:2 |vpiElement: \_enum_var: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[4]), parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[4] |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[4] - |UINT:1 + |UINT:2 |vpiElement: \_enum_var: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[4]), parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[4] |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[4] - |UINT:1 + |UINT:2 |vpiArgument: - \_constant: , line:1978:87, endln:1978:96 - |vpiConstType:9 - |vpiDecompile:31 - |vpiSize:5 - |UINT:31 + \_operation: , line:1978:87, endln:1978:96, parent:fpnew_pkg::is_first_enabled_multi + |vpiOpType:33 + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[4].RVF), line:2049:23, endln:2049:26 + |vpiName:RVF + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[4].RVF + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.RVF), line:813:20, endln:813:42, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[4].RVD), line:2049:28, endln:2049:31 + |vpiName:RVD + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[4].RVD + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.RVD), line:814:20, endln:814:42, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[4].XF16), line:2049:33, endln:2049:37 + |vpiName:XF16 + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[4].XF16 + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.XF16), line:819:20, endln:819:34, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[4].XF8), line:2049:39, endln:2049:42 + |vpiName:XF8 + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[4].XF8 + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.XF8), line:821:20, endln:821:34, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[4].XF16ALT), line:2049:44, endln:2049:51 + |vpiName:XF16ALT + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[4].XF16ALT + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.XF16ALT), line:820:20, endln:820:34, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i |vpiLhs: \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[4].IS_FIRST_MERGED), line:1977:22, endln:1978:97, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[4] |vpiParamAssign: \_param_assign: , line:1979:16, endln:1979:40, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[4] |vpiRhs: \_constant: , line:1979:26, endln:1979:40 - |vpiConstType:9 - |vpiDecompile:1 - |vpiSize:1 - |UINT:1 + |vpiConstType:7 + |vpiDecompile:0 + |vpiSize:64 + |INT:0 |vpiLhs: \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[4].DEBUGME), line:1979:16, endln:1979:40, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[4] |vpiParamAssign: @@ -107141,7 +107135,7 @@ design: (work@top) |vpiRhs: \_enum_var: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[4]), line:1980:27, endln:1980:44 |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[4] - |UINT:1 + |UINT:2 |vpiLhs: \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[4].DEBUGME2), line:1980:16, endln:1980:44, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[4] |vpiParamAssign: @@ -107149,17 +107143,79 @@ design: (work@top) |vpiRhs: \_constant: , line:1981:28, endln:1981:88 |vpiConstType:9 - |vpiDecompile:1 + |vpiDecompile:0 |vpiSize:64 - |UINT:1 + |UINT:0 |vpiLhs: \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[4].DEBUGME3), line:1981:17, endln:1981:88, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[4] |vpiParameter: - \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.OpGroup) + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.OpGroup), line:1959:41, endln:1959:74, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block + |vpiName:OpGroup + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.OpGroup + |INT:0 + |vpiTypespec: + \_enum_typespec: (fpnew_pkg::opgroup_e), line:1576:5, endln:1576:14, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.OpGroup + |vpiName:fpnew_pkg::opgroup_e + |vpiBaseTypespec: + \_logic_typespec: , line:1574:16, endln:1574:21, parent:fpnew_pkg::opgroup_e + |vpiRange: + \_range: , line:1574:23, endln:1574:26 + |vpiLeftRange: + \_constant: , line:1574:23, endln:1574:24 + |vpiConstType:9 + |vpiDecompile:1 + |vpiSize:64 + |UINT:1 + |vpiRightRange: + \_constant: , line:1574:25, endln:1574:26 + |vpiConstType:9 + |vpiDecompile:0 + |vpiSize:64 + |UINT:0 + |vpiInstance: + \_package: fpnew_pkg (fpnew_pkg::) dut.sv:1485:1: , endln:1955:11, parent:work@top + |vpiEnumConst: + \_enum_const: (ADDMUL), line:1575:5, endln:1575:11, parent:fpnew_pkg::opgroup_e + |vpiName:ADDMUL + |vpiDecompile:0 + |INT:0 + |vpiSize:64 + |vpiEnumConst: + \_enum_const: (DIVSQRT), line:1575:13, endln:1575:20, parent:fpnew_pkg::opgroup_e + |vpiName:DIVSQRT + |vpiDecompile:1 + |INT:1 + |vpiSize:64 + |vpiEnumConst: + \_enum_const: (NONCOMP), line:1575:22, endln:1575:29, parent:fpnew_pkg::opgroup_e + |vpiName:NONCOMP + |vpiDecompile:2 + |INT:2 + |vpiSize:64 + |vpiEnumConst: + \_enum_const: (CONV), line:1575:31, endln:1575:35, parent:fpnew_pkg::opgroup_e + |vpiName:CONV + |vpiDecompile:3 + |INT:3 + |vpiSize:64 + |vpiInstance: + \_package: fpnew_pkg (fpnew_pkg::) dut.sv:1485:1: , endln:1955:11, parent:work@top |vpiParameter: - \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.Width) + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.Width), line:1961:41, endln:1961:59, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block + |vpiName:Width + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.Width + |UINT:32 + |vpiTypespec: + \_int_typespec: (Width), line:1961:13, endln:1961:16, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.Width + |vpiName:Width |vpiParameter: - \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.EnableVectors) + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.EnableVectors), line:1962:41, endln:1962:61, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block + |vpiName:EnableVectors + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.EnableVectors + |BIN:1 + |vpiTypespec: + \_logic_typespec: (EnableVectors), line:1962:13, endln:1962:18, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.EnableVectors + |vpiName:EnableVectors |vpiParameter: \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.FpFmtMask), line:1963:41, endln:1963:59, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block |vpiName:FpFmtMask @@ -107390,7 +107446,57 @@ design: (work@top) |vpiInstance: \_package: fpnew_pkg (fpnew_pkg::) dut.sv:1485:1: , endln:1955:11, parent:work@top |vpiParameter: - \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.PipeConfig) + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.PipeConfig), line:1967:41, endln:1967:74, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block + |vpiName:PipeConfig + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.PipeConfig + |INT:0 + |vpiTypespec: + \_enum_typespec: (fpnew_pkg::pipe_config_t), line:1644:5, endln:1644:18, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.PipeConfig + |vpiName:fpnew_pkg::pipe_config_t + |vpiBaseTypespec: + \_logic_typespec: , line:1639:16, endln:1639:21, parent:fpnew_pkg::pipe_config_t + |vpiRange: + \_range: , line:1639:23, endln:1639:26 + |vpiLeftRange: + \_constant: , line:1639:23, endln:1639:24 + |vpiConstType:9 + |vpiDecompile:1 + |vpiSize:64 + |UINT:1 + |vpiRightRange: + \_constant: , line:1639:25, endln:1639:26 + |vpiConstType:9 + |vpiDecompile:0 + |vpiSize:64 + |UINT:0 + |vpiInstance: + \_package: fpnew_pkg (fpnew_pkg::) dut.sv:1485:1: , endln:1955:11, parent:work@top + |vpiEnumConst: + \_enum_const: (BEFORE), line:1640:5, endln:1640:11, parent:fpnew_pkg::pipe_config_t + |vpiName:BEFORE + |vpiDecompile:0 + |INT:0 + |vpiSize:64 + |vpiEnumConst: + \_enum_const: (AFTER), line:1641:5, endln:1641:10, parent:fpnew_pkg::pipe_config_t + |vpiName:AFTER + |vpiDecompile:1 + |INT:1 + |vpiSize:64 + |vpiEnumConst: + \_enum_const: (INSIDE), line:1642:5, endln:1642:11, parent:fpnew_pkg::pipe_config_t + |vpiName:INSIDE + |vpiDecompile:2 + |INT:2 + |vpiSize:64 + |vpiEnumConst: + \_enum_const: (DISTRIBUTED), line:1643:5, endln:1643:16, parent:fpnew_pkg::pipe_config_t + |vpiName:DISTRIBUTED + |vpiDecompile:3 + |INT:3 + |vpiSize:64 + |vpiInstance: + \_package: fpnew_pkg (fpnew_pkg::) dut.sv:1485:1: , endln:1955:11, parent:work@top |vpiParameter: \_type_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.TagType), parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block |vpiParameter: @@ -107427,51 +107533,6 @@ design: (work@top) |INT:0 |vpiTypespec: \_enum_typespec: (fpnew_pkg::opgroup_e), line:1576:5, endln:1576:14, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.OpGroup - |vpiName:fpnew_pkg::opgroup_e - |vpiBaseTypespec: - \_logic_typespec: , line:1574:16, endln:1574:21, parent:fpnew_pkg::opgroup_e - |vpiRange: - \_range: , line:1574:23, endln:1574:26 - |vpiLeftRange: - \_constant: , line:1574:23, endln:1574:24 - |vpiConstType:9 - |vpiDecompile:1 - |vpiSize:64 - |UINT:1 - |vpiRightRange: - \_constant: , line:1574:25, endln:1574:26 - |vpiConstType:9 - |vpiDecompile:0 - |vpiSize:64 - |UINT:0 - |vpiInstance: - \_package: fpnew_pkg (fpnew_pkg::) dut.sv:1485:1: , endln:1955:11, parent:work@top - |vpiEnumConst: - \_enum_const: (ADDMUL), line:1575:5, endln:1575:11, parent:fpnew_pkg::opgroup_e - |vpiName:ADDMUL - |vpiDecompile:0 - |INT:0 - |vpiSize:64 - |vpiEnumConst: - \_enum_const: (DIVSQRT), line:1575:13, endln:1575:20, parent:fpnew_pkg::opgroup_e - |vpiName:DIVSQRT - |vpiDecompile:1 - |INT:1 - |vpiSize:64 - |vpiEnumConst: - \_enum_const: (NONCOMP), line:1575:22, endln:1575:29, parent:fpnew_pkg::opgroup_e - |vpiName:NONCOMP - |vpiDecompile:2 - |INT:2 - |vpiSize:64 - |vpiEnumConst: - \_enum_const: (CONV), line:1575:31, endln:1575:35, parent:fpnew_pkg::opgroup_e - |vpiName:CONV - |vpiDecompile:3 - |INT:3 - |vpiSize:64 - |vpiInstance: - \_package: fpnew_pkg (fpnew_pkg::) dut.sv:1485:1: , endln:1955:11, parent:work@top |vpiParamAssign: \_param_assign: , line:1961:41, endln:1961:59, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block |vpiRhs: @@ -107490,15 +107551,14 @@ design: (work@top) |UINT:32 |vpiTypespec: \_int_typespec: (Width), line:1961:13, endln:1961:16, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.Width - |vpiName:Width |vpiParamAssign: \_param_assign: , line:1962:41, endln:1962:61, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block |vpiRhs: \_constant: , line:1962:57, endln:1962:61 - |vpiConstType:9 - |vpiDecompile:1 - |vpiSize:1 - |UINT:1 + |vpiConstType:7 + |vpiDecompile:0 + |vpiSize:64 + |INT:0 |vpiTypespec: \_logic_typespec: (EnableVectors), line:1962:13, endln:1962:18, parent:work@fpnew_opgroup_block.EnableVectors |vpiName:EnableVectors @@ -107509,17 +107569,41 @@ design: (work@top) |BIN:1 |vpiTypespec: \_logic_typespec: (EnableVectors), line:1962:13, endln:1962:18, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.EnableVectors - |vpiName:EnableVectors |vpiParamAssign: \_param_assign: , line:1963:41, endln:1963:59, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block |vpiRhs: - \_constant: , line:1963:57, endln:1963:59 - |vpiConstType:9 - |vpiDecompile:31 - |vpiSize:5 - |UINT:31 - |vpiTypespec: - \_logic_typespec: (fpnew_pkg::fmt_logic_t), line:1528:11, endln:1528:16, parent:work@fpnew_opgroup_block.FpFmtMask + \_operation: , line:2027:24, endln:2027:42 + |vpiOpType:33 + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.RVF), line:2049:23, endln:2049:26 + |vpiName:RVF + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.RVF + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.RVF), line:813:20, endln:813:42, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.RVD), line:2049:28, endln:2049:31 + |vpiName:RVD + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.RVD + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.RVD), line:814:20, endln:814:42, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.XF16), line:2049:33, endln:2049:37 + |vpiName:XF16 + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.XF16 + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.XF16), line:819:20, endln:819:34, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.XF8), line:2049:39, endln:2049:42 + |vpiName:XF8 + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.XF8 + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.XF8), line:821:20, endln:821:34, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.XF16ALT), line:2049:44, endln:2049:51 + |vpiName:XF16ALT + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.XF16ALT + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.XF16ALT), line:820:20, endln:820:34, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i |vpiLhs: \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.FpFmtMask), line:1963:41, endln:1963:59 |vpiName:FpFmtMask @@ -107530,13 +107614,69 @@ design: (work@top) |vpiParamAssign: \_param_assign: , line:1964:41, endln:1964:59, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block |vpiRhs: - \_constant: , line:1964:57, endln:1964:59 - |vpiConstType:9 - |vpiDecompile:15 - |vpiSize:4 - |UINT:15 - |vpiTypespec: - \_logic_typespec: (fpnew_pkg::ifmt_logic_t), line:1566:11, endln:1566:16, parent:work@fpnew_opgroup_block.IntFmtMask + \_operation: , line:2028:24, endln:2028:43 + |vpiOpType:33 + |vpiOperand: + \_operation: , line:2050:23, endln:2050:28 + |vpiOpType:26 + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.XFVEC), line:2050:23, endln:2050:28 + |vpiName:XFVEC + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.XFVEC + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.XFVEC), line:822:20, endln:822:34, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i + |vpiName:XFVEC + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.XFVEC + |vpiImported:ariane_pkg + |vpiLocalParam:1 + |BIN:0 + |vpiTypespec: + \_bit_typespec: (XFVEC), line:822:16, endln:822:19, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.XFVEC + |vpiName:XFVEC + |vpiInstance: + \_package: ariane_pkg (ariane_pkg::) dut.sv:674:1: , endln:1483:11, parent:work@top + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.XF8), line:2050:32, endln:2050:35 + |vpiName:XF8 + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.XF8 + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.XF8), line:821:20, endln:821:34, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i + |vpiOperand: + \_operation: , line:2050:37, endln:2050:42 + |vpiOpType:26 + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.XFVEC), line:2050:37, endln:2050:42 + |vpiName:XFVEC + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.XFVEC + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.XFVEC), line:822:20, endln:822:34, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i + |vpiOperand: + \_operation: , line:2050:47, endln:2050:62 + |vpiOpType:27 + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.XF16), line:2050:47, endln:2050:51 + |vpiName:XF16 + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.XF16 + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.XF16), line:819:20, endln:819:34, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.XF16ALT), line:2050:55, endln:2050:62 + |vpiName:XF16ALT + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.XF16ALT + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.XF16ALT), line:820:20, endln:820:34, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i + |vpiOperand: + \_constant: , line:2050:65, endln:2050:69 + |vpiConstType:3 + |vpiDecompile:1'b1 + |vpiSize:1 + |BIN:1 + |vpiOperand: + \_constant: , line:2050:71, endln:2050:75 + |vpiConstType:3 + |vpiDecompile:1'b1 + |vpiSize:1 + |BIN:1 |vpiLhs: \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.IntFmtMask), line:1964:41, endln:1964:59 |vpiName:IntFmtMask @@ -107547,18 +107687,28 @@ design: (work@top) |vpiParamAssign: \_param_assign: , line:1965:41, endln:1965:70, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block |vpiRhs: - \_operation: , line:1965:57, endln:1965:70 + \_operation: , line:2029:24, endln:2029:54 |vpiOpType:75 |vpiOperand: \_tagged_pattern: |vpiPattern: - \_constant: , line:1965:68, endln:1965:69 - |vpiConstType:9 - |vpiDecompile:0 - |vpiSize:64 - |UINT:0 + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.LAT_CONV), line:2058:29, endln:2058:37 + |vpiName:LAT_CONV + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.LAT_CONV + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.LAT_CONV), line:832:29, endln:832:51, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i + |vpiName:LAT_CONV + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.LAT_CONV + |vpiImported:ariane_pkg + |vpiLocalParam:1 + |DEC:2 + |vpiTypespec: + \_int_typespec: (LAT_CONV), line:832:16, endln:832:19, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.LAT_CONV + |vpiName:LAT_CONV + |vpiInstance: + \_package: ariane_pkg (ariane_pkg::) dut.sv:674:1: , endln:1483:11, parent:work@top |vpiTypespec: - \_string_typespec: (default), line:1965:59, endln:1965:66 + \_string_typespec: (default), line:2058:20, endln:2058:27 |vpiName:default |vpiLhs: \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.FmtPipeRegs), line:1965:41, endln:1965:70 @@ -107589,23 +107739,23 @@ design: (work@top) |vpiElement: \_enum_var: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block), parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block - |UINT:1 + |UINT:2 |vpiElement: \_enum_var: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block), parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block - |UINT:1 + |UINT:2 |vpiElement: \_enum_var: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block), parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block - |UINT:1 + |UINT:2 |vpiElement: \_enum_var: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block), parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block - |UINT:1 + |UINT:2 |vpiElement: \_enum_var: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block), parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block - |UINT:1 + |UINT:2 |vpiLhs: \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.FmtUnitTypes), line:1966:41, endln:1966:88 |vpiName:FmtUnitTypes @@ -107617,9 +107767,9 @@ design: (work@top) |vpiRhs: \_constant: , line:1967:57, endln:1967:74 |vpiConstType:7 - |vpiDecompile:0 + |vpiDecompile:3 |vpiSize:64 - |INT:0 + |INT:3 |vpiTypespec: \_enum_typespec: (fpnew_pkg::pipe_config_t), line:1644:5, endln:1644:18, parent:work@fpnew_opgroup_block.PipeConfig |vpiLhs: @@ -107629,51 +107779,6 @@ design: (work@top) |INT:0 |vpiTypespec: \_enum_typespec: (fpnew_pkg::pipe_config_t), line:1644:5, endln:1644:18, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.PipeConfig - |vpiName:fpnew_pkg::pipe_config_t - |vpiBaseTypespec: - \_logic_typespec: , line:1639:16, endln:1639:21, parent:fpnew_pkg::pipe_config_t - |vpiRange: - \_range: , line:1639:23, endln:1639:26 - |vpiLeftRange: - \_constant: , line:1639:23, endln:1639:24 - |vpiConstType:9 - |vpiDecompile:1 - |vpiSize:64 - |UINT:1 - |vpiRightRange: - \_constant: , line:1639:25, endln:1639:26 - |vpiConstType:9 - |vpiDecompile:0 - |vpiSize:64 - |UINT:0 - |vpiInstance: - \_package: fpnew_pkg (fpnew_pkg::) dut.sv:1485:1: , endln:1955:11, parent:work@top - |vpiEnumConst: - \_enum_const: (BEFORE), line:1640:5, endln:1640:11, parent:fpnew_pkg::pipe_config_t - |vpiName:BEFORE - |vpiDecompile:0 - |INT:0 - |vpiSize:64 - |vpiEnumConst: - \_enum_const: (AFTER), line:1641:5, endln:1641:10, parent:fpnew_pkg::pipe_config_t - |vpiName:AFTER - |vpiDecompile:1 - |INT:1 - |vpiSize:64 - |vpiEnumConst: - \_enum_const: (INSIDE), line:1642:5, endln:1642:11, parent:fpnew_pkg::pipe_config_t - |vpiName:INSIDE - |vpiDecompile:2 - |INT:2 - |vpiSize:64 - |vpiEnumConst: - \_enum_const: (DISTRIBUTED), line:1643:5, endln:1643:16, parent:fpnew_pkg::pipe_config_t - |vpiName:DISTRIBUTED - |vpiDecompile:3 - |INT:3 - |vpiSize:64 - |vpiInstance: - \_package: fpnew_pkg (fpnew_pkg::) dut.sv:1485:1: , endln:1955:11, parent:work@top |vpiParamAssign: \_param_assign: , line:1970:27, endln:1970:67, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block |vpiRhs: @@ -107788,10 +107893,10 @@ design: (work@top) \_param_assign: , line:1962:41, endln:1962:61, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block |vpiRhs: \_constant: , line:1962:57, endln:1962:61 - |vpiConstType:9 - |vpiDecompile:1 - |vpiSize:1 - |UINT:1 + |vpiConstType:7 + |vpiDecompile:0 + |vpiSize:64 + |INT:0 |vpiTypespec: \_logic_typespec: (EnableVectors), line:1962:13, endln:1962:18, parent:work@fpnew_opgroup_block.EnableVectors |vpiLhs: @@ -107805,13 +107910,38 @@ design: (work@top) |vpiParamAssign: \_param_assign: , line:1963:41, endln:1963:59, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block |vpiRhs: - \_constant: , line:1963:57, endln:1963:59 - |vpiConstType:9 - |vpiDecompile:31 - |vpiSize:5 - |UINT:31 - |vpiTypespec: - \_logic_typespec: (fpnew_pkg::fmt_logic_t), line:1528:11, endln:1528:16, parent:work@fpnew_opgroup_block.FpFmtMask + \_operation: , line:2027:24, endln:2027:42 + |vpiOpType:33 + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.RVF), line:2049:23, endln:2049:26 + |vpiName:RVF + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.RVF + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.RVF), line:813:20, endln:813:42, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.RVD), line:2049:28, endln:2049:31 + |vpiName:RVD + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.RVD + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.RVD), line:814:20, endln:814:42, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.XF16), line:2049:33, endln:2049:37 + |vpiName:XF16 + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.XF16 + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.XF16), line:819:20, endln:819:34, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.XF8), line:2049:39, endln:2049:42 + |vpiName:XF8 + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.XF8 + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.XF8), line:821:20, endln:821:34, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.XF16ALT), line:2049:44, endln:2049:51 + |vpiName:XF16ALT + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.XF16ALT + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.XF16ALT), line:820:20, endln:820:34, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i |vpiLhs: \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.FpFmtMask), line:1963:41, endln:1963:59 |vpiName:FpFmtMask @@ -107822,13 +107952,59 @@ design: (work@top) |vpiParamAssign: \_param_assign: , line:1964:41, endln:1964:59, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block |vpiRhs: - \_constant: , line:1964:57, endln:1964:59 - |vpiConstType:9 - |vpiDecompile:15 - |vpiSize:4 - |UINT:15 - |vpiTypespec: - \_logic_typespec: (fpnew_pkg::ifmt_logic_t), line:1566:11, endln:1566:16, parent:work@fpnew_opgroup_block.IntFmtMask + \_operation: , line:2028:24, endln:2028:43 + |vpiOpType:33 + |vpiOperand: + \_operation: , line:2050:23, endln:2050:28 + |vpiOpType:26 + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.XFVEC), line:2050:23, endln:2050:28 + |vpiName:XFVEC + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.XFVEC + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.XFVEC), line:822:20, endln:822:34, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.XF8), line:2050:32, endln:2050:35 + |vpiName:XF8 + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.XF8 + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.XF8), line:821:20, endln:821:34, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i + |vpiOperand: + \_operation: , line:2050:37, endln:2050:42 + |vpiOpType:26 + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.XFVEC), line:2050:37, endln:2050:42 + |vpiName:XFVEC + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.XFVEC + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.XFVEC), line:822:20, endln:822:34, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i + |vpiOperand: + \_operation: , line:2050:47, endln:2050:62 + |vpiOpType:27 + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.XF16), line:2050:47, endln:2050:51 + |vpiName:XF16 + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.XF16 + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.XF16), line:819:20, endln:819:34, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.XF16ALT), line:2050:55, endln:2050:62 + |vpiName:XF16ALT + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.XF16ALT + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.XF16ALT), line:820:20, endln:820:34, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i + |vpiOperand: + \_constant: , line:2050:65, endln:2050:69 + |vpiConstType:3 + |vpiDecompile:1'b1 + |vpiSize:1 + |BIN:1 + |vpiOperand: + \_constant: , line:2050:71, endln:2050:75 + |vpiConstType:3 + |vpiDecompile:1'b1 + |vpiSize:1 + |BIN:1 |vpiLhs: \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.IntFmtMask), line:1964:41, endln:1964:59 |vpiName:IntFmtMask @@ -107839,19 +108015,88 @@ design: (work@top) |vpiParamAssign: \_param_assign: , line:1965:41, endln:1965:70, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block |vpiRhs: - \_operation: , line:1965:57, endln:1965:70 + \_operation: , line:2029:24, endln:2029:54 |vpiOpType:75 |vpiOperand: - \_tagged_pattern: - |vpiPattern: - \_constant: , line:1965:68, endln:1965:69 - |vpiConstType:9 - |vpiDecompile:0 - |vpiSize:64 - |UINT:0 - |vpiTypespec: - \_string_typespec: (default), line:1965:59, endln:1965:66 - |vpiName:default + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.LAT_COMP_FP32), line:2055:20, endln:2055:33 + |vpiName:LAT_COMP_FP32 + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.LAT_COMP_FP32 + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.LAT_COMP_FP32), line:825:29, endln:825:51, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i + |vpiName:LAT_COMP_FP32 + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.LAT_COMP_FP32 + |vpiImported:ariane_pkg + |vpiLocalParam:1 + |DEC:2 + |vpiTypespec: + \_int_typespec: (LAT_COMP_FP32), line:825:16, endln:825:19, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.LAT_COMP_FP32 + |vpiName:LAT_COMP_FP32 + |vpiInstance: + \_package: ariane_pkg (ariane_pkg::) dut.sv:674:1: , endln:1483:11, parent:work@top + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.LAT_COMP_FP64), line:2055:35, endln:2055:48 + |vpiName:LAT_COMP_FP64 + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.LAT_COMP_FP64 + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.LAT_COMP_FP64), line:826:29, endln:826:51, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i + |vpiName:LAT_COMP_FP64 + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.LAT_COMP_FP64 + |vpiImported:ariane_pkg + |vpiLocalParam:1 + |DEC:3 + |vpiTypespec: + \_int_typespec: (LAT_COMP_FP64), line:826:16, endln:826:19, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.LAT_COMP_FP64 + |vpiName:LAT_COMP_FP64 + |vpiInstance: + \_package: ariane_pkg (ariane_pkg::) dut.sv:674:1: , endln:1483:11, parent:work@top + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.LAT_COMP_FP16), line:2055:50, endln:2055:63 + |vpiName:LAT_COMP_FP16 + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.LAT_COMP_FP16 + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.LAT_COMP_FP16), line:827:29, endln:827:51, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i + |vpiName:LAT_COMP_FP16 + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.LAT_COMP_FP16 + |vpiImported:ariane_pkg + |vpiLocalParam:1 + |DEC:1 + |vpiTypespec: + \_int_typespec: (LAT_COMP_FP16), line:827:16, endln:827:19, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.LAT_COMP_FP16 + |vpiName:LAT_COMP_FP16 + |vpiInstance: + \_package: ariane_pkg (ariane_pkg::) dut.sv:674:1: , endln:1483:11, parent:work@top + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.LAT_COMP_FP8), line:2055:65, endln:2055:77 + |vpiName:LAT_COMP_FP8 + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.LAT_COMP_FP8 + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.LAT_COMP_FP8), line:829:29, endln:829:51, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i + |vpiName:LAT_COMP_FP8 + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.LAT_COMP_FP8 + |vpiImported:ariane_pkg + |vpiLocalParam:1 + |DEC:1 + |vpiTypespec: + \_int_typespec: (LAT_COMP_FP8), line:829:16, endln:829:19, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.LAT_COMP_FP8 + |vpiName:LAT_COMP_FP8 + |vpiInstance: + \_package: ariane_pkg (ariane_pkg::) dut.sv:674:1: , endln:1483:11, parent:work@top + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.LAT_COMP_FP16ALT), line:2055:79, endln:2055:95 + |vpiName:LAT_COMP_FP16ALT + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.LAT_COMP_FP16ALT + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.LAT_COMP_FP16ALT), line:828:29, endln:828:51, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i + |vpiName:LAT_COMP_FP16ALT + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.LAT_COMP_FP16ALT + |vpiImported:ariane_pkg + |vpiLocalParam:1 + |DEC:1 + |vpiTypespec: + \_int_typespec: (LAT_COMP_FP16ALT), line:828:16, endln:828:19, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.LAT_COMP_FP16ALT + |vpiName:LAT_COMP_FP16ALT + |vpiInstance: + \_package: ariane_pkg (ariane_pkg::) dut.sv:674:1: , endln:1483:11, parent:work@top |vpiLhs: \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.FmtPipeRegs), line:1965:41, endln:1965:70 |vpiName:FmtPipeRegs @@ -107909,9 +108154,9 @@ design: (work@top) |vpiRhs: \_constant: , line:1967:57, endln:1967:74 |vpiConstType:7 - |vpiDecompile:0 + |vpiDecompile:3 |vpiSize:64 - |INT:0 + |INT:3 |vpiTypespec: \_enum_typespec: (fpnew_pkg::pipe_config_t), line:1644:5, endln:1644:18, parent:work@fpnew_opgroup_block.PipeConfig |vpiLhs: @@ -108061,131 +108306,6 @@ design: (work@top) |vpiGenScope: \_gen_scope: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[0]), parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[0] |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[0] - |vpiGenScopeArray: - \_gen_scope_array: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[0].active_format), line:1983:5, endln:1999:11, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[0] - |vpiName:active_format - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[0].active_format - |vpiGenScope: - \_gen_scope: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[0].active_format), parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[0].active_format - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[0].active_format - |vpiContAssign: - \_cont_assign: , line:1987:14, endln:1987:56, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[0].active_format - |vpiRhs: - \_operation: , line:1987:25, endln:1987:35 - |vpiOpType:28 - |vpiOperand: - \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[0].active_format.in_valid_i), line:1987:25, endln:1987:35 - |vpiName:in_valid_i - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[0].active_format.in_valid_i - |vpiOperand: - \_operation: , line:1987:39, endln:1987:55 - |vpiOpType:14 - |vpiOperand: - \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[0].active_format.dst_fmt_i), line:1987:39, endln:1987:48 - |vpiName:dst_fmt_i - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[0].active_format.dst_fmt_i - |vpiOperand: - \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[0].active_format.fmt), line:1987:52, endln:1987:55 - |vpiName:fmt - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[0].active_format.fmt - |vpiActual: - \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[0].fmt), line:1974, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[0] - |vpiName:fmt - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[0].fmt - |UINT:0 - |vpiLhs: - \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[0].active_format.in_valid), line:1987:14, endln:1987:22 - |vpiName:in_valid - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[0].active_format.in_valid - |vpiActual: - \_logic_var: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[0].active_format.in_valid), line:1985:13, endln:1985:21, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[0].active_format - |vpiName:in_valid - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[0].active_format.in_valid - |vpiAutomatic:1 - |vpiVisibility:1 - |vpiModule: - \_module: work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[0].active_format::fpnew_opgroup_fmt_slice (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[0].active_format.i_fmt_slice) dut.sv:1989: , parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[0].active_format - |vpiDefName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[0].active_format::fpnew_opgroup_fmt_slice - |vpiName:i_fmt_slice - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[0].active_format.i_fmt_slice - |vpiParamAssign: - \_param_assign: , parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[0].active_format.i_fmt_slice - |vpiRhs: - \_constant: , line:1993, endln:1993:0 - |vpiConstType:9 - |vpiDecompile:1 - |vpiSize:1 - |UINT:1 - |vpiLhs: - \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.EnableVectors) - |vpiName:EnableVectors - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.EnableVectors - |vpiParamAssign: - \_param_assign: , parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[0].active_format.i_fmt_slice - |vpiRhs: - \_constant: , line:1991, endln:1991:0 - |vpiConstType:9 - |vpiDecompile:0 - |vpiSize:64 - |UINT:0 - |vpiLhs: - \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[0].active_format.i_fmt_slice.FpFormat) - |vpiName:FpFormat - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[0].active_format.i_fmt_slice.FpFormat - |vpiParamAssign: - \_param_assign: , parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[0].active_format.i_fmt_slice - |vpiRhs: - \_constant: , line:1994, endln:1994:0 - |vpiConstType:9 - |vpiDecompile:0 - |vpiSize:1 - |UINT:0 - |vpiLhs: - \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[0].active_format.i_fmt_slice.NumPipeRegs) - |vpiName:NumPipeRegs - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[0].active_format.i_fmt_slice.NumPipeRegs - |vpiParamAssign: - \_param_assign: , parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[0].active_format.i_fmt_slice - |vpiRhs: - \_constant: , line:1990, endln:1990:0 - |vpiConstType:9 - |vpiDecompile:1 - |vpiSize:64 - |UINT:1 - |vpiLhs: - \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.OpGroup) - |vpiName:OpGroup - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.OpGroup - |vpiParamAssign: - \_param_assign: , parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[0].active_format.i_fmt_slice - |vpiRhs: - \_constant: , line:1995, endln:1995:0 - |vpiConstType:7 - |vpiDecompile:0 - |vpiSize:64 - |INT:0 - |vpiLhs: - \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.PipeConfig) - |vpiName:PipeConfig - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.PipeConfig - |vpiParamAssign: - \_param_assign: , parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[0].active_format.i_fmt_slice - |vpiRhs: - \_constant: , line:1992, endln:1992:0 - |vpiConstType:9 - |vpiDecompile:64 - |vpiSize:64 - |UINT:64 - |vpiLhs: - \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.Width) - |vpiName:Width - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.Width - |vpiVariables: - \_logic_var: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[0].active_format.in_valid), line:1985:13, endln:1985:21, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[0].active_format - |vpiName:in_valid - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[0].active_format.in_valid - |vpiAutomatic:1 - |vpiVisibility:1 |vpiParameter: \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[0].ANY_MERGED), line:1976:22, endln:1976:88, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[0] |vpiName:ANY_MERGED @@ -108207,6 +108327,7 @@ design: (work@top) |vpiName:DEBUGME |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[0].DEBUGME |vpiLocalParam:1 + |INT:1 |vpiParameter: \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[0].DEBUGME2), line:1980:16, endln:1980:44, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[0] |vpiName:DEBUGME2 @@ -108219,6 +108340,9 @@ design: (work@top) |vpiLocalParam:1 |vpiParameter: \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[0].fmt), line:1974, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[0] + |vpiName:fmt + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[0].fmt + |UINT:0 |vpiParamAssign: \_param_assign: , line:1976:22, endln:1976:88, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[0] |vpiRhs: @@ -108247,29 +108371,56 @@ design: (work@top) |vpiElement: \_enum_var: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[0]), parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[0] |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[0] - |UINT:1 + |UINT:2 |vpiElement: \_enum_var: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[0]), parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[0] |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[0] - |UINT:1 + |UINT:2 |vpiElement: \_enum_var: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[0]), parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[0] |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[0] - |UINT:1 + |UINT:2 |vpiElement: \_enum_var: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[0]), parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[0] |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[0] - |UINT:1 + |UINT:2 |vpiElement: \_enum_var: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[0]), parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[0] |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[0] - |UINT:1 + |UINT:2 |vpiArgument: - \_constant: , line:1976:78, endln:1976:87 - |vpiConstType:9 - |vpiDecompile:31 - |vpiSize:5 - |UINT:31 + \_operation: , line:1976:78, endln:1976:87, parent:fpnew_pkg::any_enabled_multi + |vpiOpType:33 + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[0].RVF), line:2049:23, endln:2049:26 + |vpiName:RVF + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[0].RVF + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.RVF), line:813:20, endln:813:42, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[0].RVD), line:2049:28, endln:2049:31 + |vpiName:RVD + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[0].RVD + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.RVD), line:814:20, endln:814:42, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[0].XF16), line:2049:33, endln:2049:37 + |vpiName:XF16 + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[0].XF16 + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.XF16), line:819:20, endln:819:34, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[0].XF8), line:2049:39, endln:2049:42 + |vpiName:XF8 + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[0].XF8 + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.XF8), line:821:20, endln:821:34, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[0].XF16ALT), line:2049:44, endln:2049:51 + |vpiName:XF16ALT + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[0].XF16ALT + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.XF16ALT), line:820:20, endln:820:34, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i |vpiLhs: \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[0].ANY_MERGED), line:1976:22, endln:1976:88, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[0] |vpiParamAssign: @@ -108305,39 +108456,66 @@ design: (work@top) |vpiElement: \_enum_var: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[0]), parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[0] |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[0] - |UINT:1 + |UINT:2 |vpiElement: \_enum_var: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[0]), parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[0] |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[0] - |UINT:1 + |UINT:2 |vpiElement: \_enum_var: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[0]), parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[0] |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[0] - |UINT:1 + |UINT:2 |vpiElement: \_enum_var: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[0]), parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[0] |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[0] - |UINT:1 + |UINT:2 |vpiElement: \_enum_var: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[0]), parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[0] |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[0] - |UINT:1 + |UINT:2 |vpiArgument: - \_constant: , line:1978:87, endln:1978:96 - |vpiConstType:9 - |vpiDecompile:31 - |vpiSize:5 - |UINT:31 + \_operation: , line:1978:87, endln:1978:96, parent:fpnew_pkg::is_first_enabled_multi + |vpiOpType:33 + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[0].RVF), line:2049:23, endln:2049:26 + |vpiName:RVF + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[0].RVF + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.RVF), line:813:20, endln:813:42, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[0].RVD), line:2049:28, endln:2049:31 + |vpiName:RVD + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[0].RVD + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.RVD), line:814:20, endln:814:42, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[0].XF16), line:2049:33, endln:2049:37 + |vpiName:XF16 + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[0].XF16 + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.XF16), line:819:20, endln:819:34, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[0].XF8), line:2049:39, endln:2049:42 + |vpiName:XF8 + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[0].XF8 + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.XF8), line:821:20, endln:821:34, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[0].XF16ALT), line:2049:44, endln:2049:51 + |vpiName:XF16ALT + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[0].XF16ALT + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.XF16ALT), line:820:20, endln:820:34, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i |vpiLhs: \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[0].IS_FIRST_MERGED), line:1977:22, endln:1978:97, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[0] |vpiParamAssign: \_param_assign: , line:1979:16, endln:1979:40, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[0] |vpiRhs: \_constant: , line:1979:26, endln:1979:40 - |vpiConstType:9 + |vpiConstType:7 |vpiDecompile:1 - |vpiSize:1 - |UINT:1 + |vpiSize:64 + |INT:1 |vpiLhs: \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[0].DEBUGME), line:1979:16, endln:1979:40, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[0] |vpiParamAssign: @@ -108345,7 +108523,7 @@ design: (work@top) |vpiRhs: \_enum_var: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[0]), line:1980:27, endln:1980:44 |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[0] - |UINT:1 + |UINT:2 |vpiLhs: \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[0].DEBUGME2), line:1980:16, endln:1980:44, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[0] |vpiParamAssign: @@ -108353,9 +108531,9 @@ design: (work@top) |vpiRhs: \_constant: , line:1981:28, endln:1981:88 |vpiConstType:9 - |vpiDecompile:1 + |vpiDecompile:0 |vpiSize:64 - |UINT:1 + |UINT:0 |vpiLhs: \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[0].DEBUGME3), line:1981:17, endln:1981:88, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[0] |vpiGenScopeArray: @@ -108365,123 +108543,6 @@ design: (work@top) |vpiGenScope: \_gen_scope: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[1]), parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[1] |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[1] - |vpiGenScopeArray: - \_gen_scope_array: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[1].active_format), line:1983:5, endln:1999:11, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[1] - |vpiName:active_format - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[1].active_format - |vpiGenScope: - \_gen_scope: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[1].active_format), parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[1].active_format - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[1].active_format - |vpiContAssign: - \_cont_assign: , line:1987:14, endln:1987:56, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[1].active_format - |vpiRhs: - \_operation: , line:1987:25, endln:1987:35 - |vpiOpType:28 - |vpiOperand: - \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[1].active_format.in_valid_i), line:1987:25, endln:1987:35 - |vpiName:in_valid_i - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[1].active_format.in_valid_i - |vpiOperand: - \_operation: , line:1987:39, endln:1987:55 - |vpiOpType:14 - |vpiOperand: - \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[1].active_format.dst_fmt_i), line:1987:39, endln:1987:48 - |vpiName:dst_fmt_i - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[1].active_format.dst_fmt_i - |vpiOperand: - \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[1].active_format.fmt), line:1987:52, endln:1987:55 - |vpiName:fmt - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[1].active_format.fmt - |vpiActual: - \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[1].fmt), line:1974, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[1] - |vpiName:fmt - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[1].fmt - |UINT:1 - |vpiLhs: - \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[1].active_format.in_valid), line:1987:14, endln:1987:22 - |vpiName:in_valid - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[1].active_format.in_valid - |vpiActual: - \_logic_var: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[1].active_format.in_valid), line:1985:13, endln:1985:21, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[1].active_format - |vpiName:in_valid - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[1].active_format.in_valid - |vpiAutomatic:1 - |vpiVisibility:1 - |vpiModule: - \_module: work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[1].active_format::fpnew_opgroup_fmt_slice (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[1].active_format.i_fmt_slice) dut.sv:1989: , parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[1].active_format - |vpiDefName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[1].active_format::fpnew_opgroup_fmt_slice - |vpiName:i_fmt_slice - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[1].active_format.i_fmt_slice - |vpiParamAssign: - \_param_assign: , parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[1].active_format.i_fmt_slice - |vpiRhs: - \_constant: , line:1993, endln:1993:0 - |vpiConstType:9 - |vpiDecompile:1 - |vpiSize:1 - |UINT:1 - |vpiLhs: - \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.EnableVectors) - |vpiParamAssign: - \_param_assign: , parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[1].active_format.i_fmt_slice - |vpiRhs: - \_constant: , line:1991, endln:1991:0 - |vpiConstType:9 - |vpiDecompile:1 - |vpiSize:64 - |UINT:1 - |vpiLhs: - \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[1].active_format.i_fmt_slice.FpFormat) - |vpiName:FpFormat - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[1].active_format.i_fmt_slice.FpFormat - |vpiParamAssign: - \_param_assign: , parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[1].active_format.i_fmt_slice - |vpiRhs: - \_constant: , line:1994, endln:1994:0 - |vpiConstType:9 - |vpiDecompile:0 - |vpiSize:1 - |UINT:0 - |vpiLhs: - \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[1].active_format.i_fmt_slice.NumPipeRegs) - |vpiName:NumPipeRegs - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[1].active_format.i_fmt_slice.NumPipeRegs - |vpiParamAssign: - \_param_assign: , parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[1].active_format.i_fmt_slice - |vpiRhs: - \_constant: , line:1990, endln:1990:0 - |vpiConstType:9 - |vpiDecompile:1 - |vpiSize:64 - |UINT:1 - |vpiLhs: - \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.OpGroup) - |vpiParamAssign: - \_param_assign: , parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[1].active_format.i_fmt_slice - |vpiRhs: - \_constant: , line:1995, endln:1995:0 - |vpiConstType:7 - |vpiDecompile:0 - |vpiSize:64 - |INT:0 - |vpiLhs: - \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.PipeConfig) - |vpiParamAssign: - \_param_assign: , parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[1].active_format.i_fmt_slice - |vpiRhs: - \_constant: , line:1992, endln:1992:0 - |vpiConstType:9 - |vpiDecompile:64 - |vpiSize:64 - |UINT:64 - |vpiLhs: - \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.Width) - |vpiVariables: - \_logic_var: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[1].active_format.in_valid), line:1985:13, endln:1985:21, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[1].active_format - |vpiName:in_valid - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[1].active_format.in_valid - |vpiAutomatic:1 - |vpiVisibility:1 |vpiParameter: \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[1].ANY_MERGED), line:1976:22, endln:1976:88, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[1] |vpiName:ANY_MERGED @@ -108503,6 +108564,7 @@ design: (work@top) |vpiName:DEBUGME |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[1].DEBUGME |vpiLocalParam:1 + |INT:1 |vpiParameter: \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[1].DEBUGME2), line:1980:16, endln:1980:44, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[1] |vpiName:DEBUGME2 @@ -108515,6 +108577,9 @@ design: (work@top) |vpiLocalParam:1 |vpiParameter: \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[1].fmt), line:1974, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[1] + |vpiName:fmt + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[1].fmt + |UINT:1 |vpiParamAssign: \_param_assign: , line:1976:22, endln:1976:88, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[1] |vpiRhs: @@ -108543,29 +108608,56 @@ design: (work@top) |vpiElement: \_enum_var: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[1]), parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[1] |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[1] - |UINT:1 + |UINT:2 |vpiElement: \_enum_var: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[1]), parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[1] |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[1] - |UINT:1 + |UINT:2 |vpiElement: \_enum_var: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[1]), parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[1] |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[1] - |UINT:1 + |UINT:2 |vpiElement: \_enum_var: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[1]), parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[1] |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[1] - |UINT:1 + |UINT:2 |vpiElement: \_enum_var: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[1]), parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[1] |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[1] - |UINT:1 + |UINT:2 |vpiArgument: - \_constant: , line:1976:78, endln:1976:87 - |vpiConstType:9 - |vpiDecompile:31 - |vpiSize:5 - |UINT:31 + \_operation: , line:1976:78, endln:1976:87, parent:fpnew_pkg::any_enabled_multi + |vpiOpType:33 + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[1].RVF), line:2049:23, endln:2049:26 + |vpiName:RVF + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[1].RVF + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.RVF), line:813:20, endln:813:42, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[1].RVD), line:2049:28, endln:2049:31 + |vpiName:RVD + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[1].RVD + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.RVD), line:814:20, endln:814:42, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[1].XF16), line:2049:33, endln:2049:37 + |vpiName:XF16 + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[1].XF16 + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.XF16), line:819:20, endln:819:34, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[1].XF8), line:2049:39, endln:2049:42 + |vpiName:XF8 + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[1].XF8 + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.XF8), line:821:20, endln:821:34, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[1].XF16ALT), line:2049:44, endln:2049:51 + |vpiName:XF16ALT + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[1].XF16ALT + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.XF16ALT), line:820:20, endln:820:34, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i |vpiLhs: \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[1].ANY_MERGED), line:1976:22, endln:1976:88, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[1] |vpiParamAssign: @@ -108601,39 +108693,66 @@ design: (work@top) |vpiElement: \_enum_var: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[1]), parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[1] |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[1] - |UINT:1 + |UINT:2 |vpiElement: \_enum_var: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[1]), parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[1] |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[1] - |UINT:1 + |UINT:2 |vpiElement: \_enum_var: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[1]), parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[1] |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[1] - |UINT:1 + |UINT:2 |vpiElement: \_enum_var: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[1]), parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[1] |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[1] - |UINT:1 + |UINT:2 |vpiElement: \_enum_var: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[1]), parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[1] |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[1] - |UINT:1 + |UINT:2 |vpiArgument: - \_constant: , line:1978:87, endln:1978:96 - |vpiConstType:9 - |vpiDecompile:31 - |vpiSize:5 - |UINT:31 + \_operation: , line:1978:87, endln:1978:96, parent:fpnew_pkg::is_first_enabled_multi + |vpiOpType:33 + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[1].RVF), line:2049:23, endln:2049:26 + |vpiName:RVF + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[1].RVF + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.RVF), line:813:20, endln:813:42, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[1].RVD), line:2049:28, endln:2049:31 + |vpiName:RVD + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[1].RVD + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.RVD), line:814:20, endln:814:42, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[1].XF16), line:2049:33, endln:2049:37 + |vpiName:XF16 + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[1].XF16 + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.XF16), line:819:20, endln:819:34, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[1].XF8), line:2049:39, endln:2049:42 + |vpiName:XF8 + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[1].XF8 + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.XF8), line:821:20, endln:821:34, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[1].XF16ALT), line:2049:44, endln:2049:51 + |vpiName:XF16ALT + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[1].XF16ALT + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.XF16ALT), line:820:20, endln:820:34, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i |vpiLhs: \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[1].IS_FIRST_MERGED), line:1977:22, endln:1978:97, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[1] |vpiParamAssign: \_param_assign: , line:1979:16, endln:1979:40, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[1] |vpiRhs: \_constant: , line:1979:26, endln:1979:40 - |vpiConstType:9 + |vpiConstType:7 |vpiDecompile:1 - |vpiSize:1 - |UINT:1 + |vpiSize:64 + |INT:1 |vpiLhs: \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[1].DEBUGME), line:1979:16, endln:1979:40, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[1] |vpiParamAssign: @@ -108641,7 +108760,7 @@ design: (work@top) |vpiRhs: \_enum_var: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[1]), line:1980:27, endln:1980:44 |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[1] - |UINT:1 + |UINT:2 |vpiLhs: \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[1].DEBUGME2), line:1980:16, endln:1980:44, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[1] |vpiParamAssign: @@ -108649,9 +108768,9 @@ design: (work@top) |vpiRhs: \_constant: , line:1981:28, endln:1981:88 |vpiConstType:9 - |vpiDecompile:1 + |vpiDecompile:0 |vpiSize:64 - |UINT:1 + |UINT:0 |vpiLhs: \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[1].DEBUGME3), line:1981:17, endln:1981:88, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[1] |vpiGenScopeArray: @@ -108661,123 +108780,6 @@ design: (work@top) |vpiGenScope: \_gen_scope: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[2]), parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[2] |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[2] - |vpiGenScopeArray: - \_gen_scope_array: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[2].active_format), line:1983:5, endln:1999:11, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[2] - |vpiName:active_format - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[2].active_format - |vpiGenScope: - \_gen_scope: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[2].active_format), parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[2].active_format - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[2].active_format - |vpiContAssign: - \_cont_assign: , line:1987:14, endln:1987:56, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[2].active_format - |vpiRhs: - \_operation: , line:1987:25, endln:1987:35 - |vpiOpType:28 - |vpiOperand: - \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[2].active_format.in_valid_i), line:1987:25, endln:1987:35 - |vpiName:in_valid_i - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[2].active_format.in_valid_i - |vpiOperand: - \_operation: , line:1987:39, endln:1987:55 - |vpiOpType:14 - |vpiOperand: - \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[2].active_format.dst_fmt_i), line:1987:39, endln:1987:48 - |vpiName:dst_fmt_i - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[2].active_format.dst_fmt_i - |vpiOperand: - \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[2].active_format.fmt), line:1987:52, endln:1987:55 - |vpiName:fmt - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[2].active_format.fmt - |vpiActual: - \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[2].fmt), line:1974, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[2] - |vpiName:fmt - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[2].fmt - |UINT:2 - |vpiLhs: - \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[2].active_format.in_valid), line:1987:14, endln:1987:22 - |vpiName:in_valid - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[2].active_format.in_valid - |vpiActual: - \_logic_var: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[2].active_format.in_valid), line:1985:13, endln:1985:21, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[2].active_format - |vpiName:in_valid - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[2].active_format.in_valid - |vpiAutomatic:1 - |vpiVisibility:1 - |vpiModule: - \_module: work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[2].active_format::fpnew_opgroup_fmt_slice (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice) dut.sv:1989: , parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[2].active_format - |vpiDefName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[2].active_format::fpnew_opgroup_fmt_slice - |vpiName:i_fmt_slice - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice - |vpiParamAssign: - \_param_assign: , parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice - |vpiRhs: - \_constant: , line:1993, endln:1993:0 - |vpiConstType:9 - |vpiDecompile:1 - |vpiSize:1 - |UINT:1 - |vpiLhs: - \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.EnableVectors) - |vpiParamAssign: - \_param_assign: , parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice - |vpiRhs: - \_constant: , line:1991, endln:1991:0 - |vpiConstType:9 - |vpiDecompile:2 - |vpiSize:64 - |UINT:2 - |vpiLhs: - \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice.FpFormat) - |vpiName:FpFormat - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice.FpFormat - |vpiParamAssign: - \_param_assign: , parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice - |vpiRhs: - \_constant: , line:1994, endln:1994:0 - |vpiConstType:9 - |vpiDecompile:0 - |vpiSize:1 - |UINT:0 - |vpiLhs: - \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice.NumPipeRegs) - |vpiName:NumPipeRegs - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice.NumPipeRegs - |vpiParamAssign: - \_param_assign: , parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice - |vpiRhs: - \_constant: , line:1990, endln:1990:0 - |vpiConstType:9 - |vpiDecompile:1 - |vpiSize:64 - |UINT:1 - |vpiLhs: - \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.OpGroup) - |vpiParamAssign: - \_param_assign: , parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice - |vpiRhs: - \_constant: , line:1995, endln:1995:0 - |vpiConstType:7 - |vpiDecompile:0 - |vpiSize:64 - |INT:0 - |vpiLhs: - \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.PipeConfig) - |vpiParamAssign: - \_param_assign: , parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice - |vpiRhs: - \_constant: , line:1992, endln:1992:0 - |vpiConstType:9 - |vpiDecompile:64 - |vpiSize:64 - |UINT:64 - |vpiLhs: - \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.Width) - |vpiVariables: - \_logic_var: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[2].active_format.in_valid), line:1985:13, endln:1985:21, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[2].active_format - |vpiName:in_valid - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[2].active_format.in_valid - |vpiAutomatic:1 - |vpiVisibility:1 |vpiParameter: \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[2].ANY_MERGED), line:1976:22, endln:1976:88, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[2] |vpiName:ANY_MERGED @@ -108799,6 +108801,7 @@ design: (work@top) |vpiName:DEBUGME |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[2].DEBUGME |vpiLocalParam:1 + |SCAL:0 |vpiParameter: \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[2].DEBUGME2), line:1980:16, endln:1980:44, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[2] |vpiName:DEBUGME2 @@ -108811,6 +108814,9 @@ design: (work@top) |vpiLocalParam:1 |vpiParameter: \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[2].fmt), line:1974, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[2] + |vpiName:fmt + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[2].fmt + |UINT:2 |vpiParamAssign: \_param_assign: , line:1976:22, endln:1976:88, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[2] |vpiRhs: @@ -108839,29 +108845,56 @@ design: (work@top) |vpiElement: \_enum_var: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[2]), parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[2] |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[2] - |UINT:1 + |UINT:2 |vpiElement: \_enum_var: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[2]), parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[2] |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[2] - |UINT:1 + |UINT:2 |vpiElement: \_enum_var: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[2]), parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[2] |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[2] - |UINT:1 + |UINT:2 |vpiElement: \_enum_var: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[2]), parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[2] |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[2] - |UINT:1 + |UINT:2 |vpiElement: \_enum_var: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[2]), parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[2] |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[2] - |UINT:1 + |UINT:2 |vpiArgument: - \_constant: , line:1976:78, endln:1976:87 - |vpiConstType:9 - |vpiDecompile:31 - |vpiSize:5 - |UINT:31 + \_operation: , line:1976:78, endln:1976:87, parent:fpnew_pkg::any_enabled_multi + |vpiOpType:33 + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[2].RVF), line:2049:23, endln:2049:26 + |vpiName:RVF + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[2].RVF + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.RVF), line:813:20, endln:813:42, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[2].RVD), line:2049:28, endln:2049:31 + |vpiName:RVD + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[2].RVD + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.RVD), line:814:20, endln:814:42, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[2].XF16), line:2049:33, endln:2049:37 + |vpiName:XF16 + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[2].XF16 + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.XF16), line:819:20, endln:819:34, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[2].XF8), line:2049:39, endln:2049:42 + |vpiName:XF8 + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[2].XF8 + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.XF8), line:821:20, endln:821:34, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[2].XF16ALT), line:2049:44, endln:2049:51 + |vpiName:XF16ALT + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[2].XF16ALT + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.XF16ALT), line:820:20, endln:820:34, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i |vpiLhs: \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[2].ANY_MERGED), line:1976:22, endln:1976:88, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[2] |vpiParamAssign: @@ -108897,39 +108930,66 @@ design: (work@top) |vpiElement: \_enum_var: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[2]), parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[2] |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[2] - |UINT:1 + |UINT:2 |vpiElement: \_enum_var: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[2]), parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[2] |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[2] - |UINT:1 + |UINT:2 |vpiElement: \_enum_var: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[2]), parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[2] |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[2] - |UINT:1 + |UINT:2 |vpiElement: \_enum_var: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[2]), parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[2] |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[2] - |UINT:1 + |UINT:2 |vpiElement: \_enum_var: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[2]), parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[2] |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[2] - |UINT:1 + |UINT:2 |vpiArgument: - \_constant: , line:1978:87, endln:1978:96 - |vpiConstType:9 - |vpiDecompile:31 - |vpiSize:5 - |UINT:31 + \_operation: , line:1978:87, endln:1978:96, parent:fpnew_pkg::is_first_enabled_multi + |vpiOpType:33 + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[2].RVF), line:2049:23, endln:2049:26 + |vpiName:RVF + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[2].RVF + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.RVF), line:813:20, endln:813:42, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[2].RVD), line:2049:28, endln:2049:31 + |vpiName:RVD + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[2].RVD + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.RVD), line:814:20, endln:814:42, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[2].XF16), line:2049:33, endln:2049:37 + |vpiName:XF16 + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[2].XF16 + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.XF16), line:819:20, endln:819:34, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[2].XF8), line:2049:39, endln:2049:42 + |vpiName:XF8 + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[2].XF8 + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.XF8), line:821:20, endln:821:34, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[2].XF16ALT), line:2049:44, endln:2049:51 + |vpiName:XF16ALT + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[2].XF16ALT + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.XF16ALT), line:820:20, endln:820:34, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i |vpiLhs: \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[2].IS_FIRST_MERGED), line:1977:22, endln:1978:97, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[2] |vpiParamAssign: \_param_assign: , line:1979:16, endln:1979:40, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[2] |vpiRhs: \_constant: , line:1979:26, endln:1979:40 - |vpiConstType:9 - |vpiDecompile:1 - |vpiSize:1 - |UINT:1 + |vpiConstType:7 + |vpiDecompile:0 + |vpiSize:64 + |INT:0 |vpiLhs: \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[2].DEBUGME), line:1979:16, endln:1979:40, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[2] |vpiParamAssign: @@ -108937,7 +108997,7 @@ design: (work@top) |vpiRhs: \_enum_var: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[2]), line:1980:27, endln:1980:44 |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[2] - |UINT:1 + |UINT:2 |vpiLhs: \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[2].DEBUGME2), line:1980:16, endln:1980:44, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[2] |vpiParamAssign: @@ -108945,9 +109005,9 @@ design: (work@top) |vpiRhs: \_constant: , line:1981:28, endln:1981:88 |vpiConstType:9 - |vpiDecompile:1 + |vpiDecompile:0 |vpiSize:64 - |UINT:1 + |UINT:0 |vpiLhs: \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[2].DEBUGME3), line:1981:17, endln:1981:88, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[2] |vpiGenScopeArray: @@ -108957,123 +109017,6 @@ design: (work@top) |vpiGenScope: \_gen_scope: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3]), parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3] |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3] - |vpiGenScopeArray: - \_gen_scope_array: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].active_format), line:1983:5, endln:1999:11, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3] - |vpiName:active_format - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].active_format - |vpiGenScope: - \_gen_scope: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].active_format), parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].active_format - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].active_format - |vpiContAssign: - \_cont_assign: , line:1987:14, endln:1987:56, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].active_format - |vpiRhs: - \_operation: , line:1987:25, endln:1987:35 - |vpiOpType:28 - |vpiOperand: - \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].active_format.in_valid_i), line:1987:25, endln:1987:35 - |vpiName:in_valid_i - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].active_format.in_valid_i - |vpiOperand: - \_operation: , line:1987:39, endln:1987:55 - |vpiOpType:14 - |vpiOperand: - \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].active_format.dst_fmt_i), line:1987:39, endln:1987:48 - |vpiName:dst_fmt_i - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].active_format.dst_fmt_i - |vpiOperand: - \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].active_format.fmt), line:1987:52, endln:1987:55 - |vpiName:fmt - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].active_format.fmt - |vpiActual: - \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].fmt), line:1974, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3] - |vpiName:fmt - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].fmt - |UINT:3 - |vpiLhs: - \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].active_format.in_valid), line:1987:14, endln:1987:22 - |vpiName:in_valid - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].active_format.in_valid - |vpiActual: - \_logic_var: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].active_format.in_valid), line:1985:13, endln:1985:21, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].active_format - |vpiName:in_valid - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].active_format.in_valid - |vpiAutomatic:1 - |vpiVisibility:1 - |vpiModule: - \_module: work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].active_format::fpnew_opgroup_fmt_slice (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice) dut.sv:1989: , parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].active_format - |vpiDefName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].active_format::fpnew_opgroup_fmt_slice - |vpiName:i_fmt_slice - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice - |vpiParamAssign: - \_param_assign: , parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice - |vpiRhs: - \_constant: , line:1993, endln:1993:0 - |vpiConstType:9 - |vpiDecompile:1 - |vpiSize:1 - |UINT:1 - |vpiLhs: - \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.EnableVectors) - |vpiParamAssign: - \_param_assign: , parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice - |vpiRhs: - \_constant: , line:1991, endln:1991:0 - |vpiConstType:9 - |vpiDecompile:3 - |vpiSize:64 - |UINT:3 - |vpiLhs: - \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.FpFormat) - |vpiName:FpFormat - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.FpFormat - |vpiParamAssign: - \_param_assign: , parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice - |vpiRhs: - \_constant: , line:1994, endln:1994:0 - |vpiConstType:9 - |vpiDecompile:0 - |vpiSize:1 - |UINT:0 - |vpiLhs: - \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.NumPipeRegs) - |vpiName:NumPipeRegs - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.NumPipeRegs - |vpiParamAssign: - \_param_assign: , parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice - |vpiRhs: - \_constant: , line:1990, endln:1990:0 - |vpiConstType:9 - |vpiDecompile:1 - |vpiSize:64 - |UINT:1 - |vpiLhs: - \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.OpGroup) - |vpiParamAssign: - \_param_assign: , parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice - |vpiRhs: - \_constant: , line:1995, endln:1995:0 - |vpiConstType:7 - |vpiDecompile:0 - |vpiSize:64 - |INT:0 - |vpiLhs: - \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.PipeConfig) - |vpiParamAssign: - \_param_assign: , parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice - |vpiRhs: - \_constant: , line:1992, endln:1992:0 - |vpiConstType:9 - |vpiDecompile:64 - |vpiSize:64 - |UINT:64 - |vpiLhs: - \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.Width) - |vpiVariables: - \_logic_var: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].active_format.in_valid), line:1985:13, endln:1985:21, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].active_format - |vpiName:in_valid - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].active_format.in_valid - |vpiAutomatic:1 - |vpiVisibility:1 |vpiParameter: \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].ANY_MERGED), line:1976:22, endln:1976:88, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3] |vpiName:ANY_MERGED @@ -109095,6 +109038,7 @@ design: (work@top) |vpiName:DEBUGME |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].DEBUGME |vpiLocalParam:1 + |SCAL:0 |vpiParameter: \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].DEBUGME2), line:1980:16, endln:1980:44, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3] |vpiName:DEBUGME2 @@ -109107,6 +109051,9 @@ design: (work@top) |vpiLocalParam:1 |vpiParameter: \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].fmt), line:1974, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3] + |vpiName:fmt + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].fmt + |UINT:3 |vpiParamAssign: \_param_assign: , line:1976:22, endln:1976:88, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3] |vpiRhs: @@ -109135,29 +109082,56 @@ design: (work@top) |vpiElement: \_enum_var: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3]), parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3] |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3] - |UINT:1 + |UINT:2 |vpiElement: \_enum_var: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3]), parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3] |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3] - |UINT:1 + |UINT:2 |vpiElement: \_enum_var: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3]), parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3] |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3] - |UINT:1 + |UINT:2 |vpiElement: \_enum_var: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3]), parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3] |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3] - |UINT:1 + |UINT:2 |vpiElement: \_enum_var: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3]), parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3] |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3] - |UINT:1 + |UINT:2 |vpiArgument: - \_constant: , line:1976:78, endln:1976:87 - |vpiConstType:9 - |vpiDecompile:31 - |vpiSize:5 - |UINT:31 + \_operation: , line:1976:78, endln:1976:87, parent:fpnew_pkg::any_enabled_multi + |vpiOpType:33 + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].RVF), line:2049:23, endln:2049:26 + |vpiName:RVF + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].RVF + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.RVF), line:813:20, endln:813:42, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].RVD), line:2049:28, endln:2049:31 + |vpiName:RVD + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].RVD + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.RVD), line:814:20, endln:814:42, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].XF16), line:2049:33, endln:2049:37 + |vpiName:XF16 + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].XF16 + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.XF16), line:819:20, endln:819:34, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].XF8), line:2049:39, endln:2049:42 + |vpiName:XF8 + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].XF8 + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.XF8), line:821:20, endln:821:34, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].XF16ALT), line:2049:44, endln:2049:51 + |vpiName:XF16ALT + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].XF16ALT + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.XF16ALT), line:820:20, endln:820:34, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i |vpiLhs: \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].ANY_MERGED), line:1976:22, endln:1976:88, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3] |vpiParamAssign: @@ -109193,39 +109167,66 @@ design: (work@top) |vpiElement: \_enum_var: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3]), parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3] |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3] - |UINT:1 + |UINT:2 |vpiElement: \_enum_var: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3]), parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3] |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3] - |UINT:1 + |UINT:2 |vpiElement: \_enum_var: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3]), parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3] |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3] - |UINT:1 + |UINT:2 |vpiElement: \_enum_var: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3]), parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3] |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3] - |UINT:1 + |UINT:2 |vpiElement: \_enum_var: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3]), parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3] |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3] - |UINT:1 + |UINT:2 |vpiArgument: - \_constant: , line:1978:87, endln:1978:96 - |vpiConstType:9 - |vpiDecompile:31 - |vpiSize:5 - |UINT:31 + \_operation: , line:1978:87, endln:1978:96, parent:fpnew_pkg::is_first_enabled_multi + |vpiOpType:33 + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].RVF), line:2049:23, endln:2049:26 + |vpiName:RVF + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].RVF + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.RVF), line:813:20, endln:813:42, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].RVD), line:2049:28, endln:2049:31 + |vpiName:RVD + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].RVD + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.RVD), line:814:20, endln:814:42, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].XF16), line:2049:33, endln:2049:37 + |vpiName:XF16 + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].XF16 + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.XF16), line:819:20, endln:819:34, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].XF8), line:2049:39, endln:2049:42 + |vpiName:XF8 + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].XF8 + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.XF8), line:821:20, endln:821:34, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].XF16ALT), line:2049:44, endln:2049:51 + |vpiName:XF16ALT + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].XF16ALT + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.XF16ALT), line:820:20, endln:820:34, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i |vpiLhs: \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].IS_FIRST_MERGED), line:1977:22, endln:1978:97, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3] |vpiParamAssign: \_param_assign: , line:1979:16, endln:1979:40, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3] |vpiRhs: \_constant: , line:1979:26, endln:1979:40 - |vpiConstType:9 - |vpiDecompile:1 - |vpiSize:1 - |UINT:1 + |vpiConstType:7 + |vpiDecompile:0 + |vpiSize:64 + |INT:0 |vpiLhs: \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].DEBUGME), line:1979:16, endln:1979:40, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3] |vpiParamAssign: @@ -109233,7 +109234,7 @@ design: (work@top) |vpiRhs: \_enum_var: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3]), line:1980:27, endln:1980:44 |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3] - |UINT:1 + |UINT:2 |vpiLhs: \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].DEBUGME2), line:1980:16, endln:1980:44, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3] |vpiParamAssign: @@ -109241,9 +109242,9 @@ design: (work@top) |vpiRhs: \_constant: , line:1981:28, endln:1981:88 |vpiConstType:9 - |vpiDecompile:1 + |vpiDecompile:0 |vpiSize:64 - |UINT:1 + |UINT:0 |vpiLhs: \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].DEBUGME3), line:1981:17, endln:1981:88, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3] |vpiGenScopeArray: @@ -109253,123 +109254,6 @@ design: (work@top) |vpiGenScope: \_gen_scope: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[4]), parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[4] |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[4] - |vpiGenScopeArray: - \_gen_scope_array: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[4].active_format), line:1983:5, endln:1999:11, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[4] - |vpiName:active_format - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[4].active_format - |vpiGenScope: - \_gen_scope: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[4].active_format), parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[4].active_format - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[4].active_format - |vpiContAssign: - \_cont_assign: , line:1987:14, endln:1987:56, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[4].active_format - |vpiRhs: - \_operation: , line:1987:25, endln:1987:35 - |vpiOpType:28 - |vpiOperand: - \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[4].active_format.in_valid_i), line:1987:25, endln:1987:35 - |vpiName:in_valid_i - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[4].active_format.in_valid_i - |vpiOperand: - \_operation: , line:1987:39, endln:1987:55 - |vpiOpType:14 - |vpiOperand: - \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[4].active_format.dst_fmt_i), line:1987:39, endln:1987:48 - |vpiName:dst_fmt_i - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[4].active_format.dst_fmt_i - |vpiOperand: - \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[4].active_format.fmt), line:1987:52, endln:1987:55 - |vpiName:fmt - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[4].active_format.fmt - |vpiActual: - \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[4].fmt), line:1974, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[4] - |vpiName:fmt - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[4].fmt - |UINT:4 - |vpiLhs: - \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[4].active_format.in_valid), line:1987:14, endln:1987:22 - |vpiName:in_valid - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[4].active_format.in_valid - |vpiActual: - \_logic_var: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[4].active_format.in_valid), line:1985:13, endln:1985:21, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[4].active_format - |vpiName:in_valid - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[4].active_format.in_valid - |vpiAutomatic:1 - |vpiVisibility:1 - |vpiModule: - \_module: work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[4].active_format::fpnew_opgroup_fmt_slice (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice) dut.sv:1989: , parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[4].active_format - |vpiDefName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[4].active_format::fpnew_opgroup_fmt_slice - |vpiName:i_fmt_slice - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice - |vpiParamAssign: - \_param_assign: , parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice - |vpiRhs: - \_constant: , line:1993, endln:1993:0 - |vpiConstType:9 - |vpiDecompile:1 - |vpiSize:1 - |UINT:1 - |vpiLhs: - \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.EnableVectors) - |vpiParamAssign: - \_param_assign: , parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice - |vpiRhs: - \_constant: , line:1991, endln:1991:0 - |vpiConstType:9 - |vpiDecompile:4 - |vpiSize:64 - |UINT:4 - |vpiLhs: - \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.FpFormat) - |vpiName:FpFormat - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.FpFormat - |vpiParamAssign: - \_param_assign: , parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice - |vpiRhs: - \_constant: , line:1994, endln:1994:0 - |vpiConstType:9 - |vpiDecompile:0 - |vpiSize:1 - |UINT:0 - |vpiLhs: - \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.NumPipeRegs) - |vpiName:NumPipeRegs - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.NumPipeRegs - |vpiParamAssign: - \_param_assign: , parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice - |vpiRhs: - \_constant: , line:1990, endln:1990:0 - |vpiConstType:9 - |vpiDecompile:1 - |vpiSize:64 - |UINT:1 - |vpiLhs: - \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.OpGroup) - |vpiParamAssign: - \_param_assign: , parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice - |vpiRhs: - \_constant: , line:1995, endln:1995:0 - |vpiConstType:7 - |vpiDecompile:0 - |vpiSize:64 - |INT:0 - |vpiLhs: - \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.PipeConfig) - |vpiParamAssign: - \_param_assign: , parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice - |vpiRhs: - \_constant: , line:1992, endln:1992:0 - |vpiConstType:9 - |vpiDecompile:64 - |vpiSize:64 - |UINT:64 - |vpiLhs: - \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.Width) - |vpiVariables: - \_logic_var: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[4].active_format.in_valid), line:1985:13, endln:1985:21, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[4].active_format - |vpiName:in_valid - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[4].active_format.in_valid - |vpiAutomatic:1 - |vpiVisibility:1 |vpiParameter: \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[4].ANY_MERGED), line:1976:22, endln:1976:88, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[4] |vpiName:ANY_MERGED @@ -109391,6 +109275,7 @@ design: (work@top) |vpiName:DEBUGME |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[4].DEBUGME |vpiLocalParam:1 + |SCAL:0 |vpiParameter: \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[4].DEBUGME2), line:1980:16, endln:1980:44, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[4] |vpiName:DEBUGME2 @@ -109403,6 +109288,9 @@ design: (work@top) |vpiLocalParam:1 |vpiParameter: \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[4].fmt), line:1974, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[4] + |vpiName:fmt + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[4].fmt + |UINT:4 |vpiParamAssign: \_param_assign: , line:1976:22, endln:1976:88, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[4] |vpiRhs: @@ -109431,29 +109319,56 @@ design: (work@top) |vpiElement: \_enum_var: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[4]), parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[4] |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[4] - |UINT:1 + |UINT:2 |vpiElement: \_enum_var: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[4]), parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[4] |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[4] - |UINT:1 + |UINT:2 |vpiElement: \_enum_var: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[4]), parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[4] |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[4] - |UINT:1 + |UINT:2 |vpiElement: \_enum_var: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[4]), parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[4] |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[4] - |UINT:1 + |UINT:2 |vpiElement: \_enum_var: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[4]), parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[4] |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[4] - |UINT:1 + |UINT:2 |vpiArgument: - \_constant: , line:1976:78, endln:1976:87 - |vpiConstType:9 - |vpiDecompile:31 - |vpiSize:5 - |UINT:31 + \_operation: , line:1976:78, endln:1976:87, parent:fpnew_pkg::any_enabled_multi + |vpiOpType:33 + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[4].RVF), line:2049:23, endln:2049:26 + |vpiName:RVF + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[4].RVF + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.RVF), line:813:20, endln:813:42, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[4].RVD), line:2049:28, endln:2049:31 + |vpiName:RVD + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[4].RVD + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.RVD), line:814:20, endln:814:42, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[4].XF16), line:2049:33, endln:2049:37 + |vpiName:XF16 + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[4].XF16 + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.XF16), line:819:20, endln:819:34, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[4].XF8), line:2049:39, endln:2049:42 + |vpiName:XF8 + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[4].XF8 + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.XF8), line:821:20, endln:821:34, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[4].XF16ALT), line:2049:44, endln:2049:51 + |vpiName:XF16ALT + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[4].XF16ALT + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.XF16ALT), line:820:20, endln:820:34, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i |vpiLhs: \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[4].ANY_MERGED), line:1976:22, endln:1976:88, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[4] |vpiParamAssign: @@ -109489,39 +109404,66 @@ design: (work@top) |vpiElement: \_enum_var: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[4]), parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[4] |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[4] - |UINT:1 + |UINT:2 |vpiElement: \_enum_var: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[4]), parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[4] |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[4] - |UINT:1 + |UINT:2 |vpiElement: \_enum_var: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[4]), parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[4] |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[4] - |UINT:1 + |UINT:2 |vpiElement: \_enum_var: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[4]), parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[4] |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[4] - |UINT:1 + |UINT:2 |vpiElement: \_enum_var: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[4]), parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[4] |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[4] - |UINT:1 + |UINT:2 |vpiArgument: - \_constant: , line:1978:87, endln:1978:96 - |vpiConstType:9 - |vpiDecompile:31 - |vpiSize:5 - |UINT:31 + \_operation: , line:1978:87, endln:1978:96, parent:fpnew_pkg::is_first_enabled_multi + |vpiOpType:33 + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[4].RVF), line:2049:23, endln:2049:26 + |vpiName:RVF + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[4].RVF + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.RVF), line:813:20, endln:813:42, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[4].RVD), line:2049:28, endln:2049:31 + |vpiName:RVD + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[4].RVD + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.RVD), line:814:20, endln:814:42, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[4].XF16), line:2049:33, endln:2049:37 + |vpiName:XF16 + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[4].XF16 + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.XF16), line:819:20, endln:819:34, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[4].XF8), line:2049:39, endln:2049:42 + |vpiName:XF8 + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[4].XF8 + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.XF8), line:821:20, endln:821:34, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[4].XF16ALT), line:2049:44, endln:2049:51 + |vpiName:XF16ALT + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[4].XF16ALT + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.XF16ALT), line:820:20, endln:820:34, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i |vpiLhs: \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[4].IS_FIRST_MERGED), line:1977:22, endln:1978:97, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[4] |vpiParamAssign: \_param_assign: , line:1979:16, endln:1979:40, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[4] |vpiRhs: \_constant: , line:1979:26, endln:1979:40 - |vpiConstType:9 - |vpiDecompile:1 - |vpiSize:1 - |UINT:1 + |vpiConstType:7 + |vpiDecompile:0 + |vpiSize:64 + |INT:0 |vpiLhs: \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[4].DEBUGME), line:1979:16, endln:1979:40, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[4] |vpiParamAssign: @@ -109529,7 +109471,7 @@ design: (work@top) |vpiRhs: \_enum_var: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[4]), line:1980:27, endln:1980:44 |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[4] - |UINT:1 + |UINT:2 |vpiLhs: \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[4].DEBUGME2), line:1980:16, endln:1980:44, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[4] |vpiParamAssign: @@ -109537,17 +109479,79 @@ design: (work@top) |vpiRhs: \_constant: , line:1981:28, endln:1981:88 |vpiConstType:9 - |vpiDecompile:1 + |vpiDecompile:0 |vpiSize:64 - |UINT:1 + |UINT:0 |vpiLhs: \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[4].DEBUGME3), line:1981:17, endln:1981:88, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[4] |vpiParameter: - \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.OpGroup) + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.OpGroup), line:1959:41, endln:1959:74, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block + |vpiName:OpGroup + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.OpGroup + |INT:0 + |vpiTypespec: + \_enum_typespec: (fpnew_pkg::opgroup_e), line:1576:5, endln:1576:14, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.OpGroup + |vpiName:fpnew_pkg::opgroup_e + |vpiBaseTypespec: + \_logic_typespec: , line:1574:16, endln:1574:21, parent:fpnew_pkg::opgroup_e + |vpiRange: + \_range: , line:1574:23, endln:1574:26 + |vpiLeftRange: + \_constant: , line:1574:23, endln:1574:24 + |vpiConstType:9 + |vpiDecompile:1 + |vpiSize:64 + |UINT:1 + |vpiRightRange: + \_constant: , line:1574:25, endln:1574:26 + |vpiConstType:9 + |vpiDecompile:0 + |vpiSize:64 + |UINT:0 + |vpiInstance: + \_package: fpnew_pkg (fpnew_pkg::) dut.sv:1485:1: , endln:1955:11, parent:work@top + |vpiEnumConst: + \_enum_const: (ADDMUL), line:1575:5, endln:1575:11, parent:fpnew_pkg::opgroup_e + |vpiName:ADDMUL + |vpiDecompile:0 + |INT:0 + |vpiSize:64 + |vpiEnumConst: + \_enum_const: (DIVSQRT), line:1575:13, endln:1575:20, parent:fpnew_pkg::opgroup_e + |vpiName:DIVSQRT + |vpiDecompile:1 + |INT:1 + |vpiSize:64 + |vpiEnumConst: + \_enum_const: (NONCOMP), line:1575:22, endln:1575:29, parent:fpnew_pkg::opgroup_e + |vpiName:NONCOMP + |vpiDecompile:2 + |INT:2 + |vpiSize:64 + |vpiEnumConst: + \_enum_const: (CONV), line:1575:31, endln:1575:35, parent:fpnew_pkg::opgroup_e + |vpiName:CONV + |vpiDecompile:3 + |INT:3 + |vpiSize:64 + |vpiInstance: + \_package: fpnew_pkg (fpnew_pkg::) dut.sv:1485:1: , endln:1955:11, parent:work@top |vpiParameter: - \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.Width) + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.Width), line:1961:41, endln:1961:59, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block + |vpiName:Width + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.Width + |UINT:32 + |vpiTypespec: + \_int_typespec: (Width), line:1961:13, endln:1961:16, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.Width + |vpiName:Width |vpiParameter: - \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.EnableVectors) + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.EnableVectors), line:1962:41, endln:1962:61, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block + |vpiName:EnableVectors + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.EnableVectors + |BIN:1 + |vpiTypespec: + \_logic_typespec: (EnableVectors), line:1962:13, endln:1962:18, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.EnableVectors + |vpiName:EnableVectors |vpiParameter: \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.FpFmtMask), line:1963:41, endln:1963:59, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block |vpiName:FpFmtMask @@ -109778,7 +109782,57 @@ design: (work@top) |vpiInstance: \_package: fpnew_pkg (fpnew_pkg::) dut.sv:1485:1: , endln:1955:11, parent:work@top |vpiParameter: - \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.PipeConfig) + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.PipeConfig), line:1967:41, endln:1967:74, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block + |vpiName:PipeConfig + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.PipeConfig + |INT:0 + |vpiTypespec: + \_enum_typespec: (fpnew_pkg::pipe_config_t), line:1644:5, endln:1644:18, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.PipeConfig + |vpiName:fpnew_pkg::pipe_config_t + |vpiBaseTypespec: + \_logic_typespec: , line:1639:16, endln:1639:21, parent:fpnew_pkg::pipe_config_t + |vpiRange: + \_range: , line:1639:23, endln:1639:26 + |vpiLeftRange: + \_constant: , line:1639:23, endln:1639:24 + |vpiConstType:9 + |vpiDecompile:1 + |vpiSize:64 + |UINT:1 + |vpiRightRange: + \_constant: , line:1639:25, endln:1639:26 + |vpiConstType:9 + |vpiDecompile:0 + |vpiSize:64 + |UINT:0 + |vpiInstance: + \_package: fpnew_pkg (fpnew_pkg::) dut.sv:1485:1: , endln:1955:11, parent:work@top + |vpiEnumConst: + \_enum_const: (BEFORE), line:1640:5, endln:1640:11, parent:fpnew_pkg::pipe_config_t + |vpiName:BEFORE + |vpiDecompile:0 + |INT:0 + |vpiSize:64 + |vpiEnumConst: + \_enum_const: (AFTER), line:1641:5, endln:1641:10, parent:fpnew_pkg::pipe_config_t + |vpiName:AFTER + |vpiDecompile:1 + |INT:1 + |vpiSize:64 + |vpiEnumConst: + \_enum_const: (INSIDE), line:1642:5, endln:1642:11, parent:fpnew_pkg::pipe_config_t + |vpiName:INSIDE + |vpiDecompile:2 + |INT:2 + |vpiSize:64 + |vpiEnumConst: + \_enum_const: (DISTRIBUTED), line:1643:5, endln:1643:16, parent:fpnew_pkg::pipe_config_t + |vpiName:DISTRIBUTED + |vpiDecompile:3 + |INT:3 + |vpiSize:64 + |vpiInstance: + \_package: fpnew_pkg (fpnew_pkg::) dut.sv:1485:1: , endln:1955:11, parent:work@top |vpiParameter: \_type_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.TagType), parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block |vpiParameter: @@ -109802,51 +109856,6 @@ design: (work@top) |INT:0 |vpiTypespec: \_enum_typespec: (fpnew_pkg::opgroup_e), line:1576:5, endln:1576:14, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.OpGroup - |vpiName:fpnew_pkg::opgroup_e - |vpiBaseTypespec: - \_logic_typespec: , line:1574:16, endln:1574:21, parent:fpnew_pkg::opgroup_e - |vpiRange: - \_range: , line:1574:23, endln:1574:26 - |vpiLeftRange: - \_constant: , line:1574:23, endln:1574:24 - |vpiConstType:9 - |vpiDecompile:1 - |vpiSize:64 - |UINT:1 - |vpiRightRange: - \_constant: , line:1574:25, endln:1574:26 - |vpiConstType:9 - |vpiDecompile:0 - |vpiSize:64 - |UINT:0 - |vpiInstance: - \_package: fpnew_pkg (fpnew_pkg::) dut.sv:1485:1: , endln:1955:11, parent:work@top - |vpiEnumConst: - \_enum_const: (ADDMUL), line:1575:5, endln:1575:11, parent:fpnew_pkg::opgroup_e - |vpiName:ADDMUL - |vpiDecompile:0 - |INT:0 - |vpiSize:64 - |vpiEnumConst: - \_enum_const: (DIVSQRT), line:1575:13, endln:1575:20, parent:fpnew_pkg::opgroup_e - |vpiName:DIVSQRT - |vpiDecompile:1 - |INT:1 - |vpiSize:64 - |vpiEnumConst: - \_enum_const: (NONCOMP), line:1575:22, endln:1575:29, parent:fpnew_pkg::opgroup_e - |vpiName:NONCOMP - |vpiDecompile:2 - |INT:2 - |vpiSize:64 - |vpiEnumConst: - \_enum_const: (CONV), line:1575:31, endln:1575:35, parent:fpnew_pkg::opgroup_e - |vpiName:CONV - |vpiDecompile:3 - |INT:3 - |vpiSize:64 - |vpiInstance: - \_package: fpnew_pkg (fpnew_pkg::) dut.sv:1485:1: , endln:1955:11, parent:work@top |vpiParamAssign: \_param_assign: , line:1961:41, endln:1961:59, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block |vpiRhs: @@ -109864,15 +109873,14 @@ design: (work@top) |UINT:32 |vpiTypespec: \_int_typespec: (Width), line:1961:13, endln:1961:16, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.Width - |vpiName:Width |vpiParamAssign: \_param_assign: , line:1962:41, endln:1962:61, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block |vpiRhs: \_constant: , line:1962:57, endln:1962:61 - |vpiConstType:9 - |vpiDecompile:1 - |vpiSize:1 - |UINT:1 + |vpiConstType:7 + |vpiDecompile:0 + |vpiSize:64 + |INT:0 |vpiTypespec: \_logic_typespec: (EnableVectors), line:1962:13, endln:1962:18, parent:work@fpnew_opgroup_block.EnableVectors |vpiLhs: @@ -109882,17 +109890,41 @@ design: (work@top) |BIN:1 |vpiTypespec: \_logic_typespec: (EnableVectors), line:1962:13, endln:1962:18, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.EnableVectors - |vpiName:EnableVectors |vpiParamAssign: \_param_assign: , line:1963:41, endln:1963:59, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block |vpiRhs: - \_constant: , line:1963:57, endln:1963:59 - |vpiConstType:9 - |vpiDecompile:31 - |vpiSize:5 - |UINT:31 - |vpiTypespec: - \_logic_typespec: (fpnew_pkg::fmt_logic_t), line:1528:11, endln:1528:16, parent:work@fpnew_opgroup_block.FpFmtMask + \_operation: , line:2027:24, endln:2027:42 + |vpiOpType:33 + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.RVF), line:2049:23, endln:2049:26 + |vpiName:RVF + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.RVF + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.RVF), line:813:20, endln:813:42, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.RVD), line:2049:28, endln:2049:31 + |vpiName:RVD + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.RVD + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.RVD), line:814:20, endln:814:42, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.XF16), line:2049:33, endln:2049:37 + |vpiName:XF16 + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.XF16 + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.XF16), line:819:20, endln:819:34, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.XF8), line:2049:39, endln:2049:42 + |vpiName:XF8 + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.XF8 + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.XF8), line:821:20, endln:821:34, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.XF16ALT), line:2049:44, endln:2049:51 + |vpiName:XF16ALT + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.XF16ALT + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.XF16ALT), line:820:20, endln:820:34, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i |vpiLhs: \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.FpFmtMask), line:1963:41, endln:1963:59 |vpiName:FpFmtMask @@ -109903,13 +109935,59 @@ design: (work@top) |vpiParamAssign: \_param_assign: , line:1964:41, endln:1964:59, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block |vpiRhs: - \_constant: , line:1964:57, endln:1964:59 - |vpiConstType:9 - |vpiDecompile:15 - |vpiSize:4 - |UINT:15 - |vpiTypespec: - \_logic_typespec: (fpnew_pkg::ifmt_logic_t), line:1566:11, endln:1566:16, parent:work@fpnew_opgroup_block.IntFmtMask + \_operation: , line:2028:24, endln:2028:43 + |vpiOpType:33 + |vpiOperand: + \_operation: , line:2050:23, endln:2050:28 + |vpiOpType:26 + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.XFVEC), line:2050:23, endln:2050:28 + |vpiName:XFVEC + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.XFVEC + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.XFVEC), line:822:20, endln:822:34, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.XF8), line:2050:32, endln:2050:35 + |vpiName:XF8 + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.XF8 + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.XF8), line:821:20, endln:821:34, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i + |vpiOperand: + \_operation: , line:2050:37, endln:2050:42 + |vpiOpType:26 + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.XFVEC), line:2050:37, endln:2050:42 + |vpiName:XFVEC + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.XFVEC + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.XFVEC), line:822:20, endln:822:34, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i + |vpiOperand: + \_operation: , line:2050:47, endln:2050:62 + |vpiOpType:27 + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.XF16), line:2050:47, endln:2050:51 + |vpiName:XF16 + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.XF16 + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.XF16), line:819:20, endln:819:34, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.XF16ALT), line:2050:55, endln:2050:62 + |vpiName:XF16ALT + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.XF16ALT + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.XF16ALT), line:820:20, endln:820:34, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i + |vpiOperand: + \_constant: , line:2050:65, endln:2050:69 + |vpiConstType:3 + |vpiDecompile:1'b1 + |vpiSize:1 + |BIN:1 + |vpiOperand: + \_constant: , line:2050:71, endln:2050:75 + |vpiConstType:3 + |vpiDecompile:1'b1 + |vpiSize:1 + |BIN:1 |vpiLhs: \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.IntFmtMask), line:1964:41, endln:1964:59 |vpiName:IntFmtMask @@ -109920,18 +109998,28 @@ design: (work@top) |vpiParamAssign: \_param_assign: , line:1965:41, endln:1965:70, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block |vpiRhs: - \_operation: , line:1965:57, endln:1965:70 + \_operation: , line:2029:24, endln:2029:54 |vpiOpType:75 |vpiOperand: \_tagged_pattern: |vpiPattern: - \_constant: , line:1965:68, endln:1965:69 - |vpiConstType:9 - |vpiDecompile:0 - |vpiSize:64 - |UINT:0 + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.LAT_DIVSQRT), line:2056:29, endln:2056:40 + |vpiName:LAT_DIVSQRT + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.LAT_DIVSQRT + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.LAT_DIVSQRT), line:830:29, endln:830:51, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i + |vpiName:LAT_DIVSQRT + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.LAT_DIVSQRT + |vpiImported:ariane_pkg + |vpiLocalParam:1 + |DEC:2 + |vpiTypespec: + \_int_typespec: (LAT_DIVSQRT), line:830:16, endln:830:19, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.LAT_DIVSQRT + |vpiName:LAT_DIVSQRT + |vpiInstance: + \_package: ariane_pkg (ariane_pkg::) dut.sv:674:1: , endln:1483:11, parent:work@top |vpiTypespec: - \_string_typespec: (default), line:1965:59, endln:1965:66 + \_string_typespec: (default), line:2056:20, endln:2056:27 |vpiName:default |vpiLhs: \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.FmtPipeRegs), line:1965:41, endln:1965:70 @@ -109962,23 +110050,23 @@ design: (work@top) |vpiElement: \_enum_var: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block), parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block - |UINT:1 + |UINT:2 |vpiElement: \_enum_var: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block), parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block - |UINT:1 + |UINT:2 |vpiElement: \_enum_var: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block), parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block - |UINT:1 + |UINT:2 |vpiElement: \_enum_var: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block), parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block - |UINT:1 + |UINT:2 |vpiElement: \_enum_var: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block), parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block - |UINT:1 + |UINT:2 |vpiLhs: \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.FmtUnitTypes), line:1966:41, endln:1966:88 |vpiName:FmtUnitTypes @@ -109990,9 +110078,9 @@ design: (work@top) |vpiRhs: \_constant: , line:1967:57, endln:1967:74 |vpiConstType:7 - |vpiDecompile:0 + |vpiDecompile:3 |vpiSize:64 - |INT:0 + |INT:3 |vpiTypespec: \_enum_typespec: (fpnew_pkg::pipe_config_t), line:1644:5, endln:1644:18, parent:work@fpnew_opgroup_block.PipeConfig |vpiLhs: @@ -110002,51 +110090,6 @@ design: (work@top) |INT:0 |vpiTypespec: \_enum_typespec: (fpnew_pkg::pipe_config_t), line:1644:5, endln:1644:18, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.PipeConfig - |vpiName:fpnew_pkg::pipe_config_t - |vpiBaseTypespec: - \_logic_typespec: , line:1639:16, endln:1639:21, parent:fpnew_pkg::pipe_config_t - |vpiRange: - \_range: , line:1639:23, endln:1639:26 - |vpiLeftRange: - \_constant: , line:1639:23, endln:1639:24 - |vpiConstType:9 - |vpiDecompile:1 - |vpiSize:64 - |UINT:1 - |vpiRightRange: - \_constant: , line:1639:25, endln:1639:26 - |vpiConstType:9 - |vpiDecompile:0 - |vpiSize:64 - |UINT:0 - |vpiInstance: - \_package: fpnew_pkg (fpnew_pkg::) dut.sv:1485:1: , endln:1955:11, parent:work@top - |vpiEnumConst: - \_enum_const: (BEFORE), line:1640:5, endln:1640:11, parent:fpnew_pkg::pipe_config_t - |vpiName:BEFORE - |vpiDecompile:0 - |INT:0 - |vpiSize:64 - |vpiEnumConst: - \_enum_const: (AFTER), line:1641:5, endln:1641:10, parent:fpnew_pkg::pipe_config_t - |vpiName:AFTER - |vpiDecompile:1 - |INT:1 - |vpiSize:64 - |vpiEnumConst: - \_enum_const: (INSIDE), line:1642:5, endln:1642:11, parent:fpnew_pkg::pipe_config_t - |vpiName:INSIDE - |vpiDecompile:2 - |INT:2 - |vpiSize:64 - |vpiEnumConst: - \_enum_const: (DISTRIBUTED), line:1643:5, endln:1643:16, parent:fpnew_pkg::pipe_config_t - |vpiName:DISTRIBUTED - |vpiDecompile:3 - |INT:3 - |vpiSize:64 - |vpiInstance: - \_package: fpnew_pkg (fpnew_pkg::) dut.sv:1485:1: , endln:1955:11, parent:work@top |vpiParamAssign: \_param_assign: , line:1970:27, endln:1970:67, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block |vpiRhs: @@ -110193,14 +110236,14 @@ design: (work@top) \_param_assign: , parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[0].active_format.i_fmt_slice |vpiRhs: \_constant: , line:1993, endln:1993:0 - |vpiConstType:9 - |vpiDecompile:1 - |vpiSize:1 - |UINT:1 + |vpiConstType:7 + |vpiDecompile:0 + |vpiSize:64 + |INT:0 |vpiLhs: - \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.EnableVectors) + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[1].active_format.i_fmt_slice.EnableVectors) |vpiName:EnableVectors - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.EnableVectors + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[1].active_format.i_fmt_slice.EnableVectors |vpiParamAssign: \_param_assign: , parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[0].active_format.i_fmt_slice |vpiRhs: @@ -110214,18 +110257,6 @@ design: (work@top) |vpiName:FpFormat |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[0].active_format.i_fmt_slice.FpFormat |vpiParamAssign: - \_param_assign: , parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[0].active_format.i_fmt_slice - |vpiRhs: - \_constant: , line:1994, endln:1994:0 - |vpiConstType:9 - |vpiDecompile:0 - |vpiSize:1 - |UINT:0 - |vpiLhs: - \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[0].active_format.i_fmt_slice.NumPipeRegs) - |vpiName:NumPipeRegs - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[0].active_format.i_fmt_slice.NumPipeRegs - |vpiParamAssign: \_param_assign: , parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[0].active_format.i_fmt_slice |vpiRhs: \_constant: , line:1990, endln:1990:0 @@ -110234,21 +110265,21 @@ design: (work@top) |vpiSize:64 |UINT:2 |vpiLhs: - \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.OpGroup) + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[1].active_format.i_fmt_slice.OpGroup) |vpiName:OpGroup - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.OpGroup + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[1].active_format.i_fmt_slice.OpGroup |vpiParamAssign: \_param_assign: , parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[0].active_format.i_fmt_slice |vpiRhs: \_constant: , line:1995, endln:1995:0 |vpiConstType:7 - |vpiDecompile:0 + |vpiDecompile:3 |vpiSize:64 - |INT:0 + |INT:3 |vpiLhs: - \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.PipeConfig) + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[1].active_format.i_fmt_slice.PipeConfig) |vpiName:PipeConfig - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.PipeConfig + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[1].active_format.i_fmt_slice.PipeConfig |vpiParamAssign: \_param_assign: , parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[0].active_format.i_fmt_slice |vpiRhs: @@ -110258,9 +110289,9 @@ design: (work@top) |vpiSize:64 |UINT:64 |vpiLhs: - \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.Width) + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[1].active_format.i_fmt_slice.Width) |vpiName:Width - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.Width + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[1].active_format.i_fmt_slice.Width |vpiVariables: \_logic_var: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[0].active_format.in_valid), line:1985:13, endln:1985:21, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[0].active_format |vpiName:in_valid @@ -110288,6 +110319,7 @@ design: (work@top) |vpiName:DEBUGME |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[0].DEBUGME |vpiLocalParam:1 + |INT:1 |vpiParameter: \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[0].DEBUGME2), line:1980:16, endln:1980:44, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[0] |vpiName:DEBUGME2 @@ -110346,11 +110378,38 @@ design: (work@top) |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[0] |UINT:1 |vpiArgument: - \_constant: , line:1976:78, endln:1976:87 - |vpiConstType:9 - |vpiDecompile:31 - |vpiSize:5 - |UINT:31 + \_operation: , line:1976:78, endln:1976:87, parent:fpnew_pkg::any_enabled_multi + |vpiOpType:33 + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[0].RVF), line:2049:23, endln:2049:26 + |vpiName:RVF + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[0].RVF + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.RVF), line:813:20, endln:813:42, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[0].RVD), line:2049:28, endln:2049:31 + |vpiName:RVD + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[0].RVD + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.RVD), line:814:20, endln:814:42, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[0].XF16), line:2049:33, endln:2049:37 + |vpiName:XF16 + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[0].XF16 + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.XF16), line:819:20, endln:819:34, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[0].XF8), line:2049:39, endln:2049:42 + |vpiName:XF8 + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[0].XF8 + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.XF8), line:821:20, endln:821:34, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[0].XF16ALT), line:2049:44, endln:2049:51 + |vpiName:XF16ALT + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[0].XF16ALT + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.XF16ALT), line:820:20, endln:820:34, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i |vpiLhs: \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[0].ANY_MERGED), line:1976:22, endln:1976:88, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[0] |vpiParamAssign: @@ -110404,21 +110463,48 @@ design: (work@top) |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[0] |UINT:1 |vpiArgument: - \_constant: , line:1978:87, endln:1978:96 - |vpiConstType:9 - |vpiDecompile:31 - |vpiSize:5 - |UINT:31 + \_operation: , line:1978:87, endln:1978:96, parent:fpnew_pkg::is_first_enabled_multi + |vpiOpType:33 + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[0].RVF), line:2049:23, endln:2049:26 + |vpiName:RVF + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[0].RVF + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.RVF), line:813:20, endln:813:42, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[0].RVD), line:2049:28, endln:2049:31 + |vpiName:RVD + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[0].RVD + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.RVD), line:814:20, endln:814:42, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[0].XF16), line:2049:33, endln:2049:37 + |vpiName:XF16 + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[0].XF16 + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.XF16), line:819:20, endln:819:34, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[0].XF8), line:2049:39, endln:2049:42 + |vpiName:XF8 + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[0].XF8 + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.XF8), line:821:20, endln:821:34, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[0].XF16ALT), line:2049:44, endln:2049:51 + |vpiName:XF16ALT + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[0].XF16ALT + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.XF16ALT), line:820:20, endln:820:34, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i |vpiLhs: \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[0].IS_FIRST_MERGED), line:1977:22, endln:1978:97, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[0] |vpiParamAssign: \_param_assign: , line:1979:16, endln:1979:40, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[0] |vpiRhs: \_constant: , line:1979:26, endln:1979:40 - |vpiConstType:9 + |vpiConstType:7 |vpiDecompile:1 - |vpiSize:1 - |UINT:1 + |vpiSize:64 + |INT:1 |vpiLhs: \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[0].DEBUGME), line:1979:16, endln:1979:40, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[0] |vpiParamAssign: @@ -110497,12 +110583,12 @@ design: (work@top) \_param_assign: , parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[1].active_format.i_fmt_slice |vpiRhs: \_constant: , line:1993, endln:1993:0 - |vpiConstType:9 - |vpiDecompile:1 - |vpiSize:1 - |UINT:1 + |vpiConstType:7 + |vpiDecompile:0 + |vpiSize:64 + |INT:0 |vpiLhs: - \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.EnableVectors) + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[1].active_format.i_fmt_slice.EnableVectors) |vpiParamAssign: \_param_assign: , parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[1].active_format.i_fmt_slice |vpiRhs: @@ -110516,18 +110602,6 @@ design: (work@top) |vpiName:FpFormat |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[1].active_format.i_fmt_slice.FpFormat |vpiParamAssign: - \_param_assign: , parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[1].active_format.i_fmt_slice - |vpiRhs: - \_constant: , line:1994, endln:1994:0 - |vpiConstType:9 - |vpiDecompile:0 - |vpiSize:1 - |UINT:0 - |vpiLhs: - \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[1].active_format.i_fmt_slice.NumPipeRegs) - |vpiName:NumPipeRegs - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[1].active_format.i_fmt_slice.NumPipeRegs - |vpiParamAssign: \_param_assign: , parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[1].active_format.i_fmt_slice |vpiRhs: \_constant: , line:1990, endln:1990:0 @@ -110536,17 +110610,17 @@ design: (work@top) |vpiSize:64 |UINT:2 |vpiLhs: - \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.OpGroup) + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[1].active_format.i_fmt_slice.OpGroup) |vpiParamAssign: \_param_assign: , parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[1].active_format.i_fmt_slice |vpiRhs: \_constant: , line:1995, endln:1995:0 |vpiConstType:7 - |vpiDecompile:0 + |vpiDecompile:3 |vpiSize:64 - |INT:0 + |INT:3 |vpiLhs: - \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.PipeConfig) + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[1].active_format.i_fmt_slice.PipeConfig) |vpiParamAssign: \_param_assign: , parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[1].active_format.i_fmt_slice |vpiRhs: @@ -110556,7 +110630,7 @@ design: (work@top) |vpiSize:64 |UINT:64 |vpiLhs: - \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.Width) + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[1].active_format.i_fmt_slice.Width) |vpiVariables: \_logic_var: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[1].active_format.in_valid), line:1985:13, endln:1985:21, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[1].active_format |vpiName:in_valid @@ -110584,6 +110658,7 @@ design: (work@top) |vpiName:DEBUGME |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[1].DEBUGME |vpiLocalParam:1 + |INT:1 |vpiParameter: \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[1].DEBUGME2), line:1980:16, endln:1980:44, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[1] |vpiName:DEBUGME2 @@ -110642,11 +110717,38 @@ design: (work@top) |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[1] |UINT:1 |vpiArgument: - \_constant: , line:1976:78, endln:1976:87 - |vpiConstType:9 - |vpiDecompile:31 - |vpiSize:5 - |UINT:31 + \_operation: , line:1976:78, endln:1976:87, parent:fpnew_pkg::any_enabled_multi + |vpiOpType:33 + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[1].RVF), line:2049:23, endln:2049:26 + |vpiName:RVF + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[1].RVF + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.RVF), line:813:20, endln:813:42, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[1].RVD), line:2049:28, endln:2049:31 + |vpiName:RVD + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[1].RVD + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.RVD), line:814:20, endln:814:42, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[1].XF16), line:2049:33, endln:2049:37 + |vpiName:XF16 + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[1].XF16 + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.XF16), line:819:20, endln:819:34, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[1].XF8), line:2049:39, endln:2049:42 + |vpiName:XF8 + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[1].XF8 + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.XF8), line:821:20, endln:821:34, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[1].XF16ALT), line:2049:44, endln:2049:51 + |vpiName:XF16ALT + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[1].XF16ALT + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.XF16ALT), line:820:20, endln:820:34, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i |vpiLhs: \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[1].ANY_MERGED), line:1976:22, endln:1976:88, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[1] |vpiParamAssign: @@ -110700,21 +110802,48 @@ design: (work@top) |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[1] |UINT:1 |vpiArgument: - \_constant: , line:1978:87, endln:1978:96 - |vpiConstType:9 - |vpiDecompile:31 - |vpiSize:5 - |UINT:31 + \_operation: , line:1978:87, endln:1978:96, parent:fpnew_pkg::is_first_enabled_multi + |vpiOpType:33 + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[1].RVF), line:2049:23, endln:2049:26 + |vpiName:RVF + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[1].RVF + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.RVF), line:813:20, endln:813:42, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[1].RVD), line:2049:28, endln:2049:31 + |vpiName:RVD + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[1].RVD + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.RVD), line:814:20, endln:814:42, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[1].XF16), line:2049:33, endln:2049:37 + |vpiName:XF16 + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[1].XF16 + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.XF16), line:819:20, endln:819:34, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[1].XF8), line:2049:39, endln:2049:42 + |vpiName:XF8 + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[1].XF8 + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.XF8), line:821:20, endln:821:34, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[1].XF16ALT), line:2049:44, endln:2049:51 + |vpiName:XF16ALT + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[1].XF16ALT + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.XF16ALT), line:820:20, endln:820:34, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i |vpiLhs: \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[1].IS_FIRST_MERGED), line:1977:22, endln:1978:97, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[1] |vpiParamAssign: \_param_assign: , line:1979:16, endln:1979:40, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[1] |vpiRhs: \_constant: , line:1979:26, endln:1979:40 - |vpiConstType:9 + |vpiConstType:7 |vpiDecompile:1 - |vpiSize:1 - |UINT:1 + |vpiSize:64 + |INT:1 |vpiLhs: \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[1].DEBUGME), line:1979:16, endln:1979:40, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[1] |vpiParamAssign: @@ -110742,123 +110871,6 @@ design: (work@top) |vpiGenScope: \_gen_scope: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[2]), parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[2] |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[2] - |vpiGenScopeArray: - \_gen_scope_array: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[2].active_format), line:1983:5, endln:1999:11, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[2] - |vpiName:active_format - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[2].active_format - |vpiGenScope: - \_gen_scope: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[2].active_format), parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[2].active_format - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[2].active_format - |vpiContAssign: - \_cont_assign: , line:1987:14, endln:1987:56, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[2].active_format - |vpiRhs: - \_operation: , line:1987:25, endln:1987:35 - |vpiOpType:28 - |vpiOperand: - \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[2].active_format.in_valid_i), line:1987:25, endln:1987:35 - |vpiName:in_valid_i - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[2].active_format.in_valid_i - |vpiOperand: - \_operation: , line:1987:39, endln:1987:55 - |vpiOpType:14 - |vpiOperand: - \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[2].active_format.dst_fmt_i), line:1987:39, endln:1987:48 - |vpiName:dst_fmt_i - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[2].active_format.dst_fmt_i - |vpiOperand: - \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[2].active_format.fmt), line:1987:52, endln:1987:55 - |vpiName:fmt - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[2].active_format.fmt - |vpiActual: - \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[2].fmt), line:1974, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[2] - |vpiName:fmt - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[2].fmt - |UINT:2 - |vpiLhs: - \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[2].active_format.in_valid), line:1987:14, endln:1987:22 - |vpiName:in_valid - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[2].active_format.in_valid - |vpiActual: - \_logic_var: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[2].active_format.in_valid), line:1985:13, endln:1985:21, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[2].active_format - |vpiName:in_valid - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[2].active_format.in_valid - |vpiAutomatic:1 - |vpiVisibility:1 - |vpiModule: - \_module: work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[2].active_format::fpnew_opgroup_fmt_slice (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice) dut.sv:1989: , parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[2].active_format - |vpiDefName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[2].active_format::fpnew_opgroup_fmt_slice - |vpiName:i_fmt_slice - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice - |vpiParamAssign: - \_param_assign: , parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice - |vpiRhs: - \_constant: , line:1993, endln:1993:0 - |vpiConstType:9 - |vpiDecompile:1 - |vpiSize:1 - |UINT:1 - |vpiLhs: - \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.EnableVectors) - |vpiParamAssign: - \_param_assign: , parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice - |vpiRhs: - \_constant: , line:1991, endln:1991:0 - |vpiConstType:9 - |vpiDecompile:2 - |vpiSize:64 - |UINT:2 - |vpiLhs: - \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice.FpFormat) - |vpiName:FpFormat - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice.FpFormat - |vpiParamAssign: - \_param_assign: , parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice - |vpiRhs: - \_constant: , line:1994, endln:1994:0 - |vpiConstType:9 - |vpiDecompile:0 - |vpiSize:1 - |UINT:0 - |vpiLhs: - \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice.NumPipeRegs) - |vpiName:NumPipeRegs - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice.NumPipeRegs - |vpiParamAssign: - \_param_assign: , parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice - |vpiRhs: - \_constant: , line:1990, endln:1990:0 - |vpiConstType:9 - |vpiDecompile:2 - |vpiSize:64 - |UINT:2 - |vpiLhs: - \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.OpGroup) - |vpiParamAssign: - \_param_assign: , parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice - |vpiRhs: - \_constant: , line:1995, endln:1995:0 - |vpiConstType:7 - |vpiDecompile:0 - |vpiSize:64 - |INT:0 - |vpiLhs: - \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.PipeConfig) - |vpiParamAssign: - \_param_assign: , parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice - |vpiRhs: - \_constant: , line:1992, endln:1992:0 - |vpiConstType:9 - |vpiDecompile:64 - |vpiSize:64 - |UINT:64 - |vpiLhs: - \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.Width) - |vpiVariables: - \_logic_var: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[2].active_format.in_valid), line:1985:13, endln:1985:21, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[2].active_format - |vpiName:in_valid - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[2].active_format.in_valid - |vpiAutomatic:1 - |vpiVisibility:1 |vpiParameter: \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[2].ANY_MERGED), line:1976:22, endln:1976:88, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[2] |vpiName:ANY_MERGED @@ -110880,6 +110892,7 @@ design: (work@top) |vpiName:DEBUGME |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[2].DEBUGME |vpiLocalParam:1 + |SCAL:0 |vpiParameter: \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[2].DEBUGME2), line:1980:16, endln:1980:44, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[2] |vpiName:DEBUGME2 @@ -110892,6 +110905,9 @@ design: (work@top) |vpiLocalParam:1 |vpiParameter: \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[2].fmt), line:1974, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[2] + |vpiName:fmt + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[2].fmt + |UINT:2 |vpiParamAssign: \_param_assign: , line:1976:22, endln:1976:88, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[2] |vpiRhs: @@ -110938,11 +110954,38 @@ design: (work@top) |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[2] |UINT:1 |vpiArgument: - \_constant: , line:1976:78, endln:1976:87 - |vpiConstType:9 - |vpiDecompile:31 - |vpiSize:5 - |UINT:31 + \_operation: , line:1976:78, endln:1976:87, parent:fpnew_pkg::any_enabled_multi + |vpiOpType:33 + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[2].RVF), line:2049:23, endln:2049:26 + |vpiName:RVF + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[2].RVF + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.RVF), line:813:20, endln:813:42, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[2].RVD), line:2049:28, endln:2049:31 + |vpiName:RVD + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[2].RVD + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.RVD), line:814:20, endln:814:42, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[2].XF16), line:2049:33, endln:2049:37 + |vpiName:XF16 + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[2].XF16 + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.XF16), line:819:20, endln:819:34, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[2].XF8), line:2049:39, endln:2049:42 + |vpiName:XF8 + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[2].XF8 + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.XF8), line:821:20, endln:821:34, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[2].XF16ALT), line:2049:44, endln:2049:51 + |vpiName:XF16ALT + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[2].XF16ALT + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.XF16ALT), line:820:20, endln:820:34, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i |vpiLhs: \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[2].ANY_MERGED), line:1976:22, endln:1976:88, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[2] |vpiParamAssign: @@ -110996,21 +111039,48 @@ design: (work@top) |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[2] |UINT:1 |vpiArgument: - \_constant: , line:1978:87, endln:1978:96 - |vpiConstType:9 - |vpiDecompile:31 - |vpiSize:5 - |UINT:31 + \_operation: , line:1978:87, endln:1978:96, parent:fpnew_pkg::is_first_enabled_multi + |vpiOpType:33 + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[2].RVF), line:2049:23, endln:2049:26 + |vpiName:RVF + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[2].RVF + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.RVF), line:813:20, endln:813:42, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[2].RVD), line:2049:28, endln:2049:31 + |vpiName:RVD + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[2].RVD + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.RVD), line:814:20, endln:814:42, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[2].XF16), line:2049:33, endln:2049:37 + |vpiName:XF16 + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[2].XF16 + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.XF16), line:819:20, endln:819:34, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[2].XF8), line:2049:39, endln:2049:42 + |vpiName:XF8 + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[2].XF8 + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.XF8), line:821:20, endln:821:34, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[2].XF16ALT), line:2049:44, endln:2049:51 + |vpiName:XF16ALT + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[2].XF16ALT + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.XF16ALT), line:820:20, endln:820:34, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i |vpiLhs: \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[2].IS_FIRST_MERGED), line:1977:22, endln:1978:97, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[2] |vpiParamAssign: \_param_assign: , line:1979:16, endln:1979:40, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[2] |vpiRhs: \_constant: , line:1979:26, endln:1979:40 - |vpiConstType:9 - |vpiDecompile:1 - |vpiSize:1 - |UINT:1 + |vpiConstType:7 + |vpiDecompile:0 + |vpiSize:64 + |INT:0 |vpiLhs: \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[2].DEBUGME), line:1979:16, endln:1979:40, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[2] |vpiParamAssign: @@ -111026,9 +111096,9 @@ design: (work@top) |vpiRhs: \_constant: , line:1981:28, endln:1981:88 |vpiConstType:9 - |vpiDecompile:1 + |vpiDecompile:0 |vpiSize:64 - |UINT:1 + |UINT:0 |vpiLhs: \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[2].DEBUGME3), line:1981:17, endln:1981:88, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[2] |vpiGenScopeArray: @@ -111038,123 +111108,6 @@ design: (work@top) |vpiGenScope: \_gen_scope: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[3]), parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[3] |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[3] - |vpiGenScopeArray: - \_gen_scope_array: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[3].active_format), line:1983:5, endln:1999:11, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[3] - |vpiName:active_format - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[3].active_format - |vpiGenScope: - \_gen_scope: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[3].active_format), parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[3].active_format - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[3].active_format - |vpiContAssign: - \_cont_assign: , line:1987:14, endln:1987:56, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[3].active_format - |vpiRhs: - \_operation: , line:1987:25, endln:1987:35 - |vpiOpType:28 - |vpiOperand: - \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[3].active_format.in_valid_i), line:1987:25, endln:1987:35 - |vpiName:in_valid_i - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[3].active_format.in_valid_i - |vpiOperand: - \_operation: , line:1987:39, endln:1987:55 - |vpiOpType:14 - |vpiOperand: - \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[3].active_format.dst_fmt_i), line:1987:39, endln:1987:48 - |vpiName:dst_fmt_i - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[3].active_format.dst_fmt_i - |vpiOperand: - \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[3].active_format.fmt), line:1987:52, endln:1987:55 - |vpiName:fmt - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[3].active_format.fmt - |vpiActual: - \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[3].fmt), line:1974, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[3] - |vpiName:fmt - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[3].fmt - |UINT:3 - |vpiLhs: - \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[3].active_format.in_valid), line:1987:14, endln:1987:22 - |vpiName:in_valid - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[3].active_format.in_valid - |vpiActual: - \_logic_var: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[3].active_format.in_valid), line:1985:13, endln:1985:21, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[3].active_format - |vpiName:in_valid - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[3].active_format.in_valid - |vpiAutomatic:1 - |vpiVisibility:1 - |vpiModule: - \_module: work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[3].active_format::fpnew_opgroup_fmt_slice (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice) dut.sv:1989: , parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[3].active_format - |vpiDefName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[3].active_format::fpnew_opgroup_fmt_slice - |vpiName:i_fmt_slice - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice - |vpiParamAssign: - \_param_assign: , parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice - |vpiRhs: - \_constant: , line:1993, endln:1993:0 - |vpiConstType:9 - |vpiDecompile:1 - |vpiSize:1 - |UINT:1 - |vpiLhs: - \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.EnableVectors) - |vpiParamAssign: - \_param_assign: , parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice - |vpiRhs: - \_constant: , line:1991, endln:1991:0 - |vpiConstType:9 - |vpiDecompile:3 - |vpiSize:64 - |UINT:3 - |vpiLhs: - \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.FpFormat) - |vpiName:FpFormat - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.FpFormat - |vpiParamAssign: - \_param_assign: , parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice - |vpiRhs: - \_constant: , line:1994, endln:1994:0 - |vpiConstType:9 - |vpiDecompile:0 - |vpiSize:1 - |UINT:0 - |vpiLhs: - \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.NumPipeRegs) - |vpiName:NumPipeRegs - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.NumPipeRegs - |vpiParamAssign: - \_param_assign: , parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice - |vpiRhs: - \_constant: , line:1990, endln:1990:0 - |vpiConstType:9 - |vpiDecompile:2 - |vpiSize:64 - |UINT:2 - |vpiLhs: - \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.OpGroup) - |vpiParamAssign: - \_param_assign: , parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice - |vpiRhs: - \_constant: , line:1995, endln:1995:0 - |vpiConstType:7 - |vpiDecompile:0 - |vpiSize:64 - |INT:0 - |vpiLhs: - \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.PipeConfig) - |vpiParamAssign: - \_param_assign: , parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice - |vpiRhs: - \_constant: , line:1992, endln:1992:0 - |vpiConstType:9 - |vpiDecompile:64 - |vpiSize:64 - |UINT:64 - |vpiLhs: - \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.Width) - |vpiVariables: - \_logic_var: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[3].active_format.in_valid), line:1985:13, endln:1985:21, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[3].active_format - |vpiName:in_valid - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[3].active_format.in_valid - |vpiAutomatic:1 - |vpiVisibility:1 |vpiParameter: \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[3].ANY_MERGED), line:1976:22, endln:1976:88, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[3] |vpiName:ANY_MERGED @@ -111176,6 +111129,7 @@ design: (work@top) |vpiName:DEBUGME |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[3].DEBUGME |vpiLocalParam:1 + |SCAL:0 |vpiParameter: \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[3].DEBUGME2), line:1980:16, endln:1980:44, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[3] |vpiName:DEBUGME2 @@ -111188,6 +111142,9 @@ design: (work@top) |vpiLocalParam:1 |vpiParameter: \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[3].fmt), line:1974, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[3] + |vpiName:fmt + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[3].fmt + |UINT:3 |vpiParamAssign: \_param_assign: , line:1976:22, endln:1976:88, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[3] |vpiRhs: @@ -111234,11 +111191,38 @@ design: (work@top) |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[3] |UINT:1 |vpiArgument: - \_constant: , line:1976:78, endln:1976:87 - |vpiConstType:9 - |vpiDecompile:31 - |vpiSize:5 - |UINT:31 + \_operation: , line:1976:78, endln:1976:87, parent:fpnew_pkg::any_enabled_multi + |vpiOpType:33 + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[3].RVF), line:2049:23, endln:2049:26 + |vpiName:RVF + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[3].RVF + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.RVF), line:813:20, endln:813:42, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[3].RVD), line:2049:28, endln:2049:31 + |vpiName:RVD + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[3].RVD + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.RVD), line:814:20, endln:814:42, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[3].XF16), line:2049:33, endln:2049:37 + |vpiName:XF16 + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[3].XF16 + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.XF16), line:819:20, endln:819:34, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[3].XF8), line:2049:39, endln:2049:42 + |vpiName:XF8 + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[3].XF8 + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.XF8), line:821:20, endln:821:34, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[3].XF16ALT), line:2049:44, endln:2049:51 + |vpiName:XF16ALT + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[3].XF16ALT + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.XF16ALT), line:820:20, endln:820:34, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i |vpiLhs: \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[3].ANY_MERGED), line:1976:22, endln:1976:88, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[3] |vpiParamAssign: @@ -111292,21 +111276,48 @@ design: (work@top) |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[3] |UINT:1 |vpiArgument: - \_constant: , line:1978:87, endln:1978:96 - |vpiConstType:9 - |vpiDecompile:31 - |vpiSize:5 - |UINT:31 + \_operation: , line:1978:87, endln:1978:96, parent:fpnew_pkg::is_first_enabled_multi + |vpiOpType:33 + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[3].RVF), line:2049:23, endln:2049:26 + |vpiName:RVF + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[3].RVF + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.RVF), line:813:20, endln:813:42, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[3].RVD), line:2049:28, endln:2049:31 + |vpiName:RVD + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[3].RVD + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.RVD), line:814:20, endln:814:42, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[3].XF16), line:2049:33, endln:2049:37 + |vpiName:XF16 + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[3].XF16 + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.XF16), line:819:20, endln:819:34, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[3].XF8), line:2049:39, endln:2049:42 + |vpiName:XF8 + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[3].XF8 + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.XF8), line:821:20, endln:821:34, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[3].XF16ALT), line:2049:44, endln:2049:51 + |vpiName:XF16ALT + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[3].XF16ALT + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.XF16ALT), line:820:20, endln:820:34, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i |vpiLhs: \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[3].IS_FIRST_MERGED), line:1977:22, endln:1978:97, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[3] |vpiParamAssign: \_param_assign: , line:1979:16, endln:1979:40, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[3] |vpiRhs: \_constant: , line:1979:26, endln:1979:40 - |vpiConstType:9 - |vpiDecompile:1 - |vpiSize:1 - |UINT:1 + |vpiConstType:7 + |vpiDecompile:0 + |vpiSize:64 + |INT:0 |vpiLhs: \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[3].DEBUGME), line:1979:16, endln:1979:40, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[3] |vpiParamAssign: @@ -111322,9 +111333,9 @@ design: (work@top) |vpiRhs: \_constant: , line:1981:28, endln:1981:88 |vpiConstType:9 - |vpiDecompile:1 + |vpiDecompile:0 |vpiSize:64 - |UINT:1 + |UINT:0 |vpiLhs: \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[3].DEBUGME3), line:1981:17, endln:1981:88, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[3] |vpiGenScopeArray: @@ -111334,123 +111345,6 @@ design: (work@top) |vpiGenScope: \_gen_scope: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[4]), parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[4] |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[4] - |vpiGenScopeArray: - \_gen_scope_array: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[4].active_format), line:1983:5, endln:1999:11, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[4] - |vpiName:active_format - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[4].active_format - |vpiGenScope: - \_gen_scope: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[4].active_format), parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[4].active_format - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[4].active_format - |vpiContAssign: - \_cont_assign: , line:1987:14, endln:1987:56, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[4].active_format - |vpiRhs: - \_operation: , line:1987:25, endln:1987:35 - |vpiOpType:28 - |vpiOperand: - \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[4].active_format.in_valid_i), line:1987:25, endln:1987:35 - |vpiName:in_valid_i - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[4].active_format.in_valid_i - |vpiOperand: - \_operation: , line:1987:39, endln:1987:55 - |vpiOpType:14 - |vpiOperand: - \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[4].active_format.dst_fmt_i), line:1987:39, endln:1987:48 - |vpiName:dst_fmt_i - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[4].active_format.dst_fmt_i - |vpiOperand: - \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[4].active_format.fmt), line:1987:52, endln:1987:55 - |vpiName:fmt - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[4].active_format.fmt - |vpiActual: - \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[4].fmt), line:1974, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[4] - |vpiName:fmt - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[4].fmt - |UINT:4 - |vpiLhs: - \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[4].active_format.in_valid), line:1987:14, endln:1987:22 - |vpiName:in_valid - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[4].active_format.in_valid - |vpiActual: - \_logic_var: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[4].active_format.in_valid), line:1985:13, endln:1985:21, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[4].active_format - |vpiName:in_valid - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[4].active_format.in_valid - |vpiAutomatic:1 - |vpiVisibility:1 - |vpiModule: - \_module: work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[4].active_format::fpnew_opgroup_fmt_slice (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice) dut.sv:1989: , parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[4].active_format - |vpiDefName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[4].active_format::fpnew_opgroup_fmt_slice - |vpiName:i_fmt_slice - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice - |vpiParamAssign: - \_param_assign: , parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice - |vpiRhs: - \_constant: , line:1993, endln:1993:0 - |vpiConstType:9 - |vpiDecompile:1 - |vpiSize:1 - |UINT:1 - |vpiLhs: - \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.EnableVectors) - |vpiParamAssign: - \_param_assign: , parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice - |vpiRhs: - \_constant: , line:1991, endln:1991:0 - |vpiConstType:9 - |vpiDecompile:4 - |vpiSize:64 - |UINT:4 - |vpiLhs: - \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.FpFormat) - |vpiName:FpFormat - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.FpFormat - |vpiParamAssign: - \_param_assign: , parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice - |vpiRhs: - \_constant: , line:1994, endln:1994:0 - |vpiConstType:9 - |vpiDecompile:0 - |vpiSize:1 - |UINT:0 - |vpiLhs: - \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.NumPipeRegs) - |vpiName:NumPipeRegs - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.NumPipeRegs - |vpiParamAssign: - \_param_assign: , parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice - |vpiRhs: - \_constant: , line:1990, endln:1990:0 - |vpiConstType:9 - |vpiDecompile:2 - |vpiSize:64 - |UINT:2 - |vpiLhs: - \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.OpGroup) - |vpiParamAssign: - \_param_assign: , parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice - |vpiRhs: - \_constant: , line:1995, endln:1995:0 - |vpiConstType:7 - |vpiDecompile:0 - |vpiSize:64 - |INT:0 - |vpiLhs: - \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.PipeConfig) - |vpiParamAssign: - \_param_assign: , parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice - |vpiRhs: - \_constant: , line:1992, endln:1992:0 - |vpiConstType:9 - |vpiDecompile:64 - |vpiSize:64 - |UINT:64 - |vpiLhs: - \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.Width) - |vpiVariables: - \_logic_var: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[4].active_format.in_valid), line:1985:13, endln:1985:21, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[4].active_format - |vpiName:in_valid - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[4].active_format.in_valid - |vpiAutomatic:1 - |vpiVisibility:1 |vpiParameter: \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[4].ANY_MERGED), line:1976:22, endln:1976:88, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[4] |vpiName:ANY_MERGED @@ -111472,6 +111366,7 @@ design: (work@top) |vpiName:DEBUGME |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[4].DEBUGME |vpiLocalParam:1 + |SCAL:0 |vpiParameter: \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[4].DEBUGME2), line:1980:16, endln:1980:44, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[4] |vpiName:DEBUGME2 @@ -111484,6 +111379,9 @@ design: (work@top) |vpiLocalParam:1 |vpiParameter: \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[4].fmt), line:1974, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[4] + |vpiName:fmt + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[4].fmt + |UINT:4 |vpiParamAssign: \_param_assign: , line:1976:22, endln:1976:88, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[4] |vpiRhs: @@ -111530,11 +111428,38 @@ design: (work@top) |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[4] |UINT:1 |vpiArgument: - \_constant: , line:1976:78, endln:1976:87 - |vpiConstType:9 - |vpiDecompile:31 - |vpiSize:5 - |UINT:31 + \_operation: , line:1976:78, endln:1976:87, parent:fpnew_pkg::any_enabled_multi + |vpiOpType:33 + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[4].RVF), line:2049:23, endln:2049:26 + |vpiName:RVF + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[4].RVF + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.RVF), line:813:20, endln:813:42, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[4].RVD), line:2049:28, endln:2049:31 + |vpiName:RVD + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[4].RVD + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.RVD), line:814:20, endln:814:42, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[4].XF16), line:2049:33, endln:2049:37 + |vpiName:XF16 + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[4].XF16 + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.XF16), line:819:20, endln:819:34, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[4].XF8), line:2049:39, endln:2049:42 + |vpiName:XF8 + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[4].XF8 + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.XF8), line:821:20, endln:821:34, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[4].XF16ALT), line:2049:44, endln:2049:51 + |vpiName:XF16ALT + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[4].XF16ALT + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.XF16ALT), line:820:20, endln:820:34, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i |vpiLhs: \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[4].ANY_MERGED), line:1976:22, endln:1976:88, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[4] |vpiParamAssign: @@ -111588,21 +111513,48 @@ design: (work@top) |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[4] |UINT:1 |vpiArgument: - \_constant: , line:1978:87, endln:1978:96 - |vpiConstType:9 - |vpiDecompile:31 - |vpiSize:5 - |UINT:31 + \_operation: , line:1978:87, endln:1978:96, parent:fpnew_pkg::is_first_enabled_multi + |vpiOpType:33 + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[4].RVF), line:2049:23, endln:2049:26 + |vpiName:RVF + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[4].RVF + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.RVF), line:813:20, endln:813:42, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[4].RVD), line:2049:28, endln:2049:31 + |vpiName:RVD + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[4].RVD + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.RVD), line:814:20, endln:814:42, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[4].XF16), line:2049:33, endln:2049:37 + |vpiName:XF16 + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[4].XF16 + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.XF16), line:819:20, endln:819:34, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[4].XF8), line:2049:39, endln:2049:42 + |vpiName:XF8 + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[4].XF8 + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.XF8), line:821:20, endln:821:34, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[4].XF16ALT), line:2049:44, endln:2049:51 + |vpiName:XF16ALT + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[4].XF16ALT + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.XF16ALT), line:820:20, endln:820:34, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i |vpiLhs: \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[4].IS_FIRST_MERGED), line:1977:22, endln:1978:97, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[4] |vpiParamAssign: \_param_assign: , line:1979:16, endln:1979:40, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[4] |vpiRhs: \_constant: , line:1979:26, endln:1979:40 - |vpiConstType:9 - |vpiDecompile:1 - |vpiSize:1 - |UINT:1 + |vpiConstType:7 + |vpiDecompile:0 + |vpiSize:64 + |INT:0 |vpiLhs: \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[4].DEBUGME), line:1979:16, endln:1979:40, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[4] |vpiParamAssign: @@ -111618,17 +111570,17 @@ design: (work@top) |vpiRhs: \_constant: , line:1981:28, endln:1981:88 |vpiConstType:9 - |vpiDecompile:1 + |vpiDecompile:0 |vpiSize:64 - |UINT:1 + |UINT:0 |vpiLhs: \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[4].DEBUGME3), line:1981:17, endln:1981:88, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[4] |vpiParameter: - \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.OpGroup) + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[1].active_format.i_fmt_slice.OpGroup) |vpiParameter: - \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.Width) + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[1].active_format.i_fmt_slice.Width) |vpiParameter: - \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.EnableVectors) + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[1].active_format.i_fmt_slice.EnableVectors) |vpiParameter: \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.FpFmtMask), line:1963:41, endln:1963:59, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block |vpiName:FpFmtMask @@ -111859,7 +111811,7 @@ design: (work@top) |vpiInstance: \_package: fpnew_pkg (fpnew_pkg::) dut.sv:1485:1: , endln:1955:11, parent:work@top |vpiParameter: - \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.PipeConfig) + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[1].active_format.i_fmt_slice.PipeConfig) |vpiParameter: \_type_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.TagType), parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block |vpiParameter: @@ -111950,10 +111902,10 @@ design: (work@top) \_param_assign: , line:1962:41, endln:1962:61, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block |vpiRhs: \_constant: , line:1962:57, endln:1962:61 - |vpiConstType:9 - |vpiDecompile:1 - |vpiSize:1 - |UINT:1 + |vpiConstType:7 + |vpiDecompile:0 + |vpiSize:64 + |INT:0 |vpiTypespec: \_logic_typespec: (EnableVectors), line:1962:13, endln:1962:18, parent:work@fpnew_opgroup_block.EnableVectors |vpiLhs: @@ -111967,13 +111919,38 @@ design: (work@top) |vpiParamAssign: \_param_assign: , line:1963:41, endln:1963:59, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block |vpiRhs: - \_constant: , line:1963:57, endln:1963:59 - |vpiConstType:9 - |vpiDecompile:31 - |vpiSize:5 - |UINT:31 - |vpiTypespec: - \_logic_typespec: (fpnew_pkg::fmt_logic_t), line:1528:11, endln:1528:16, parent:work@fpnew_opgroup_block.FpFmtMask + \_operation: , line:2027:24, endln:2027:42 + |vpiOpType:33 + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.RVF), line:2049:23, endln:2049:26 + |vpiName:RVF + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.RVF + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.RVF), line:813:20, endln:813:42, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.RVD), line:2049:28, endln:2049:31 + |vpiName:RVD + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.RVD + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.RVD), line:814:20, endln:814:42, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.XF16), line:2049:33, endln:2049:37 + |vpiName:XF16 + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.XF16 + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.XF16), line:819:20, endln:819:34, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.XF8), line:2049:39, endln:2049:42 + |vpiName:XF8 + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.XF8 + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.XF8), line:821:20, endln:821:34, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.XF16ALT), line:2049:44, endln:2049:51 + |vpiName:XF16ALT + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.XF16ALT + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.XF16ALT), line:820:20, endln:820:34, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i |vpiLhs: \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.FpFmtMask), line:1963:41, endln:1963:59 |vpiName:FpFmtMask @@ -111984,13 +111961,59 @@ design: (work@top) |vpiParamAssign: \_param_assign: , line:1964:41, endln:1964:59, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block |vpiRhs: - \_constant: , line:1964:57, endln:1964:59 - |vpiConstType:9 - |vpiDecompile:15 - |vpiSize:4 - |UINT:15 - |vpiTypespec: - \_logic_typespec: (fpnew_pkg::ifmt_logic_t), line:1566:11, endln:1566:16, parent:work@fpnew_opgroup_block.IntFmtMask + \_operation: , line:2028:24, endln:2028:43 + |vpiOpType:33 + |vpiOperand: + \_operation: , line:2050:23, endln:2050:28 + |vpiOpType:26 + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.XFVEC), line:2050:23, endln:2050:28 + |vpiName:XFVEC + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.XFVEC + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.XFVEC), line:822:20, endln:822:34, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.XF8), line:2050:32, endln:2050:35 + |vpiName:XF8 + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.XF8 + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.XF8), line:821:20, endln:821:34, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i + |vpiOperand: + \_operation: , line:2050:37, endln:2050:42 + |vpiOpType:26 + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.XFVEC), line:2050:37, endln:2050:42 + |vpiName:XFVEC + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.XFVEC + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.XFVEC), line:822:20, endln:822:34, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i + |vpiOperand: + \_operation: , line:2050:47, endln:2050:62 + |vpiOpType:27 + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.XF16), line:2050:47, endln:2050:51 + |vpiName:XF16 + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.XF16 + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.XF16), line:819:20, endln:819:34, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.XF16ALT), line:2050:55, endln:2050:62 + |vpiName:XF16ALT + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.XF16ALT + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.XF16ALT), line:820:20, endln:820:34, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i + |vpiOperand: + \_constant: , line:2050:65, endln:2050:69 + |vpiConstType:3 + |vpiDecompile:1'b1 + |vpiSize:1 + |BIN:1 + |vpiOperand: + \_constant: , line:2050:71, endln:2050:75 + |vpiConstType:3 + |vpiDecompile:1'b1 + |vpiSize:1 + |BIN:1 |vpiLhs: \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.IntFmtMask), line:1964:41, endln:1964:59 |vpiName:IntFmtMask @@ -112001,18 +112024,28 @@ design: (work@top) |vpiParamAssign: \_param_assign: , line:1965:41, endln:1965:70, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block |vpiRhs: - \_operation: , line:1965:57, endln:1965:70 + \_operation: , line:2029:24, endln:2029:54 |vpiOpType:75 |vpiOperand: \_tagged_pattern: |vpiPattern: - \_constant: , line:1965:68, endln:1965:69 - |vpiConstType:9 - |vpiDecompile:0 - |vpiSize:64 - |UINT:0 + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.LAT_NONCOMP), line:2057:29, endln:2057:40 + |vpiName:LAT_NONCOMP + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.LAT_NONCOMP + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.LAT_NONCOMP), line:831:29, endln:831:51, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i + |vpiName:LAT_NONCOMP + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.LAT_NONCOMP + |vpiImported:ariane_pkg + |vpiLocalParam:1 + |DEC:1 + |vpiTypespec: + \_int_typespec: (LAT_NONCOMP), line:831:16, endln:831:19, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.LAT_NONCOMP + |vpiName:LAT_NONCOMP + |vpiInstance: + \_package: ariane_pkg (ariane_pkg::) dut.sv:674:1: , endln:1483:11, parent:work@top |vpiTypespec: - \_string_typespec: (default), line:1965:59, endln:1965:66 + \_string_typespec: (default), line:2057:20, endln:2057:27 |vpiName:default |vpiLhs: \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.FmtPipeRegs), line:1965:41, endln:1965:70 @@ -112071,9 +112104,9 @@ design: (work@top) |vpiRhs: \_constant: , line:1967:57, endln:1967:74 |vpiConstType:7 - |vpiDecompile:0 + |vpiDecompile:3 |vpiSize:64 - |INT:0 + |INT:3 |vpiTypespec: \_enum_typespec: (fpnew_pkg::pipe_config_t), line:1644:5, endln:1644:18, parent:work@fpnew_opgroup_block.PipeConfig |vpiLhs: @@ -112888,63 +112921,278 @@ design: (work@top) |vpiParamAssign: \_param_assign: , line:2008:45, endln:2008:84, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk |vpiRhs: - \_operation: , line:2008:62, endln:2008:84 + \_operation: , line:2071:25, endln:2071:37 |vpiOpType:75 |vpiOperand: \_tagged_pattern: |vpiPattern: - \_constant: , line:1695:20, endln:1695:22 + \_constant: , line:2012:42, endln:2012:56 |vpiConstType:9 |vpiDecompile:64 |vpiSize:64 |UINT:64 + |vpiTypespec: + \_int_typespec: (WIDTH), line:2012:14, endln:2012:17 + |vpiName:WIDTH |vpiTypespec: - \_string_typespec: (Width), line:1695:5, endln:1695:10 + \_string_typespec: (Width), line:2046:7, endln:2046:12 |vpiName:Width |vpiOperand: \_tagged_pattern: |vpiPattern: - \_constant: , line:1696:20, endln:1696:24 - |vpiConstType:3 - |vpiDecompile:1'b1 + \_constant: , line:2047:22, endln:2047:39 + |vpiConstType:17 + |vpiDecompile:0 |vpiSize:1 - |BIN:1 + |SCAL:0 |vpiTypespec: - \_string_typespec: (EnableVectors), line:1696:5, endln:1696:18 + \_string_typespec: (EnableVectors), line:2047:7, endln:2047:20 |vpiName:EnableVectors |vpiOperand: \_tagged_pattern: |vpiPattern: - \_constant: , line:1697:20, endln:1697:24 + \_constant: , line:2048:22, endln:2048:26 |vpiConstType:3 |vpiDecompile:1'b1 |vpiSize:1 |BIN:1 |vpiTypespec: - \_string_typespec: (EnableNanBox), line:1697:5, endln:1697:17 + \_string_typespec: (EnableNanBox), line:2048:7, endln:2048:19 |vpiName:EnableNanBox |vpiOperand: \_tagged_pattern: |vpiPattern: - \_constant: , line:1698:20, endln:1698:28 - |vpiConstType:3 - |vpiDecompile:5'b11111 - |vpiSize:5 - |BIN:11111 + \_operation: , line:2049:22, endln:2049:52 + |vpiOpType:33 + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.RVF), line:2049:23, endln:2049:26 + |vpiName:RVF + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.RVF + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.RVF), line:813:20, endln:813:42, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.RVD), line:2049:28, endln:2049:31 + |vpiName:RVD + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.RVD + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.RVD), line:814:20, endln:814:42, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.XF16), line:2049:33, endln:2049:37 + |vpiName:XF16 + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.XF16 + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.XF16), line:819:20, endln:819:34, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.XF8), line:2049:39, endln:2049:42 + |vpiName:XF8 + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.XF8 + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.XF8), line:821:20, endln:821:34, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.XF16ALT), line:2049:44, endln:2049:51 + |vpiName:XF16ALT + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.XF16ALT + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.XF16ALT), line:820:20, endln:820:34, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i |vpiTypespec: - \_string_typespec: (FpFmtMask), line:1698:5, endln:1698:14 + \_string_typespec: (FpFmtMask), line:2049:7, endln:2049:16 |vpiName:FpFmtMask |vpiOperand: \_tagged_pattern: |vpiPattern: - \_constant: , line:1699:20, endln:1699:27 - |vpiConstType:3 - |vpiDecompile:4'b1111 - |vpiSize:4 - |BIN:1111 + \_operation: , line:2050:22, endln:2050:76 + |vpiOpType:33 + |vpiOperand: + \_operation: , line:2050:23, endln:2050:28 + |vpiOpType:26 + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.XFVEC), line:2050:23, endln:2050:28 + |vpiName:XFVEC + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.XFVEC + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.XFVEC), line:822:20, endln:822:34, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.XF8), line:2050:32, endln:2050:35 + |vpiName:XF8 + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.XF8 + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.XF8), line:821:20, endln:821:34, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i + |vpiOperand: + \_operation: , line:2050:37, endln:2050:42 + |vpiOpType:26 + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.XFVEC), line:2050:37, endln:2050:42 + |vpiName:XFVEC + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.XFVEC + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.XFVEC), line:822:20, endln:822:34, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i + |vpiOperand: + \_operation: , line:2050:47, endln:2050:62 + |vpiOpType:27 + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.XF16), line:2050:47, endln:2050:51 + |vpiName:XF16 + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.XF16 + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.XF16), line:819:20, endln:819:34, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.XF16ALT), line:2050:55, endln:2050:62 + |vpiName:XF16ALT + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.XF16ALT + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.XF16ALT), line:820:20, endln:820:34, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i + |vpiOperand: + \_constant: , line:2050:65, endln:2050:69 + |vpiConstType:3 + |vpiDecompile:1'b1 + |vpiSize:1 + |BIN:1 + |vpiOperand: + \_constant: , line:2050:71, endln:2050:75 + |vpiConstType:3 + |vpiDecompile:1'b1 + |vpiSize:1 + |BIN:1 |vpiTypespec: - \_string_typespec: (IntFmtMask), line:1699:5, endln:1699:15 + \_string_typespec: (IntFmtMask), line:2050:7, endln:2050:17 |vpiName:IntFmtMask + |vpiTypespec: + \_struct_typespec: (fpnew_pkg::fpu_features_t), line:1662:11, endln:1662:17 + |vpiPacked:1 + |vpiName:fpnew_pkg::fpu_features_t + |vpiTypespecMember: + \_typespec_member: (Width), line:1663:18, endln:1663:23, parent:fpnew_pkg::fpu_features_t + |vpiName:Width + |vpiTypespec: + \_int_typespec: , line:1663:5, endln:1663:8, parent:Width + |vpiInstance: + \_package: fpnew_pkg (fpnew_pkg::) dut.sv:1485:1: , endln:1955:11, parent:work@top + |vpiTypespecMember: + \_typespec_member: (EnableVectors), line:1664:18, endln:1664:31, parent:fpnew_pkg::fpu_features_t + |vpiName:EnableVectors + |vpiTypespec: + \_logic_typespec: , line:1664:5, endln:1664:10, parent:EnableVectors + |vpiInstance: + \_package: fpnew_pkg (fpnew_pkg::) dut.sv:1485:1: , endln:1955:11, parent:work@top + |vpiTypespecMember: + \_typespec_member: (EnableNanBox), line:1665:18, endln:1665:30, parent:fpnew_pkg::fpu_features_t + |vpiName:EnableNanBox + |vpiTypespec: + \_logic_typespec: , line:1665:5, endln:1665:10, parent:EnableNanBox + |vpiInstance: + \_package: fpnew_pkg (fpnew_pkg::) dut.sv:1485:1: , endln:1955:11, parent:work@top + |vpiTypespecMember: + \_typespec_member: (FpFmtMask), line:1666:18, endln:1666:27, parent:fpnew_pkg::fpu_features_t + |vpiName:FpFmtMask + |vpiTypespec: + \_logic_typespec: (fmt_logic_t), line:1528:11, endln:1528:16, parent:FpFmtMask + |vpiName:fmt_logic_t + |vpiRange: + \_range: , line:1528:18, endln:1528:36, parent:fmt_logic_t + |vpiLeftRange: + \_constant: , line:1528:18, endln:1528:19 + |vpiConstType:9 + |vpiDecompile:0 + |vpiSize:64 + |UINT:0 + |vpiRightRange: + \_operation: , line:1528:20, endln:1528:34 + |vpiOpType:11 + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.fpnew_pkg::fpu_features_t.FpFmtMask.fmt_logic_t.NUM_FP_FORMATS), line:1528:20, endln:1528:34 + |vpiName:NUM_FP_FORMATS + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.fpnew_pkg::fpu_features_t.FpFmtMask.fmt_logic_t.NUM_FP_FORMATS + |vpiOperand: + \_constant: , line:1528:35, endln:1528:36 + |vpiConstType:9 + |vpiDecompile:1 + |vpiSize:64 + |UINT:1 + |vpiTypedefAlias: + \_logic_typespec: (fmt_logic_t), line:1528:11, endln:1528:16, parent:fmt_logic_t + |vpiName:fmt_logic_t + |vpiRange: + \_range: , line:1528:18, endln:1528:36, parent:fmt_logic_t + |vpiLeftRange: + \_constant: , line:1528:18, endln:1528:19 + |vpiConstType:9 + |vpiDecompile:0 + |vpiSize:64 + |UINT:0 + |vpiRightRange: + \_operation: , line:1528:20, endln:1528:34 + |vpiOpType:11 + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.fpnew_pkg::fpu_features_t.FpFmtMask.fmt_logic_t.fmt_logic_t.NUM_FP_FORMATS), line:1528:20, endln:1528:34 + |vpiName:NUM_FP_FORMATS + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.fpnew_pkg::fpu_features_t.FpFmtMask.fmt_logic_t.fmt_logic_t.NUM_FP_FORMATS + |vpiOperand: + \_constant: , line:1528:35, endln:1528:36 + |vpiConstType:9 + |vpiDecompile:1 + |vpiSize:64 + |UINT:1 + |vpiInstance: + \_package: fpnew_pkg (fpnew_pkg::) dut.sv:1485:1: , endln:1955:11, parent:work@top + |vpiInstance: + \_package: fpnew_pkg (fpnew_pkg::) dut.sv:1485:1: , endln:1955:11, parent:work@top + |vpiTypespecMember: + \_typespec_member: (IntFmtMask), line:1667:18, endln:1667:28, parent:fpnew_pkg::fpu_features_t + |vpiName:IntFmtMask + |vpiTypespec: + \_logic_typespec: (ifmt_logic_t), line:1566:11, endln:1566:16, parent:IntFmtMask + |vpiName:ifmt_logic_t + |vpiRange: + \_range: , line:1566:18, endln:1566:37, parent:ifmt_logic_t + |vpiLeftRange: + \_constant: , line:1566:18, endln:1566:19 + |vpiConstType:9 + |vpiDecompile:0 + |vpiSize:64 + |UINT:0 + |vpiRightRange: + \_operation: , line:1566:20, endln:1566:35 + |vpiOpType:11 + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.fpnew_pkg::fpu_features_t.IntFmtMask.ifmt_logic_t.NUM_INT_FORMATS), line:1566:20, endln:1566:35 + |vpiName:NUM_INT_FORMATS + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.fpnew_pkg::fpu_features_t.IntFmtMask.ifmt_logic_t.NUM_INT_FORMATS + |vpiOperand: + \_constant: , line:1566:36, endln:1566:37 + |vpiConstType:9 + |vpiDecompile:1 + |vpiSize:64 + |UINT:1 + |vpiTypedefAlias: + \_logic_typespec: (ifmt_logic_t), line:1566:11, endln:1566:16, parent:ifmt_logic_t + |vpiName:ifmt_logic_t + |vpiRange: + \_range: , line:1566:18, endln:1566:37, parent:ifmt_logic_t + |vpiLeftRange: + \_constant: , line:1566:18, endln:1566:19 + |vpiConstType:9 + |vpiDecompile:0 + |vpiSize:64 + |UINT:0 + |vpiRightRange: + \_operation: , line:1566:20, endln:1566:35 + |vpiOpType:11 + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.fpnew_pkg::fpu_features_t.IntFmtMask.ifmt_logic_t.ifmt_logic_t.NUM_INT_FORMATS), line:1566:20, endln:1566:35 + |vpiName:NUM_INT_FORMATS + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.fpnew_pkg::fpu_features_t.IntFmtMask.ifmt_logic_t.ifmt_logic_t.NUM_INT_FORMATS + |vpiOperand: + \_constant: , line:1566:36, endln:1566:37 + |vpiConstType:9 + |vpiDecompile:1 + |vpiSize:64 + |UINT:1 + |vpiInstance: + \_package: fpnew_pkg (fpnew_pkg::) dut.sv:1485:1: , endln:1955:11, parent:work@top + |vpiInstance: + \_package: fpnew_pkg (fpnew_pkg::) dut.sv:1485:1: , endln:1955:11, parent:work@top + |vpiInstance: + \_package: fpnew_pkg (fpnew_pkg::) dut.sv:1485:1: , endln:1955:11, parent:work@top |vpiLhs: \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.Features), line:2008:45, endln:2008:84 |vpiName:Features @@ -112954,102 +113202,622 @@ design: (work@top) |vpiParamAssign: \_param_assign: , line:2009:45, endln:2009:87, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk |vpiRhs: - \_operation: , line:2009:62, endln:2009:87 + \_operation: , line:2072:25, endln:2072:43 |vpiOpType:75 |vpiOperand: \_tagged_pattern: |vpiPattern: - \_operation: , line:1727:17, endln:1727:30 + \_operation: , line:2054:18, endln:2058:39 |vpiOpType:75 |vpiOperand: - \_tagged_pattern: - |vpiPattern: - \_constant: , line:1727:28, endln:1727:29 - |vpiConstType:9 - |vpiDecompile:0 - |vpiSize:64 - |UINT:0 - |vpiTypespec: - \_string_typespec: (default), line:1727:19, endln:1727:26 - |vpiName:default + \_operation: , line:2055:18, endln:2055:96 + |vpiOpType:75 + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.LAT_COMP_FP32), line:2055:20, endln:2055:33 + |vpiName:LAT_COMP_FP32 + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.LAT_COMP_FP32 + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.LAT_COMP_FP32), line:825:29, endln:825:51, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.LAT_COMP_FP64), line:2055:35, endln:2055:48 + |vpiName:LAT_COMP_FP64 + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.LAT_COMP_FP64 + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.LAT_COMP_FP64), line:826:29, endln:826:51, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.LAT_COMP_FP16), line:2055:50, endln:2055:63 + |vpiName:LAT_COMP_FP16 + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.LAT_COMP_FP16 + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.LAT_COMP_FP16), line:827:29, endln:827:51, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.LAT_COMP_FP8), line:2055:65, endln:2055:77 + |vpiName:LAT_COMP_FP8 + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.LAT_COMP_FP8 + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.LAT_COMP_FP8), line:829:29, endln:829:51, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.LAT_COMP_FP16ALT), line:2055:79, endln:2055:95 + |vpiName:LAT_COMP_FP16ALT + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.LAT_COMP_FP16ALT + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.LAT_COMP_FP16ALT), line:828:29, endln:828:51, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i + |vpiOperand: + \_operation: , line:2056:18, endln:2056:41 + |vpiOpType:75 + |vpiOperand: + \_tagged_pattern: + |vpiPattern: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.LAT_DIVSQRT), line:2056:29, endln:2056:40 + |vpiName:LAT_DIVSQRT + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.LAT_DIVSQRT + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.LAT_DIVSQRT), line:830:29, endln:830:51, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i + |vpiTypespec: + \_string_typespec: (default), line:2056:20, endln:2056:27 + |vpiName:default + |vpiOperand: + \_operation: , line:2057:18, endln:2057:41 + |vpiOpType:75 + |vpiOperand: + \_tagged_pattern: + |vpiPattern: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.LAT_NONCOMP), line:2057:29, endln:2057:40 + |vpiName:LAT_NONCOMP + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.LAT_NONCOMP + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.LAT_NONCOMP), line:831:29, endln:831:51, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i + |vpiTypespec: + \_string_typespec: (default), line:2057:20, endln:2057:27 + |vpiName:default + |vpiOperand: + \_operation: , line:2058:18, endln:2058:38 + |vpiOpType:75 + |vpiOperand: + \_tagged_pattern: + |vpiPattern: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.LAT_CONV), line:2058:29, endln:2058:37 + |vpiName:LAT_CONV + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.LAT_CONV + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.LAT_CONV), line:832:29, endln:832:51, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i + |vpiTypespec: + \_string_typespec: (default), line:2058:20, endln:2058:27 + |vpiName:default |vpiTypespec: - \_string_typespec: (PipeRegs), line:1727:5, endln:1727:13 + \_string_typespec: (PipeRegs), line:2054:7, endln:2054:15 |vpiName:PipeRegs |vpiOperand: \_tagged_pattern: |vpiPattern: - \_operation: , line:1728:17, endln:1731:38 + \_operation: , line:2059:18, endln:2062:50 |vpiOpType:75 |vpiOperand: - \_operation: , line:1728:19, endln:1728:39 + \_operation: , line:2059:20, endln:2059:51 |vpiOpType:75 |vpiOperand: \_tagged_pattern: |vpiPattern: - \_constant: , line:1728:30, endln:1728:38 + \_constant: , line:2059:31, endln:2059:50 |vpiConstType:7 |vpiDecompile:1 |vpiSize:64 |INT:1 |vpiTypespec: - \_string_typespec: (default), line:1728:21, endln:1728:28 + \_string_typespec: (default), line:2059:22, endln:2059:29 |vpiName:default |vpiOperand: - \_operation: , line:1729:19, endln:1729:37 + \_operation: , line:2066:28, endln:2066:59 |vpiOpType:75 |vpiOperand: \_tagged_pattern: |vpiPattern: - \_constant: , line:1729:30, endln:1729:36 + \_constant: , line:2060:31, endln:2060:48 |vpiConstType:7 |vpiDecompile:2 |vpiSize:64 |INT:2 |vpiTypespec: - \_string_typespec: (default), line:1729:21, endln:1729:28 + \_string_typespec: (default), line:2060:22, endln:2060:29 |vpiName:default |vpiOperand: - \_operation: , line:1730:19, endln:1730:39 + \_operation: , line:2061:20, endln:2061:51 |vpiOpType:75 |vpiOperand: \_tagged_pattern: |vpiPattern: - \_constant: , line:1730:30, endln:1730:38 + \_constant: , line:2061:31, endln:2061:50 |vpiConstType:7 |vpiDecompile:1 |vpiSize:64 |INT:1 |vpiTypespec: - \_string_typespec: (default), line:1730:21, endln:1730:28 + \_string_typespec: (default), line:2061:22, endln:2061:29 |vpiName:default |vpiOperand: - \_operation: , line:1731:19, endln:1731:37 + \_operation: , line:2062:20, endln:2062:49 |vpiOpType:75 |vpiOperand: \_tagged_pattern: |vpiPattern: - \_constant: , line:1731:30, endln:1731:36 + \_constant: , line:2062:31, endln:2062:48 |vpiConstType:7 |vpiDecompile:2 |vpiSize:64 |INT:2 |vpiTypespec: - \_string_typespec: (default), line:1731:21, endln:1731:28 + \_string_typespec: (default), line:2062:22, endln:2062:29 |vpiName:default |vpiTypespec: - \_string_typespec: (UnitTypes), line:1728:5, endln:1728:14 + \_string_typespec: (UnitTypes), line:2059:7, endln:2059:16 |vpiName:UnitTypes |vpiOperand: \_tagged_pattern: |vpiPattern: - \_constant: , line:1732:17, endln:1732:23 + \_constant: , line:2063:19, endln:2063:41 |vpiConstType:7 - |vpiDecompile:0 + |vpiDecompile:3 |vpiSize:64 - |INT:0 + |INT:3 |vpiTypespec: - \_string_typespec: (PipeConfig), line:1732:5, endln:1732:15 + \_string_typespec: (PipeConfig), line:2063:7, endln:2063:17 |vpiName:PipeConfig + |vpiTypespec: + \_struct_typespec: (fpnew_pkg::fpu_implementation_t), line:1720:11, endln:1720:17 + |vpiPacked:1 + |vpiName:fpnew_pkg::fpu_implementation_t + |vpiTypespecMember: + \_typespec_member: (PipeRegs), line:1721:28, endln:1721:36, parent:fpnew_pkg::fpu_implementation_t + |vpiName:PipeRegs + |vpiTypespec: + \_logic_typespec: (opgrp_fmt_unsigned_t), parent:PipeRegs + |vpiName:opgrp_fmt_unsigned_t + |vpiElemTypespec: + \_logic_typespec: , parent:opgrp_fmt_unsigned_t + |vpiElemTypespec: + \_logic_typespec: (fmt_unsigned_t), line:1529:11, endln:1529:16 + |vpiName:fmt_unsigned_t + |vpiRange: + \_range: , line:1529:18, endln:1529:36, parent:fmt_unsigned_t + |vpiLeftRange: + \_constant: , line:1529:18, endln:1529:19 + |vpiConstType:9 + |vpiDecompile:0 + |vpiSize:64 + |UINT:0 + |vpiRightRange: + \_operation: , line:1529:20, endln:1529:34 + |vpiOpType:11 + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.fpnew_pkg::fpu_implementation_t.PipeRegs.opgrp_fmt_unsigned_t.fmt_unsigned_t.NUM_FP_FORMATS), line:1529:20, endln:1529:34 + |vpiName:NUM_FP_FORMATS + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.fpnew_pkg::fpu_implementation_t.PipeRegs.opgrp_fmt_unsigned_t.fmt_unsigned_t.NUM_FP_FORMATS + |vpiOperand: + \_constant: , line:1529:35, endln:1529:36 + |vpiConstType:9 + |vpiDecompile:1 + |vpiSize:64 + |UINT:1 + |vpiRange: + \_range: , line:1529:38, endln:1529:42, parent:fmt_unsigned_t + |vpiLeftRange: + \_constant: , line:1529:38, endln:1529:40 + |vpiConstType:9 + |vpiDecompile:31 + |vpiSize:64 + |UINT:31 + |vpiRightRange: + \_constant: , line:1529:41, endln:1529:42 + |vpiConstType:9 + |vpiDecompile:0 + |vpiSize:64 + |UINT:0 + |vpiTypedefAlias: + \_logic_typespec: (fmt_unsigned_t), line:1529:11, endln:1529:16, parent:fmt_unsigned_t + |vpiName:fmt_unsigned_t + |vpiRange: + \_range: , line:1529:18, endln:1529:36, parent:fmt_unsigned_t + |vpiLeftRange: + \_constant: , line:1529:18, endln:1529:19 + |vpiConstType:9 + |vpiDecompile:0 + |vpiSize:64 + |UINT:0 + |vpiRightRange: + \_operation: , line:1529:20, endln:1529:34 + |vpiOpType:11 + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.fpnew_pkg::fpu_implementation_t.PipeRegs.opgrp_fmt_unsigned_t.fmt_unsigned_t.fmt_unsigned_t.NUM_FP_FORMATS), line:1529:20, endln:1529:34 + |vpiName:NUM_FP_FORMATS + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.fpnew_pkg::fpu_implementation_t.PipeRegs.opgrp_fmt_unsigned_t.fmt_unsigned_t.fmt_unsigned_t.NUM_FP_FORMATS + |vpiOperand: + \_constant: , line:1529:35, endln:1529:36 + |vpiConstType:9 + |vpiDecompile:1 + |vpiSize:64 + |UINT:1 + |vpiRange: + \_range: , line:1529:38, endln:1529:42, parent:fmt_unsigned_t + |vpiLeftRange: + \_constant: , line:1529:38, endln:1529:40 + |vpiConstType:9 + |vpiDecompile:31 + |vpiSize:64 + |UINT:31 + |vpiRightRange: + \_constant: , line:1529:41, endln:1529:42 + |vpiConstType:9 + |vpiDecompile:0 + |vpiSize:64 + |UINT:0 + |vpiInstance: + \_package: fpnew_pkg (fpnew_pkg::) dut.sv:1485:1: , endln:1955:11, parent:work@top + |vpiInstance: + \_package: fpnew_pkg (fpnew_pkg::) dut.sv:1485:1: , endln:1955:11, parent:work@top + |vpiRange: + \_range: , line:1659:27, endln:1659:43 + |vpiLeftRange: + \_constant: , line:1659:27, endln:1659:28 + |vpiConstType:9 + |vpiDecompile:0 + |vpiSize:64 + |UINT:0 + |vpiRightRange: + \_constant: , line:1659:29, endln:1659:41 + |vpiConstType:7 + |vpiDecompile:3 + |vpiSize:64 + |INT:3 + |vpiTypedefAlias: + \_logic_typespec: + |vpiElemTypespec: + \_logic_typespec: (fmt_unsigned_t), line:1529:11, endln:1529:16 + |vpiName:fmt_unsigned_t + |vpiRange: + \_range: , line:1529:18, endln:1529:36, parent:fmt_unsigned_t + |vpiLeftRange: + \_constant: , line:1529:18, endln:1529:19 + |vpiConstType:9 + |vpiDecompile:0 + |vpiSize:64 + |UINT:0 + |vpiRightRange: + \_operation: , line:1529:20, endln:1529:34 + |vpiOpType:11 + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.fpnew_pkg::fpu_implementation_t.PipeRegs.opgrp_fmt_unsigned_t.fmt_unsigned_t.NUM_FP_FORMATS), line:1529:20, endln:1529:34 + |vpiName:NUM_FP_FORMATS + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.fpnew_pkg::fpu_implementation_t.PipeRegs.opgrp_fmt_unsigned_t.fmt_unsigned_t.NUM_FP_FORMATS + |vpiOperand: + \_constant: , line:1529:35, endln:1529:36 + |vpiConstType:9 + |vpiDecompile:1 + |vpiSize:64 + |UINT:1 + |vpiRange: + \_range: , line:1529:38, endln:1529:42, parent:fmt_unsigned_t + |vpiLeftRange: + \_constant: , line:1529:38, endln:1529:40 + |vpiConstType:9 + |vpiDecompile:31 + |vpiSize:64 + |UINT:31 + |vpiRightRange: + \_constant: , line:1529:41, endln:1529:42 + |vpiConstType:9 + |vpiDecompile:0 + |vpiSize:64 + |UINT:0 + |vpiTypedefAlias: + \_logic_typespec: (fmt_unsigned_t), line:1529:11, endln:1529:16, parent:fmt_unsigned_t + |vpiName:fmt_unsigned_t + |vpiRange: + \_range: , line:1529:18, endln:1529:36, parent:fmt_unsigned_t + |vpiLeftRange: + \_constant: , line:1529:18, endln:1529:19 + |vpiConstType:9 + |vpiDecompile:0 + |vpiSize:64 + |UINT:0 + |vpiRightRange: + \_operation: , line:1529:20, endln:1529:34 + |vpiOpType:11 + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.fpnew_pkg::fpu_implementation_t.PipeRegs.opgrp_fmt_unsigned_t.fmt_unsigned_t.fmt_unsigned_t.NUM_FP_FORMATS), line:1529:20, endln:1529:34 + |vpiName:NUM_FP_FORMATS + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.fpnew_pkg::fpu_implementation_t.PipeRegs.opgrp_fmt_unsigned_t.fmt_unsigned_t.fmt_unsigned_t.NUM_FP_FORMATS + |vpiOperand: + \_constant: , line:1529:35, endln:1529:36 + |vpiConstType:9 + |vpiDecompile:1 + |vpiSize:64 + |UINT:1 + |vpiRange: + \_range: , line:1529:38, endln:1529:42, parent:fmt_unsigned_t + |vpiLeftRange: + \_constant: , line:1529:38, endln:1529:40 + |vpiConstType:9 + |vpiDecompile:31 + |vpiSize:64 + |UINT:31 + |vpiRightRange: + \_constant: , line:1529:41, endln:1529:42 + |vpiConstType:9 + |vpiDecompile:0 + |vpiSize:64 + |UINT:0 + |vpiInstance: + \_package: fpnew_pkg (fpnew_pkg::) dut.sv:1485:1: , endln:1955:11, parent:work@top + |vpiInstance: + \_package: fpnew_pkg (fpnew_pkg::) dut.sv:1485:1: , endln:1955:11, parent:work@top + |vpiRange: + \_range: , line:1659:27, endln:1659:43 + |vpiLeftRange: + \_constant: , line:1659:27, endln:1659:28 + |vpiConstType:9 + |vpiDecompile:0 + |vpiSize:64 + |UINT:0 + |vpiRightRange: + \_constant: , line:1659:29, endln:1659:41 + |vpiConstType:7 + |vpiDecompile:3 + |vpiSize:64 + |INT:3 + |vpiInstance: + \_package: fpnew_pkg (fpnew_pkg::) dut.sv:1485:1: , endln:1955:11, parent:work@top + |vpiInstance: + \_package: fpnew_pkg (fpnew_pkg::) dut.sv:1485:1: , endln:1955:11, parent:work@top + |vpiRange: + \_range: , line:1659:27, endln:1659:43, parent:opgrp_fmt_unsigned_t + |vpiLeftRange: + \_constant: , line:1659:27, endln:1659:28 + |vpiConstType:9 + |vpiDecompile:0 + |vpiSize:64 + |UINT:0 + |vpiRightRange: + \_constant: , line:1659:29, endln:1659:41 + |vpiConstType:7 + |vpiDecompile:3 + |vpiSize:64 + |INT:3 + |vpiInstance: + \_package: fpnew_pkg (fpnew_pkg::) dut.sv:1485:1: , endln:1955:11, parent:work@top + |vpiTypespecMember: + \_typespec_member: (UnitTypes), line:1722:28, endln:1722:37, parent:fpnew_pkg::fpu_implementation_t + |vpiName:UnitTypes + |vpiTypespec: + \_packed_array_typespec: (opgrp_fmt_unit_types_t), parent:UnitTypes + |vpiName:opgrp_fmt_unit_types_t + |vpiRange: + \_range: , line:1654:24, endln:1654:42, parent:opgrp_fmt_unit_types_t + |vpiLeftRange: + \_constant: , line:1654:24, endln:1654:25 + |vpiConstType:9 + |vpiDecompile:0 + |vpiSize:64 + |UINT:0 + |vpiRightRange: + \_constant: , line:1654:26, endln:1654:40 + |vpiConstType:7 + |vpiDecompile:4 + |vpiSize:64 + |INT:4 + |vpiElemTypespec: + \_enum_typespec: (fpnew_pkg::unit_type_t), line:1651:5, endln:1651:16, parent:opgrp_fmt_unit_types_t + |vpiName:fpnew_pkg::unit_type_t + |vpiBaseTypespec: + \_logic_typespec: , line:1647:16, endln:1647:21, parent:fpnew_pkg::unit_type_t + |vpiRange: + \_range: , line:1647:23, endln:1647:26 + |vpiLeftRange: + \_constant: , line:1647:23, endln:1647:24 + |vpiConstType:9 + |vpiDecompile:1 + |vpiSize:64 + |UINT:1 + |vpiRightRange: + \_constant: , line:1647:25, endln:1647:26 + |vpiConstType:9 + |vpiDecompile:0 + |vpiSize:64 + |UINT:0 + |vpiInstance: + \_package: fpnew_pkg (fpnew_pkg::) dut.sv:1485:1: , endln:1955:11, parent:work@top + |vpiEnumConst: + \_enum_const: (DISABLED), line:1648:5, endln:1648:13, parent:fpnew_pkg::unit_type_t + |vpiName:DISABLED + |vpiDecompile:0 + |INT:0 + |vpiSize:64 + |vpiEnumConst: + \_enum_const: (PARALLEL), line:1649:5, endln:1649:13, parent:fpnew_pkg::unit_type_t + |vpiName:PARALLEL + |vpiDecompile:1 + |INT:1 + |vpiSize:64 + |vpiEnumConst: + \_enum_const: (MERGED), line:1650:5, endln:1650:11, parent:fpnew_pkg::unit_type_t + |vpiName:MERGED + |vpiDecompile:2 + |INT:2 + |vpiSize:64 + |vpiInstance: + \_package: fpnew_pkg (fpnew_pkg::) dut.sv:1485:1: , endln:1955:11, parent:work@top + |vpiTypedefAlias: + \_packed_array_typespec: (fmt_unit_types_t), parent:opgrp_fmt_unit_types_t + |vpiName:fmt_unit_types_t + |vpiRange: + \_range: , line:1654:24, endln:1654:42, parent:fmt_unit_types_t + |vpiLeftRange: + \_constant: , line:1654:24, endln:1654:25 + |vpiConstType:9 + |vpiDecompile:0 + |vpiSize:64 + |UINT:0 + |vpiRightRange: + \_constant: , line:1654:26, endln:1654:40 + |vpiConstType:7 + |vpiDecompile:4 + |vpiSize:64 + |INT:4 + |vpiElemTypespec: + \_enum_typespec: (fpnew_pkg::unit_type_t), line:1651:5, endln:1651:16, parent:fmt_unit_types_t + |vpiName:fpnew_pkg::unit_type_t + |vpiBaseTypespec: + \_logic_typespec: , line:1647:16, endln:1647:21, parent:fpnew_pkg::unit_type_t + |vpiRange: + \_range: , line:1647:23, endln:1647:26 + |vpiLeftRange: + \_constant: , line:1647:23, endln:1647:24 + |vpiConstType:9 + |vpiDecompile:1 + |vpiSize:64 + |UINT:1 + |vpiRightRange: + \_constant: , line:1647:25, endln:1647:26 + |vpiConstType:9 + |vpiDecompile:0 + |vpiSize:64 + |UINT:0 + |vpiInstance: + \_package: fpnew_pkg (fpnew_pkg::) dut.sv:1485:1: , endln:1955:11, parent:work@top + |vpiEnumConst: + \_enum_const: (DISABLED), line:1648:5, endln:1648:13, parent:fpnew_pkg::unit_type_t + |vpiName:DISABLED + |vpiDecompile:0 + |INT:0 + |vpiSize:64 + |vpiEnumConst: + \_enum_const: (PARALLEL), line:1649:5, endln:1649:13, parent:fpnew_pkg::unit_type_t + |vpiName:PARALLEL + |vpiDecompile:1 + |INT:1 + |vpiSize:64 + |vpiEnumConst: + \_enum_const: (MERGED), line:1650:5, endln:1650:11, parent:fpnew_pkg::unit_type_t + |vpiName:MERGED + |vpiDecompile:2 + |INT:2 + |vpiSize:64 + |vpiInstance: + \_package: fpnew_pkg (fpnew_pkg::) dut.sv:1485:1: , endln:1955:11, parent:work@top + |vpiTypedefAlias: + \_packed_array_typespec: , parent:fmt_unit_types_t + |vpiRange: + \_range: , line:1654:24, endln:1654:42 + |vpiLeftRange: + \_constant: , line:1654:24, endln:1654:25 + |vpiConstType:9 + |vpiDecompile:0 + |vpiSize:64 + |UINT:0 + |vpiRightRange: + \_constant: , line:1654:26, endln:1654:40 + |vpiConstType:7 + |vpiDecompile:4 + |vpiSize:64 + |INT:4 + |vpiElemTypespec: + \_enum_typespec: (fpnew_pkg::unit_type_t), line:1651:5, endln:1651:16 + |vpiName:fpnew_pkg::unit_type_t + |vpiBaseTypespec: + \_logic_typespec: , line:1647:16, endln:1647:21, parent:fpnew_pkg::unit_type_t + |vpiRange: + \_range: , line:1647:23, endln:1647:26 + |vpiLeftRange: + \_constant: , line:1647:23, endln:1647:24 + |vpiConstType:9 + |vpiDecompile:1 + |vpiSize:64 + |UINT:1 + |vpiRightRange: + \_constant: , line:1647:25, endln:1647:26 + |vpiConstType:9 + |vpiDecompile:0 + |vpiSize:64 + |UINT:0 + |vpiInstance: + \_package: fpnew_pkg (fpnew_pkg::) dut.sv:1485:1: , endln:1955:11, parent:work@top + |vpiEnumConst: + \_enum_const: (DISABLED), line:1648:5, endln:1648:13, parent:fpnew_pkg::unit_type_t + |vpiName:DISABLED + |vpiDecompile:0 + |INT:0 + |vpiSize:64 + |vpiEnumConst: + \_enum_const: (PARALLEL), line:1649:5, endln:1649:13, parent:fpnew_pkg::unit_type_t + |vpiName:PARALLEL + |vpiDecompile:1 + |INT:1 + |vpiSize:64 + |vpiEnumConst: + \_enum_const: (MERGED), line:1650:5, endln:1650:11, parent:fpnew_pkg::unit_type_t + |vpiName:MERGED + |vpiDecompile:2 + |INT:2 + |vpiSize:64 + |vpiInstance: + \_package: fpnew_pkg (fpnew_pkg::) dut.sv:1485:1: , endln:1955:11, parent:work@top + |vpiInstance: + \_package: fpnew_pkg (fpnew_pkg::) dut.sv:1485:1: , endln:1955:11, parent:work@top + |vpiInstance: + \_package: fpnew_pkg (fpnew_pkg::) dut.sv:1485:1: , endln:1955:11, parent:work@top + |vpiInstance: + \_package: fpnew_pkg (fpnew_pkg::) dut.sv:1485:1: , endln:1955:11, parent:work@top + |vpiTypespecMember: + \_typespec_member: (PipeConfig), line:1723:28, endln:1723:38, parent:fpnew_pkg::fpu_implementation_t + |vpiName:PipeConfig + |vpiTypespec: + \_enum_typespec: (fpnew_pkg::pipe_config_t), line:1644:5, endln:1644:18, parent:PipeConfig + |vpiName:fpnew_pkg::pipe_config_t + |vpiBaseTypespec: + \_logic_typespec: , line:1639:16, endln:1639:21, parent:fpnew_pkg::pipe_config_t + |vpiRange: + \_range: , line:1639:23, endln:1639:26 + |vpiLeftRange: + \_constant: , line:1639:23, endln:1639:24 + |vpiConstType:9 + |vpiDecompile:1 + |vpiSize:64 + |UINT:1 + |vpiRightRange: + \_constant: , line:1639:25, endln:1639:26 + |vpiConstType:9 + |vpiDecompile:0 + |vpiSize:64 + |UINT:0 + |vpiInstance: + \_package: fpnew_pkg (fpnew_pkg::) dut.sv:1485:1: , endln:1955:11, parent:work@top + |vpiEnumConst: + \_enum_const: (BEFORE), line:1640:5, endln:1640:11, parent:fpnew_pkg::pipe_config_t + |vpiName:BEFORE + |vpiDecompile:0 + |INT:0 + |vpiSize:64 + |vpiEnumConst: + \_enum_const: (AFTER), line:1641:5, endln:1641:10, parent:fpnew_pkg::pipe_config_t + |vpiName:AFTER + |vpiDecompile:1 + |INT:1 + |vpiSize:64 + |vpiEnumConst: + \_enum_const: (INSIDE), line:1642:5, endln:1642:11, parent:fpnew_pkg::pipe_config_t + |vpiName:INSIDE + |vpiDecompile:2 + |INT:2 + |vpiSize:64 + |vpiEnumConst: + \_enum_const: (DISTRIBUTED), line:1643:5, endln:1643:16, parent:fpnew_pkg::pipe_config_t + |vpiName:DISTRIBUTED + |vpiDecompile:3 + |INT:3 + |vpiSize:64 + |vpiInstance: + \_package: fpnew_pkg (fpnew_pkg::) dut.sv:1485:1: , endln:1955:11, parent:work@top + |vpiInstance: + \_package: fpnew_pkg (fpnew_pkg::) dut.sv:1485:1: , endln:1955:11, parent:work@top |vpiLhs: \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.Implementation), line:2009:45, endln:2009:87 |vpiName:Implementation @@ -113785,60 +114553,35 @@ design: (work@top) \_operation: , line:2049:22, endln:2049:52 |vpiOpType:33 |vpiOperand: - \_constant: , line:2049:23, endln:2049:26 - |vpiConstType:7 - |vpiDecompile:1 - |vpiSize:64 - |INT:1 - |vpiTypespec: - \_bit_typespec: (RVF), line:813:16, endln:813:19 - |vpiName:RVF - |vpiInstance: - \_package: ariane_pkg (ariane_pkg::) dut.sv:674:1: , endln:1483:11, parent:work@top + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.RVF), line:2049:23, endln:2049:26 + |vpiName:RVF + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.RVF + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.RVF), line:813:20, endln:813:42, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i |vpiOperand: - \_constant: , line:2049:28, endln:2049:31 - |vpiConstType:7 - |vpiDecompile:1 - |vpiSize:64 - |INT:1 - |vpiTypespec: - \_bit_typespec: (RVD), line:814:16, endln:814:19 - |vpiName:RVD - |vpiInstance: - \_package: ariane_pkg (ariane_pkg::) dut.sv:674:1: , endln:1483:11, parent:work@top + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.RVD), line:2049:28, endln:2049:31 + |vpiName:RVD + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.RVD + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.RVD), line:814:20, endln:814:42, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i |vpiOperand: - \_constant: , line:2049:33, endln:2049:37 - |vpiConstType:17 - |vpiDecompile:0 - |vpiSize:1 - |SCAL:0 - |vpiTypespec: - \_bit_typespec: (XF16), line:819:16, endln:819:19 - |vpiName:XF16 - |vpiInstance: - \_package: ariane_pkg (ariane_pkg::) dut.sv:674:1: , endln:1483:11, parent:work@top + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.XF16), line:2049:33, endln:2049:37 + |vpiName:XF16 + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.XF16 + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.XF16), line:819:20, endln:819:34, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i |vpiOperand: - \_constant: , line:2049:39, endln:2049:42 - |vpiConstType:17 - |vpiDecompile:0 - |vpiSize:1 - |SCAL:0 - |vpiTypespec: - \_bit_typespec: (XF8), line:821:16, endln:821:19 - |vpiName:XF8 - |vpiInstance: - \_package: ariane_pkg (ariane_pkg::) dut.sv:674:1: , endln:1483:11, parent:work@top + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.XF8), line:2049:39, endln:2049:42 + |vpiName:XF8 + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.XF8 + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.XF8), line:821:20, endln:821:34, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i |vpiOperand: - \_constant: , line:2049:44, endln:2049:51 - |vpiConstType:17 - |vpiDecompile:0 - |vpiSize:1 - |SCAL:0 - |vpiTypespec: - \_bit_typespec: (XF16ALT), line:820:16, endln:820:19 - |vpiName:XF16ALT - |vpiInstance: - \_package: ariane_pkg (ariane_pkg::) dut.sv:674:1: , endln:1483:11, parent:work@top + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.XF16ALT), line:2049:44, endln:2049:51 + |vpiName:XF16ALT + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.XF16ALT + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.XF16ALT), line:820:20, endln:820:34, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i |vpiTypespec: \_string_typespec: (FpFmtMask), line:2049:7, endln:2049:16 |vpiName:FpFmtMask @@ -113851,66 +114594,41 @@ design: (work@top) \_operation: , line:2050:23, endln:2050:28 |vpiOpType:26 |vpiOperand: - \_constant: , line:2050:23, endln:2050:28 - |vpiConstType:17 - |vpiDecompile:0 - |vpiSize:1 - |SCAL:0 - |vpiTypespec: - \_bit_typespec: (XFVEC), line:822:16, endln:822:19 - |vpiName:XFVEC - |vpiInstance: - \_package: ariane_pkg (ariane_pkg::) dut.sv:674:1: , endln:1483:11, parent:work@top + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.XFVEC), line:2050:23, endln:2050:28 + |vpiName:XFVEC + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.XFVEC + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.XFVEC), line:822:20, endln:822:34, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i |vpiOperand: - \_constant: , line:2050:32, endln:2050:35 - |vpiConstType:17 - |vpiDecompile:0 - |vpiSize:1 - |SCAL:0 - |vpiTypespec: - \_bit_typespec: (XF8), line:821:16, endln:821:19 - |vpiName:XF8 - |vpiInstance: - \_package: ariane_pkg (ariane_pkg::) dut.sv:674:1: , endln:1483:11, parent:work@top + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.XF8), line:2050:32, endln:2050:35 + |vpiName:XF8 + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.XF8 + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.XF8), line:821:20, endln:821:34, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i |vpiOperand: \_operation: , line:2050:37, endln:2050:42 |vpiOpType:26 |vpiOperand: - \_constant: , line:2050:37, endln:2050:42 - |vpiConstType:17 - |vpiDecompile:0 - |vpiSize:1 - |SCAL:0 - |vpiTypespec: - \_bit_typespec: (XFVEC), line:822:16, endln:822:19 - |vpiName:XFVEC - |vpiInstance: - \_package: ariane_pkg (ariane_pkg::) dut.sv:674:1: , endln:1483:11, parent:work@top + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.XFVEC), line:2050:37, endln:2050:42 + |vpiName:XFVEC + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.XFVEC + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.XFVEC), line:822:20, endln:822:34, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i |vpiOperand: \_operation: , line:2050:47, endln:2050:62 |vpiOpType:27 |vpiOperand: - \_constant: , line:2050:47, endln:2050:51 - |vpiConstType:17 - |vpiDecompile:0 - |vpiSize:1 - |SCAL:0 - |vpiTypespec: - \_bit_typespec: (XF16), line:819:16, endln:819:19 - |vpiName:XF16 - |vpiInstance: - \_package: ariane_pkg (ariane_pkg::) dut.sv:674:1: , endln:1483:11, parent:work@top + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.XF16), line:2050:47, endln:2050:51 + |vpiName:XF16 + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.XF16 + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.XF16), line:819:20, endln:819:34, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i |vpiOperand: - \_constant: , line:2050:55, endln:2050:62 - |vpiConstType:17 - |vpiDecompile:0 - |vpiSize:1 - |SCAL:0 - |vpiTypespec: - \_bit_typespec: (XF16ALT), line:820:16, endln:820:19 - |vpiName:XF16ALT - |vpiInstance: - \_package: ariane_pkg (ariane_pkg::) dut.sv:674:1: , endln:1483:11, parent:work@top + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.XF16ALT), line:2050:55, endln:2050:62 + |vpiName:XF16ALT + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.XF16ALT + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.XF16ALT), line:820:20, endln:820:34, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i |vpiOperand: \_constant: , line:2050:65, endln:2050:69 |vpiConstType:3 @@ -113926,6 +114644,143 @@ design: (work@top) |vpiTypespec: \_string_typespec: (IntFmtMask), line:2050:7, endln:2050:17 |vpiName:IntFmtMask + |vpiTypespec: + \_struct_typespec: (fpnew_pkg::fpu_features_t), line:1662:11, endln:1662:17 + |vpiPacked:1 + |vpiName:fpnew_pkg::fpu_features_t + |vpiTypespecMember: + \_typespec_member: (Width), line:1663:18, endln:1663:23, parent:fpnew_pkg::fpu_features_t + |vpiName:Width + |vpiTypespec: + \_int_typespec: , line:1663:5, endln:1663:8, parent:Width + |vpiInstance: + \_package: fpnew_pkg (fpnew_pkg::) dut.sv:1485:1: , endln:1955:11, parent:work@top + |vpiTypespecMember: + \_typespec_member: (EnableVectors), line:1664:18, endln:1664:31, parent:fpnew_pkg::fpu_features_t + |vpiName:EnableVectors + |vpiTypespec: + \_logic_typespec: , line:1664:5, endln:1664:10, parent:EnableVectors + |vpiInstance: + \_package: fpnew_pkg (fpnew_pkg::) dut.sv:1485:1: , endln:1955:11, parent:work@top + |vpiTypespecMember: + \_typespec_member: (EnableNanBox), line:1665:18, endln:1665:30, parent:fpnew_pkg::fpu_features_t + |vpiName:EnableNanBox + |vpiTypespec: + \_logic_typespec: , line:1665:5, endln:1665:10, parent:EnableNanBox + |vpiInstance: + \_package: fpnew_pkg (fpnew_pkg::) dut.sv:1485:1: , endln:1955:11, parent:work@top + |vpiTypespecMember: + \_typespec_member: (FpFmtMask), line:1666:18, endln:1666:27, parent:fpnew_pkg::fpu_features_t + |vpiName:FpFmtMask + |vpiTypespec: + \_logic_typespec: (fmt_logic_t), line:1528:11, endln:1528:16, parent:FpFmtMask + |vpiName:fmt_logic_t + |vpiRange: + \_range: , line:1528:18, endln:1528:36, parent:fmt_logic_t + |vpiLeftRange: + \_constant: , line:1528:18, endln:1528:19 + |vpiConstType:9 + |vpiDecompile:0 + |vpiSize:64 + |UINT:0 + |vpiRightRange: + \_operation: , line:1528:20, endln:1528:34 + |vpiOpType:11 + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.fpnew_pkg::fpu_features_t.FpFmtMask.fmt_logic_t.NUM_FP_FORMATS), line:1528:20, endln:1528:34 + |vpiName:NUM_FP_FORMATS + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.fpnew_pkg::fpu_features_t.FpFmtMask.fmt_logic_t.NUM_FP_FORMATS + |vpiOperand: + \_constant: , line:1528:35, endln:1528:36 + |vpiConstType:9 + |vpiDecompile:1 + |vpiSize:64 + |UINT:1 + |vpiTypedefAlias: + \_logic_typespec: (fmt_logic_t), line:1528:11, endln:1528:16, parent:fmt_logic_t + |vpiName:fmt_logic_t + |vpiRange: + \_range: , line:1528:18, endln:1528:36, parent:fmt_logic_t + |vpiLeftRange: + \_constant: , line:1528:18, endln:1528:19 + |vpiConstType:9 + |vpiDecompile:0 + |vpiSize:64 + |UINT:0 + |vpiRightRange: + \_operation: , line:1528:20, endln:1528:34 + |vpiOpType:11 + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.fpnew_pkg::fpu_features_t.FpFmtMask.fmt_logic_t.fmt_logic_t.NUM_FP_FORMATS), line:1528:20, endln:1528:34 + |vpiName:NUM_FP_FORMATS + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.fpnew_pkg::fpu_features_t.FpFmtMask.fmt_logic_t.fmt_logic_t.NUM_FP_FORMATS + |vpiOperand: + \_constant: , line:1528:35, endln:1528:36 + |vpiConstType:9 + |vpiDecompile:1 + |vpiSize:64 + |UINT:1 + |vpiInstance: + \_package: fpnew_pkg (fpnew_pkg::) dut.sv:1485:1: , endln:1955:11, parent:work@top + |vpiInstance: + \_package: fpnew_pkg (fpnew_pkg::) dut.sv:1485:1: , endln:1955:11, parent:work@top + |vpiTypespecMember: + \_typespec_member: (IntFmtMask), line:1667:18, endln:1667:28, parent:fpnew_pkg::fpu_features_t + |vpiName:IntFmtMask + |vpiTypespec: + \_logic_typespec: (ifmt_logic_t), line:1566:11, endln:1566:16, parent:IntFmtMask + |vpiName:ifmt_logic_t + |vpiRange: + \_range: , line:1566:18, endln:1566:37, parent:ifmt_logic_t + |vpiLeftRange: + \_constant: , line:1566:18, endln:1566:19 + |vpiConstType:9 + |vpiDecompile:0 + |vpiSize:64 + |UINT:0 + |vpiRightRange: + \_operation: , line:1566:20, endln:1566:35 + |vpiOpType:11 + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.fpnew_pkg::fpu_features_t.IntFmtMask.ifmt_logic_t.NUM_INT_FORMATS), line:1566:20, endln:1566:35 + |vpiName:NUM_INT_FORMATS + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.fpnew_pkg::fpu_features_t.IntFmtMask.ifmt_logic_t.NUM_INT_FORMATS + |vpiOperand: + \_constant: , line:1566:36, endln:1566:37 + |vpiConstType:9 + |vpiDecompile:1 + |vpiSize:64 + |UINT:1 + |vpiTypedefAlias: + \_logic_typespec: (ifmt_logic_t), line:1566:11, endln:1566:16, parent:ifmt_logic_t + |vpiName:ifmt_logic_t + |vpiRange: + \_range: , line:1566:18, endln:1566:37, parent:ifmt_logic_t + |vpiLeftRange: + \_constant: , line:1566:18, endln:1566:19 + |vpiConstType:9 + |vpiDecompile:0 + |vpiSize:64 + |UINT:0 + |vpiRightRange: + \_operation: , line:1566:20, endln:1566:35 + |vpiOpType:11 + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.fpnew_pkg::fpu_features_t.IntFmtMask.ifmt_logic_t.ifmt_logic_t.NUM_INT_FORMATS), line:1566:20, endln:1566:35 + |vpiName:NUM_INT_FORMATS + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.fpnew_pkg::fpu_features_t.IntFmtMask.ifmt_logic_t.ifmt_logic_t.NUM_INT_FORMATS + |vpiOperand: + \_constant: , line:1566:36, endln:1566:37 + |vpiConstType:9 + |vpiDecompile:1 + |vpiSize:64 + |UINT:1 + |vpiInstance: + \_package: fpnew_pkg (fpnew_pkg::) dut.sv:1485:1: , endln:1955:11, parent:work@top + |vpiInstance: + \_package: fpnew_pkg (fpnew_pkg::) dut.sv:1485:1: , endln:1955:11, parent:work@top + |vpiInstance: + \_package: fpnew_pkg (fpnew_pkg::) dut.sv:1485:1: , endln:1955:11, parent:work@top |vpiLhs: \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.FPU_FEATURES), line:2045:38, endln:2051:6, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen |vpiParamAssign: @@ -113942,187 +114797,611 @@ design: (work@top) \_operation: , line:2055:18, endln:2055:96 |vpiOpType:75 |vpiOperand: - \_constant: , line:2055:20, endln:2055:33 - |vpiConstType:7 - |vpiDecompile:2 - |INT:2 - |vpiTypespec: - \_int_typespec: (LAT_COMP_FP32), line:825:16, endln:825:19 - |vpiName:LAT_COMP_FP32 - |vpiInstance: - \_package: ariane_pkg (ariane_pkg::) dut.sv:674:1: , endln:1483:11, parent:work@top + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.LAT_COMP_FP32), line:2055:20, endln:2055:33 + |vpiName:LAT_COMP_FP32 + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.LAT_COMP_FP32 + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.LAT_COMP_FP32), line:825:29, endln:825:51, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i |vpiOperand: - \_constant: , line:2055:35, endln:2055:48 - |vpiConstType:7 - |vpiDecompile:3 - |INT:3 + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.LAT_COMP_FP64), line:2055:35, endln:2055:48 + |vpiName:LAT_COMP_FP64 + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.LAT_COMP_FP64 + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.LAT_COMP_FP64), line:826:29, endln:826:51, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.LAT_COMP_FP16), line:2055:50, endln:2055:63 + |vpiName:LAT_COMP_FP16 + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.LAT_COMP_FP16 + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.LAT_COMP_FP16), line:827:29, endln:827:51, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.LAT_COMP_FP8), line:2055:65, endln:2055:77 + |vpiName:LAT_COMP_FP8 + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.LAT_COMP_FP8 + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.LAT_COMP_FP8), line:829:29, endln:829:51, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.LAT_COMP_FP16ALT), line:2055:79, endln:2055:95 + |vpiName:LAT_COMP_FP16ALT + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.LAT_COMP_FP16ALT + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.LAT_COMP_FP16ALT), line:828:29, endln:828:51, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i + |vpiOperand: + \_operation: , line:2056:18, endln:2056:41 + |vpiOpType:75 + |vpiOperand: + \_tagged_pattern: + |vpiPattern: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.LAT_DIVSQRT), line:2056:29, endln:2056:40 + |vpiName:LAT_DIVSQRT + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.LAT_DIVSQRT + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.LAT_DIVSQRT), line:830:29, endln:830:51, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i |vpiTypespec: - \_int_typespec: (LAT_COMP_FP64), line:826:16, endln:826:19 - |vpiName:LAT_COMP_FP64 - |vpiInstance: - \_package: ariane_pkg (ariane_pkg::) dut.sv:674:1: , endln:1483:11, parent:work@top + \_string_typespec: (default), line:2056:20, endln:2056:27 + |vpiName:default + |vpiOperand: + \_operation: , line:2057:18, endln:2057:41 + |vpiOpType:75 |vpiOperand: - \_constant: , line:2055:50, endln:2055:63 - |vpiConstType:7 - |vpiDecompile:1 - |INT:1 + \_tagged_pattern: + |vpiPattern: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.LAT_NONCOMP), line:2057:29, endln:2057:40 + |vpiName:LAT_NONCOMP + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.LAT_NONCOMP + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.LAT_NONCOMP), line:831:29, endln:831:51, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i |vpiTypespec: - \_int_typespec: (LAT_COMP_FP16), line:827:16, endln:827:19 - |vpiName:LAT_COMP_FP16 - |vpiInstance: - \_package: ariane_pkg (ariane_pkg::) dut.sv:674:1: , endln:1483:11, parent:work@top + \_string_typespec: (default), line:2057:20, endln:2057:27 + |vpiName:default + |vpiOperand: + \_operation: , line:2058:18, endln:2058:38 + |vpiOpType:75 |vpiOperand: - \_constant: , line:2055:65, endln:2055:77 - |vpiConstType:7 - |vpiDecompile:1 - |INT:1 + \_tagged_pattern: + |vpiPattern: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.LAT_CONV), line:2058:29, endln:2058:37 + |vpiName:LAT_CONV + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.LAT_CONV + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.LAT_CONV), line:832:29, endln:832:51, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i |vpiTypespec: - \_int_typespec: (LAT_COMP_FP8), line:829:16, endln:829:19 - |vpiName:LAT_COMP_FP8 - |vpiInstance: - \_package: ariane_pkg (ariane_pkg::) dut.sv:674:1: , endln:1483:11, parent:work@top + \_string_typespec: (default), line:2058:20, endln:2058:27 + |vpiName:default + |vpiTypespec: + \_string_typespec: (PipeRegs), line:2054:7, endln:2054:15 + |vpiName:PipeRegs + |vpiOperand: + \_tagged_pattern: + |vpiPattern: + \_operation: , line:2059:18, endln:2062:50 + |vpiOpType:75 + |vpiOperand: + \_operation: , line:2059:20, endln:2059:51 + |vpiOpType:75 |vpiOperand: - \_constant: , line:2055:79, endln:2055:95 - |vpiConstType:7 - |vpiDecompile:1 - |INT:1 + \_tagged_pattern: + |vpiPattern: + \_constant: , line:2059:31, endln:2059:50 + |vpiConstType:7 + |vpiDecompile:1 + |vpiSize:64 + |INT:1 |vpiTypespec: - \_int_typespec: (LAT_COMP_FP16ALT), line:828:16, endln:828:19 - |vpiName:LAT_COMP_FP16ALT - |vpiInstance: - \_package: ariane_pkg (ariane_pkg::) dut.sv:674:1: , endln:1483:11, parent:work@top + \_string_typespec: (default), line:2059:22, endln:2059:29 + |vpiName:default |vpiOperand: - \_operation: , line:2056:18, endln:2056:41 + \_operation: , line:2066:28, endln:2066:59 |vpiOpType:75 |vpiOperand: \_tagged_pattern: |vpiPattern: - \_constant: , line:2056:29, endln:2056:40 + \_constant: , line:2060:31, endln:2060:48 |vpiConstType:7 |vpiDecompile:2 + |vpiSize:64 |INT:2 - |vpiTypespec: - \_int_typespec: (LAT_DIVSQRT), line:830:16, endln:830:19 - |vpiName:LAT_DIVSQRT - |vpiInstance: - \_package: ariane_pkg (ariane_pkg::) dut.sv:674:1: , endln:1483:11, parent:work@top |vpiTypespec: - \_string_typespec: (default), line:2056:20, endln:2056:27 + \_string_typespec: (default), line:2060:22, endln:2060:29 |vpiName:default |vpiOperand: - \_operation: , line:2057:18, endln:2057:41 + \_operation: , line:2061:20, endln:2061:51 |vpiOpType:75 |vpiOperand: \_tagged_pattern: |vpiPattern: - \_constant: , line:2057:29, endln:2057:40 + \_constant: , line:2061:31, endln:2061:50 |vpiConstType:7 |vpiDecompile:1 + |vpiSize:64 |INT:1 - |vpiTypespec: - \_int_typespec: (LAT_NONCOMP), line:831:16, endln:831:19 - |vpiName:LAT_NONCOMP - |vpiInstance: - \_package: ariane_pkg (ariane_pkg::) dut.sv:674:1: , endln:1483:11, parent:work@top |vpiTypespec: - \_string_typespec: (default), line:2057:20, endln:2057:27 + \_string_typespec: (default), line:2061:22, endln:2061:29 |vpiName:default |vpiOperand: - \_operation: , line:2058:18, endln:2058:38 + \_operation: , line:2062:20, endln:2062:49 |vpiOpType:75 |vpiOperand: \_tagged_pattern: |vpiPattern: - \_constant: , line:2058:29, endln:2058:37 + \_constant: , line:2062:31, endln:2062:48 |vpiConstType:7 |vpiDecompile:2 + |vpiSize:64 |INT:2 - |vpiTypespec: - \_int_typespec: (LAT_CONV), line:832:16, endln:832:19 - |vpiName:LAT_CONV + |vpiTypespec: + \_string_typespec: (default), line:2062:22, endln:2062:29 + |vpiName:default + |vpiTypespec: + \_string_typespec: (UnitTypes), line:2059:7, endln:2059:16 + |vpiName:UnitTypes + |vpiOperand: + \_tagged_pattern: + |vpiPattern: + \_constant: , line:2063:19, endln:2063:41 + |vpiConstType:7 + |vpiDecompile:3 + |vpiSize:64 + |INT:3 + |vpiTypespec: + \_string_typespec: (PipeConfig), line:2063:7, endln:2063:17 + |vpiName:PipeConfig + |vpiTypespec: + \_struct_typespec: (fpnew_pkg::fpu_implementation_t), line:1720:11, endln:1720:17 + |vpiPacked:1 + |vpiName:fpnew_pkg::fpu_implementation_t + |vpiTypespecMember: + \_typespec_member: (PipeRegs), line:1721:28, endln:1721:36, parent:fpnew_pkg::fpu_implementation_t + |vpiName:PipeRegs + |vpiTypespec: + \_logic_typespec: (opgrp_fmt_unsigned_t), parent:PipeRegs + |vpiName:opgrp_fmt_unsigned_t + |vpiElemTypespec: + \_logic_typespec: , parent:opgrp_fmt_unsigned_t + |vpiElemTypespec: + \_logic_typespec: (fmt_unsigned_t), line:1529:11, endln:1529:16 + |vpiName:fmt_unsigned_t + |vpiRange: + \_range: , line:1529:18, endln:1529:36, parent:fmt_unsigned_t + |vpiLeftRange: + \_constant: , line:1529:18, endln:1529:19 + |vpiConstType:9 + |vpiDecompile:0 + |vpiSize:64 + |UINT:0 + |vpiRightRange: + \_operation: , line:1529:20, endln:1529:34 + |vpiOpType:11 + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.fpnew_pkg::fpu_implementation_t.PipeRegs.opgrp_fmt_unsigned_t.fmt_unsigned_t.NUM_FP_FORMATS), line:1529:20, endln:1529:34 + |vpiName:NUM_FP_FORMATS + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.fpnew_pkg::fpu_implementation_t.PipeRegs.opgrp_fmt_unsigned_t.fmt_unsigned_t.NUM_FP_FORMATS + |vpiOperand: + \_constant: , line:1529:35, endln:1529:36 + |vpiConstType:9 + |vpiDecompile:1 + |vpiSize:64 + |UINT:1 + |vpiRange: + \_range: , line:1529:38, endln:1529:42, parent:fmt_unsigned_t + |vpiLeftRange: + \_constant: , line:1529:38, endln:1529:40 + |vpiConstType:9 + |vpiDecompile:31 + |vpiSize:64 + |UINT:31 + |vpiRightRange: + \_constant: , line:1529:41, endln:1529:42 + |vpiConstType:9 + |vpiDecompile:0 + |vpiSize:64 + |UINT:0 + |vpiTypedefAlias: + \_logic_typespec: (fmt_unsigned_t), line:1529:11, endln:1529:16, parent:fmt_unsigned_t + |vpiName:fmt_unsigned_t + |vpiRange: + \_range: , line:1529:18, endln:1529:36, parent:fmt_unsigned_t + |vpiLeftRange: + \_constant: , line:1529:18, endln:1529:19 + |vpiConstType:9 + |vpiDecompile:0 + |vpiSize:64 + |UINT:0 + |vpiRightRange: + \_operation: , line:1529:20, endln:1529:34 + |vpiOpType:11 + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.fpnew_pkg::fpu_implementation_t.PipeRegs.opgrp_fmt_unsigned_t.fmt_unsigned_t.fmt_unsigned_t.NUM_FP_FORMATS), line:1529:20, endln:1529:34 + |vpiName:NUM_FP_FORMATS + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.fpnew_pkg::fpu_implementation_t.PipeRegs.opgrp_fmt_unsigned_t.fmt_unsigned_t.fmt_unsigned_t.NUM_FP_FORMATS + |vpiOperand: + \_constant: , line:1529:35, endln:1529:36 + |vpiConstType:9 + |vpiDecompile:1 + |vpiSize:64 + |UINT:1 + |vpiRange: + \_range: , line:1529:38, endln:1529:42, parent:fmt_unsigned_t + |vpiLeftRange: + \_constant: , line:1529:38, endln:1529:40 + |vpiConstType:9 + |vpiDecompile:31 + |vpiSize:64 + |UINT:31 + |vpiRightRange: + \_constant: , line:1529:41, endln:1529:42 + |vpiConstType:9 + |vpiDecompile:0 + |vpiSize:64 + |UINT:0 |vpiInstance: - \_package: ariane_pkg (ariane_pkg::) dut.sv:674:1: , endln:1483:11, parent:work@top - |vpiTypespec: - \_string_typespec: (default), line:2058:20, endln:2058:27 - |vpiName:default - |vpiTypespec: - \_string_typespec: (PipeRegs), line:2054:7, endln:2054:15 - |vpiName:PipeRegs - |vpiOperand: - \_tagged_pattern: - |vpiPattern: - \_operation: , line:2059:18, endln:2062:50 - |vpiOpType:75 - |vpiOperand: - \_operation: , line:2059:20, endln:2059:51 - |vpiOpType:75 - |vpiOperand: - \_tagged_pattern: - |vpiPattern: - \_constant: , line:2059:31, endln:2059:50 - |vpiConstType:7 - |vpiDecompile:1 + \_package: fpnew_pkg (fpnew_pkg::) dut.sv:1485:1: , endln:1955:11, parent:work@top + |vpiInstance: + \_package: fpnew_pkg (fpnew_pkg::) dut.sv:1485:1: , endln:1955:11, parent:work@top + |vpiRange: + \_range: , line:1659:27, endln:1659:43 + |vpiLeftRange: + \_constant: , line:1659:27, endln:1659:28 + |vpiConstType:9 + |vpiDecompile:0 + |vpiSize:64 + |UINT:0 + |vpiRightRange: + \_constant: , line:1659:29, endln:1659:41 + |vpiConstType:7 + |vpiDecompile:3 + |vpiSize:64 + |INT:3 + |vpiTypedefAlias: + \_logic_typespec: + |vpiElemTypespec: + \_logic_typespec: (fmt_unsigned_t), line:1529:11, endln:1529:16 + |vpiName:fmt_unsigned_t + |vpiRange: + \_range: , line:1529:18, endln:1529:36, parent:fmt_unsigned_t + |vpiLeftRange: + \_constant: , line:1529:18, endln:1529:19 + |vpiConstType:9 + |vpiDecompile:0 + |vpiSize:64 + |UINT:0 + |vpiRightRange: + \_operation: , line:1529:20, endln:1529:34 + |vpiOpType:11 + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.fpnew_pkg::fpu_implementation_t.PipeRegs.opgrp_fmt_unsigned_t.fmt_unsigned_t.NUM_FP_FORMATS), line:1529:20, endln:1529:34 + |vpiName:NUM_FP_FORMATS + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.fpnew_pkg::fpu_implementation_t.PipeRegs.opgrp_fmt_unsigned_t.fmt_unsigned_t.NUM_FP_FORMATS + |vpiOperand: + \_constant: , line:1529:35, endln:1529:36 + |vpiConstType:9 + |vpiDecompile:1 + |vpiSize:64 + |UINT:1 + |vpiRange: + \_range: , line:1529:38, endln:1529:42, parent:fmt_unsigned_t + |vpiLeftRange: + \_constant: , line:1529:38, endln:1529:40 + |vpiConstType:9 + |vpiDecompile:31 + |vpiSize:64 + |UINT:31 + |vpiRightRange: + \_constant: , line:1529:41, endln:1529:42 + |vpiConstType:9 + |vpiDecompile:0 + |vpiSize:64 + |UINT:0 + |vpiTypedefAlias: + \_logic_typespec: (fmt_unsigned_t), line:1529:11, endln:1529:16, parent:fmt_unsigned_t + |vpiName:fmt_unsigned_t + |vpiRange: + \_range: , line:1529:18, endln:1529:36, parent:fmt_unsigned_t + |vpiLeftRange: + \_constant: , line:1529:18, endln:1529:19 + |vpiConstType:9 + |vpiDecompile:0 + |vpiSize:64 + |UINT:0 + |vpiRightRange: + \_operation: , line:1529:20, endln:1529:34 + |vpiOpType:11 + |vpiOperand: + \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.fpnew_pkg::fpu_implementation_t.PipeRegs.opgrp_fmt_unsigned_t.fmt_unsigned_t.fmt_unsigned_t.NUM_FP_FORMATS), line:1529:20, endln:1529:34 + |vpiName:NUM_FP_FORMATS + |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.fpnew_pkg::fpu_implementation_t.PipeRegs.opgrp_fmt_unsigned_t.fmt_unsigned_t.fmt_unsigned_t.NUM_FP_FORMATS + |vpiOperand: + \_constant: , line:1529:35, endln:1529:36 + |vpiConstType:9 + |vpiDecompile:1 + |vpiSize:64 + |UINT:1 + |vpiRange: + \_range: , line:1529:38, endln:1529:42, parent:fmt_unsigned_t + |vpiLeftRange: + \_constant: , line:1529:38, endln:1529:40 + |vpiConstType:9 + |vpiDecompile:31 + |vpiSize:64 + |UINT:31 + |vpiRightRange: + \_constant: , line:1529:41, endln:1529:42 + |vpiConstType:9 + |vpiDecompile:0 + |vpiSize:64 + |UINT:0 + |vpiInstance: + \_package: fpnew_pkg (fpnew_pkg::) dut.sv:1485:1: , endln:1955:11, parent:work@top + |vpiInstance: + \_package: fpnew_pkg (fpnew_pkg::) dut.sv:1485:1: , endln:1955:11, parent:work@top + |vpiRange: + \_range: , line:1659:27, endln:1659:43 + |vpiLeftRange: + \_constant: , line:1659:27, endln:1659:28 + |vpiConstType:9 + |vpiDecompile:0 + |vpiSize:64 + |UINT:0 + |vpiRightRange: + \_constant: , line:1659:29, endln:1659:41 + |vpiConstType:7 + |vpiDecompile:3 + |vpiSize:64 + |INT:3 + |vpiInstance: + \_package: fpnew_pkg (fpnew_pkg::) dut.sv:1485:1: , endln:1955:11, parent:work@top + |vpiInstance: + \_package: fpnew_pkg (fpnew_pkg::) dut.sv:1485:1: , endln:1955:11, parent:work@top + |vpiRange: + \_range: , line:1659:27, endln:1659:43, parent:opgrp_fmt_unsigned_t + |vpiLeftRange: + \_constant: , line:1659:27, endln:1659:28 + |vpiConstType:9 + |vpiDecompile:0 |vpiSize:64 - |INT:1 - |vpiTypespec: - \_string_typespec: (default), line:2059:22, endln:2059:29 - |vpiName:default - |vpiOperand: - \_operation: , line:2066:28, endln:2066:59 - |vpiOpType:75 - |vpiOperand: - \_tagged_pattern: - |vpiPattern: - \_constant: , line:2060:31, endln:2060:48 + |UINT:0 + |vpiRightRange: + \_constant: , line:1659:29, endln:1659:41 |vpiConstType:7 - |vpiDecompile:2 + |vpiDecompile:3 |vpiSize:64 - |INT:2 - |vpiTypespec: - \_string_typespec: (default), line:2060:22, endln:2060:29 - |vpiName:default - |vpiOperand: - \_operation: , line:2061:20, endln:2061:51 - |vpiOpType:75 - |vpiOperand: - \_tagged_pattern: - |vpiPattern: - \_constant: , line:2061:31, endln:2061:50 + |INT:3 + |vpiInstance: + \_package: fpnew_pkg (fpnew_pkg::) dut.sv:1485:1: , endln:1955:11, parent:work@top + |vpiTypespecMember: + \_typespec_member: (UnitTypes), line:1722:28, endln:1722:37, parent:fpnew_pkg::fpu_implementation_t + |vpiName:UnitTypes + |vpiTypespec: + \_packed_array_typespec: (opgrp_fmt_unit_types_t), parent:UnitTypes + |vpiName:opgrp_fmt_unit_types_t + |vpiRange: + \_range: , line:1654:24, endln:1654:42, parent:opgrp_fmt_unit_types_t + |vpiLeftRange: + \_constant: , line:1654:24, endln:1654:25 + |vpiConstType:9 + |vpiDecompile:0 + |vpiSize:64 + |UINT:0 + |vpiRightRange: + \_constant: , line:1654:26, endln:1654:40 |vpiConstType:7 - |vpiDecompile:1 + |vpiDecompile:4 + |vpiSize:64 + |INT:4 + |vpiElemTypespec: + \_enum_typespec: (fpnew_pkg::unit_type_t), line:1651:5, endln:1651:16, parent:opgrp_fmt_unit_types_t + |vpiName:fpnew_pkg::unit_type_t + |vpiBaseTypespec: + \_logic_typespec: , line:1647:16, endln:1647:21, parent:fpnew_pkg::unit_type_t + |vpiRange: + \_range: , line:1647:23, endln:1647:26 + |vpiLeftRange: + \_constant: , line:1647:23, endln:1647:24 + |vpiConstType:9 + |vpiDecompile:1 + |vpiSize:64 + |UINT:1 + |vpiRightRange: + \_constant: , line:1647:25, endln:1647:26 + |vpiConstType:9 + |vpiDecompile:0 + |vpiSize:64 + |UINT:0 + |vpiInstance: + \_package: fpnew_pkg (fpnew_pkg::) dut.sv:1485:1: , endln:1955:11, parent:work@top + |vpiEnumConst: + \_enum_const: (DISABLED), line:1648:5, endln:1648:13, parent:fpnew_pkg::unit_type_t + |vpiName:DISABLED + |vpiDecompile:0 + |INT:0 |vpiSize:64 + |vpiEnumConst: + \_enum_const: (PARALLEL), line:1649:5, endln:1649:13, parent:fpnew_pkg::unit_type_t + |vpiName:PARALLEL + |vpiDecompile:1 |INT:1 - |vpiTypespec: - \_string_typespec: (default), line:2061:22, endln:2061:29 - |vpiName:default - |vpiOperand: - \_operation: , line:2062:20, endln:2062:49 - |vpiOpType:75 - |vpiOperand: - \_tagged_pattern: - |vpiPattern: - \_constant: , line:2062:31, endln:2062:48 - |vpiConstType:7 - |vpiDecompile:2 |vpiSize:64 + |vpiEnumConst: + \_enum_const: (MERGED), line:1650:5, endln:1650:11, parent:fpnew_pkg::unit_type_t + |vpiName:MERGED + |vpiDecompile:2 |INT:2 - |vpiTypespec: - \_string_typespec: (default), line:2062:22, endln:2062:29 - |vpiName:default - |vpiTypespec: - \_string_typespec: (UnitTypes), line:2059:7, endln:2059:16 - |vpiName:UnitTypes - |vpiOperand: - \_tagged_pattern: - |vpiPattern: - \_constant: , line:2063:19, endln:2063:41 - |vpiConstType:7 - |vpiDecompile:3 - |vpiSize:64 - |INT:3 - |vpiTypespec: - \_string_typespec: (PipeConfig), line:2063:7, endln:2063:17 + |vpiSize:64 + |vpiInstance: + \_package: fpnew_pkg (fpnew_pkg::) dut.sv:1485:1: , endln:1955:11, parent:work@top + |vpiTypedefAlias: + \_packed_array_typespec: (fmt_unit_types_t), parent:opgrp_fmt_unit_types_t + |vpiName:fmt_unit_types_t + |vpiRange: + \_range: , line:1654:24, endln:1654:42, parent:fmt_unit_types_t + |vpiLeftRange: + \_constant: , line:1654:24, endln:1654:25 + |vpiConstType:9 + |vpiDecompile:0 + |vpiSize:64 + |UINT:0 + |vpiRightRange: + \_constant: , line:1654:26, endln:1654:40 + |vpiConstType:7 + |vpiDecompile:4 + |vpiSize:64 + |INT:4 + |vpiElemTypespec: + \_enum_typespec: (fpnew_pkg::unit_type_t), line:1651:5, endln:1651:16, parent:fmt_unit_types_t + |vpiName:fpnew_pkg::unit_type_t + |vpiBaseTypespec: + \_logic_typespec: , line:1647:16, endln:1647:21, parent:fpnew_pkg::unit_type_t + |vpiRange: + \_range: , line:1647:23, endln:1647:26 + |vpiLeftRange: + \_constant: , line:1647:23, endln:1647:24 + |vpiConstType:9 + |vpiDecompile:1 + |vpiSize:64 + |UINT:1 + |vpiRightRange: + \_constant: , line:1647:25, endln:1647:26 + |vpiConstType:9 + |vpiDecompile:0 + |vpiSize:64 + |UINT:0 + |vpiInstance: + \_package: fpnew_pkg (fpnew_pkg::) dut.sv:1485:1: , endln:1955:11, parent:work@top + |vpiEnumConst: + \_enum_const: (DISABLED), line:1648:5, endln:1648:13, parent:fpnew_pkg::unit_type_t + |vpiName:DISABLED + |vpiDecompile:0 + |INT:0 + |vpiSize:64 + |vpiEnumConst: + \_enum_const: (PARALLEL), line:1649:5, endln:1649:13, parent:fpnew_pkg::unit_type_t + |vpiName:PARALLEL + |vpiDecompile:1 + |INT:1 + |vpiSize:64 + |vpiEnumConst: + \_enum_const: (MERGED), line:1650:5, endln:1650:11, parent:fpnew_pkg::unit_type_t + |vpiName:MERGED + |vpiDecompile:2 + |INT:2 + |vpiSize:64 + |vpiInstance: + \_package: fpnew_pkg (fpnew_pkg::) dut.sv:1485:1: , endln:1955:11, parent:work@top + |vpiTypedefAlias: + \_packed_array_typespec: , parent:fmt_unit_types_t + |vpiRange: + \_range: , line:1654:24, endln:1654:42 + |vpiLeftRange: + \_constant: , line:1654:24, endln:1654:25 + |vpiConstType:9 + |vpiDecompile:0 + |vpiSize:64 + |UINT:0 + |vpiRightRange: + \_constant: , line:1654:26, endln:1654:40 + |vpiConstType:7 + |vpiDecompile:4 + |vpiSize:64 + |INT:4 + |vpiElemTypespec: + \_enum_typespec: (fpnew_pkg::unit_type_t), line:1651:5, endln:1651:16 + |vpiName:fpnew_pkg::unit_type_t + |vpiBaseTypespec: + \_logic_typespec: , line:1647:16, endln:1647:21, parent:fpnew_pkg::unit_type_t + |vpiRange: + \_range: , line:1647:23, endln:1647:26 + |vpiLeftRange: + \_constant: , line:1647:23, endln:1647:24 + |vpiConstType:9 + |vpiDecompile:1 + |vpiSize:64 + |UINT:1 + |vpiRightRange: + \_constant: , line:1647:25, endln:1647:26 + |vpiConstType:9 + |vpiDecompile:0 + |vpiSize:64 + |UINT:0 + |vpiInstance: + \_package: fpnew_pkg (fpnew_pkg::) dut.sv:1485:1: , endln:1955:11, parent:work@top + |vpiEnumConst: + \_enum_const: (DISABLED), line:1648:5, endln:1648:13, parent:fpnew_pkg::unit_type_t + |vpiName:DISABLED + |vpiDecompile:0 + |INT:0 + |vpiSize:64 + |vpiEnumConst: + \_enum_const: (PARALLEL), line:1649:5, endln:1649:13, parent:fpnew_pkg::unit_type_t + |vpiName:PARALLEL + |vpiDecompile:1 + |INT:1 + |vpiSize:64 + |vpiEnumConst: + \_enum_const: (MERGED), line:1650:5, endln:1650:11, parent:fpnew_pkg::unit_type_t + |vpiName:MERGED + |vpiDecompile:2 + |INT:2 + |vpiSize:64 + |vpiInstance: + \_package: fpnew_pkg (fpnew_pkg::) dut.sv:1485:1: , endln:1955:11, parent:work@top + |vpiInstance: + \_package: fpnew_pkg (fpnew_pkg::) dut.sv:1485:1: , endln:1955:11, parent:work@top + |vpiInstance: + \_package: fpnew_pkg (fpnew_pkg::) dut.sv:1485:1: , endln:1955:11, parent:work@top + |vpiInstance: + \_package: fpnew_pkg (fpnew_pkg::) dut.sv:1485:1: , endln:1955:11, parent:work@top + |vpiTypespecMember: + \_typespec_member: (PipeConfig), line:1723:28, endln:1723:38, parent:fpnew_pkg::fpu_implementation_t |vpiName:PipeConfig + |vpiTypespec: + \_enum_typespec: (fpnew_pkg::pipe_config_t), line:1644:5, endln:1644:18, parent:PipeConfig + |vpiName:fpnew_pkg::pipe_config_t + |vpiBaseTypespec: + \_logic_typespec: , line:1639:16, endln:1639:21, parent:fpnew_pkg::pipe_config_t + |vpiRange: + \_range: , line:1639:23, endln:1639:26 + |vpiLeftRange: + \_constant: , line:1639:23, endln:1639:24 + |vpiConstType:9 + |vpiDecompile:1 + |vpiSize:64 + |UINT:1 + |vpiRightRange: + \_constant: , line:1639:25, endln:1639:26 + |vpiConstType:9 + |vpiDecompile:0 + |vpiSize:64 + |UINT:0 + |vpiInstance: + \_package: fpnew_pkg (fpnew_pkg::) dut.sv:1485:1: , endln:1955:11, parent:work@top + |vpiEnumConst: + \_enum_const: (BEFORE), line:1640:5, endln:1640:11, parent:fpnew_pkg::pipe_config_t + |vpiName:BEFORE + |vpiDecompile:0 + |INT:0 + |vpiSize:64 + |vpiEnumConst: + \_enum_const: (AFTER), line:1641:5, endln:1641:10, parent:fpnew_pkg::pipe_config_t + |vpiName:AFTER + |vpiDecompile:1 + |INT:1 + |vpiSize:64 + |vpiEnumConst: + \_enum_const: (INSIDE), line:1642:5, endln:1642:11, parent:fpnew_pkg::pipe_config_t + |vpiName:INSIDE + |vpiDecompile:2 + |INT:2 + |vpiSize:64 + |vpiEnumConst: + \_enum_const: (DISTRIBUTED), line:1643:5, endln:1643:16, parent:fpnew_pkg::pipe_config_t + |vpiName:DISTRIBUTED + |vpiDecompile:3 + |INT:3 + |vpiSize:64 + |vpiInstance: + \_package: fpnew_pkg (fpnew_pkg::) dut.sv:1485:1: , endln:1955:11, parent:work@top + |vpiInstance: + \_package: fpnew_pkg (fpnew_pkg::) dut.sv:1485:1: , endln:1955:11, parent:work@top |vpiLhs: \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.FPU_IMPLEMENTATION), line:2053:44, endln:2064:6, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen |vpiParamAssign: @@ -117505,100 +118784,20 @@ design: (work@top) |UINT:1 |vpiParameter: \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.LAT_COMP_FP16), line:827:29, endln:827:51, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i - |vpiName:LAT_COMP_FP16 - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.LAT_COMP_FP16 - |vpiImported:ariane_pkg - |vpiLocalParam:1 - |DEC:1 - |vpiTypespec: - \_int_typespec: (LAT_COMP_FP16), line:827:16, endln:827:19, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.LAT_COMP_FP16 - |vpiName:LAT_COMP_FP16 - |vpiInstance: - \_package: ariane_pkg (ariane_pkg::) dut.sv:674:1: , endln:1483:11, parent:work@top |vpiParameter: \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.LAT_COMP_FP16ALT), line:828:29, endln:828:51, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i - |vpiName:LAT_COMP_FP16ALT - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.LAT_COMP_FP16ALT - |vpiImported:ariane_pkg - |vpiLocalParam:1 - |DEC:1 - |vpiTypespec: - \_int_typespec: (LAT_COMP_FP16ALT), line:828:16, endln:828:19, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.LAT_COMP_FP16ALT - |vpiName:LAT_COMP_FP16ALT - |vpiInstance: - \_package: ariane_pkg (ariane_pkg::) dut.sv:674:1: , endln:1483:11, parent:work@top |vpiParameter: \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.LAT_COMP_FP32), line:825:29, endln:825:51, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i - |vpiName:LAT_COMP_FP32 - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.LAT_COMP_FP32 - |vpiImported:ariane_pkg - |vpiLocalParam:1 - |DEC:2 - |vpiTypespec: - \_int_typespec: (LAT_COMP_FP32), line:825:16, endln:825:19, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.LAT_COMP_FP32 - |vpiName:LAT_COMP_FP32 - |vpiInstance: - \_package: ariane_pkg (ariane_pkg::) dut.sv:674:1: , endln:1483:11, parent:work@top |vpiParameter: \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.LAT_COMP_FP64), line:826:29, endln:826:51, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i - |vpiName:LAT_COMP_FP64 - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.LAT_COMP_FP64 - |vpiImported:ariane_pkg - |vpiLocalParam:1 - |DEC:3 - |vpiTypespec: - \_int_typespec: (LAT_COMP_FP64), line:826:16, endln:826:19, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.LAT_COMP_FP64 - |vpiName:LAT_COMP_FP64 - |vpiInstance: - \_package: ariane_pkg (ariane_pkg::) dut.sv:674:1: , endln:1483:11, parent:work@top |vpiParameter: \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.LAT_COMP_FP8), line:829:29, endln:829:51, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i - |vpiName:LAT_COMP_FP8 - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.LAT_COMP_FP8 - |vpiImported:ariane_pkg - |vpiLocalParam:1 - |DEC:1 - |vpiTypespec: - \_int_typespec: (LAT_COMP_FP8), line:829:16, endln:829:19, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.LAT_COMP_FP8 - |vpiName:LAT_COMP_FP8 - |vpiInstance: - \_package: ariane_pkg (ariane_pkg::) dut.sv:674:1: , endln:1483:11, parent:work@top |vpiParameter: \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.LAT_CONV), line:832:29, endln:832:51, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i - |vpiName:LAT_CONV - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.LAT_CONV - |vpiImported:ariane_pkg - |vpiLocalParam:1 - |DEC:2 - |vpiTypespec: - \_int_typespec: (LAT_CONV), line:832:16, endln:832:19, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.LAT_CONV - |vpiName:LAT_CONV - |vpiInstance: - \_package: ariane_pkg (ariane_pkg::) dut.sv:674:1: , endln:1483:11, parent:work@top |vpiParameter: \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.LAT_DIVSQRT), line:830:29, endln:830:51, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i - |vpiName:LAT_DIVSQRT - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.LAT_DIVSQRT - |vpiImported:ariane_pkg - |vpiLocalParam:1 - |DEC:2 - |vpiTypespec: - \_int_typespec: (LAT_DIVSQRT), line:830:16, endln:830:19, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.LAT_DIVSQRT - |vpiName:LAT_DIVSQRT - |vpiInstance: - \_package: ariane_pkg (ariane_pkg::) dut.sv:674:1: , endln:1483:11, parent:work@top |vpiParameter: \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.LAT_NONCOMP), line:831:29, endln:831:51, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i - |vpiName:LAT_NONCOMP - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.LAT_NONCOMP - |vpiImported:ariane_pkg - |vpiLocalParam:1 - |DEC:1 - |vpiTypespec: - \_int_typespec: (LAT_NONCOMP), line:831:16, endln:831:19, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.LAT_NONCOMP - |vpiName:LAT_NONCOMP - |vpiInstance: - \_package: ariane_pkg (ariane_pkg::) dut.sv:674:1: , endln:1483:11, parent:work@top |vpiParameter: \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.MachineIrq), line:1014:16, endln:1014:30, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i |vpiName:MachineIrq @@ -117698,28 +118897,8 @@ design: (work@top) \_package: ariane_pkg (ariane_pkg::) dut.sv:674:1: , endln:1483:11, parent:work@top |vpiParameter: \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.RVD), line:814:20, endln:814:42, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i - |vpiName:RVD - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.RVD - |vpiImported:ariane_pkg - |vpiLocalParam:1 - |INT:1 - |vpiTypespec: - \_bit_typespec: (RVD), line:814:16, endln:814:19, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.RVD - |vpiName:RVD - |vpiInstance: - \_package: ariane_pkg (ariane_pkg::) dut.sv:674:1: , endln:1483:11, parent:work@top |vpiParameter: \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.RVF), line:813:20, endln:813:42, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i - |vpiName:RVF - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.RVF - |vpiImported:ariane_pkg - |vpiLocalParam:1 - |INT:1 - |vpiTypespec: - \_bit_typespec: (RVF), line:813:16, endln:813:19, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.RVF - |vpiName:RVF - |vpiInstance: - \_package: ariane_pkg (ariane_pkg::) dut.sv:674:1: , endln:1483:11, parent:work@top |vpiParameter: \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.RVFVEC), line:848:20, endln:848:58, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i |vpiName:RVFVEC @@ -117798,28 +118977,8 @@ design: (work@top) |UINT:3 |vpiParameter: \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.XF16), line:819:20, endln:819:34, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i - |vpiName:XF16 - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.XF16 - |vpiImported:ariane_pkg - |vpiLocalParam:1 - |BIN:0 - |vpiTypespec: - \_bit_typespec: (XF16), line:819:16, endln:819:19, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.XF16 - |vpiName:XF16 - |vpiInstance: - \_package: ariane_pkg (ariane_pkg::) dut.sv:674:1: , endln:1483:11, parent:work@top |vpiParameter: \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.XF16ALT), line:820:20, endln:820:34, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i - |vpiName:XF16ALT - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.XF16ALT - |vpiImported:ariane_pkg - |vpiLocalParam:1 - |BIN:0 - |vpiTypespec: - \_bit_typespec: (XF16ALT), line:820:16, endln:820:19, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.XF16ALT - |vpiName:XF16ALT - |vpiInstance: - \_package: ariane_pkg (ariane_pkg::) dut.sv:674:1: , endln:1483:11, parent:work@top |vpiParameter: \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.XF16ALTVEC), line:850:20, endln:850:58, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i |vpiName:XF16ALTVEC @@ -117846,16 +119005,6 @@ design: (work@top) \_package: ariane_pkg (ariane_pkg::) dut.sv:674:1: , endln:1483:11, parent:work@top |vpiParameter: \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.XF8), line:821:20, endln:821:34, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i - |vpiName:XF8 - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.XF8 - |vpiImported:ariane_pkg - |vpiLocalParam:1 - |BIN:0 - |vpiTypespec: - \_bit_typespec: (XF8), line:821:16, endln:821:19, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.XF8 - |vpiName:XF8 - |vpiInstance: - \_package: ariane_pkg (ariane_pkg::) dut.sv:674:1: , endln:1483:11, parent:work@top |vpiParameter: \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.XF8VEC), line:851:20, endln:851:57, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i |vpiName:XF8VEC @@ -117870,16 +119019,6 @@ design: (work@top) \_package: ariane_pkg (ariane_pkg::) dut.sv:674:1: , endln:1483:11, parent:work@top |vpiParameter: \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.XFVEC), line:822:20, endln:822:34, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i - |vpiName:XFVEC - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.XFVEC - |vpiImported:ariane_pkg - |vpiLocalParam:1 - |BIN:0 - |vpiTypespec: - \_bit_typespec: (XFVEC), line:822:16, endln:822:19, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.XFVEC - |vpiName:XFVEC - |vpiInstance: - \_package: ariane_pkg (ariane_pkg::) dut.sv:674:1: , endln:1483:11, parent:work@top |vpiParameter: \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.ZERO_TVAL), line:902:20, endln:902:36, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i |vpiName:ZERO_TVAL @@ -120266,178 +121405,10 @@ design: (work@top) |vpiParamAssign: \_param_assign: , line:2083:40, endln:2083:83, parent:work@top.i_ariane.ex_stage_i |vpiRhs: - \_operation: , line:2083:52, endln:2083:83 - |vpiOpType:75 - |vpiOperand: - \_tagged_pattern: - |vpiPattern: - \_constant: , line:707:17, endln:707:18 - |vpiConstType:9 - |vpiDecompile:2 - |vpiSize:64 - |UINT:2 - |vpiTypespec: - \_string_typespec: (RASDepth), line:707:7, endln:707:15 - |vpiName:RASDepth - |vpiOperand: - \_tagged_pattern: - |vpiPattern: - \_constant: , line:708:19, endln:708:21 - |vpiConstType:9 - |vpiDecompile:32 - |vpiSize:64 - |UINT:32 - |vpiTypespec: - \_string_typespec: (BTBEntries), line:708:7, endln:708:17 - |vpiName:BTBEntries - |vpiOperand: - \_tagged_pattern: - |vpiPattern: - \_constant: , line:709:19, endln:709:22 - |vpiConstType:9 - |vpiDecompile:128 - |vpiSize:64 - |UINT:128 - |vpiTypespec: - \_string_typespec: (BHTEntries), line:709:7, endln:709:17 - |vpiName:BHTEntries - |vpiOperand: - \_tagged_pattern: - |vpiPattern: - \_constant: , line:711:29, endln:711:30 - |vpiConstType:9 - |vpiDecompile:2 - |vpiSize:64 - |UINT:2 - |vpiTypespec: - \_string_typespec: (NrNonIdempotentRules), line:711:7, endln:711:27 - |vpiName:NrNonIdempotentRules - |vpiOperand: - \_tagged_pattern: - |vpiPattern: - \_constant: , line:712:30, endln:712:44 - |vpiConstType:3 - |vpiSize:128 - |BIN:00 - |vpiTypespec: - \_string_typespec: (NonIdempotentAddrBase), line:712:7, endln:712:28 - |vpiName:NonIdempotentAddrBase - |vpiOperand: - \_tagged_pattern: - |vpiPattern: - \_constant: , line:713:30, endln:713:44 - |vpiConstType:3 - |vpiSize:128 - |BIN:00 - |vpiTypespec: - \_string_typespec: (NonIdempotentLength), line:713:7, endln:713:26 - |vpiName:NonIdempotentLength - |vpiOperand: - \_tagged_pattern: - |vpiPattern: - \_constant: , line:714:29, endln:714:30 - |vpiConstType:9 - |vpiDecompile:3 - |vpiSize:64 - |UINT:3 - |vpiTypespec: - \_string_typespec: (NrExecuteRegionRules), line:714:7, endln:714:27 - |vpiName:NrExecuteRegionRules - |vpiOperand: - \_tagged_pattern: - |vpiPattern: - \_constant: , line:716:30, endln:716:64 - |vpiConstType:3 - |vpiSize:192 - |BIN:1000000000000000000000000000000010000000000000000 - |vpiTypespec: - \_string_typespec: (ExecuteRegionAddrBase), line:716:7, endln:716:28 - |vpiName:ExecuteRegionAddrBase - |vpiOperand: - \_tagged_pattern: - |vpiPattern: - \_constant: , line:717:30, endln:717:67 - |vpiConstType:3 - |vpiSize:192 - |BIN:1000000000000000000000000000000100000000000000001000000000000 - |vpiTypespec: - \_string_typespec: (ExecuteRegionLength), line:717:7, endln:717:26 - |vpiName:ExecuteRegionLength - |vpiOperand: - \_tagged_pattern: - |vpiPattern: - \_constant: , line:719:31, endln:719:32 - |vpiConstType:9 - |vpiDecompile:1 - |vpiSize:64 - |UINT:1 - |vpiTypespec: - \_string_typespec: (NrCachedRegionRules), line:719:7, endln:719:26 - |vpiName:NrCachedRegionRules - |vpiOperand: - \_tagged_pattern: - |vpiPattern: - \_constant: , line:720:30, endln:720:45 - |vpiConstType:3 - |vpiSize:64 - |BIN:10000000000000000000000000000000 - |vpiTypespec: - \_string_typespec: (CachedRegionAddrBase), line:720:7, endln:720:27 - |vpiName:CachedRegionAddrBase - |vpiOperand: - \_tagged_pattern: - |vpiPattern: - \_constant: , line:721:30, endln:721:44 - |vpiConstType:3 - |vpiSize:64 - |BIN:1000000000000000000000000000000 - |vpiTypespec: - \_string_typespec: (CachedRegionLength), line:721:7, endln:721:25 - |vpiName:CachedRegionLength - |vpiOperand: - \_tagged_pattern: - |vpiPattern: - \_constant: , line:723:31, endln:723:35 - |vpiConstType:3 - |vpiDecompile:1'b1 - |vpiSize:1 - |BIN:1 - |vpiTypespec: - \_string_typespec: (Axi64BitCompliant), line:723:7, endln:723:24 - |vpiName:Axi64BitCompliant - |vpiOperand: - \_tagged_pattern: - |vpiPattern: - \_constant: , line:724:31, endln:724:35 - |vpiConstType:3 - |vpiDecompile:1'b0 - |vpiSize:1 - |BIN:0 - |vpiTypespec: - \_string_typespec: (SwapEndianess), line:724:7, endln:724:20 - |vpiName:SwapEndianess - |vpiOperand: - \_tagged_pattern: - |vpiPattern: - \_constant: , line:726:31, endln:726:36 - |vpiConstType:5 - |vpiDecompile:64'h0 - |vpiSize:64 - |HEX:0 - |vpiTypespec: - \_string_typespec: (DmBaseAddress), line:726:7, endln:726:20 - |vpiName:DmBaseAddress - |vpiOperand: - \_tagged_pattern: - |vpiPattern: - \_constant: , line:727:31, endln:727:32 - |vpiConstType:9 - |vpiDecompile:8 - |vpiSize:64 - |UINT:8 - |vpiTypespec: - \_string_typespec: (NrPMPEntries), line:727:7, endln:727:19 - |vpiName:NrPMPEntries + \_ref_obj: (ariane_soc::ArianeSocCfg), line:2119:19, endln:2119:28 + |vpiName:ariane_soc::ArianeSocCfg + |vpiTypespec: + \_struct_typespec: (ariane_pkg::ariane_cfg_t), line:684:13, endln:684:19, parent:work@ex_stage.ArianeCfg |vpiLhs: \_parameter: (work@top.i_ariane.ex_stage_i.ArianeCfg), line:2083:40, endln:2083:83, parent:work@top.i_ariane.ex_stage_i |vpiParamAssign: @@ -121149,6 +122120,8 @@ design: (work@top) |vpiOperand: \_ref_obj: (RVA), line:857:42, endln:857:45 |vpiName:RVA + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.RVA), line:816:20, endln:816:30, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i |vpiOperand: \_constant: , line:857:50, endln:857:51 |vpiConstType:9 @@ -121176,6 +122149,8 @@ design: (work@top) |vpiOperand: \_ref_obj: (RVD), line:859:41, endln:859:44 |vpiName:RVD + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.RVD), line:814:20, endln:814:42, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i |vpiOperand: \_constant: , line:859:49, endln:859:50 |vpiConstType:9 @@ -121188,6 +122163,8 @@ design: (work@top) |vpiOperand: \_ref_obj: (RVF), line:860:41, endln:860:44 |vpiName:RVF + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.RVF), line:813:20, endln:813:42, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i |vpiOperand: \_constant: , line:860:49, endln:860:50 |vpiConstType:9 @@ -121275,6 +122252,8 @@ design: (work@top) |vpiOperand: \_ref_obj: (NSX), line:866:41, endln:866:44 |vpiName:NSX + |vpiActual: + \_parameter: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.NSX), line:846:20, endln:846:54, parent:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i |vpiOperand: \_constant: , line:866:48, endln:866:50 |vpiConstType:9 @@ -123630,178 +124609,8 @@ design: (work@top) |vpiParamAssign: \_param_assign: , line:2115:38, endln:2115:85, parent:work@top.i_ariane |vpiRhs: - \_operation: , line:2115:54, endln:2115:85 - |vpiOpType:75 - |vpiOperand: - \_tagged_pattern: - |vpiPattern: - \_constant: , line:707:17, endln:707:18 - |vpiConstType:9 - |vpiDecompile:2 - |vpiSize:64 - |UINT:2 - |vpiTypespec: - \_string_typespec: (RASDepth), line:707:7, endln:707:15 - |vpiName:RASDepth - |vpiOperand: - \_tagged_pattern: - |vpiPattern: - \_constant: , line:708:19, endln:708:21 - |vpiConstType:9 - |vpiDecompile:32 - |vpiSize:64 - |UINT:32 - |vpiTypespec: - \_string_typespec: (BTBEntries), line:708:7, endln:708:17 - |vpiName:BTBEntries - |vpiOperand: - \_tagged_pattern: - |vpiPattern: - \_constant: , line:709:19, endln:709:22 - |vpiConstType:9 - |vpiDecompile:128 - |vpiSize:64 - |UINT:128 - |vpiTypespec: - \_string_typespec: (BHTEntries), line:709:7, endln:709:17 - |vpiName:BHTEntries - |vpiOperand: - \_tagged_pattern: - |vpiPattern: - \_constant: , line:711:29, endln:711:30 - |vpiConstType:9 - |vpiDecompile:2 - |vpiSize:64 - |UINT:2 - |vpiTypespec: - \_string_typespec: (NrNonIdempotentRules), line:711:7, endln:711:27 - |vpiName:NrNonIdempotentRules - |vpiOperand: - \_tagged_pattern: - |vpiPattern: - \_constant: , line:712:30, endln:712:44 - |vpiConstType:3 - |vpiSize:128 - |BIN:00 - |vpiTypespec: - \_string_typespec: (NonIdempotentAddrBase), line:712:7, endln:712:28 - |vpiName:NonIdempotentAddrBase - |vpiOperand: - \_tagged_pattern: - |vpiPattern: - \_constant: , line:713:30, endln:713:44 - |vpiConstType:3 - |vpiSize:128 - |BIN:00 - |vpiTypespec: - \_string_typespec: (NonIdempotentLength), line:713:7, endln:713:26 - |vpiName:NonIdempotentLength - |vpiOperand: - \_tagged_pattern: - |vpiPattern: - \_constant: , line:714:29, endln:714:30 - |vpiConstType:9 - |vpiDecompile:3 - |vpiSize:64 - |UINT:3 - |vpiTypespec: - \_string_typespec: (NrExecuteRegionRules), line:714:7, endln:714:27 - |vpiName:NrExecuteRegionRules - |vpiOperand: - \_tagged_pattern: - |vpiPattern: - \_constant: , line:716:30, endln:716:64 - |vpiConstType:3 - |vpiSize:192 - |BIN:1000000000000000000000000000000010000000000000000 - |vpiTypespec: - \_string_typespec: (ExecuteRegionAddrBase), line:716:7, endln:716:28 - |vpiName:ExecuteRegionAddrBase - |vpiOperand: - \_tagged_pattern: - |vpiPattern: - \_constant: , line:717:30, endln:717:67 - |vpiConstType:3 - |vpiSize:192 - |BIN:1000000000000000000000000000000100000000000000001000000000000 - |vpiTypespec: - \_string_typespec: (ExecuteRegionLength), line:717:7, endln:717:26 - |vpiName:ExecuteRegionLength - |vpiOperand: - \_tagged_pattern: - |vpiPattern: - \_constant: , line:719:31, endln:719:32 - |vpiConstType:9 - |vpiDecompile:1 - |vpiSize:64 - |UINT:1 - |vpiTypespec: - \_string_typespec: (NrCachedRegionRules), line:719:7, endln:719:26 - |vpiName:NrCachedRegionRules - |vpiOperand: - \_tagged_pattern: - |vpiPattern: - \_constant: , line:720:30, endln:720:45 - |vpiConstType:3 - |vpiSize:64 - |BIN:10000000000000000000000000000000 - |vpiTypespec: - \_string_typespec: (CachedRegionAddrBase), line:720:7, endln:720:27 - |vpiName:CachedRegionAddrBase - |vpiOperand: - \_tagged_pattern: - |vpiPattern: - \_constant: , line:721:30, endln:721:44 - |vpiConstType:3 - |vpiSize:64 - |BIN:1000000000000000000000000000000 - |vpiTypespec: - \_string_typespec: (CachedRegionLength), line:721:7, endln:721:25 - |vpiName:CachedRegionLength - |vpiOperand: - \_tagged_pattern: - |vpiPattern: - \_constant: , line:723:31, endln:723:35 - |vpiConstType:3 - |vpiDecompile:1'b1 - |vpiSize:1 - |BIN:1 - |vpiTypespec: - \_string_typespec: (Axi64BitCompliant), line:723:7, endln:723:24 - |vpiName:Axi64BitCompliant - |vpiOperand: - \_tagged_pattern: - |vpiPattern: - \_constant: , line:724:31, endln:724:35 - |vpiConstType:3 - |vpiDecompile:1'b0 - |vpiSize:1 - |BIN:0 - |vpiTypespec: - \_string_typespec: (SwapEndianess), line:724:7, endln:724:20 - |vpiName:SwapEndianess - |vpiOperand: - \_tagged_pattern: - |vpiPattern: - \_constant: , line:726:31, endln:726:36 - |vpiConstType:5 - |vpiDecompile:64'h0 - |vpiSize:64 - |HEX:0 - |vpiTypespec: - \_string_typespec: (DmBaseAddress), line:726:7, endln:726:20 - |vpiName:DmBaseAddress - |vpiOperand: - \_tagged_pattern: - |vpiPattern: - \_constant: , line:727:31, endln:727:32 - |vpiConstType:9 - |vpiDecompile:8 - |vpiSize:64 - |UINT:8 - |vpiTypespec: - \_string_typespec: (NrPMPEntries), line:727:7, endln:727:19 - |vpiName:NrPMPEntries + \_ref_obj: (ariane_soc::ArianeSocCfg), line:2127:19, endln:2127:43 + |vpiName:ariane_soc::ArianeSocCfg |vpiLhs: \_parameter: (work@top.i_ariane.ArianeCfg), line:2115:38, endln:2115:85, parent:work@top.i_ariane |vpiParamAssign: @@ -124513,6 +125322,8 @@ design: (work@top) |vpiOperand: \_ref_obj: (RVA), line:857:42, endln:857:45 |vpiName:RVA + |vpiActual: + \_parameter: (work@top.i_ariane.RVA), line:816:20, endln:816:30, parent:work@top.i_ariane |vpiOperand: \_constant: , line:857:50, endln:857:51 |vpiConstType:9 @@ -124540,6 +125351,8 @@ design: (work@top) |vpiOperand: \_ref_obj: (RVD), line:859:41, endln:859:44 |vpiName:RVD + |vpiActual: + \_parameter: (work@top.i_ariane.RVD), line:814:20, endln:814:42, parent:work@top.i_ariane |vpiOperand: \_constant: , line:859:49, endln:859:50 |vpiConstType:9 @@ -124552,6 +125365,8 @@ design: (work@top) |vpiOperand: \_ref_obj: (RVF), line:860:41, endln:860:44 |vpiName:RVF + |vpiActual: + \_parameter: (work@top.i_ariane.RVF), line:813:20, endln:813:42, parent:work@top.i_ariane |vpiOperand: \_constant: , line:860:49, endln:860:50 |vpiConstType:9 @@ -124639,6 +125454,8 @@ design: (work@top) |vpiOperand: \_ref_obj: (NSX), line:866:41, endln:866:44 |vpiName:NSX + |vpiActual: + \_parameter: (work@top.i_ariane.NSX), line:846:20, endln:846:54, parent:work@top.i_ariane |vpiOperand: \_constant: , line:866:48, endln:866:50 |vpiConstType:9 @@ -125646,6 +126463,6 @@ design: (work@top) [ FATAL] : 0 [ SYNTAX] : 0 [ ERROR] : 0 -[WARNING] : 31 +[WARNING] : 15 [ NOTE] : 5 diff --git a/tests/Bindings/Bindings.log b/tests/Bindings/Bindings.log index 84f8fdfa33..b0d64c25c7 100644 --- a/tests/Bindings/Bindings.log +++ b/tests/Bindings/Bindings.log @@ -2730,6 +2730,8 @@ design: (work@dut1) \_ref_obj: (work@dut5.LC_TX_DEFAULT.lc_tx_t.TxWidth), line:87:25, endln:87:32 |vpiName:TxWidth |vpiFullName:work@dut5.LC_TX_DEFAULT.lc_tx_t.TxWidth + |vpiActual: + \_parameter: (work@dut5.TxWidth), line:86:17, endln:86:28, parent:work@dut5 |vpiOperand: \_constant: , line:87:33, endln:87:34 |vpiConstType:9 @@ -2768,6 +2770,8 @@ design: (work@dut1) \_ref_obj: (work@dut5.LC_TX_DEFAULT.lc_tx_t.lc_tx_e.TxWidth), line:87:25, endln:87:32 |vpiName:TxWidth |vpiFullName:work@dut5.LC_TX_DEFAULT.lc_tx_t.lc_tx_e.TxWidth + |vpiActual: + \_parameter: (work@dut5.TxWidth), line:86:17, endln:86:28, parent:work@dut5 |vpiOperand: \_constant: , line:87:33, endln:87:34 |vpiConstType:9 diff --git a/tests/BitSelect/BitSelect.log b/tests/BitSelect/BitSelect.log index b54bb157dc..52a3f0e037 100644 --- a/tests/BitSelect/BitSelect.log +++ b/tests/BitSelect/BitSelect.log @@ -483,6 +483,8 @@ design: (work@dut) \_ref_obj: (work@dut.AlertAsyncOn.AlertAsyncOn.NumAlerts), line:8:18, endln:8:27 |vpiName:NumAlerts |vpiFullName:work@dut.AlertAsyncOn.AlertAsyncOn.NumAlerts + |vpiActual: + \_parameter: (work@dut.NumAlerts), line:7:33, endln:7:46, parent:work@dut |vpiOperand: \_constant: , line:8:28, endln:8:29 |vpiConstType:9 diff --git a/tests/BlackParrotComplex/BlackParrotComplex.log b/tests/BlackParrotComplex/BlackParrotComplex.log index fc348e44e6..d3afe3832b 100644 --- a/tests/BlackParrotComplex/BlackParrotComplex.log +++ b/tests/BlackParrotComplex/BlackParrotComplex.log @@ -6410,6 +6410,8 @@ design: (work@top) \_ref_obj: (work@top.routing_matrix_p.routing_matrix_p.dirs_lp), line:40:22, endln:40:29 |vpiName:dirs_lp |vpiFullName:work@top.routing_matrix_p.routing_matrix_p.dirs_lp + |vpiActual: + \_parameter: (work@top.dirs_lp), line:6:11, endln:6:39, parent:work@top |vpiOperand: \_constant: , line:40:30, endln:40:31 |vpiConstType:9 @@ -6431,6 +6433,8 @@ design: (work@top) \_ref_obj: (work@top.routing_matrix_p.routing_matrix_p.dirs_lp), line:40:35, endln:40:42 |vpiName:dirs_lp |vpiFullName:work@top.routing_matrix_p.routing_matrix_p.dirs_lp + |vpiActual: + \_parameter: (work@top.dirs_lp), line:6:11, endln:6:39, parent:work@top |vpiOperand: \_constant: , line:40:43, endln:40:44 |vpiConstType:9 @@ -6745,7 +6749,7 @@ design: (work@top) \_operation: , line:69:27, endln:69:37 |vpiOpType:75 |vpiOperand: - \_constant: , line:69:29, endln:69:30 + \_constant: , line:70:17, endln:70:22 |vpiConstType:9 |vpiDecompile:1 |vpiSize:64 @@ -6762,6 +6766,9 @@ design: (work@top) |vpiDecompile:3 |vpiSize:64 |UINT:3 + |vpiTypespec: + \_int_typespec: (A3), line:69:13, endln:69:16 + |vpiName:A3 |vpiLhs: \_parameter: (work@middle.A3), line:69:17, endln:69:37, parent:work@middle |vpiParamAssign: diff --git a/tests/BlackParrotConf/BlackParrotConf.log b/tests/BlackParrotConf/BlackParrotConf.log index efece90648..6187db7c73 100644 --- a/tests/BlackParrotConf/BlackParrotConf.log +++ b/tests/BlackParrotConf/BlackParrotConf.log @@ -27961,24 +27961,38 @@ design: (work@testbench) |vpiOperand: \_ref_obj: (bp_inv_cfg_p), line:2524:6, endln:2524:18 |vpiName:bp_inv_cfg_p + |vpiActual: + \_parameter: (bp_common_aviary_pkg::bp_inv_cfg_p), line:2195:30, endln:2196:22, parent:bp_common_aviary_pkg:: |vpiOperand: \_ref_obj: (bp_half_core_cfg_p), line:2523:6, endln:2523:24 |vpiName:bp_half_core_cfg_p + |vpiActual: + \_parameter: (bp_common_aviary_pkg::bp_half_core_cfg_p), line:2198:30, endln:2247:8, parent:bp_common_aviary_pkg:: |vpiOperand: \_ref_obj: (bp_single_core_cfg_p), line:2522:6, endln:2522:26 |vpiName:bp_single_core_cfg_p + |vpiActual: + \_parameter: (bp_common_aviary_pkg::bp_single_core_cfg_p), line:2249:30, endln:2298:8, parent:bp_common_aviary_pkg:: |vpiOperand: \_ref_obj: (bp_dual_core_cfg_p), line:2521:6, endln:2521:24 |vpiName:bp_dual_core_cfg_p + |vpiActual: + \_parameter: (bp_common_aviary_pkg::bp_dual_core_cfg_p), line:2300:30, endln:2349:8, parent:bp_common_aviary_pkg:: |vpiOperand: \_ref_obj: (bp_quad_core_cfg_p), line:2520:6, endln:2520:24 |vpiName:bp_quad_core_cfg_p + |vpiActual: + \_parameter: (bp_common_aviary_pkg::bp_quad_core_cfg_p), line:2351:30, endln:2400:8, parent:bp_common_aviary_pkg:: |vpiOperand: \_ref_obj: (bp_oct_core_cfg_p), line:2519:6, endln:2519:23 |vpiName:bp_oct_core_cfg_p + |vpiActual: + \_parameter: (bp_common_aviary_pkg::bp_oct_core_cfg_p), line:2402:30, endln:2451:8, parent:bp_common_aviary_pkg:: |vpiOperand: \_ref_obj: (bp_sexta_core_cfg_p), line:2518:5, endln:2518:24 |vpiName:bp_sexta_core_cfg_p + |vpiActual: + \_parameter: (bp_common_aviary_pkg::bp_sexta_core_cfg_p), line:2453:30, endln:2502:8, parent:bp_common_aviary_pkg:: |vpiLhs: \_parameter: (bp_common_aviary_pkg::all_cfgs_gp), line:2516:44, endln:2525:4, parent:bp_common_aviary_pkg:: |vpiTypedef: @@ -38882,24 +38896,38 @@ design: (work@testbench) |vpiOperand: \_ref_obj: (bp_inv_cfg_p), line:2524:6, endln:2524:18 |vpiName:bp_inv_cfg_p + |vpiActual: + \_parameter: (bp_common_aviary_pkg::bp_inv_cfg_p), line:2195:30, endln:2196:22, parent:bp_common_aviary_pkg:: |vpiOperand: \_ref_obj: (bp_half_core_cfg_p), line:2523:6, endln:2523:24 |vpiName:bp_half_core_cfg_p + |vpiActual: + \_parameter: (bp_common_aviary_pkg::bp_half_core_cfg_p), line:2198:30, endln:2247:8, parent:bp_common_aviary_pkg:: |vpiOperand: \_ref_obj: (bp_single_core_cfg_p), line:2522:6, endln:2522:26 |vpiName:bp_single_core_cfg_p + |vpiActual: + \_parameter: (bp_common_aviary_pkg::bp_single_core_cfg_p), line:2249:30, endln:2298:8, parent:bp_common_aviary_pkg:: |vpiOperand: \_ref_obj: (bp_dual_core_cfg_p), line:2521:6, endln:2521:24 |vpiName:bp_dual_core_cfg_p + |vpiActual: + \_parameter: (bp_common_aviary_pkg::bp_dual_core_cfg_p), line:2300:30, endln:2349:8, parent:bp_common_aviary_pkg:: |vpiOperand: \_ref_obj: (bp_quad_core_cfg_p), line:2520:6, endln:2520:24 |vpiName:bp_quad_core_cfg_p + |vpiActual: + \_parameter: (bp_common_aviary_pkg::bp_quad_core_cfg_p), line:2351:30, endln:2400:8, parent:bp_common_aviary_pkg:: |vpiOperand: \_ref_obj: (bp_oct_core_cfg_p), line:2519:6, endln:2519:23 |vpiName:bp_oct_core_cfg_p + |vpiActual: + \_parameter: (bp_common_aviary_pkg::bp_oct_core_cfg_p), line:2402:30, endln:2451:8, parent:bp_common_aviary_pkg:: |vpiOperand: \_ref_obj: (bp_sexta_core_cfg_p), line:2518:5, endln:2518:24 |vpiName:bp_sexta_core_cfg_p + |vpiActual: + \_parameter: (bp_common_aviary_pkg::bp_sexta_core_cfg_p), line:2453:30, endln:2502:8, parent:bp_common_aviary_pkg:: |vpiLhs: \_parameter: (bp_common_aviary_pkg::all_cfgs_gp), line:2516:44, endln:2525:4, parent:bp_common_aviary_pkg:: |vpiTypedef: @@ -79055,24 +79083,38 @@ design: (work@testbench) |vpiOperand: \_ref_obj: (bp_inv_cfg_p), line:2524:6, endln:2524:18 |vpiName:bp_inv_cfg_p + |vpiActual: + \_parameter: (bp_common_aviary_pkg::bp_inv_cfg_p), line:2195:30, endln:2196:22, parent:bp_common_aviary_pkg:: |vpiOperand: \_ref_obj: (bp_half_core_cfg_p), line:2523:6, endln:2523:24 |vpiName:bp_half_core_cfg_p + |vpiActual: + \_parameter: (bp_common_aviary_pkg::bp_half_core_cfg_p), line:2198:30, endln:2247:8, parent:bp_common_aviary_pkg:: |vpiOperand: \_ref_obj: (bp_single_core_cfg_p), line:2522:6, endln:2522:26 |vpiName:bp_single_core_cfg_p + |vpiActual: + \_parameter: (bp_common_aviary_pkg::bp_single_core_cfg_p), line:2249:30, endln:2298:8, parent:bp_common_aviary_pkg:: |vpiOperand: \_ref_obj: (bp_dual_core_cfg_p), line:2521:6, endln:2521:24 |vpiName:bp_dual_core_cfg_p + |vpiActual: + \_parameter: (bp_common_aviary_pkg::bp_dual_core_cfg_p), line:2300:30, endln:2349:8, parent:bp_common_aviary_pkg:: |vpiOperand: \_ref_obj: (bp_quad_core_cfg_p), line:2520:6, endln:2520:24 |vpiName:bp_quad_core_cfg_p + |vpiActual: + \_parameter: (bp_common_aviary_pkg::bp_quad_core_cfg_p), line:2351:30, endln:2400:8, parent:bp_common_aviary_pkg:: |vpiOperand: \_ref_obj: (bp_oct_core_cfg_p), line:2519:6, endln:2519:23 |vpiName:bp_oct_core_cfg_p + |vpiActual: + \_parameter: (bp_common_aviary_pkg::bp_oct_core_cfg_p), line:2402:30, endln:2451:8, parent:bp_common_aviary_pkg:: |vpiOperand: \_ref_obj: (bp_sexta_core_cfg_p), line:2518:5, endln:2518:24 |vpiName:bp_sexta_core_cfg_p + |vpiActual: + \_parameter: (bp_common_aviary_pkg::bp_sexta_core_cfg_p), line:2453:30, endln:2502:8, parent:bp_common_aviary_pkg:: |vpiLhs: \_parameter: (bp_common_aviary_pkg::all_cfgs_gp), line:2516:44, endln:2525:4, parent:bp_common_aviary_pkg:: |vpiTypedef: @@ -82641,6 +82683,8 @@ design: (work@testbench) \_ref_obj: (work@testbench.coh_noc_cord_markers_pos_p.coh_noc_dims_p), line:6207:47, endln:6207:61 |vpiName:coh_noc_dims_p |vpiFullName:work@testbench.coh_noc_cord_markers_pos_p.coh_noc_dims_p + |vpiActual: + \_parameter: (work@testbench.coh_noc_dims_p), line:6204:16, endln:6204:42, parent:work@testbench |vpiRightRange: \_constant: , line:6207:62, endln:6207:63 |vpiConstType:9 @@ -82726,6 +82770,8 @@ design: (work@testbench) \_ref_obj: (work@testbench.mem_noc_cord_markers_pos_p.mem_noc_cord_dims_p), line:6223:47, endln:6223:66 |vpiName:mem_noc_cord_dims_p |vpiFullName:work@testbench.mem_noc_cord_markers_pos_p.mem_noc_cord_dims_p + |vpiActual: + \_parameter: (work@testbench.mem_noc_cord_dims_p), line:6220:16, endln:6220:45, parent:work@testbench |vpiRightRange: \_constant: , line:6223:67, endln:6223:68 |vpiConstType:9 @@ -82816,6 +82862,8 @@ design: (work@testbench) \_ref_obj: (work@testbench.io_noc_cord_markers_pos_p.io_noc_cord_dims_p), line:6240:46, endln:6240:64 |vpiName:io_noc_cord_dims_p |vpiFullName:work@testbench.io_noc_cord_markers_pos_p.io_noc_cord_dims_p + |vpiActual: + \_parameter: (work@testbench.io_noc_cord_dims_p), line:6237:16, endln:6237:44, parent:work@testbench |vpiRightRange: \_constant: , line:6240:65, endln:6240:66 |vpiConstType:9 @@ -83018,6 +83066,8 @@ design: (work@testbench) \_ref_obj: (work@testbench.mem_offset_p.mem_offset_p.paddr_width_p), line:6429:17, endln:6429:30 |vpiName:paddr_width_p |vpiFullName:work@testbench.mem_offset_p.mem_offset_p.paddr_width_p + |vpiActual: + \_parameter: (work@testbench.paddr_width_p), line:6126:16, endln:6126:57, parent:work@testbench |vpiOperand: \_constant: , line:6429:31, endln:6429:32 |vpiConstType:9 @@ -83067,6 +83117,8 @@ design: (work@testbench) |vpiActual: \_ref_obj: (proc_param_lp), parent:proc_param_lp.multicore |vpiName:proc_param_lp + |vpiActual: + \_parameter: (work@testbench.proc_param_lp), line:6094:32, endln:6094:72, parent:work@testbench |vpiActual: \_ref_obj: (multicore) |vpiName:multicore @@ -83080,6 +83132,8 @@ design: (work@testbench) |vpiActual: \_ref_obj: (proc_param_lp), parent:proc_param_lp.cc_x_dim |vpiName:proc_param_lp + |vpiActual: + \_parameter: (work@testbench.proc_param_lp), line:6094:32, endln:6094:72, parent:work@testbench |vpiActual: \_ref_obj: (cc_x_dim) |vpiName:cc_x_dim @@ -83093,6 +83147,8 @@ design: (work@testbench) |vpiActual: \_ref_obj: (proc_param_lp), parent:proc_param_lp.cc_y_dim |vpiName:proc_param_lp + |vpiActual: + \_parameter: (work@testbench.proc_param_lp), line:6094:32, endln:6094:72, parent:work@testbench |vpiActual: \_ref_obj: (cc_y_dim) |vpiName:cc_y_dim @@ -83106,6 +83162,8 @@ design: (work@testbench) |vpiActual: \_ref_obj: (proc_param_lp), parent:proc_param_lp.cc_x_dim |vpiName:proc_param_lp + |vpiActual: + \_parameter: (work@testbench.proc_param_lp), line:6094:32, endln:6094:72, parent:work@testbench |vpiActual: \_ref_obj: (cc_x_dim), parent:proc_param_lp.cc_x_dim |vpiName:cc_x_dim @@ -83119,6 +83177,8 @@ design: (work@testbench) |vpiActual: \_ref_obj: (proc_param_lp), parent:proc_param_lp.ic_y_dim |vpiName:proc_param_lp + |vpiActual: + \_parameter: (work@testbench.proc_param_lp), line:6094:32, endln:6094:72, parent:work@testbench |vpiActual: \_ref_obj: (ic_y_dim) |vpiName:ic_y_dim @@ -83132,6 +83192,8 @@ design: (work@testbench) |vpiActual: \_ref_obj: (proc_param_lp), parent:proc_param_lp.cc_x_dim |vpiName:proc_param_lp + |vpiActual: + \_parameter: (work@testbench.proc_param_lp), line:6094:32, endln:6094:72, parent:work@testbench |vpiActual: \_ref_obj: (cc_x_dim), parent:proc_param_lp.cc_x_dim |vpiName:cc_x_dim @@ -83145,6 +83207,8 @@ design: (work@testbench) |vpiActual: \_ref_obj: (proc_param_lp), parent:proc_param_lp.mc_y_dim |vpiName:proc_param_lp + |vpiActual: + \_parameter: (work@testbench.proc_param_lp), line:6094:32, endln:6094:72, parent:work@testbench |vpiActual: \_ref_obj: (mc_y_dim) |vpiName:mc_y_dim @@ -83158,6 +83222,8 @@ design: (work@testbench) |vpiActual: \_ref_obj: (proc_param_lp), parent:proc_param_lp.cac_x_dim |vpiName:proc_param_lp + |vpiActual: + \_parameter: (work@testbench.proc_param_lp), line:6094:32, endln:6094:72, parent:work@testbench |vpiActual: \_ref_obj: (cac_x_dim) |vpiName:cac_x_dim @@ -83171,6 +83237,8 @@ design: (work@testbench) |vpiActual: \_ref_obj: (proc_param_lp), parent:proc_param_lp.cc_y_dim |vpiName:proc_param_lp + |vpiActual: + \_parameter: (work@testbench.proc_param_lp), line:6094:32, endln:6094:72, parent:work@testbench |vpiActual: \_ref_obj: (cc_y_dim), parent:proc_param_lp.cc_y_dim |vpiName:cc_y_dim @@ -83184,6 +83252,8 @@ design: (work@testbench) |vpiActual: \_ref_obj: (proc_param_lp), parent:proc_param_lp.sac_x_dim |vpiName:proc_param_lp + |vpiActual: + \_parameter: (work@testbench.proc_param_lp), line:6094:32, endln:6094:72, parent:work@testbench |vpiActual: \_ref_obj: (sac_x_dim) |vpiName:sac_x_dim @@ -83197,6 +83267,8 @@ design: (work@testbench) |vpiActual: \_ref_obj: (proc_param_lp), parent:proc_param_lp.cc_y_dim |vpiName:proc_param_lp + |vpiActual: + \_parameter: (work@testbench.proc_param_lp), line:6094:32, endln:6094:72, parent:work@testbench |vpiActual: \_ref_obj: (cc_y_dim), parent:proc_param_lp.cc_y_dim |vpiName:cc_y_dim @@ -83210,6 +83282,8 @@ design: (work@testbench) |vpiActual: \_ref_obj: (proc_param_lp), parent:proc_param_lp.cacc_type |vpiName:proc_param_lp + |vpiActual: + \_parameter: (work@testbench.proc_param_lp), line:6094:32, endln:6094:72, parent:work@testbench |vpiActual: \_ref_obj: (cacc_type) |vpiName:cacc_type @@ -83223,6 +83297,8 @@ design: (work@testbench) |vpiActual: \_ref_obj: (proc_param_lp), parent:proc_param_lp.sacc_type |vpiName:proc_param_lp + |vpiActual: + \_parameter: (work@testbench.proc_param_lp), line:6094:32, endln:6094:72, parent:work@testbench |vpiActual: \_ref_obj: (sacc_type) |vpiName:sacc_type @@ -83239,6 +83315,8 @@ design: (work@testbench) |vpiActual: \_ref_obj: (proc_param_lp), parent:proc_param_lp.cc_x_dim |vpiName:proc_param_lp + |vpiActual: + \_parameter: (work@testbench.proc_param_lp), line:6094:32, endln:6094:72, parent:work@testbench |vpiActual: \_ref_obj: (cc_x_dim), parent:proc_param_lp.cc_x_dim |vpiName:cc_x_dim @@ -83248,6 +83326,8 @@ design: (work@testbench) |vpiActual: \_ref_obj: (proc_param_lp), parent:proc_param_lp.cc_y_dim |vpiName:proc_param_lp + |vpiActual: + \_parameter: (work@testbench.proc_param_lp), line:6094:32, endln:6094:72, parent:work@testbench |vpiActual: \_ref_obj: (cc_y_dim), parent:proc_param_lp.cc_y_dim |vpiName:cc_y_dim @@ -83264,6 +83344,8 @@ design: (work@testbench) |vpiActual: \_ref_obj: (proc_param_lp), parent:proc_param_lp.cc_x_dim |vpiName:proc_param_lp + |vpiActual: + \_parameter: (work@testbench.proc_param_lp), line:6094:32, endln:6094:72, parent:work@testbench |vpiActual: \_ref_obj: (cc_x_dim), parent:proc_param_lp.cc_x_dim |vpiName:cc_x_dim @@ -83273,6 +83355,8 @@ design: (work@testbench) |vpiActual: \_ref_obj: (proc_param_lp), parent:proc_param_lp.ic_y_dim |vpiName:proc_param_lp + |vpiActual: + \_parameter: (work@testbench.proc_param_lp), line:6094:32, endln:6094:72, parent:work@testbench |vpiActual: \_ref_obj: (ic_y_dim), parent:proc_param_lp.ic_y_dim |vpiName:ic_y_dim @@ -83289,6 +83373,8 @@ design: (work@testbench) |vpiActual: \_ref_obj: (proc_param_lp), parent:proc_param_lp.cc_x_dim |vpiName:proc_param_lp + |vpiActual: + \_parameter: (work@testbench.proc_param_lp), line:6094:32, endln:6094:72, parent:work@testbench |vpiActual: \_ref_obj: (cc_x_dim), parent:proc_param_lp.cc_x_dim |vpiName:cc_x_dim @@ -83298,6 +83384,8 @@ design: (work@testbench) |vpiActual: \_ref_obj: (proc_param_lp), parent:proc_param_lp.mc_y_dim |vpiName:proc_param_lp + |vpiActual: + \_parameter: (work@testbench.proc_param_lp), line:6094:32, endln:6094:72, parent:work@testbench |vpiActual: \_ref_obj: (mc_y_dim), parent:proc_param_lp.mc_y_dim |vpiName:mc_y_dim @@ -83314,6 +83402,8 @@ design: (work@testbench) |vpiActual: \_ref_obj: (proc_param_lp), parent:proc_param_lp.cac_x_dim |vpiName:proc_param_lp + |vpiActual: + \_parameter: (work@testbench.proc_param_lp), line:6094:32, endln:6094:72, parent:work@testbench |vpiActual: \_ref_obj: (cac_x_dim), parent:proc_param_lp.cac_x_dim |vpiName:cac_x_dim @@ -83323,6 +83413,8 @@ design: (work@testbench) |vpiActual: \_ref_obj: (proc_param_lp), parent:proc_param_lp.cc_y_dim |vpiName:proc_param_lp + |vpiActual: + \_parameter: (work@testbench.proc_param_lp), line:6094:32, endln:6094:72, parent:work@testbench |vpiActual: \_ref_obj: (cc_y_dim), parent:proc_param_lp.cc_y_dim |vpiName:cc_y_dim @@ -83339,6 +83431,8 @@ design: (work@testbench) |vpiActual: \_ref_obj: (proc_param_lp), parent:proc_param_lp.sac_x_dim |vpiName:proc_param_lp + |vpiActual: + \_parameter: (work@testbench.proc_param_lp), line:6094:32, endln:6094:72, parent:work@testbench |vpiActual: \_ref_obj: (sac_x_dim), parent:proc_param_lp.sac_x_dim |vpiName:sac_x_dim @@ -83348,6 +83442,8 @@ design: (work@testbench) |vpiActual: \_ref_obj: (proc_param_lp), parent:proc_param_lp.cc_y_dim |vpiName:proc_param_lp + |vpiActual: + \_parameter: (work@testbench.proc_param_lp), line:6094:32, endln:6094:72, parent:work@testbench |vpiActual: \_ref_obj: (cc_y_dim), parent:proc_param_lp.cc_y_dim |vpiName:cc_y_dim @@ -83361,6 +83457,8 @@ design: (work@testbench) |vpiActual: \_ref_obj: (proc_param_lp), parent:proc_param_lp.num_cce |vpiName:proc_param_lp + |vpiActual: + \_parameter: (work@testbench.proc_param_lp), line:6094:32, endln:6094:72, parent:work@testbench |vpiActual: \_ref_obj: (num_cce) |vpiName:num_cce @@ -83374,6 +83472,8 @@ design: (work@testbench) |vpiActual: \_ref_obj: (proc_param_lp), parent:proc_param_lp.num_lce |vpiName:proc_param_lp + |vpiActual: + \_parameter: (work@testbench.proc_param_lp), line:6094:32, endln:6094:72, parent:work@testbench |vpiActual: \_ref_obj: (num_lce) |vpiName:num_lce @@ -83396,6 +83496,8 @@ design: (work@testbench) |vpiActual: \_ref_obj: (proc_param_lp), parent:proc_param_lp.cc_x_dim |vpiName:proc_param_lp + |vpiActual: + \_parameter: (work@testbench.proc_param_lp), line:6094:32, endln:6094:72, parent:work@testbench |vpiActual: \_ref_obj: (cc_x_dim), parent:proc_param_lp.cc_x_dim |vpiName:cc_x_dim @@ -83405,6 +83507,8 @@ design: (work@testbench) |vpiActual: \_ref_obj: (proc_param_lp), parent:proc_param_lp.cc_y_dim |vpiName:proc_param_lp + |vpiActual: + \_parameter: (work@testbench.proc_param_lp), line:6094:32, endln:6094:72, parent:work@testbench |vpiActual: \_ref_obj: (cc_y_dim), parent:proc_param_lp.cc_y_dim |vpiName:cc_y_dim @@ -83432,6 +83536,8 @@ design: (work@testbench) |vpiActual: \_ref_obj: (proc_param_lp), parent:proc_param_lp.cc_x_dim |vpiName:proc_param_lp + |vpiActual: + \_parameter: (work@testbench.proc_param_lp), line:6094:32, endln:6094:72, parent:work@testbench |vpiActual: \_ref_obj: (cc_x_dim), parent:proc_param_lp.cc_x_dim |vpiName:cc_x_dim @@ -83441,6 +83547,8 @@ design: (work@testbench) |vpiActual: \_ref_obj: (proc_param_lp), parent:proc_param_lp.cc_y_dim |vpiName:proc_param_lp + |vpiActual: + \_parameter: (work@testbench.proc_param_lp), line:6094:32, endln:6094:72, parent:work@testbench |vpiActual: \_ref_obj: (cc_y_dim), parent:proc_param_lp.cc_y_dim |vpiName:cc_y_dim @@ -83469,6 +83577,8 @@ design: (work@testbench) |vpiActual: \_ref_obj: (proc_param_lp), parent:proc_param_lp.cc_x_dim |vpiName:proc_param_lp + |vpiActual: + \_parameter: (work@testbench.proc_param_lp), line:6094:32, endln:6094:72, parent:work@testbench |vpiActual: \_ref_obj: (cc_x_dim), parent:proc_param_lp.cc_x_dim |vpiName:cc_x_dim @@ -83496,6 +83606,8 @@ design: (work@testbench) |vpiActual: \_ref_obj: (proc_param_lp), parent:proc_param_lp.cc_y_dim |vpiName:proc_param_lp + |vpiActual: + \_parameter: (work@testbench.proc_param_lp), line:6094:32, endln:6094:72, parent:work@testbench |vpiActual: \_ref_obj: (cc_y_dim), parent:proc_param_lp.cc_y_dim |vpiName:cc_y_dim @@ -83541,6 +83653,8 @@ design: (work@testbench) |vpiActual: \_ref_obj: (proc_param_lp), parent:proc_param_lp.cc_x_dim |vpiName:proc_param_lp + |vpiActual: + \_parameter: (work@testbench.proc_param_lp), line:6094:32, endln:6094:72, parent:work@testbench |vpiActual: \_ref_obj: (cc_x_dim), parent:proc_param_lp.cc_x_dim |vpiName:cc_x_dim @@ -83568,6 +83682,8 @@ design: (work@testbench) |vpiActual: \_ref_obj: (proc_param_lp), parent:proc_param_lp.cc_y_dim |vpiName:proc_param_lp + |vpiActual: + \_parameter: (work@testbench.proc_param_lp), line:6094:32, endln:6094:72, parent:work@testbench |vpiActual: \_ref_obj: (cc_y_dim), parent:proc_param_lp.cc_y_dim |vpiName:cc_y_dim @@ -83608,6 +83724,8 @@ design: (work@testbench) |vpiActual: \_ref_obj: (proc_param_lp), parent:proc_param_lp.cc_x_dim |vpiName:proc_param_lp + |vpiActual: + \_parameter: (work@testbench.proc_param_lp), line:6094:32, endln:6094:72, parent:work@testbench |vpiActual: \_ref_obj: (cc_x_dim), parent:proc_param_lp.cc_x_dim |vpiName:cc_x_dim @@ -83635,6 +83753,8 @@ design: (work@testbench) |vpiActual: \_ref_obj: (proc_param_lp), parent:proc_param_lp.cc_y_dim |vpiName:proc_param_lp + |vpiActual: + \_parameter: (work@testbench.proc_param_lp), line:6094:32, endln:6094:72, parent:work@testbench |vpiActual: \_ref_obj: (cc_y_dim), parent:proc_param_lp.cc_y_dim |vpiName:cc_y_dim @@ -83680,6 +83800,8 @@ design: (work@testbench) |vpiActual: \_ref_obj: (proc_param_lp), parent:proc_param_lp.cc_x_dim |vpiName:proc_param_lp + |vpiActual: + \_parameter: (work@testbench.proc_param_lp), line:6094:32, endln:6094:72, parent:work@testbench |vpiActual: \_ref_obj: (cc_x_dim), parent:proc_param_lp.cc_x_dim |vpiName:cc_x_dim @@ -83707,6 +83829,8 @@ design: (work@testbench) |vpiActual: \_ref_obj: (proc_param_lp), parent:proc_param_lp.cc_y_dim |vpiName:proc_param_lp + |vpiActual: + \_parameter: (work@testbench.proc_param_lp), line:6094:32, endln:6094:72, parent:work@testbench |vpiActual: \_ref_obj: (cc_y_dim), parent:proc_param_lp.cc_y_dim |vpiName:cc_y_dim @@ -83732,6 +83856,8 @@ design: (work@testbench) |vpiActual: \_ref_obj: (proc_param_lp), parent:proc_param_lp.vaddr_width |vpiName:proc_param_lp + |vpiActual: + \_parameter: (work@testbench.proc_param_lp), line:6094:32, endln:6094:72, parent:work@testbench |vpiActual: \_ref_obj: (vaddr_width) |vpiName:vaddr_width @@ -83745,6 +83871,8 @@ design: (work@testbench) |vpiActual: \_ref_obj: (proc_param_lp), parent:proc_param_lp.paddr_width |vpiName:proc_param_lp + |vpiActual: + \_parameter: (work@testbench.proc_param_lp), line:6094:32, endln:6094:72, parent:work@testbench |vpiActual: \_ref_obj: (paddr_width) |vpiName:paddr_width @@ -83758,6 +83886,8 @@ design: (work@testbench) |vpiActual: \_ref_obj: (proc_param_lp), parent:proc_param_lp.asid_width |vpiName:proc_param_lp + |vpiActual: + \_parameter: (work@testbench.proc_param_lp), line:6094:32, endln:6094:72, parent:work@testbench |vpiActual: \_ref_obj: (asid_width) |vpiName:asid_width @@ -83771,6 +83901,8 @@ design: (work@testbench) |vpiActual: \_ref_obj: (proc_param_lp), parent:proc_param_lp.boot_pc |vpiName:proc_param_lp + |vpiActual: + \_parameter: (work@testbench.proc_param_lp), line:6094:32, endln:6094:72, parent:work@testbench |vpiActual: \_ref_obj: (boot_pc) |vpiName:boot_pc @@ -83784,6 +83916,8 @@ design: (work@testbench) |vpiActual: \_ref_obj: (proc_param_lp), parent:proc_param_lp.boot_in_debug |vpiName:proc_param_lp + |vpiActual: + \_parameter: (work@testbench.proc_param_lp), line:6094:32, endln:6094:72, parent:work@testbench |vpiActual: \_ref_obj: (boot_in_debug) |vpiName:boot_in_debug @@ -83797,6 +83931,8 @@ design: (work@testbench) |vpiActual: \_ref_obj: (proc_param_lp), parent:proc_param_lp.branch_metadata_fwd_width |vpiName:proc_param_lp + |vpiActual: + \_parameter: (work@testbench.proc_param_lp), line:6094:32, endln:6094:72, parent:work@testbench |vpiActual: \_ref_obj: (branch_metadata_fwd_width) |vpiName:branch_metadata_fwd_width @@ -83810,6 +83946,8 @@ design: (work@testbench) |vpiActual: \_ref_obj: (proc_param_lp), parent:proc_param_lp.btb_tag_width |vpiName:proc_param_lp + |vpiActual: + \_parameter: (work@testbench.proc_param_lp), line:6094:32, endln:6094:72, parent:work@testbench |vpiActual: \_ref_obj: (btb_tag_width) |vpiName:btb_tag_width @@ -83823,6 +83961,8 @@ design: (work@testbench) |vpiActual: \_ref_obj: (proc_param_lp), parent:proc_param_lp.btb_idx_width |vpiName:proc_param_lp + |vpiActual: + \_parameter: (work@testbench.proc_param_lp), line:6094:32, endln:6094:72, parent:work@testbench |vpiActual: \_ref_obj: (btb_idx_width) |vpiName:btb_idx_width @@ -83836,6 +83976,8 @@ design: (work@testbench) |vpiActual: \_ref_obj: (proc_param_lp), parent:proc_param_lp.bht_idx_width |vpiName:proc_param_lp + |vpiActual: + \_parameter: (work@testbench.proc_param_lp), line:6094:32, endln:6094:72, parent:work@testbench |vpiActual: \_ref_obj: (bht_idx_width) |vpiName:bht_idx_width @@ -83849,6 +83991,8 @@ design: (work@testbench) |vpiActual: \_ref_obj: (proc_param_lp), parent:proc_param_lp.ghist_width |vpiName:proc_param_lp + |vpiActual: + \_parameter: (work@testbench.proc_param_lp), line:6094:32, endln:6094:72, parent:work@testbench |vpiActual: \_ref_obj: (ghist_width) |vpiName:ghist_width @@ -83862,6 +84006,8 @@ design: (work@testbench) |vpiActual: \_ref_obj: (proc_param_lp), parent:proc_param_lp.itlb_els |vpiName:proc_param_lp + |vpiActual: + \_parameter: (work@testbench.proc_param_lp), line:6094:32, endln:6094:72, parent:work@testbench |vpiActual: \_ref_obj: (itlb_els) |vpiName:itlb_els @@ -83875,6 +84021,8 @@ design: (work@testbench) |vpiActual: \_ref_obj: (proc_param_lp), parent:proc_param_lp.dtlb_els |vpiName:proc_param_lp + |vpiActual: + \_parameter: (work@testbench.proc_param_lp), line:6094:32, endln:6094:72, parent:work@testbench |vpiActual: \_ref_obj: (dtlb_els) |vpiName:dtlb_els @@ -83888,6 +84036,8 @@ design: (work@testbench) |vpiActual: \_ref_obj: (proc_param_lp), parent:proc_param_lp.lr_sc |vpiName:proc_param_lp + |vpiActual: + \_parameter: (work@testbench.proc_param_lp), line:6094:32, endln:6094:72, parent:work@testbench |vpiActual: \_ref_obj: (lr_sc) |vpiName:lr_sc @@ -83901,6 +84051,8 @@ design: (work@testbench) |vpiActual: \_ref_obj: (proc_param_lp), parent:proc_param_lp.amo_swap |vpiName:proc_param_lp + |vpiActual: + \_parameter: (work@testbench.proc_param_lp), line:6094:32, endln:6094:72, parent:work@testbench |vpiActual: \_ref_obj: (amo_swap) |vpiName:amo_swap @@ -83914,6 +84066,8 @@ design: (work@testbench) |vpiActual: \_ref_obj: (proc_param_lp), parent:proc_param_lp.amo_fetch_logic |vpiName:proc_param_lp + |vpiActual: + \_parameter: (work@testbench.proc_param_lp), line:6094:32, endln:6094:72, parent:work@testbench |vpiActual: \_ref_obj: (amo_fetch_logic) |vpiName:amo_fetch_logic @@ -83927,6 +84081,8 @@ design: (work@testbench) |vpiActual: \_ref_obj: (proc_param_lp), parent:proc_param_lp.amo_fetch_arithmetic |vpiName:proc_param_lp + |vpiActual: + \_parameter: (work@testbench.proc_param_lp), line:6094:32, endln:6094:72, parent:work@testbench |vpiActual: \_ref_obj: (amo_fetch_arithmetic) |vpiName:amo_fetch_arithmetic @@ -83940,6 +84096,8 @@ design: (work@testbench) |vpiActual: \_ref_obj: (proc_param_lp), parent:proc_param_lp.l1_coherent |vpiName:proc_param_lp + |vpiActual: + \_parameter: (work@testbench.proc_param_lp), line:6094:32, endln:6094:72, parent:work@testbench |vpiActual: \_ref_obj: (l1_coherent) |vpiName:l1_coherent @@ -83953,6 +84111,8 @@ design: (work@testbench) |vpiActual: \_ref_obj: (proc_param_lp), parent:proc_param_lp.l1_writethrough |vpiName:proc_param_lp + |vpiActual: + \_parameter: (work@testbench.proc_param_lp), line:6094:32, endln:6094:72, parent:work@testbench |vpiActual: \_ref_obj: (l1_writethrough) |vpiName:l1_writethrough @@ -83966,6 +84126,8 @@ design: (work@testbench) |vpiActual: \_ref_obj: (proc_param_lp), parent:proc_param_lp.dcache_sets |vpiName:proc_param_lp + |vpiActual: + \_parameter: (work@testbench.proc_param_lp), line:6094:32, endln:6094:72, parent:work@testbench |vpiActual: \_ref_obj: (dcache_sets) |vpiName:dcache_sets @@ -83979,6 +84141,8 @@ design: (work@testbench) |vpiActual: \_ref_obj: (proc_param_lp), parent:proc_param_lp.dcache_assoc |vpiName:proc_param_lp + |vpiActual: + \_parameter: (work@testbench.proc_param_lp), line:6094:32, endln:6094:72, parent:work@testbench |vpiActual: \_ref_obj: (dcache_assoc) |vpiName:dcache_assoc @@ -83992,6 +84156,8 @@ design: (work@testbench) |vpiActual: \_ref_obj: (proc_param_lp), parent:proc_param_lp.dcache_block_width |vpiName:proc_param_lp + |vpiActual: + \_parameter: (work@testbench.proc_param_lp), line:6094:32, endln:6094:72, parent:work@testbench |vpiActual: \_ref_obj: (dcache_block_width) |vpiName:dcache_block_width @@ -84005,6 +84171,8 @@ design: (work@testbench) |vpiActual: \_ref_obj: (proc_param_lp), parent:proc_param_lp.dcache_fill_width |vpiName:proc_param_lp + |vpiActual: + \_parameter: (work@testbench.proc_param_lp), line:6094:32, endln:6094:72, parent:work@testbench |vpiActual: \_ref_obj: (dcache_fill_width) |vpiName:dcache_fill_width @@ -84018,6 +84186,8 @@ design: (work@testbench) |vpiActual: \_ref_obj: (proc_param_lp), parent:proc_param_lp.icache_sets |vpiName:proc_param_lp + |vpiActual: + \_parameter: (work@testbench.proc_param_lp), line:6094:32, endln:6094:72, parent:work@testbench |vpiActual: \_ref_obj: (icache_sets) |vpiName:icache_sets @@ -84031,6 +84201,8 @@ design: (work@testbench) |vpiActual: \_ref_obj: (proc_param_lp), parent:proc_param_lp.icache_assoc |vpiName:proc_param_lp + |vpiActual: + \_parameter: (work@testbench.proc_param_lp), line:6094:32, endln:6094:72, parent:work@testbench |vpiActual: \_ref_obj: (icache_assoc) |vpiName:icache_assoc @@ -84044,6 +84216,8 @@ design: (work@testbench) |vpiActual: \_ref_obj: (proc_param_lp), parent:proc_param_lp.icache_block_width |vpiName:proc_param_lp + |vpiActual: + \_parameter: (work@testbench.proc_param_lp), line:6094:32, endln:6094:72, parent:work@testbench |vpiActual: \_ref_obj: (icache_block_width) |vpiName:icache_block_width @@ -84057,6 +84231,8 @@ design: (work@testbench) |vpiActual: \_ref_obj: (proc_param_lp), parent:proc_param_lp.icache_fill_width |vpiName:proc_param_lp + |vpiActual: + \_parameter: (work@testbench.proc_param_lp), line:6094:32, endln:6094:72, parent:work@testbench |vpiActual: \_ref_obj: (icache_fill_width) |vpiName:icache_fill_width @@ -84070,6 +84246,8 @@ design: (work@testbench) |vpiActual: \_ref_obj: (proc_param_lp), parent:proc_param_lp.acache_sets |vpiName:proc_param_lp + |vpiActual: + \_parameter: (work@testbench.proc_param_lp), line:6094:32, endln:6094:72, parent:work@testbench |vpiActual: \_ref_obj: (acache_sets) |vpiName:acache_sets @@ -84083,6 +84261,8 @@ design: (work@testbench) |vpiActual: \_ref_obj: (proc_param_lp), parent:proc_param_lp.acache_assoc |vpiName:proc_param_lp + |vpiActual: + \_parameter: (work@testbench.proc_param_lp), line:6094:32, endln:6094:72, parent:work@testbench |vpiActual: \_ref_obj: (acache_assoc) |vpiName:acache_assoc @@ -84096,6 +84276,8 @@ design: (work@testbench) |vpiActual: \_ref_obj: (proc_param_lp), parent:proc_param_lp.acache_block_width |vpiName:proc_param_lp + |vpiActual: + \_parameter: (work@testbench.proc_param_lp), line:6094:32, endln:6094:72, parent:work@testbench |vpiActual: \_ref_obj: (acache_block_width) |vpiName:acache_block_width @@ -84109,6 +84291,8 @@ design: (work@testbench) |vpiActual: \_ref_obj: (proc_param_lp), parent:proc_param_lp.acache_fill_width |vpiName:proc_param_lp + |vpiActual: + \_parameter: (work@testbench.proc_param_lp), line:6094:32, endln:6094:72, parent:work@testbench |vpiActual: \_ref_obj: (acache_fill_width) |vpiName:acache_fill_width @@ -84128,6 +84312,8 @@ design: (work@testbench) |vpiActual: \_ref_obj: (proc_param_lp), parent:proc_param_lp.dcache_assoc |vpiName:proc_param_lp + |vpiActual: + \_parameter: (work@testbench.proc_param_lp), line:6094:32, endln:6094:72, parent:work@testbench |vpiActual: \_ref_obj: (dcache_assoc), parent:proc_param_lp.dcache_assoc |vpiName:dcache_assoc @@ -84143,6 +84329,8 @@ design: (work@testbench) |vpiActual: \_ref_obj: (proc_param_lp), parent:proc_param_lp.icache_assoc |vpiName:proc_param_lp + |vpiActual: + \_parameter: (work@testbench.proc_param_lp), line:6094:32, endln:6094:72, parent:work@testbench |vpiActual: \_ref_obj: (icache_assoc), parent:proc_param_lp.icache_assoc |vpiName:icache_assoc @@ -84152,6 +84340,8 @@ design: (work@testbench) |vpiActual: \_ref_obj: (proc_param_lp), parent:proc_param_lp.acache_assoc |vpiName:proc_param_lp + |vpiActual: + \_parameter: (work@testbench.proc_param_lp), line:6094:32, endln:6094:72, parent:work@testbench |vpiActual: \_ref_obj: (acache_assoc), parent:proc_param_lp.acache_assoc |vpiName:acache_assoc @@ -84161,6 +84351,8 @@ design: (work@testbench) |vpiActual: \_ref_obj: (proc_param_lp), parent:proc_param_lp.icache_assoc |vpiName:proc_param_lp + |vpiActual: + \_parameter: (work@testbench.proc_param_lp), line:6094:32, endln:6094:72, parent:work@testbench |vpiActual: \_ref_obj: (icache_assoc), parent:proc_param_lp.icache_assoc |vpiName:icache_assoc @@ -84170,6 +84362,8 @@ design: (work@testbench) |vpiActual: \_ref_obj: (proc_param_lp), parent:proc_param_lp.acache_assoc |vpiName:proc_param_lp + |vpiActual: + \_parameter: (work@testbench.proc_param_lp), line:6094:32, endln:6094:72, parent:work@testbench |vpiActual: \_ref_obj: (acache_assoc), parent:proc_param_lp.acache_assoc |vpiName:acache_assoc @@ -84179,6 +84373,8 @@ design: (work@testbench) |vpiActual: \_ref_obj: (proc_param_lp), parent:proc_param_lp.dcache_assoc |vpiName:proc_param_lp + |vpiActual: + \_parameter: (work@testbench.proc_param_lp), line:6094:32, endln:6094:72, parent:work@testbench |vpiActual: \_ref_obj: (dcache_assoc), parent:proc_param_lp.dcache_assoc |vpiName:dcache_assoc @@ -84194,6 +84390,8 @@ design: (work@testbench) |vpiActual: \_ref_obj: (proc_param_lp), parent:proc_param_lp.icache_assoc |vpiName:proc_param_lp + |vpiActual: + \_parameter: (work@testbench.proc_param_lp), line:6094:32, endln:6094:72, parent:work@testbench |vpiActual: \_ref_obj: (icache_assoc), parent:proc_param_lp.icache_assoc |vpiName:icache_assoc @@ -84203,6 +84401,8 @@ design: (work@testbench) |vpiActual: \_ref_obj: (proc_param_lp), parent:proc_param_lp.acache_assoc |vpiName:proc_param_lp + |vpiActual: + \_parameter: (work@testbench.proc_param_lp), line:6094:32, endln:6094:72, parent:work@testbench |vpiActual: \_ref_obj: (acache_assoc), parent:proc_param_lp.acache_assoc |vpiName:acache_assoc @@ -84212,6 +84412,8 @@ design: (work@testbench) |vpiActual: \_ref_obj: (proc_param_lp), parent:proc_param_lp.icache_assoc |vpiName:proc_param_lp + |vpiActual: + \_parameter: (work@testbench.proc_param_lp), line:6094:32, endln:6094:72, parent:work@testbench |vpiActual: \_ref_obj: (icache_assoc), parent:proc_param_lp.icache_assoc |vpiName:icache_assoc @@ -84221,6 +84423,8 @@ design: (work@testbench) |vpiActual: \_ref_obj: (proc_param_lp), parent:proc_param_lp.acache_assoc |vpiName:proc_param_lp + |vpiActual: + \_parameter: (work@testbench.proc_param_lp), line:6094:32, endln:6094:72, parent:work@testbench |vpiActual: \_ref_obj: (acache_assoc), parent:proc_param_lp.acache_assoc |vpiName:acache_assoc @@ -84243,6 +84447,8 @@ design: (work@testbench) |vpiOperand: \_ref_obj: (dcache_assoc_p), line:6160:47, endln:6160:63 |vpiName:dcache_assoc_p + |vpiActual: + \_parameter: (work@testbench.dcache_assoc_p), line:6149:16, endln:6149:71, parent:work@testbench |vpiOperand: \_operation: , line:6160:64, endln:6161:130 |vpiOpType:32 @@ -84252,18 +84458,28 @@ design: (work@testbench) |vpiOperand: \_ref_obj: (icache_assoc_p), line:6161:56, endln:6161:72 |vpiName:icache_assoc_p + |vpiActual: + \_parameter: (work@testbench.icache_assoc_p), line:6153:16, endln:6153:71, parent:work@testbench |vpiOperand: \_ref_obj: (acache_assoc_p), line:6161:73, endln:6161:89 |vpiName:acache_assoc_p + |vpiActual: + \_parameter: (work@testbench.acache_assoc_p), line:6157:16, endln:6157:71, parent:work@testbench |vpiOperand: \_ref_obj: (icache_assoc_p), line:6161:94, endln:6161:108 |vpiName:icache_assoc_p + |vpiActual: + \_parameter: (work@testbench.icache_assoc_p), line:6153:16, endln:6153:71, parent:work@testbench |vpiOperand: \_ref_obj: (acache_assoc_p), line:6161:112, endln:6161:128 |vpiName:acache_assoc_p + |vpiActual: + \_parameter: (work@testbench.acache_assoc_p), line:6157:16, endln:6157:71, parent:work@testbench |vpiOperand: \_ref_obj: (dcache_assoc_p), line:6161:135, endln:6161:149 |vpiName:dcache_assoc_p + |vpiActual: + \_parameter: (work@testbench.dcache_assoc_p), line:6149:16, endln:6149:71, parent:work@testbench |vpiOperand: \_operation: , line:6161:153, endln:6162:130 |vpiOpType:32 @@ -84273,15 +84489,23 @@ design: (work@testbench) |vpiOperand: \_ref_obj: (icache_assoc_p), line:6162:56, endln:6162:72 |vpiName:icache_assoc_p + |vpiActual: + \_parameter: (work@testbench.icache_assoc_p), line:6153:16, endln:6153:71, parent:work@testbench |vpiOperand: \_ref_obj: (acache_assoc_p), line:6162:73, endln:6162:89 |vpiName:acache_assoc_p + |vpiActual: + \_parameter: (work@testbench.acache_assoc_p), line:6157:16, endln:6157:71, parent:work@testbench |vpiOperand: \_ref_obj: (icache_assoc_p), line:6162:94, endln:6162:108 |vpiName:icache_assoc_p + |vpiActual: + \_parameter: (work@testbench.icache_assoc_p), line:6153:16, endln:6153:71, parent:work@testbench |vpiOperand: \_ref_obj: (acache_assoc_p), line:6162:112, endln:6162:128 |vpiName:acache_assoc_p + |vpiActual: + \_parameter: (work@testbench.acache_assoc_p), line:6157:16, endln:6157:71, parent:work@testbench |vpiOperand: \_constant: , line:6164:63, endln:6164:64 |vpiConstType:9 @@ -84306,6 +84530,8 @@ design: (work@testbench) |vpiOperand: \_ref_obj: (dcache_assoc_p), line:6160:47, endln:6160:63 |vpiName:dcache_assoc_p + |vpiActual: + \_parameter: (work@testbench.dcache_assoc_p), line:6149:16, endln:6149:71, parent:work@testbench |vpiOperand: \_operation: , line:6160:64, endln:6161:130 |vpiOpType:32 @@ -84315,18 +84541,28 @@ design: (work@testbench) |vpiOperand: \_ref_obj: (icache_assoc_p), line:6161:56, endln:6161:72 |vpiName:icache_assoc_p + |vpiActual: + \_parameter: (work@testbench.icache_assoc_p), line:6153:16, endln:6153:71, parent:work@testbench |vpiOperand: \_ref_obj: (acache_assoc_p), line:6161:73, endln:6161:89 |vpiName:acache_assoc_p + |vpiActual: + \_parameter: (work@testbench.acache_assoc_p), line:6157:16, endln:6157:71, parent:work@testbench |vpiOperand: \_ref_obj: (icache_assoc_p), line:6161:94, endln:6161:108 |vpiName:icache_assoc_p + |vpiActual: + \_parameter: (work@testbench.icache_assoc_p), line:6153:16, endln:6153:71, parent:work@testbench |vpiOperand: \_ref_obj: (acache_assoc_p), line:6161:112, endln:6161:128 |vpiName:acache_assoc_p + |vpiActual: + \_parameter: (work@testbench.acache_assoc_p), line:6157:16, endln:6157:71, parent:work@testbench |vpiOperand: \_ref_obj: (dcache_assoc_p), line:6161:135, endln:6161:149 |vpiName:dcache_assoc_p + |vpiActual: + \_parameter: (work@testbench.dcache_assoc_p), line:6149:16, endln:6149:71, parent:work@testbench |vpiOperand: \_operation: , line:6161:153, endln:6162:130 |vpiOpType:32 @@ -84336,15 +84572,23 @@ design: (work@testbench) |vpiOperand: \_ref_obj: (icache_assoc_p), line:6162:56, endln:6162:72 |vpiName:icache_assoc_p + |vpiActual: + \_parameter: (work@testbench.icache_assoc_p), line:6153:16, endln:6153:71, parent:work@testbench |vpiOperand: \_ref_obj: (acache_assoc_p), line:6162:73, endln:6162:89 |vpiName:acache_assoc_p + |vpiActual: + \_parameter: (work@testbench.acache_assoc_p), line:6157:16, endln:6157:71, parent:work@testbench |vpiOperand: \_ref_obj: (icache_assoc_p), line:6162:94, endln:6162:108 |vpiName:icache_assoc_p + |vpiActual: + \_parameter: (work@testbench.icache_assoc_p), line:6153:16, endln:6153:71, parent:work@testbench |vpiOperand: \_ref_obj: (acache_assoc_p), line:6162:112, endln:6162:128 |vpiName:acache_assoc_p + |vpiActual: + \_parameter: (work@testbench.acache_assoc_p), line:6157:16, endln:6157:71, parent:work@testbench |vpiLhs: \_parameter: (work@testbench.lce_assoc_width_p), line:6164:16, endln:6164:94, parent:work@testbench |vpiParamAssign: @@ -84361,6 +84605,8 @@ design: (work@testbench) |vpiActual: \_ref_obj: (proc_param_lp), parent:proc_param_lp.dcache_sets |vpiName:proc_param_lp + |vpiActual: + \_parameter: (work@testbench.proc_param_lp), line:6094:32, endln:6094:72, parent:work@testbench |vpiActual: \_ref_obj: (dcache_sets), parent:proc_param_lp.dcache_sets |vpiName:dcache_sets @@ -84376,6 +84622,8 @@ design: (work@testbench) |vpiActual: \_ref_obj: (proc_param_lp), parent:proc_param_lp.icache_sets |vpiName:proc_param_lp + |vpiActual: + \_parameter: (work@testbench.proc_param_lp), line:6094:32, endln:6094:72, parent:work@testbench |vpiActual: \_ref_obj: (icache_sets), parent:proc_param_lp.icache_sets |vpiName:icache_sets @@ -84385,6 +84633,8 @@ design: (work@testbench) |vpiActual: \_ref_obj: (proc_param_lp), parent:proc_param_lp.acache_sets |vpiName:proc_param_lp + |vpiActual: + \_parameter: (work@testbench.proc_param_lp), line:6094:32, endln:6094:72, parent:work@testbench |vpiActual: \_ref_obj: (acache_sets), parent:proc_param_lp.acache_sets |vpiName:acache_sets @@ -84394,6 +84644,8 @@ design: (work@testbench) |vpiActual: \_ref_obj: (proc_param_lp), parent:proc_param_lp.icache_sets |vpiName:proc_param_lp + |vpiActual: + \_parameter: (work@testbench.proc_param_lp), line:6094:32, endln:6094:72, parent:work@testbench |vpiActual: \_ref_obj: (icache_sets), parent:proc_param_lp.icache_sets |vpiName:icache_sets @@ -84403,6 +84655,8 @@ design: (work@testbench) |vpiActual: \_ref_obj: (proc_param_lp), parent:proc_param_lp.acache_sets |vpiName:proc_param_lp + |vpiActual: + \_parameter: (work@testbench.proc_param_lp), line:6094:32, endln:6094:72, parent:work@testbench |vpiActual: \_ref_obj: (acache_sets), parent:proc_param_lp.acache_sets |vpiName:acache_sets @@ -84412,6 +84666,8 @@ design: (work@testbench) |vpiActual: \_ref_obj: (proc_param_lp), parent:proc_param_lp.dcache_sets |vpiName:proc_param_lp + |vpiActual: + \_parameter: (work@testbench.proc_param_lp), line:6094:32, endln:6094:72, parent:work@testbench |vpiActual: \_ref_obj: (dcache_sets), parent:proc_param_lp.dcache_sets |vpiName:dcache_sets @@ -84427,6 +84683,8 @@ design: (work@testbench) |vpiActual: \_ref_obj: (proc_param_lp), parent:proc_param_lp.icache_sets |vpiName:proc_param_lp + |vpiActual: + \_parameter: (work@testbench.proc_param_lp), line:6094:32, endln:6094:72, parent:work@testbench |vpiActual: \_ref_obj: (icache_sets), parent:proc_param_lp.icache_sets |vpiName:icache_sets @@ -84436,6 +84694,8 @@ design: (work@testbench) |vpiActual: \_ref_obj: (proc_param_lp), parent:proc_param_lp.acache_sets |vpiName:proc_param_lp + |vpiActual: + \_parameter: (work@testbench.proc_param_lp), line:6094:32, endln:6094:72, parent:work@testbench |vpiActual: \_ref_obj: (acache_sets), parent:proc_param_lp.acache_sets |vpiName:acache_sets @@ -84445,6 +84705,8 @@ design: (work@testbench) |vpiActual: \_ref_obj: (proc_param_lp), parent:proc_param_lp.icache_sets |vpiName:proc_param_lp + |vpiActual: + \_parameter: (work@testbench.proc_param_lp), line:6094:32, endln:6094:72, parent:work@testbench |vpiActual: \_ref_obj: (icache_sets), parent:proc_param_lp.icache_sets |vpiName:icache_sets @@ -84454,6 +84716,8 @@ design: (work@testbench) |vpiActual: \_ref_obj: (proc_param_lp), parent:proc_param_lp.acache_sets |vpiName:proc_param_lp + |vpiActual: + \_parameter: (work@testbench.proc_param_lp), line:6094:32, endln:6094:72, parent:work@testbench |vpiActual: \_ref_obj: (acache_sets), parent:proc_param_lp.acache_sets |vpiName:acache_sets @@ -84476,6 +84740,8 @@ design: (work@testbench) |vpiOperand: \_ref_obj: (dcache_sets_p), line:6165:47, endln:6165:62 |vpiName:dcache_sets_p + |vpiActual: + \_parameter: (work@testbench.dcache_sets_p), line:6148:16, endln:6148:70, parent:work@testbench |vpiOperand: \_operation: , line:6165:63, endln:6166:126 |vpiOpType:32 @@ -84485,18 +84751,28 @@ design: (work@testbench) |vpiOperand: \_ref_obj: (icache_sets_p), line:6166:56, endln:6166:71 |vpiName:icache_sets_p + |vpiActual: + \_parameter: (work@testbench.icache_sets_p), line:6152:16, endln:6152:70, parent:work@testbench |vpiOperand: \_ref_obj: (acache_sets_p), line:6166:72, endln:6166:87 |vpiName:acache_sets_p + |vpiActual: + \_parameter: (work@testbench.acache_sets_p), line:6156:16, endln:6156:70, parent:work@testbench |vpiOperand: \_ref_obj: (icache_sets_p), line:6166:92, endln:6166:105 |vpiName:icache_sets_p + |vpiActual: + \_parameter: (work@testbench.icache_sets_p), line:6152:16, endln:6152:70, parent:work@testbench |vpiOperand: \_ref_obj: (acache_sets_p), line:6166:109, endln:6166:124 |vpiName:acache_sets_p + |vpiActual: + \_parameter: (work@testbench.acache_sets_p), line:6156:16, endln:6156:70, parent:work@testbench |vpiOperand: \_ref_obj: (dcache_sets_p), line:6166:131, endln:6166:144 |vpiName:dcache_sets_p + |vpiActual: + \_parameter: (work@testbench.dcache_sets_p), line:6148:16, endln:6148:70, parent:work@testbench |vpiOperand: \_operation: , line:6166:148, endln:6167:126 |vpiOpType:32 @@ -84506,15 +84782,23 @@ design: (work@testbench) |vpiOperand: \_ref_obj: (icache_sets_p), line:6167:56, endln:6167:71 |vpiName:icache_sets_p + |vpiActual: + \_parameter: (work@testbench.icache_sets_p), line:6152:16, endln:6152:70, parent:work@testbench |vpiOperand: \_ref_obj: (acache_sets_p), line:6167:72, endln:6167:87 |vpiName:acache_sets_p + |vpiActual: + \_parameter: (work@testbench.acache_sets_p), line:6156:16, endln:6156:70, parent:work@testbench |vpiOperand: \_ref_obj: (icache_sets_p), line:6167:92, endln:6167:105 |vpiName:icache_sets_p + |vpiActual: + \_parameter: (work@testbench.icache_sets_p), line:6152:16, endln:6152:70, parent:work@testbench |vpiOperand: \_ref_obj: (acache_sets_p), line:6167:109, endln:6167:124 |vpiName:acache_sets_p + |vpiActual: + \_parameter: (work@testbench.acache_sets_p), line:6156:16, endln:6156:70, parent:work@testbench |vpiOperand: \_constant: , line:6169:62, endln:6169:63 |vpiConstType:9 @@ -84539,6 +84823,8 @@ design: (work@testbench) |vpiOperand: \_ref_obj: (dcache_sets_p), line:6165:47, endln:6165:62 |vpiName:dcache_sets_p + |vpiActual: + \_parameter: (work@testbench.dcache_sets_p), line:6148:16, endln:6148:70, parent:work@testbench |vpiOperand: \_operation: , line:6165:63, endln:6166:126 |vpiOpType:32 @@ -84548,18 +84834,28 @@ design: (work@testbench) |vpiOperand: \_ref_obj: (icache_sets_p), line:6166:56, endln:6166:71 |vpiName:icache_sets_p + |vpiActual: + \_parameter: (work@testbench.icache_sets_p), line:6152:16, endln:6152:70, parent:work@testbench |vpiOperand: \_ref_obj: (acache_sets_p), line:6166:72, endln:6166:87 |vpiName:acache_sets_p + |vpiActual: + \_parameter: (work@testbench.acache_sets_p), line:6156:16, endln:6156:70, parent:work@testbench |vpiOperand: \_ref_obj: (icache_sets_p), line:6166:92, endln:6166:105 |vpiName:icache_sets_p + |vpiActual: + \_parameter: (work@testbench.icache_sets_p), line:6152:16, endln:6152:70, parent:work@testbench |vpiOperand: \_ref_obj: (acache_sets_p), line:6166:109, endln:6166:124 |vpiName:acache_sets_p + |vpiActual: + \_parameter: (work@testbench.acache_sets_p), line:6156:16, endln:6156:70, parent:work@testbench |vpiOperand: \_ref_obj: (dcache_sets_p), line:6166:131, endln:6166:144 |vpiName:dcache_sets_p + |vpiActual: + \_parameter: (work@testbench.dcache_sets_p), line:6148:16, endln:6148:70, parent:work@testbench |vpiOperand: \_operation: , line:6166:148, endln:6167:126 |vpiOpType:32 @@ -84569,15 +84865,23 @@ design: (work@testbench) |vpiOperand: \_ref_obj: (icache_sets_p), line:6167:56, endln:6167:71 |vpiName:icache_sets_p + |vpiActual: + \_parameter: (work@testbench.icache_sets_p), line:6152:16, endln:6152:70, parent:work@testbench |vpiOperand: \_ref_obj: (acache_sets_p), line:6167:72, endln:6167:87 |vpiName:acache_sets_p + |vpiActual: + \_parameter: (work@testbench.acache_sets_p), line:6156:16, endln:6156:70, parent:work@testbench |vpiOperand: \_ref_obj: (icache_sets_p), line:6167:92, endln:6167:105 |vpiName:icache_sets_p + |vpiActual: + \_parameter: (work@testbench.icache_sets_p), line:6152:16, endln:6152:70, parent:work@testbench |vpiOperand: \_ref_obj: (acache_sets_p), line:6167:109, endln:6167:124 |vpiName:acache_sets_p + |vpiActual: + \_parameter: (work@testbench.acache_sets_p), line:6156:16, endln:6156:70, parent:work@testbench |vpiLhs: \_parameter: (work@testbench.lce_sets_width_p), line:6169:16, endln:6169:92, parent:work@testbench |vpiParamAssign: @@ -84594,6 +84898,8 @@ design: (work@testbench) |vpiActual: \_ref_obj: (proc_param_lp), parent:proc_param_lp.dcache_block_width |vpiName:proc_param_lp + |vpiActual: + \_parameter: (work@testbench.proc_param_lp), line:6094:32, endln:6094:72, parent:work@testbench |vpiActual: \_ref_obj: (dcache_block_width), parent:proc_param_lp.dcache_block_width |vpiName:dcache_block_width @@ -84609,6 +84915,8 @@ design: (work@testbench) |vpiActual: \_ref_obj: (proc_param_lp), parent:proc_param_lp.icache_block_width |vpiName:proc_param_lp + |vpiActual: + \_parameter: (work@testbench.proc_param_lp), line:6094:32, endln:6094:72, parent:work@testbench |vpiActual: \_ref_obj: (icache_block_width), parent:proc_param_lp.icache_block_width |vpiName:icache_block_width @@ -84618,6 +84926,8 @@ design: (work@testbench) |vpiActual: \_ref_obj: (proc_param_lp), parent:proc_param_lp.acache_block_width |vpiName:proc_param_lp + |vpiActual: + \_parameter: (work@testbench.proc_param_lp), line:6094:32, endln:6094:72, parent:work@testbench |vpiActual: \_ref_obj: (acache_block_width), parent:proc_param_lp.acache_block_width |vpiName:acache_block_width @@ -84627,6 +84937,8 @@ design: (work@testbench) |vpiActual: \_ref_obj: (proc_param_lp), parent:proc_param_lp.icache_block_width |vpiName:proc_param_lp + |vpiActual: + \_parameter: (work@testbench.proc_param_lp), line:6094:32, endln:6094:72, parent:work@testbench |vpiActual: \_ref_obj: (icache_block_width), parent:proc_param_lp.icache_block_width |vpiName:icache_block_width @@ -84636,6 +84948,8 @@ design: (work@testbench) |vpiActual: \_ref_obj: (proc_param_lp), parent:proc_param_lp.acache_block_width |vpiName:proc_param_lp + |vpiActual: + \_parameter: (work@testbench.proc_param_lp), line:6094:32, endln:6094:72, parent:work@testbench |vpiActual: \_ref_obj: (acache_block_width), parent:proc_param_lp.acache_block_width |vpiName:acache_block_width @@ -84645,6 +84959,8 @@ design: (work@testbench) |vpiActual: \_ref_obj: (proc_param_lp), parent:proc_param_lp.dcache_block_width |vpiName:proc_param_lp + |vpiActual: + \_parameter: (work@testbench.proc_param_lp), line:6094:32, endln:6094:72, parent:work@testbench |vpiActual: \_ref_obj: (dcache_block_width), parent:proc_param_lp.dcache_block_width |vpiName:dcache_block_width @@ -84660,6 +84976,8 @@ design: (work@testbench) |vpiActual: \_ref_obj: (proc_param_lp), parent:proc_param_lp.icache_block_width |vpiName:proc_param_lp + |vpiActual: + \_parameter: (work@testbench.proc_param_lp), line:6094:32, endln:6094:72, parent:work@testbench |vpiActual: \_ref_obj: (icache_block_width), parent:proc_param_lp.icache_block_width |vpiName:icache_block_width @@ -84669,6 +84987,8 @@ design: (work@testbench) |vpiActual: \_ref_obj: (proc_param_lp), parent:proc_param_lp.acache_block_width |vpiName:proc_param_lp + |vpiActual: + \_parameter: (work@testbench.proc_param_lp), line:6094:32, endln:6094:72, parent:work@testbench |vpiActual: \_ref_obj: (acache_block_width), parent:proc_param_lp.acache_block_width |vpiName:acache_block_width @@ -84678,6 +84998,8 @@ design: (work@testbench) |vpiActual: \_ref_obj: (proc_param_lp), parent:proc_param_lp.icache_block_width |vpiName:proc_param_lp + |vpiActual: + \_parameter: (work@testbench.proc_param_lp), line:6094:32, endln:6094:72, parent:work@testbench |vpiActual: \_ref_obj: (icache_block_width), parent:proc_param_lp.icache_block_width |vpiName:icache_block_width @@ -84687,6 +85009,8 @@ design: (work@testbench) |vpiActual: \_ref_obj: (proc_param_lp), parent:proc_param_lp.acache_block_width |vpiName:proc_param_lp + |vpiActual: + \_parameter: (work@testbench.proc_param_lp), line:6094:32, endln:6094:72, parent:work@testbench |vpiActual: \_ref_obj: (acache_block_width), parent:proc_param_lp.acache_block_width |vpiName:acache_block_width @@ -84700,6 +85024,8 @@ design: (work@testbench) |vpiActual: \_ref_obj: (proc_param_lp), parent:proc_param_lp.cce_pc_width |vpiName:proc_param_lp + |vpiActual: + \_parameter: (work@testbench.proc_param_lp), line:6094:32, endln:6094:72, parent:work@testbench |vpiActual: \_ref_obj: (cce_pc_width) |vpiName:cce_pc_width @@ -84722,6 +85048,8 @@ design: (work@testbench) |vpiActual: \_ref_obj: (proc_param_lp), parent:proc_param_lp.cce_pc_width |vpiName:proc_param_lp + |vpiActual: + \_parameter: (work@testbench.proc_param_lp), line:6094:32, endln:6094:72, parent:work@testbench |vpiActual: \_ref_obj: (cce_pc_width), parent:proc_param_lp.cce_pc_width |vpiName:cce_pc_width @@ -84741,6 +85069,8 @@ design: (work@testbench) |vpiActual: \_ref_obj: (proc_param_lp), parent:proc_param_lp.dcache_sets |vpiName:proc_param_lp + |vpiActual: + \_parameter: (work@testbench.proc_param_lp), line:6094:32, endln:6094:72, parent:work@testbench |vpiActual: \_ref_obj: (dcache_sets), parent:proc_param_lp.dcache_sets |vpiName:dcache_sets @@ -84750,6 +85080,8 @@ design: (work@testbench) |vpiActual: \_ref_obj: (proc_param_lp), parent:proc_param_lp.icache_sets |vpiName:proc_param_lp + |vpiActual: + \_parameter: (work@testbench.proc_param_lp), line:6094:32, endln:6094:72, parent:work@testbench |vpiActual: \_ref_obj: (icache_sets), parent:proc_param_lp.icache_sets |vpiName:icache_sets @@ -84759,6 +85091,8 @@ design: (work@testbench) |vpiActual: \_ref_obj: (proc_param_lp), parent:proc_param_lp.dcache_sets |vpiName:proc_param_lp + |vpiActual: + \_parameter: (work@testbench.proc_param_lp), line:6094:32, endln:6094:72, parent:work@testbench |vpiActual: \_ref_obj: (dcache_sets), parent:proc_param_lp.dcache_sets |vpiName:dcache_sets @@ -84768,6 +85102,8 @@ design: (work@testbench) |vpiActual: \_ref_obj: (proc_param_lp), parent:proc_param_lp.icache_sets |vpiName:proc_param_lp + |vpiActual: + \_parameter: (work@testbench.proc_param_lp), line:6094:32, endln:6094:72, parent:work@testbench |vpiActual: \_ref_obj: (icache_sets), parent:proc_param_lp.icache_sets |vpiName:icache_sets @@ -84791,6 +85127,8 @@ design: (work@testbench) |vpiActual: \_ref_obj: (proc_param_lp), parent:proc_param_lp.cce_ucode |vpiName:proc_param_lp + |vpiActual: + \_parameter: (work@testbench.proc_param_lp), line:6094:32, endln:6094:72, parent:work@testbench |vpiActual: \_ref_obj: (cce_ucode) |vpiName:cce_ucode @@ -84804,6 +85142,8 @@ design: (work@testbench) |vpiActual: \_ref_obj: (proc_param_lp), parent:proc_param_lp.l2_en |vpiName:proc_param_lp + |vpiActual: + \_parameter: (work@testbench.proc_param_lp), line:6094:32, endln:6094:72, parent:work@testbench |vpiActual: \_ref_obj: (l2_en) |vpiName:l2_en @@ -84817,6 +85157,8 @@ design: (work@testbench) |vpiActual: \_ref_obj: (proc_param_lp), parent:proc_param_lp.l2_sets |vpiName:proc_param_lp + |vpiActual: + \_parameter: (work@testbench.proc_param_lp), line:6094:32, endln:6094:72, parent:work@testbench |vpiActual: \_ref_obj: (l2_sets) |vpiName:l2_sets @@ -84830,6 +85172,8 @@ design: (work@testbench) |vpiActual: \_ref_obj: (proc_param_lp), parent:proc_param_lp.l2_assoc |vpiName:proc_param_lp + |vpiActual: + \_parameter: (work@testbench.proc_param_lp), line:6094:32, endln:6094:72, parent:work@testbench |vpiActual: \_ref_obj: (l2_assoc) |vpiName:l2_assoc @@ -84843,6 +85187,8 @@ design: (work@testbench) |vpiActual: \_ref_obj: (proc_param_lp), parent:proc_param_lp.l2_outstanding_reqs |vpiName:proc_param_lp + |vpiActual: + \_parameter: (work@testbench.proc_param_lp), line:6094:32, endln:6094:72, parent:work@testbench |vpiActual: \_ref_obj: (l2_outstanding_reqs) |vpiName:l2_outstanding_reqs @@ -84856,6 +85202,8 @@ design: (work@testbench) |vpiActual: \_ref_obj: (proc_param_lp), parent:proc_param_lp.fe_queue_fifo_els |vpiName:proc_param_lp + |vpiActual: + \_parameter: (work@testbench.proc_param_lp), line:6094:32, endln:6094:72, parent:work@testbench |vpiActual: \_ref_obj: (fe_queue_fifo_els) |vpiName:fe_queue_fifo_els @@ -84869,6 +85217,8 @@ design: (work@testbench) |vpiActual: \_ref_obj: (proc_param_lp), parent:proc_param_lp.fe_cmd_fifo_els |vpiName:proc_param_lp + |vpiActual: + \_parameter: (work@testbench.proc_param_lp), line:6094:32, endln:6094:72, parent:work@testbench |vpiActual: \_ref_obj: (fe_cmd_fifo_els) |vpiName:fe_cmd_fifo_els @@ -84882,6 +85232,8 @@ design: (work@testbench) |vpiActual: \_ref_obj: (proc_param_lp), parent:proc_param_lp.async_coh_clk |vpiName:proc_param_lp + |vpiActual: + \_parameter: (work@testbench.proc_param_lp), line:6094:32, endln:6094:72, parent:work@testbench |vpiActual: \_ref_obj: (async_coh_clk) |vpiName:async_coh_clk @@ -84895,6 +85247,8 @@ design: (work@testbench) |vpiActual: \_ref_obj: (proc_param_lp), parent:proc_param_lp.coh_noc_max_credits |vpiName:proc_param_lp + |vpiActual: + \_parameter: (work@testbench.proc_param_lp), line:6094:32, endln:6094:72, parent:work@testbench |vpiActual: \_ref_obj: (coh_noc_max_credits) |vpiName:coh_noc_max_credits @@ -84908,6 +85262,8 @@ design: (work@testbench) |vpiActual: \_ref_obj: (proc_param_lp), parent:proc_param_lp.coh_noc_flit_width |vpiName:proc_param_lp + |vpiActual: + \_parameter: (work@testbench.proc_param_lp), line:6094:32, endln:6094:72, parent:work@testbench |vpiActual: \_ref_obj: (coh_noc_flit_width) |vpiName:coh_noc_flit_width @@ -84921,6 +85277,8 @@ design: (work@testbench) |vpiActual: \_ref_obj: (proc_param_lp), parent:proc_param_lp.coh_noc_cid_width |vpiName:proc_param_lp + |vpiActual: + \_parameter: (work@testbench.proc_param_lp), line:6094:32, endln:6094:72, parent:work@testbench |vpiActual: \_ref_obj: (coh_noc_cid_width) |vpiName:coh_noc_cid_width @@ -84934,6 +85292,8 @@ design: (work@testbench) |vpiActual: \_ref_obj: (proc_param_lp), parent:proc_param_lp.coh_noc_len_width |vpiName:proc_param_lp + |vpiActual: + \_parameter: (work@testbench.proc_param_lp), line:6094:32, endln:6094:72, parent:work@testbench |vpiActual: \_ref_obj: (coh_noc_len_width) |vpiName:coh_noc_len_width @@ -84962,6 +85322,8 @@ design: (work@testbench) |vpiActual: \_ref_obj: (proc_param_lp), parent:proc_param_lp.ic_y_dim |vpiName:proc_param_lp + |vpiActual: + \_parameter: (work@testbench.proc_param_lp), line:6094:32, endln:6094:72, parent:work@testbench |vpiActual: \_ref_obj: (ic_y_dim), parent:proc_param_lp.ic_y_dim |vpiName:ic_y_dim @@ -84971,6 +85333,8 @@ design: (work@testbench) |vpiActual: \_ref_obj: (proc_param_lp), parent:proc_param_lp.cc_y_dim |vpiName:proc_param_lp + |vpiActual: + \_parameter: (work@testbench.proc_param_lp), line:6094:32, endln:6094:72, parent:work@testbench |vpiActual: \_ref_obj: (cc_y_dim), parent:proc_param_lp.cc_y_dim |vpiName:cc_y_dim @@ -84980,6 +85344,8 @@ design: (work@testbench) |vpiActual: \_ref_obj: (proc_param_lp), parent:proc_param_lp.mc_y_dim |vpiName:proc_param_lp + |vpiActual: + \_parameter: (work@testbench.proc_param_lp), line:6094:32, endln:6094:72, parent:work@testbench |vpiActual: \_ref_obj: (mc_y_dim), parent:proc_param_lp.mc_y_dim |vpiName:mc_y_dim @@ -85019,6 +85385,8 @@ design: (work@testbench) |vpiActual: \_ref_obj: (proc_param_lp), parent:proc_param_lp.ic_y_dim |vpiName:proc_param_lp + |vpiActual: + \_parameter: (work@testbench.proc_param_lp), line:6094:32, endln:6094:72, parent:work@testbench |vpiActual: \_ref_obj: (ic_y_dim), parent:proc_param_lp.ic_y_dim |vpiName:ic_y_dim @@ -85028,6 +85396,8 @@ design: (work@testbench) |vpiActual: \_ref_obj: (proc_param_lp), parent:proc_param_lp.cc_y_dim |vpiName:proc_param_lp + |vpiActual: + \_parameter: (work@testbench.proc_param_lp), line:6094:32, endln:6094:72, parent:work@testbench |vpiActual: \_ref_obj: (cc_y_dim), parent:proc_param_lp.cc_y_dim |vpiName:cc_y_dim @@ -85037,6 +85407,8 @@ design: (work@testbench) |vpiActual: \_ref_obj: (proc_param_lp), parent:proc_param_lp.mc_y_dim |vpiName:proc_param_lp + |vpiActual: + \_parameter: (work@testbench.proc_param_lp), line:6094:32, endln:6094:72, parent:work@testbench |vpiActual: \_ref_obj: (mc_y_dim), parent:proc_param_lp.mc_y_dim |vpiName:mc_y_dim @@ -85071,6 +85443,8 @@ design: (work@testbench) |vpiActual: \_ref_obj: (proc_param_lp), parent:proc_param_lp.sac_x_dim |vpiName:proc_param_lp + |vpiActual: + \_parameter: (work@testbench.proc_param_lp), line:6094:32, endln:6094:72, parent:work@testbench |vpiActual: \_ref_obj: (sac_x_dim), parent:proc_param_lp.sac_x_dim |vpiName:sac_x_dim @@ -85080,6 +85454,8 @@ design: (work@testbench) |vpiActual: \_ref_obj: (proc_param_lp), parent:proc_param_lp.cc_x_dim |vpiName:proc_param_lp + |vpiActual: + \_parameter: (work@testbench.proc_param_lp), line:6094:32, endln:6094:72, parent:work@testbench |vpiActual: \_ref_obj: (cc_x_dim), parent:proc_param_lp.cc_x_dim |vpiName:cc_x_dim @@ -85089,6 +85465,8 @@ design: (work@testbench) |vpiActual: \_ref_obj: (proc_param_lp), parent:proc_param_lp.cac_x_dim |vpiName:proc_param_lp + |vpiActual: + \_parameter: (work@testbench.proc_param_lp), line:6094:32, endln:6094:72, parent:work@testbench |vpiActual: \_ref_obj: (cac_x_dim), parent:proc_param_lp.cac_x_dim |vpiName:cac_x_dim @@ -85128,6 +85506,8 @@ design: (work@testbench) |vpiActual: \_ref_obj: (proc_param_lp), parent:proc_param_lp.sac_x_dim |vpiName:proc_param_lp + |vpiActual: + \_parameter: (work@testbench.proc_param_lp), line:6094:32, endln:6094:72, parent:work@testbench |vpiActual: \_ref_obj: (sac_x_dim), parent:proc_param_lp.sac_x_dim |vpiName:sac_x_dim @@ -85137,6 +85517,8 @@ design: (work@testbench) |vpiActual: \_ref_obj: (proc_param_lp), parent:proc_param_lp.cc_x_dim |vpiName:proc_param_lp + |vpiActual: + \_parameter: (work@testbench.proc_param_lp), line:6094:32, endln:6094:72, parent:work@testbench |vpiActual: \_ref_obj: (cc_x_dim), parent:proc_param_lp.cc_x_dim |vpiName:cc_x_dim @@ -85146,6 +85528,8 @@ design: (work@testbench) |vpiActual: \_ref_obj: (proc_param_lp), parent:proc_param_lp.cac_x_dim |vpiName:proc_param_lp + |vpiActual: + \_parameter: (work@testbench.proc_param_lp), line:6094:32, endln:6094:72, parent:work@testbench |vpiActual: \_ref_obj: (cac_x_dim), parent:proc_param_lp.cac_x_dim |vpiName:cac_x_dim @@ -85200,21 +85584,24 @@ design: (work@testbench) |vpiSize:64 |UINT:0 |vpiOperand: - \_ref_obj: (coh_noc_x_cord_width_p), line:6209:58, endln:6209:80 + \_ref_obj: (work@testbench.coh_noc_x_cord_width_p), line:6209:58, endln:6209:80 |vpiName:coh_noc_x_cord_width_p + |vpiFullName:work@testbench.coh_noc_x_cord_width_p |vpiActual: \_parameter: (work@testbench.coh_noc_x_cord_width_p), line:6203:16, endln:6203:140, parent:work@testbench |vpiOperand: \_operation: , line:6210:41, endln:6210:83 |vpiOpType:24 |vpiOperand: - \_ref_obj: (coh_noc_y_cord_width_p), line:6209:11, endln:6209:33 + \_ref_obj: (work@testbench.coh_noc_y_cord_width_p), line:6209:11, endln:6209:33 |vpiName:coh_noc_y_cord_width_p + |vpiFullName:work@testbench.coh_noc_y_cord_width_p |vpiActual: \_parameter: (work@testbench.coh_noc_y_cord_width_p), line:6202:16, endln:6202:136, parent:work@testbench |vpiOperand: - \_ref_obj: (coh_noc_x_cord_width_p), line:6209:34, endln:6209:56 + \_ref_obj: (work@testbench.coh_noc_x_cord_width_p), line:6209:34, endln:6209:56 |vpiName:coh_noc_x_cord_width_p + |vpiFullName:work@testbench.coh_noc_x_cord_width_p |vpiActual: \_parameter: (work@testbench.coh_noc_x_cord_width_p), line:6203:16, endln:6203:140, parent:work@testbench |vpiLhs: @@ -85223,6 +85610,17 @@ design: (work@testbench) \_param_assign: , line:6210:16, endln:6210:83, parent:work@testbench |vpiRhs: \_operation: , line:6210:41, endln:6210:83 + |vpiOpType:24 + |vpiOperand: + \_ref_obj: (coh_noc_y_cord_width_p), line:6209:11, endln:6209:33 + |vpiName:coh_noc_y_cord_width_p + |vpiActual: + \_parameter: (work@testbench.coh_noc_y_cord_width_p), line:6202:16, endln:6202:136, parent:work@testbench + |vpiOperand: + \_ref_obj: (coh_noc_x_cord_width_p), line:6209:34, endln:6209:56 + |vpiName:coh_noc_x_cord_width_p + |vpiActual: + \_parameter: (work@testbench.coh_noc_x_cord_width_p), line:6203:16, endln:6203:140, parent:work@testbench |vpiLhs: \_parameter: (work@testbench.coh_noc_cord_width_p), line:6210:16, endln:6210:83, parent:work@testbench |vpiParamAssign: @@ -85233,6 +85631,8 @@ design: (work@testbench) |vpiActual: \_ref_obj: (proc_param_lp), parent:proc_param_lp.async_mem_clk |vpiName:proc_param_lp + |vpiActual: + \_parameter: (work@testbench.proc_param_lp), line:6094:32, endln:6094:72, parent:work@testbench |vpiActual: \_ref_obj: (async_mem_clk) |vpiName:async_mem_clk @@ -85246,6 +85646,8 @@ design: (work@testbench) |vpiActual: \_ref_obj: (proc_param_lp), parent:proc_param_lp.mem_noc_max_credits |vpiName:proc_param_lp + |vpiActual: + \_parameter: (work@testbench.proc_param_lp), line:6094:32, endln:6094:72, parent:work@testbench |vpiActual: \_ref_obj: (mem_noc_max_credits) |vpiName:mem_noc_max_credits @@ -85259,6 +85661,8 @@ design: (work@testbench) |vpiActual: \_ref_obj: (proc_param_lp), parent:proc_param_lp.mem_noc_flit_width |vpiName:proc_param_lp + |vpiActual: + \_parameter: (work@testbench.proc_param_lp), line:6094:32, endln:6094:72, parent:work@testbench |vpiActual: \_ref_obj: (mem_noc_flit_width) |vpiName:mem_noc_flit_width @@ -85272,6 +85676,8 @@ design: (work@testbench) |vpiActual: \_ref_obj: (proc_param_lp), parent:proc_param_lp.mem_noc_cid_width |vpiName:proc_param_lp + |vpiActual: + \_parameter: (work@testbench.proc_param_lp), line:6094:32, endln:6094:72, parent:work@testbench |vpiActual: \_ref_obj: (mem_noc_cid_width) |vpiName:mem_noc_cid_width @@ -85285,6 +85691,8 @@ design: (work@testbench) |vpiActual: \_ref_obj: (proc_param_lp), parent:proc_param_lp.mem_noc_len_width |vpiName:proc_param_lp + |vpiActual: + \_parameter: (work@testbench.proc_param_lp), line:6094:32, endln:6094:72, parent:work@testbench |vpiActual: \_ref_obj: (mem_noc_len_width) |vpiName:mem_noc_len_width @@ -85313,6 +85721,8 @@ design: (work@testbench) |vpiActual: \_ref_obj: (proc_param_lp), parent:proc_param_lp.ic_y_dim |vpiName:proc_param_lp + |vpiActual: + \_parameter: (work@testbench.proc_param_lp), line:6094:32, endln:6094:72, parent:work@testbench |vpiActual: \_ref_obj: (ic_y_dim), parent:proc_param_lp.ic_y_dim |vpiName:ic_y_dim @@ -85322,6 +85732,8 @@ design: (work@testbench) |vpiActual: \_ref_obj: (proc_param_lp), parent:proc_param_lp.cc_y_dim |vpiName:proc_param_lp + |vpiActual: + \_parameter: (work@testbench.proc_param_lp), line:6094:32, endln:6094:72, parent:work@testbench |vpiActual: \_ref_obj: (cc_y_dim), parent:proc_param_lp.cc_y_dim |vpiName:cc_y_dim @@ -85331,6 +85743,8 @@ design: (work@testbench) |vpiActual: \_ref_obj: (proc_param_lp), parent:proc_param_lp.mc_y_dim |vpiName:proc_param_lp + |vpiActual: + \_parameter: (work@testbench.proc_param_lp), line:6094:32, endln:6094:72, parent:work@testbench |vpiActual: \_ref_obj: (mc_y_dim), parent:proc_param_lp.mc_y_dim |vpiName:mc_y_dim @@ -85370,6 +85784,8 @@ design: (work@testbench) |vpiActual: \_ref_obj: (proc_param_lp), parent:proc_param_lp.ic_y_dim |vpiName:proc_param_lp + |vpiActual: + \_parameter: (work@testbench.proc_param_lp), line:6094:32, endln:6094:72, parent:work@testbench |vpiActual: \_ref_obj: (ic_y_dim), parent:proc_param_lp.ic_y_dim |vpiName:ic_y_dim @@ -85379,6 +85795,8 @@ design: (work@testbench) |vpiActual: \_ref_obj: (proc_param_lp), parent:proc_param_lp.cc_y_dim |vpiName:proc_param_lp + |vpiActual: + \_parameter: (work@testbench.proc_param_lp), line:6094:32, endln:6094:72, parent:work@testbench |vpiActual: \_ref_obj: (cc_y_dim), parent:proc_param_lp.cc_y_dim |vpiName:cc_y_dim @@ -85388,6 +85806,8 @@ design: (work@testbench) |vpiActual: \_ref_obj: (proc_param_lp), parent:proc_param_lp.mc_y_dim |vpiName:proc_param_lp + |vpiActual: + \_parameter: (work@testbench.proc_param_lp), line:6094:32, endln:6094:72, parent:work@testbench |vpiActual: \_ref_obj: (mc_y_dim), parent:proc_param_lp.mc_y_dim |vpiName:mc_y_dim @@ -85462,21 +85882,24 @@ design: (work@testbench) |vpiSize:64 |UINT:0 |vpiOperand: - \_ref_obj: (mem_noc_y_cord_width_p), line:6224:58, endln:6224:80 + \_ref_obj: (work@testbench.mem_noc_y_cord_width_p), line:6224:58, endln:6224:80 |vpiName:mem_noc_y_cord_width_p + |vpiFullName:work@testbench.mem_noc_y_cord_width_p |vpiActual: \_parameter: (work@testbench.mem_noc_y_cord_width_p), line:6217:16, endln:6217:139, parent:work@testbench |vpiOperand: \_operation: , line:6224:11, endln:6224:33 |vpiOpType:24 |vpiOperand: - \_ref_obj: (mem_noc_x_cord_width_p), line:6224:11, endln:6224:33 + \_ref_obj: (work@testbench.mem_noc_x_cord_width_p), line:6224:11, endln:6224:33 |vpiName:mem_noc_x_cord_width_p + |vpiFullName:work@testbench.mem_noc_x_cord_width_p |vpiActual: \_parameter: (work@testbench.mem_noc_x_cord_width_p), line:6218:16, endln:6218:45, parent:work@testbench |vpiOperand: - \_ref_obj: (mem_noc_y_cord_width_p), line:6224:34, endln:6224:56 + \_ref_obj: (work@testbench.mem_noc_y_cord_width_p), line:6224:34, endln:6224:56 |vpiName:mem_noc_y_cord_width_p + |vpiFullName:work@testbench.mem_noc_y_cord_width_p |vpiActual: \_parameter: (work@testbench.mem_noc_y_cord_width_p), line:6217:16, endln:6217:139, parent:work@testbench |vpiLhs: @@ -85495,6 +85918,8 @@ design: (work@testbench) |vpiActual: \_ref_obj: (proc_param_lp), parent:proc_param_lp.async_io_clk |vpiName:proc_param_lp + |vpiActual: + \_parameter: (work@testbench.proc_param_lp), line:6094:32, endln:6094:72, parent:work@testbench |vpiActual: \_ref_obj: (async_io_clk) |vpiName:async_io_clk @@ -85508,6 +85933,8 @@ design: (work@testbench) |vpiActual: \_ref_obj: (proc_param_lp), parent:proc_param_lp.io_noc_max_credits |vpiName:proc_param_lp + |vpiActual: + \_parameter: (work@testbench.proc_param_lp), line:6094:32, endln:6094:72, parent:work@testbench |vpiActual: \_ref_obj: (io_noc_max_credits) |vpiName:io_noc_max_credits @@ -85521,6 +85948,8 @@ design: (work@testbench) |vpiActual: \_ref_obj: (proc_param_lp), parent:proc_param_lp.io_noc_did_width |vpiName:proc_param_lp + |vpiActual: + \_parameter: (work@testbench.proc_param_lp), line:6094:32, endln:6094:72, parent:work@testbench |vpiActual: \_ref_obj: (io_noc_did_width) |vpiName:io_noc_did_width @@ -85534,6 +85963,8 @@ design: (work@testbench) |vpiActual: \_ref_obj: (proc_param_lp), parent:proc_param_lp.io_noc_flit_width |vpiName:proc_param_lp + |vpiActual: + \_parameter: (work@testbench.proc_param_lp), line:6094:32, endln:6094:72, parent:work@testbench |vpiActual: \_ref_obj: (io_noc_flit_width) |vpiName:io_noc_flit_width @@ -85547,6 +85978,8 @@ design: (work@testbench) |vpiActual: \_ref_obj: (proc_param_lp), parent:proc_param_lp.io_noc_cid_width |vpiName:proc_param_lp + |vpiActual: + \_parameter: (work@testbench.proc_param_lp), line:6094:32, endln:6094:72, parent:work@testbench |vpiActual: \_ref_obj: (io_noc_cid_width) |vpiName:io_noc_cid_width @@ -85560,6 +85993,8 @@ design: (work@testbench) |vpiActual: \_ref_obj: (proc_param_lp), parent:proc_param_lp.io_noc_len_width |vpiName:proc_param_lp + |vpiActual: + \_parameter: (work@testbench.proc_param_lp), line:6094:32, endln:6094:72, parent:work@testbench |vpiActual: \_ref_obj: (io_noc_len_width) |vpiName:io_noc_len_width @@ -85583,6 +86018,8 @@ design: (work@testbench) |vpiActual: \_ref_obj: (proc_param_lp), parent:proc_param_lp.io_noc_did_width |vpiName:proc_param_lp + |vpiActual: + \_parameter: (work@testbench.proc_param_lp), line:6094:32, endln:6094:72, parent:work@testbench |vpiActual: \_ref_obj: (io_noc_did_width), parent:proc_param_lp.io_noc_did_width |vpiName:io_noc_did_width @@ -85641,21 +86078,24 @@ design: (work@testbench) |vpiSize:64 |UINT:0 |vpiOperand: - \_ref_obj: (io_noc_x_cord_width_p), line:6242:56, endln:6242:77 + \_ref_obj: (work@testbench.io_noc_x_cord_width_p), line:6242:56, endln:6242:77 |vpiName:io_noc_x_cord_width_p + |vpiFullName:work@testbench.io_noc_x_cord_width_p |vpiActual: \_parameter: (work@testbench.io_noc_x_cord_width_p), line:6235:16, endln:6235:61, parent:work@testbench |vpiOperand: \_operation: , line:6242:11, endln:6242:32 |vpiOpType:24 |vpiOperand: - \_ref_obj: (io_noc_y_cord_width_p), line:6242:11, endln:6242:32 + \_ref_obj: (work@testbench.io_noc_y_cord_width_p), line:6242:11, endln:6242:32 |vpiName:io_noc_y_cord_width_p + |vpiFullName:work@testbench.io_noc_y_cord_width_p |vpiActual: \_parameter: (work@testbench.io_noc_y_cord_width_p), line:6234:16, endln:6234:44, parent:work@testbench |vpiOperand: - \_ref_obj: (io_noc_x_cord_width_p), line:6242:33, endln:6242:54 + \_ref_obj: (work@testbench.io_noc_x_cord_width_p), line:6242:33, endln:6242:54 |vpiName:io_noc_x_cord_width_p + |vpiFullName:work@testbench.io_noc_x_cord_width_p |vpiActual: \_parameter: (work@testbench.io_noc_x_cord_width_p), line:6235:16, endln:6235:61, parent:work@testbench |vpiLhs: @@ -85668,6 +86108,8 @@ design: (work@testbench) |vpiActual: \_ref_obj: (proc_param_lp), parent:proc_param_lp.io_noc_did_width |vpiName:proc_param_lp + |vpiActual: + \_parameter: (work@testbench.proc_param_lp), line:6094:32, endln:6094:72, parent:work@testbench |vpiActual: \_ref_obj: (io_noc_did_width), parent:proc_param_lp.io_noc_did_width |vpiName:io_noc_did_width @@ -85744,6 +86186,8 @@ design: (work@testbench) |vpiActual: \_ref_obj: (proc_param_lp), parent:proc_param_lp.vaddr_width |vpiName:proc_param_lp + |vpiActual: + \_parameter: (work@testbench.proc_param_lp), line:6094:32, endln:6094:72, parent:work@testbench |vpiActual: \_ref_obj: (vaddr_width) |vpiName:vaddr_width @@ -85766,6 +86210,8 @@ design: (work@testbench) |vpiActual: \_ref_obj: (proc_param_lp), parent:proc_param_lp.paddr_width |vpiName:proc_param_lp + |vpiActual: + \_parameter: (work@testbench.proc_param_lp), line:6094:32, endln:6094:72, parent:work@testbench |vpiActual: \_ref_obj: (paddr_width) |vpiName:paddr_width @@ -85815,6 +86261,8 @@ design: (work@testbench) |vpiActual: \_ref_obj: (proc_param_lp), parent:proc_param_lp.vaddr_width |vpiName:proc_param_lp + |vpiActual: + \_parameter: (work@testbench.proc_param_lp), line:6094:32, endln:6094:72, parent:work@testbench |vpiActual: \_ref_obj: (vaddr_width), parent:proc_param_lp.vaddr_width |vpiName:vaddr_width @@ -85830,6 +86278,8 @@ design: (work@testbench) |vpiActual: \_ref_obj: (proc_param_lp), parent:proc_param_lp.branch_metadata_fwd_width |vpiName:proc_param_lp + |vpiActual: + \_parameter: (work@testbench.proc_param_lp), line:6094:32, endln:6094:72, parent:work@testbench |vpiActual: \_ref_obj: (branch_metadata_fwd_width), parent:proc_param_lp.branch_metadata_fwd_width |vpiName:branch_metadata_fwd_width @@ -85842,6 +86292,8 @@ design: (work@testbench) |vpiActual: \_ref_obj: (proc_param_lp), parent:proc_param_lp.vaddr_width |vpiName:proc_param_lp + |vpiActual: + \_parameter: (work@testbench.proc_param_lp), line:6094:32, endln:6094:72, parent:work@testbench |vpiActual: \_ref_obj: (vaddr_width), parent:proc_param_lp.vaddr_width |vpiName:vaddr_width @@ -85863,6 +86315,8 @@ design: (work@testbench) |vpiActual: \_ref_obj: (proc_param_lp), parent:proc_param_lp.vaddr_width |vpiName:proc_param_lp + |vpiActual: + \_parameter: (work@testbench.proc_param_lp), line:6094:32, endln:6094:72, parent:work@testbench |vpiActual: \_ref_obj: (vaddr_width), parent:proc_param_lp.vaddr_width |vpiName:vaddr_width @@ -85878,6 +86332,8 @@ design: (work@testbench) |vpiActual: \_ref_obj: (proc_param_lp), parent:proc_param_lp.branch_metadata_fwd_width |vpiName:proc_param_lp + |vpiActual: + \_parameter: (work@testbench.proc_param_lp), line:6094:32, endln:6094:72, parent:work@testbench |vpiActual: \_ref_obj: (branch_metadata_fwd_width), parent:proc_param_lp.branch_metadata_fwd_width |vpiName:branch_metadata_fwd_width @@ -85890,6 +86346,8 @@ design: (work@testbench) |vpiActual: \_ref_obj: (proc_param_lp), parent:proc_param_lp.vaddr_width |vpiName:proc_param_lp + |vpiActual: + \_parameter: (work@testbench.proc_param_lp), line:6094:32, endln:6094:72, parent:work@testbench |vpiActual: \_ref_obj: (vaddr_width), parent:proc_param_lp.vaddr_width |vpiName:vaddr_width @@ -85915,6 +86373,8 @@ design: (work@testbench) |vpiActual: \_ref_obj: (proc_param_lp), parent:proc_param_lp.vaddr_width |vpiName:proc_param_lp + |vpiActual: + \_parameter: (work@testbench.proc_param_lp), line:6094:32, endln:6094:72, parent:work@testbench |vpiActual: \_ref_obj: (vaddr_width), parent:proc_param_lp.vaddr_width |vpiName:vaddr_width @@ -85960,6 +86420,8 @@ design: (work@testbench) |vpiActual: \_ref_obj: (proc_param_lp), parent:proc_param_lp.branch_metadata_fwd_width |vpiName:proc_param_lp + |vpiActual: + \_parameter: (work@testbench.proc_param_lp), line:6094:32, endln:6094:72, parent:work@testbench |vpiActual: \_ref_obj: (branch_metadata_fwd_width), parent:proc_param_lp.branch_metadata_fwd_width |vpiName:branch_metadata_fwd_width @@ -85996,6 +86458,8 @@ design: (work@testbench) |vpiActual: \_ref_obj: (proc_param_lp), parent:proc_param_lp.branch_metadata_fwd_width |vpiName:proc_param_lp + |vpiActual: + \_parameter: (work@testbench.proc_param_lp), line:6094:32, endln:6094:72, parent:work@testbench |vpiActual: \_ref_obj: (branch_metadata_fwd_width), parent:proc_param_lp.branch_metadata_fwd_width |vpiName:branch_metadata_fwd_width @@ -86017,6 +86481,8 @@ design: (work@testbench) |vpiActual: \_ref_obj: (proc_param_lp), parent:proc_param_lp.paddr_width |vpiName:proc_param_lp + |vpiActual: + \_parameter: (work@testbench.proc_param_lp), line:6094:32, endln:6094:72, parent:work@testbench |vpiActual: \_ref_obj: (paddr_width), parent:proc_param_lp.paddr_width |vpiName:paddr_width @@ -86041,6 +86507,8 @@ design: (work@testbench) |vpiActual: \_ref_obj: (proc_param_lp), parent:proc_param_lp.asid_width |vpiName:proc_param_lp + |vpiActual: + \_parameter: (work@testbench.proc_param_lp), line:6094:32, endln:6094:72, parent:work@testbench |vpiActual: \_ref_obj: (asid_width), parent:proc_param_lp.asid_width |vpiName:asid_width @@ -86062,6 +86530,8 @@ design: (work@testbench) |vpiActual: \_ref_obj: (proc_param_lp), parent:proc_param_lp.paddr_width |vpiName:proc_param_lp + |vpiActual: + \_parameter: (work@testbench.proc_param_lp), line:6094:32, endln:6094:72, parent:work@testbench |vpiActual: \_ref_obj: (paddr_width), parent:proc_param_lp.paddr_width |vpiName:paddr_width @@ -86086,6 +86556,8 @@ design: (work@testbench) |vpiActual: \_ref_obj: (proc_param_lp), parent:proc_param_lp.asid_width |vpiName:proc_param_lp + |vpiActual: + \_parameter: (work@testbench.proc_param_lp), line:6094:32, endln:6094:72, parent:work@testbench |vpiActual: \_ref_obj: (asid_width), parent:proc_param_lp.asid_width |vpiName:asid_width @@ -86110,6 +86582,8 @@ design: (work@testbench) |vpiActual: \_ref_obj: (proc_param_lp), parent:proc_param_lp.branch_metadata_fwd_width |vpiName:proc_param_lp + |vpiActual: + \_parameter: (work@testbench.proc_param_lp), line:6094:32, endln:6094:72, parent:work@testbench |vpiActual: \_ref_obj: (branch_metadata_fwd_width), parent:proc_param_lp.branch_metadata_fwd_width |vpiName:branch_metadata_fwd_width @@ -86131,6 +86605,8 @@ design: (work@testbench) |vpiActual: \_ref_obj: (proc_param_lp), parent:proc_param_lp.paddr_width |vpiName:proc_param_lp + |vpiActual: + \_parameter: (work@testbench.proc_param_lp), line:6094:32, endln:6094:72, parent:work@testbench |vpiActual: \_ref_obj: (paddr_width), parent:proc_param_lp.paddr_width |vpiName:paddr_width @@ -86155,6 +86631,8 @@ design: (work@testbench) |vpiActual: \_ref_obj: (proc_param_lp), parent:proc_param_lp.asid_width |vpiName:proc_param_lp + |vpiActual: + \_parameter: (work@testbench.proc_param_lp), line:6094:32, endln:6094:72, parent:work@testbench |vpiActual: \_ref_obj: (asid_width), parent:proc_param_lp.asid_width |vpiName:asid_width @@ -86176,6 +86654,8 @@ design: (work@testbench) |vpiActual: \_ref_obj: (proc_param_lp), parent:proc_param_lp.paddr_width |vpiName:proc_param_lp + |vpiActual: + \_parameter: (work@testbench.proc_param_lp), line:6094:32, endln:6094:72, parent:work@testbench |vpiActual: \_ref_obj: (paddr_width), parent:proc_param_lp.paddr_width |vpiName:paddr_width @@ -86200,6 +86680,8 @@ design: (work@testbench) |vpiActual: \_ref_obj: (proc_param_lp), parent:proc_param_lp.asid_width |vpiName:proc_param_lp + |vpiActual: + \_parameter: (work@testbench.proc_param_lp), line:6094:32, endln:6094:72, parent:work@testbench |vpiActual: \_ref_obj: (asid_width), parent:proc_param_lp.asid_width |vpiName:asid_width @@ -86230,6 +86712,8 @@ design: (work@testbench) |vpiActual: \_ref_obj: (proc_param_lp), parent:proc_param_lp.branch_metadata_fwd_width |vpiName:proc_param_lp + |vpiActual: + \_parameter: (work@testbench.proc_param_lp), line:6094:32, endln:6094:72, parent:work@testbench |vpiActual: \_ref_obj: (branch_metadata_fwd_width), parent:proc_param_lp.branch_metadata_fwd_width |vpiName:branch_metadata_fwd_width @@ -86266,6 +86750,8 @@ design: (work@testbench) |vpiActual: \_ref_obj: (proc_param_lp), parent:proc_param_lp.branch_metadata_fwd_width |vpiName:proc_param_lp + |vpiActual: + \_parameter: (work@testbench.proc_param_lp), line:6094:32, endln:6094:72, parent:work@testbench |vpiActual: \_ref_obj: (branch_metadata_fwd_width), parent:proc_param_lp.branch_metadata_fwd_width |vpiName:branch_metadata_fwd_width @@ -86287,6 +86773,8 @@ design: (work@testbench) |vpiActual: \_ref_obj: (proc_param_lp), parent:proc_param_lp.paddr_width |vpiName:proc_param_lp + |vpiActual: + \_parameter: (work@testbench.proc_param_lp), line:6094:32, endln:6094:72, parent:work@testbench |vpiActual: \_ref_obj: (paddr_width), parent:proc_param_lp.paddr_width |vpiName:paddr_width @@ -86311,6 +86799,8 @@ design: (work@testbench) |vpiActual: \_ref_obj: (proc_param_lp), parent:proc_param_lp.asid_width |vpiName:proc_param_lp + |vpiActual: + \_parameter: (work@testbench.proc_param_lp), line:6094:32, endln:6094:72, parent:work@testbench |vpiActual: \_ref_obj: (asid_width), parent:proc_param_lp.asid_width |vpiName:asid_width @@ -86332,6 +86822,8 @@ design: (work@testbench) |vpiActual: \_ref_obj: (proc_param_lp), parent:proc_param_lp.paddr_width |vpiName:proc_param_lp + |vpiActual: + \_parameter: (work@testbench.proc_param_lp), line:6094:32, endln:6094:72, parent:work@testbench |vpiActual: \_ref_obj: (paddr_width), parent:proc_param_lp.paddr_width |vpiName:paddr_width @@ -86356,6 +86848,8 @@ design: (work@testbench) |vpiActual: \_ref_obj: (proc_param_lp), parent:proc_param_lp.asid_width |vpiName:proc_param_lp + |vpiActual: + \_parameter: (work@testbench.proc_param_lp), line:6094:32, endln:6094:72, parent:work@testbench |vpiActual: \_ref_obj: (asid_width), parent:proc_param_lp.asid_width |vpiName:asid_width @@ -86380,6 +86874,8 @@ design: (work@testbench) |vpiActual: \_ref_obj: (proc_param_lp), parent:proc_param_lp.branch_metadata_fwd_width |vpiName:proc_param_lp + |vpiActual: + \_parameter: (work@testbench.proc_param_lp), line:6094:32, endln:6094:72, parent:work@testbench |vpiActual: \_ref_obj: (branch_metadata_fwd_width), parent:proc_param_lp.branch_metadata_fwd_width |vpiName:branch_metadata_fwd_width @@ -86401,6 +86897,8 @@ design: (work@testbench) |vpiActual: \_ref_obj: (proc_param_lp), parent:proc_param_lp.paddr_width |vpiName:proc_param_lp + |vpiActual: + \_parameter: (work@testbench.proc_param_lp), line:6094:32, endln:6094:72, parent:work@testbench |vpiActual: \_ref_obj: (paddr_width), parent:proc_param_lp.paddr_width |vpiName:paddr_width @@ -86425,6 +86923,8 @@ design: (work@testbench) |vpiActual: \_ref_obj: (proc_param_lp), parent:proc_param_lp.asid_width |vpiName:proc_param_lp + |vpiActual: + \_parameter: (work@testbench.proc_param_lp), line:6094:32, endln:6094:72, parent:work@testbench |vpiActual: \_ref_obj: (asid_width), parent:proc_param_lp.asid_width |vpiName:asid_width @@ -86446,6 +86946,8 @@ design: (work@testbench) |vpiActual: \_ref_obj: (proc_param_lp), parent:proc_param_lp.paddr_width |vpiName:proc_param_lp + |vpiActual: + \_parameter: (work@testbench.proc_param_lp), line:6094:32, endln:6094:72, parent:work@testbench |vpiActual: \_ref_obj: (paddr_width), parent:proc_param_lp.paddr_width |vpiName:paddr_width @@ -86470,6 +86972,8 @@ design: (work@testbench) |vpiActual: \_ref_obj: (proc_param_lp), parent:proc_param_lp.asid_width |vpiName:proc_param_lp + |vpiActual: + \_parameter: (work@testbench.proc_param_lp), line:6094:32, endln:6094:72, parent:work@testbench |vpiActual: \_ref_obj: (asid_width), parent:proc_param_lp.asid_width |vpiName:asid_width @@ -86516,6 +87020,8 @@ design: (work@testbench) |vpiOperand: \_ref_obj: (cc_x_dim_p), line:6123:39, endln:6123:49 |vpiName:cc_x_dim_p + |vpiActual: + \_parameter: (work@testbench.cc_x_dim_p), line:6098:16, endln:6098:52, parent:work@testbench |vpiOperand: \_constant: , line:6123:50, endln:6123:51 |vpiConstType:9 @@ -86537,6 +87043,8 @@ design: (work@testbench) |vpiOperand: \_ref_obj: (cc_y_dim_p), line:6123:56, endln:6123:66 |vpiName:cc_y_dim_p + |vpiActual: + \_parameter: (work@testbench.cc_y_dim_p), line:6099:16, endln:6099:52, parent:work@testbench |vpiOperand: \_constant: , line:6123:67, endln:6123:68 |vpiConstType:9 @@ -86576,6 +87084,8 @@ design: (work@testbench) |vpiOperand: \_ref_obj: (cc_x_dim_p), line:6123:92, endln:6123:102 |vpiName:cc_x_dim_p + |vpiActual: + \_parameter: (work@testbench.cc_x_dim_p), line:6098:16, endln:6098:52, parent:work@testbench |vpiOperand: \_constant: , line:6123:103, endln:6123:104 |vpiConstType:9 @@ -86597,6 +87107,8 @@ design: (work@testbench) |vpiOperand: \_ref_obj: (cc_y_dim_p), line:6123:109, endln:6123:119 |vpiName:cc_y_dim_p + |vpiActual: + \_parameter: (work@testbench.cc_y_dim_p), line:6099:16, endln:6099:52, parent:work@testbench |vpiOperand: \_constant: , line:6123:120, endln:6123:121 |vpiConstType:9 @@ -86624,6 +87136,8 @@ design: (work@testbench) |vpiOperand: \_ref_obj: (dcache_assoc_p), line:6160:47, endln:6160:63 |vpiName:dcache_assoc_p + |vpiActual: + \_parameter: (work@testbench.dcache_assoc_p), line:6149:16, endln:6149:71, parent:work@testbench |vpiOperand: \_operation: , line:6160:64, endln:6161:130 |vpiOpType:32 @@ -86633,18 +87147,28 @@ design: (work@testbench) |vpiOperand: \_ref_obj: (icache_assoc_p), line:6161:56, endln:6161:72 |vpiName:icache_assoc_p + |vpiActual: + \_parameter: (work@testbench.icache_assoc_p), line:6153:16, endln:6153:71, parent:work@testbench |vpiOperand: \_ref_obj: (acache_assoc_p), line:6161:73, endln:6161:89 |vpiName:acache_assoc_p + |vpiActual: + \_parameter: (work@testbench.acache_assoc_p), line:6157:16, endln:6157:71, parent:work@testbench |vpiOperand: \_ref_obj: (icache_assoc_p), line:6161:94, endln:6161:108 |vpiName:icache_assoc_p + |vpiActual: + \_parameter: (work@testbench.icache_assoc_p), line:6153:16, endln:6153:71, parent:work@testbench |vpiOperand: \_ref_obj: (acache_assoc_p), line:6161:112, endln:6161:128 |vpiName:acache_assoc_p + |vpiActual: + \_parameter: (work@testbench.acache_assoc_p), line:6157:16, endln:6157:71, parent:work@testbench |vpiOperand: \_ref_obj: (dcache_assoc_p), line:6161:135, endln:6161:149 |vpiName:dcache_assoc_p + |vpiActual: + \_parameter: (work@testbench.dcache_assoc_p), line:6149:16, endln:6149:71, parent:work@testbench |vpiOperand: \_operation: , line:6161:153, endln:6162:130 |vpiOpType:32 @@ -86654,15 +87178,23 @@ design: (work@testbench) |vpiOperand: \_ref_obj: (icache_assoc_p), line:6162:56, endln:6162:72 |vpiName:icache_assoc_p + |vpiActual: + \_parameter: (work@testbench.icache_assoc_p), line:6153:16, endln:6153:71, parent:work@testbench |vpiOperand: \_ref_obj: (acache_assoc_p), line:6162:73, endln:6162:89 |vpiName:acache_assoc_p + |vpiActual: + \_parameter: (work@testbench.acache_assoc_p), line:6157:16, endln:6157:71, parent:work@testbench |vpiOperand: \_ref_obj: (icache_assoc_p), line:6162:94, endln:6162:108 |vpiName:icache_assoc_p + |vpiActual: + \_parameter: (work@testbench.icache_assoc_p), line:6153:16, endln:6153:71, parent:work@testbench |vpiOperand: \_ref_obj: (acache_assoc_p), line:6162:112, endln:6162:128 |vpiName:acache_assoc_p + |vpiActual: + \_parameter: (work@testbench.acache_assoc_p), line:6157:16, endln:6157:71, parent:work@testbench |vpiOperand: \_constant: , line:6387:39, endln:6387:40 |vpiConstType:9 @@ -86687,6 +87219,8 @@ design: (work@testbench) |vpiOperand: \_ref_obj: (dcache_assoc_p), line:6160:47, endln:6160:63 |vpiName:dcache_assoc_p + |vpiActual: + \_parameter: (work@testbench.dcache_assoc_p), line:6149:16, endln:6149:71, parent:work@testbench |vpiOperand: \_operation: , line:6160:64, endln:6161:130 |vpiOpType:32 @@ -86696,18 +87230,28 @@ design: (work@testbench) |vpiOperand: \_ref_obj: (icache_assoc_p), line:6161:56, endln:6161:72 |vpiName:icache_assoc_p + |vpiActual: + \_parameter: (work@testbench.icache_assoc_p), line:6153:16, endln:6153:71, parent:work@testbench |vpiOperand: \_ref_obj: (acache_assoc_p), line:6161:73, endln:6161:89 |vpiName:acache_assoc_p + |vpiActual: + \_parameter: (work@testbench.acache_assoc_p), line:6157:16, endln:6157:71, parent:work@testbench |vpiOperand: \_ref_obj: (icache_assoc_p), line:6161:94, endln:6161:108 |vpiName:icache_assoc_p + |vpiActual: + \_parameter: (work@testbench.icache_assoc_p), line:6153:16, endln:6153:71, parent:work@testbench |vpiOperand: \_ref_obj: (acache_assoc_p), line:6161:112, endln:6161:128 |vpiName:acache_assoc_p + |vpiActual: + \_parameter: (work@testbench.acache_assoc_p), line:6157:16, endln:6157:71, parent:work@testbench |vpiOperand: \_ref_obj: (dcache_assoc_p), line:6161:135, endln:6161:149 |vpiName:dcache_assoc_p + |vpiActual: + \_parameter: (work@testbench.dcache_assoc_p), line:6149:16, endln:6149:71, parent:work@testbench |vpiOperand: \_operation: , line:6161:153, endln:6162:130 |vpiOpType:32 @@ -86717,15 +87261,23 @@ design: (work@testbench) |vpiOperand: \_ref_obj: (icache_assoc_p), line:6162:56, endln:6162:72 |vpiName:icache_assoc_p + |vpiActual: + \_parameter: (work@testbench.icache_assoc_p), line:6153:16, endln:6153:71, parent:work@testbench |vpiOperand: \_ref_obj: (acache_assoc_p), line:6162:73, endln:6162:89 |vpiName:acache_assoc_p + |vpiActual: + \_parameter: (work@testbench.acache_assoc_p), line:6157:16, endln:6157:71, parent:work@testbench |vpiOperand: \_ref_obj: (icache_assoc_p), line:6162:94, endln:6162:108 |vpiName:icache_assoc_p + |vpiActual: + \_parameter: (work@testbench.icache_assoc_p), line:6153:16, endln:6153:71, parent:work@testbench |vpiOperand: \_ref_obj: (acache_assoc_p), line:6162:112, endln:6162:128 |vpiName:acache_assoc_p + |vpiActual: + \_parameter: (work@testbench.acache_assoc_p), line:6157:16, endln:6157:71, parent:work@testbench |vpiOperand: \_constant: , line:6387:71, endln:6387:93 |vpiConstType:9 @@ -86757,6 +87309,8 @@ design: (work@testbench) |vpiActual: \_ref_obj: (proc_param_lp), parent:proc_param_lp.paddr_width |vpiName:proc_param_lp + |vpiActual: + \_parameter: (work@testbench.proc_param_lp), line:6094:32, endln:6094:72, parent:work@testbench |vpiActual: \_ref_obj: (paddr_width), parent:proc_param_lp.paddr_width |vpiName:paddr_width @@ -86784,6 +87338,8 @@ design: (work@testbench) |vpiOperand: \_ref_obj: (lce_id_width_p), line:6387:6, endln:6387:20 |vpiName:lce_id_width_p + |vpiActual: + \_parameter: (work@testbench.lce_id_width_p), line:6123:16, endln:6123:127, parent:work@testbench |vpiOperand: \_operation: , line:6387:21, endln:6387:70 |vpiOpType:32 @@ -86793,6 +87349,8 @@ design: (work@testbench) |vpiOperand: \_ref_obj: (lce_assoc_p), line:6387:24, endln:6387:37 |vpiName:lce_assoc_p + |vpiActual: + \_parameter: (work@testbench.lce_assoc_p), line:6160:16, endln:6162:131, parent:work@testbench |vpiOperand: \_constant: , line:6387:39, endln:6387:40 |vpiConstType:9 @@ -86811,6 +87369,8 @@ design: (work@testbench) |vpiArgument: \_ref_obj: (lce_assoc_p), line:6387:56, endln:6387:67, parent:$clog2 |vpiName:lce_assoc_p + |vpiActual: + \_parameter: (work@testbench.lce_assoc_p), line:6160:16, endln:6162:131, parent:work@testbench |vpiOperand: \_constant: , line:6387:71, endln:6387:93 |vpiConstType:9 @@ -86845,6 +87405,8 @@ design: (work@testbench) |vpiActual: \_ref_obj: (proc_param_lp), parent:proc_param_lp.paddr_width |vpiName:proc_param_lp + |vpiActual: + \_parameter: (work@testbench.proc_param_lp), line:6094:32, endln:6094:72, parent:work@testbench |vpiActual: \_ref_obj: (paddr_width), parent:proc_param_lp.paddr_width |vpiName:paddr_width @@ -86872,6 +87434,8 @@ design: (work@testbench) |vpiOperand: \_ref_obj: (lce_id_width_p), line:6387:6, endln:6387:20 |vpiName:lce_id_width_p + |vpiActual: + \_parameter: (work@testbench.lce_id_width_p), line:6123:16, endln:6123:127, parent:work@testbench |vpiOperand: \_operation: , line:6387:21, endln:6387:70 |vpiOpType:32 @@ -86881,6 +87445,8 @@ design: (work@testbench) |vpiOperand: \_ref_obj: (lce_assoc_p), line:6387:24, endln:6387:37 |vpiName:lce_assoc_p + |vpiActual: + \_parameter: (work@testbench.lce_assoc_p), line:6160:16, endln:6162:131, parent:work@testbench |vpiOperand: \_constant: , line:6387:39, endln:6387:40 |vpiConstType:9 @@ -86899,6 +87465,8 @@ design: (work@testbench) |vpiArgument: \_ref_obj: (lce_assoc_p), line:6387:56, endln:6387:67, parent:$clog2 |vpiName:lce_assoc_p + |vpiActual: + \_parameter: (work@testbench.lce_assoc_p), line:6160:16, endln:6162:131, parent:work@testbench |vpiOperand: \_constant: , line:6387:71, endln:6387:93 |vpiConstType:9 @@ -86914,6 +87482,8 @@ design: (work@testbench) |vpiOperand: \_ref_obj: (dcache_block_width_p), line:6171:48, endln:6171:70 |vpiName:dcache_block_width_p + |vpiActual: + \_parameter: (work@testbench.dcache_block_width_p), line:6150:16, endln:6150:77, parent:work@testbench |vpiOperand: \_operation: , line:6171:71, endln:6175:2 |vpiOpType:32 @@ -86923,18 +87493,28 @@ design: (work@testbench) |vpiOperand: \_ref_obj: (icache_block_width_p), line:6172:56, endln:6172:78 |vpiName:icache_block_width_p + |vpiActual: + \_parameter: (work@testbench.icache_block_width_p), line:6154:16, endln:6154:77, parent:work@testbench |vpiOperand: \_ref_obj: (acache_block_width_p), line:6172:79, endln:6173:77 |vpiName:acache_block_width_p + |vpiActual: + \_parameter: (work@testbench.acache_block_width_p), line:6158:16, endln:6158:77, parent:work@testbench |vpiOperand: \_ref_obj: (icache_block_width_p), line:6173:82, endln:6173:102 |vpiName:icache_block_width_p + |vpiActual: + \_parameter: (work@testbench.icache_block_width_p), line:6154:16, endln:6154:77, parent:work@testbench |vpiOperand: \_ref_obj: (acache_block_width_p), line:6173:106, endln:6174:77 |vpiName:acache_block_width_p + |vpiActual: + \_parameter: (work@testbench.acache_block_width_p), line:6158:16, endln:6158:77, parent:work@testbench |vpiOperand: \_ref_obj: (dcache_block_width_p), line:6175:7, endln:6175:27 |vpiName:dcache_block_width_p + |vpiActual: + \_parameter: (work@testbench.dcache_block_width_p), line:6150:16, endln:6150:77, parent:work@testbench |vpiOperand: \_operation: , line:6175:31, endln:6179:2 |vpiOpType:32 @@ -86944,15 +87524,23 @@ design: (work@testbench) |vpiOperand: \_ref_obj: (icache_block_width_p), line:6176:56, endln:6176:78 |vpiName:icache_block_width_p + |vpiActual: + \_parameter: (work@testbench.icache_block_width_p), line:6154:16, endln:6154:77, parent:work@testbench |vpiOperand: \_ref_obj: (acache_block_width_p), line:6176:79, endln:6177:77 |vpiName:acache_block_width_p + |vpiActual: + \_parameter: (work@testbench.acache_block_width_p), line:6158:16, endln:6158:77, parent:work@testbench |vpiOperand: \_ref_obj: (icache_block_width_p), line:6177:82, endln:6177:102 |vpiName:icache_block_width_p + |vpiActual: + \_parameter: (work@testbench.icache_block_width_p), line:6154:16, endln:6154:77, parent:work@testbench |vpiOperand: \_ref_obj: (acache_block_width_p), line:6177:106, endln:6178:77 |vpiName:acache_block_width_p + |vpiActual: + \_parameter: (work@testbench.acache_block_width_p), line:6158:16, endln:6158:77, parent:work@testbench |vpiLhs: \_parameter: (work@testbench.cce_mem_msg_width_lp), line:6396:16, endln:6399:20, parent:work@testbench |vpiParamAssign: @@ -90167,24 +90755,38 @@ design: (work@testbench) |vpiOperand: \_ref_obj: (bp_inv_cfg_p), line:2524:6, endln:2524:18 |vpiName:bp_inv_cfg_p + |vpiActual: + \_parameter: (work@testbench.bp_inv_cfg_p), line:2195:30, endln:2196:22, parent:work@testbench |vpiOperand: \_ref_obj: (bp_half_core_cfg_p), line:2523:6, endln:2523:24 |vpiName:bp_half_core_cfg_p + |vpiActual: + \_parameter: (work@testbench.bp_half_core_cfg_p), line:2198:30, endln:2247:8, parent:work@testbench |vpiOperand: \_ref_obj: (bp_single_core_cfg_p), line:2522:6, endln:2522:26 |vpiName:bp_single_core_cfg_p + |vpiActual: + \_parameter: (work@testbench.bp_single_core_cfg_p), line:2249:30, endln:2298:8, parent:work@testbench |vpiOperand: \_ref_obj: (bp_dual_core_cfg_p), line:2521:6, endln:2521:24 |vpiName:bp_dual_core_cfg_p + |vpiActual: + \_parameter: (work@testbench.bp_dual_core_cfg_p), line:2300:30, endln:2349:8, parent:work@testbench |vpiOperand: \_ref_obj: (bp_quad_core_cfg_p), line:2520:6, endln:2520:24 |vpiName:bp_quad_core_cfg_p + |vpiActual: + \_parameter: (work@testbench.bp_quad_core_cfg_p), line:2351:30, endln:2400:8, parent:work@testbench |vpiOperand: \_ref_obj: (bp_oct_core_cfg_p), line:2519:6, endln:2519:23 |vpiName:bp_oct_core_cfg_p + |vpiActual: + \_parameter: (work@testbench.bp_oct_core_cfg_p), line:2402:30, endln:2451:8, parent:work@testbench |vpiOperand: \_ref_obj: (bp_sexta_core_cfg_p), line:2518:5, endln:2518:24 |vpiName:bp_sexta_core_cfg_p + |vpiActual: + \_parameter: (work@testbench.bp_sexta_core_cfg_p), line:2453:30, endln:2502:8, parent:work@testbench |vpiLhs: \_parameter: (work@testbench.all_cfgs_gp), line:2516:44, endln:2525:4, parent:work@testbench |vpiParamAssign: diff --git a/tests/BlackParrotMuteErrors/BlackParrotMuteErrors.log b/tests/BlackParrotMuteErrors/BlackParrotMuteErrors.log index c54c00cc9d..2e2371ca41 100644 --- a/tests/BlackParrotMuteErrors/BlackParrotMuteErrors.log +++ b/tests/BlackParrotMuteErrors/BlackParrotMuteErrors.log @@ -13404,6 +13404,8 @@ design: (work@otp_ctrl) \_ref_obj: (otp_ctrl_pkg::RndCnstLfsrSeedDefault::lfsr_seed_t::LfsrWidth), line:729:18, endln:729:27 |vpiName:LfsrWidth |vpiFullName:otp_ctrl_pkg::RndCnstLfsrSeedDefault::lfsr_seed_t::LfsrWidth + |vpiActual: + \_parameter: (otp_ctrl_pkg::LfsrWidth), line:728:18, endln:728:32, parent:otp_ctrl_pkg:: |vpiOperand: \_constant: , line:729:28, endln:729:29 |vpiConstType:9 @@ -13427,6 +13429,8 @@ design: (work@otp_ctrl) |vpiOperand: \_ref_obj: (LfsrWidth), line:729:18, endln:729:27 |vpiName:LfsrWidth + |vpiActual: + \_parameter: (otp_ctrl_pkg::LfsrWidth), line:728:18, endln:728:32, parent:otp_ctrl_pkg:: |vpiOperand: \_constant: , line:729:28, endln:729:29 |vpiConstType:9 @@ -13461,6 +13465,8 @@ design: (work@otp_ctrl) \_ref_obj: (otp_ctrl_pkg::RndCnstLfsrPermDefault::lfsr_perm_t::LfsrWidth), line:730:18, endln:730:27 |vpiName:LfsrWidth |vpiFullName:otp_ctrl_pkg::RndCnstLfsrPermDefault::lfsr_perm_t::LfsrWidth + |vpiActual: + \_parameter: (otp_ctrl_pkg::LfsrWidth), line:728:18, endln:728:32, parent:otp_ctrl_pkg:: |vpiOperand: \_constant: , line:730:28, endln:730:29 |vpiConstType:9 @@ -13485,6 +13491,8 @@ design: (work@otp_ctrl) \_ref_obj: (otp_ctrl_pkg::RndCnstLfsrPermDefault::lfsr_perm_t::LfsrWidth), line:730:40, endln:730:49, parent:$clog2 |vpiName:LfsrWidth |vpiFullName:otp_ctrl_pkg::RndCnstLfsrPermDefault::lfsr_perm_t::LfsrWidth + |vpiActual: + \_parameter: (otp_ctrl_pkg::LfsrWidth), line:728:18, endln:728:32, parent:otp_ctrl_pkg:: |vpiOperand: \_constant: , line:730:51, endln:730:52 |vpiConstType:9 @@ -13508,6 +13516,8 @@ design: (work@otp_ctrl) |vpiOperand: \_ref_obj: (LfsrWidth), line:730:18, endln:730:27 |vpiName:LfsrWidth + |vpiActual: + \_parameter: (otp_ctrl_pkg::LfsrWidth), line:728:18, endln:728:32, parent:otp_ctrl_pkg:: |vpiOperand: \_constant: , line:730:28, endln:730:29 |vpiConstType:9 @@ -13575,6 +13585,8 @@ design: (work@otp_ctrl) \_ref_obj: (otp_ctrl_pkg::RndCnstKeyDefault::key_array_t::NumScrmblKeys), line:736:18, endln:736:31 |vpiName:NumScrmblKeys |vpiFullName:otp_ctrl_pkg::RndCnstKeyDefault::key_array_t::NumScrmblKeys + |vpiActual: + \_parameter: (otp_ctrl_pkg::NumScrmblKeys), line:520:17, endln:520:34, parent:otp_ctrl_pkg:: |vpiOperand: \_constant: , line:736:32, endln:736:33 |vpiConstType:9 @@ -13596,6 +13608,8 @@ design: (work@otp_ctrl) \_ref_obj: (otp_ctrl_pkg::RndCnstKeyDefault::key_array_t::ScrmblKeyWidth), line:736:37, endln:736:51 |vpiName:ScrmblKeyWidth |vpiFullName:otp_ctrl_pkg::RndCnstKeyDefault::key_array_t::ScrmblKeyWidth + |vpiActual: + \_parameter: (otp_ctrl_pkg::ScrmblKeyWidth), line:505:17, endln:505:39, parent:otp_ctrl_pkg:: |vpiOperand: \_constant: , line:736:52, endln:736:53 |vpiConstType:9 @@ -13619,6 +13633,8 @@ design: (work@otp_ctrl) |vpiOperand: \_ref_obj: (NumScrmblKeys), line:736:18, endln:736:31 |vpiName:NumScrmblKeys + |vpiActual: + \_parameter: (otp_ctrl_pkg::NumScrmblKeys), line:520:17, endln:520:34, parent:otp_ctrl_pkg:: |vpiOperand: \_constant: , line:736:32, endln:736:33 |vpiConstType:9 @@ -13639,6 +13655,8 @@ design: (work@otp_ctrl) |vpiOperand: \_ref_obj: (ScrmblKeyWidth), line:736:37, endln:736:51 |vpiName:ScrmblKeyWidth + |vpiActual: + \_parameter: (otp_ctrl_pkg::ScrmblKeyWidth), line:505:17, endln:505:39, parent:otp_ctrl_pkg:: |vpiOperand: \_constant: , line:736:52, endln:736:53 |vpiConstType:9 @@ -13671,6 +13689,8 @@ design: (work@otp_ctrl) \_ref_obj: (otp_ctrl_pkg::RndCnstDigestConstDefault::digest_const_array_t::NumDigestSets), line:745:18, endln:745:31 |vpiName:NumDigestSets |vpiFullName:otp_ctrl_pkg::RndCnstDigestConstDefault::digest_const_array_t::NumDigestSets + |vpiActual: + \_parameter: (otp_ctrl_pkg::NumDigestSets), line:521:17, endln:521:34, parent:otp_ctrl_pkg:: |vpiOperand: \_constant: , line:745:32, endln:745:33 |vpiConstType:9 @@ -13692,6 +13712,8 @@ design: (work@otp_ctrl) \_ref_obj: (otp_ctrl_pkg::RndCnstDigestConstDefault::digest_const_array_t::ScrmblKeyWidth), line:745:37, endln:745:51 |vpiName:ScrmblKeyWidth |vpiFullName:otp_ctrl_pkg::RndCnstDigestConstDefault::digest_const_array_t::ScrmblKeyWidth + |vpiActual: + \_parameter: (otp_ctrl_pkg::ScrmblKeyWidth), line:505:17, endln:505:39, parent:otp_ctrl_pkg:: |vpiOperand: \_constant: , line:745:52, endln:745:53 |vpiConstType:9 @@ -13715,6 +13737,8 @@ design: (work@otp_ctrl) |vpiOperand: \_ref_obj: (NumDigestSets), line:745:18, endln:745:31 |vpiName:NumDigestSets + |vpiActual: + \_parameter: (otp_ctrl_pkg::NumDigestSets), line:521:17, endln:521:34, parent:otp_ctrl_pkg:: |vpiOperand: \_constant: , line:745:32, endln:745:33 |vpiConstType:9 @@ -13735,6 +13759,8 @@ design: (work@otp_ctrl) |vpiOperand: \_ref_obj: (ScrmblKeyWidth), line:745:37, endln:745:51 |vpiName:ScrmblKeyWidth + |vpiActual: + \_parameter: (otp_ctrl_pkg::ScrmblKeyWidth), line:505:17, endln:505:39, parent:otp_ctrl_pkg:: |vpiOperand: \_constant: , line:745:52, endln:745:53 |vpiConstType:9 @@ -13767,6 +13793,8 @@ design: (work@otp_ctrl) \_ref_obj: (otp_ctrl_pkg::RndCnstDigestIVDefault::digest_iv_array_t::NumDigestSets), line:754:18, endln:754:31 |vpiName:NumDigestSets |vpiFullName:otp_ctrl_pkg::RndCnstDigestIVDefault::digest_iv_array_t::NumDigestSets + |vpiActual: + \_parameter: (otp_ctrl_pkg::NumDigestSets), line:521:17, endln:521:34, parent:otp_ctrl_pkg:: |vpiOperand: \_constant: , line:754:32, endln:754:33 |vpiConstType:9 @@ -13788,6 +13816,8 @@ design: (work@otp_ctrl) \_ref_obj: (otp_ctrl_pkg::RndCnstDigestIVDefault::digest_iv_array_t::ScrmblBlockWidth), line:754:37, endln:754:53 |vpiName:ScrmblBlockWidth |vpiFullName:otp_ctrl_pkg::RndCnstDigestIVDefault::digest_iv_array_t::ScrmblBlockWidth + |vpiActual: + \_parameter: (otp_ctrl_pkg::ScrmblBlockWidth), line:506:17, endln:506:38, parent:otp_ctrl_pkg:: |vpiOperand: \_constant: , line:754:54, endln:754:55 |vpiConstType:9 @@ -13811,6 +13841,8 @@ design: (work@otp_ctrl) |vpiOperand: \_ref_obj: (NumDigestSets), line:754:18, endln:754:31 |vpiName:NumDigestSets + |vpiActual: + \_parameter: (otp_ctrl_pkg::NumDigestSets), line:521:17, endln:521:34, parent:otp_ctrl_pkg:: |vpiOperand: \_constant: , line:754:32, endln:754:33 |vpiConstType:9 @@ -13831,6 +13863,8 @@ design: (work@otp_ctrl) |vpiOperand: \_ref_obj: (ScrmblBlockWidth), line:754:37, endln:754:53 |vpiName:ScrmblBlockWidth + |vpiActual: + \_parameter: (otp_ctrl_pkg::ScrmblBlockWidth), line:506:17, endln:506:38, parent:otp_ctrl_pkg:: |vpiOperand: \_constant: , line:754:54, endln:754:55 |vpiConstType:9 @@ -18550,6 +18584,8 @@ design: (work@otp_ctrl) |vpiOperand: \_ref_obj: (OtbnKeyWidth), line:636:18, endln:636:30 |vpiName:OtbnKeyWidth + |vpiActual: + \_parameter: (otp_ctrl_pkg::OtbnKeyWidth), line:631:17, endln:631:39, parent:otp_ctrl_pkg:: |vpiOperand: \_constant: , line:636:31, endln:636:32 |vpiConstType:9 @@ -18575,6 +18611,8 @@ design: (work@otp_ctrl) |vpiOperand: \_ref_obj: (OtbnNonceWidth), line:637:18, endln:637:32 |vpiName:OtbnNonceWidth + |vpiActual: + \_parameter: (otp_ctrl_pkg::OtbnNonceWidth), line:632:17, endln:632:39, parent:otp_ctrl_pkg:: |vpiOperand: \_constant: , line:637:33, endln:637:34 |vpiConstType:9 @@ -18628,6 +18666,8 @@ design: (work@otp_ctrl) \_ref_obj: (otbn_key_t.OtbnKeyWidth), line:636:18, endln:636:30 |vpiName:OtbnKeyWidth |vpiFullName:otbn_key_t.OtbnKeyWidth + |vpiActual: + \_parameter: (otp_ctrl_pkg::OtbnKeyWidth), line:631:17, endln:631:39, parent:otp_ctrl_pkg:: |vpiOperand: \_constant: , line:636:31, endln:636:32 |vpiConstType:9 @@ -18659,6 +18699,8 @@ design: (work@otp_ctrl) \_ref_obj: (otbn_nonce_t.OtbnNonceWidth), line:637:18, endln:637:32 |vpiName:OtbnNonceWidth |vpiFullName:otbn_nonce_t.OtbnNonceWidth + |vpiActual: + \_parameter: (otp_ctrl_pkg::OtbnNonceWidth), line:632:17, endln:632:39, parent:otp_ctrl_pkg:: |vpiOperand: \_constant: , line:637:33, endln:637:34 |vpiConstType:9 @@ -19119,6 +19161,8 @@ design: (work@otp_ctrl) |vpiOperand: \_ref_obj: (SramKeyWidth), line:634:18, endln:634:30 |vpiName:SramKeyWidth + |vpiActual: + \_parameter: (otp_ctrl_pkg::SramKeyWidth), line:629:17, endln:629:39, parent:otp_ctrl_pkg:: |vpiOperand: \_constant: , line:634:31, endln:634:32 |vpiConstType:9 @@ -19144,6 +19188,8 @@ design: (work@otp_ctrl) |vpiOperand: \_ref_obj: (SramNonceWidth), line:635:18, endln:635:32 |vpiName:SramNonceWidth + |vpiActual: + \_parameter: (otp_ctrl_pkg::SramNonceWidth), line:630:17, endln:630:38, parent:otp_ctrl_pkg:: |vpiOperand: \_constant: , line:635:33, endln:635:34 |vpiConstType:9 @@ -19197,6 +19243,8 @@ design: (work@otp_ctrl) \_ref_obj: (sram_key_t.SramKeyWidth), line:634:18, endln:634:30 |vpiName:SramKeyWidth |vpiFullName:sram_key_t.SramKeyWidth + |vpiActual: + \_parameter: (otp_ctrl_pkg::SramKeyWidth), line:629:17, endln:629:39, parent:otp_ctrl_pkg:: |vpiOperand: \_constant: , line:634:31, endln:634:32 |vpiConstType:9 @@ -19228,6 +19276,8 @@ design: (work@otp_ctrl) \_ref_obj: (sram_nonce_t.SramNonceWidth), line:635:18, endln:635:32 |vpiName:SramNonceWidth |vpiFullName:sram_nonce_t.SramNonceWidth + |vpiActual: + \_parameter: (otp_ctrl_pkg::SramNonceWidth), line:630:17, endln:630:38, parent:otp_ctrl_pkg:: |vpiOperand: \_constant: , line:635:33, endln:635:34 |vpiConstType:9 @@ -23036,6 +23086,8 @@ design: (work@otp_ctrl) \_ref_obj: (otp_ctrl_part_pkg::RndCnstDigestConstDefault::digest_const_array_t::NumDigestSets), line:745:18, endln:745:31 |vpiName:NumDigestSets |vpiFullName:otp_ctrl_part_pkg::RndCnstDigestConstDefault::digest_const_array_t::NumDigestSets + |vpiActual: + \_parameter: (otp_ctrl_part_pkg::NumDigestSets), line:521:17, endln:521:34, parent:otp_ctrl_part_pkg:: |vpiOperand: \_constant: , line:745:32, endln:745:33 |vpiConstType:9 @@ -23057,6 +23109,17 @@ design: (work@otp_ctrl) \_ref_obj: (otp_ctrl_part_pkg::RndCnstDigestConstDefault::digest_const_array_t::ScrmblKeyWidth), line:745:37, endln:745:51 |vpiName:ScrmblKeyWidth |vpiFullName:otp_ctrl_part_pkg::RndCnstDigestConstDefault::digest_const_array_t::ScrmblKeyWidth + |vpiActual: + \_parameter: (otp_ctrl_part_pkg::ScrmblKeyWidth), line:505:17, endln:505:39, parent:otp_ctrl_part_pkg:: + |vpiName:ScrmblKeyWidth + |vpiFullName:otp_ctrl_part_pkg::ScrmblKeyWidth + |vpiImported:otp_ctrl_pkg + |UINT:128 + |vpiTypespec: + \_int_typespec: (ScrmblKeyWidth), line:505:13, endln:505:16, parent:otp_ctrl_part_pkg::ScrmblKeyWidth + |vpiName:ScrmblKeyWidth + |vpiInstance: + \_package: otp_ctrl_pkg (otp_ctrl_pkg::) dut.sv:438:1: , endln:766:26, parent:work@otp_ctrl |vpiOperand: \_constant: , line:745:52, endln:745:53 |vpiConstType:9 @@ -23081,6 +23144,8 @@ design: (work@otp_ctrl) \_ref_obj: (otp_ctrl_part_pkg::RndCnstDigestConstDefault::digest_const_array_t::digest_const_array_t::NumDigestSets), line:745:18, endln:745:31 |vpiName:NumDigestSets |vpiFullName:otp_ctrl_part_pkg::RndCnstDigestConstDefault::digest_const_array_t::digest_const_array_t::NumDigestSets + |vpiActual: + \_parameter: (otp_ctrl_part_pkg::NumDigestSets), line:521:17, endln:521:34, parent:otp_ctrl_part_pkg:: |vpiOperand: \_constant: , line:745:32, endln:745:33 |vpiConstType:9 @@ -23102,6 +23167,8 @@ design: (work@otp_ctrl) \_ref_obj: (otp_ctrl_part_pkg::RndCnstDigestConstDefault::digest_const_array_t::digest_const_array_t::ScrmblKeyWidth), line:745:37, endln:745:51 |vpiName:ScrmblKeyWidth |vpiFullName:otp_ctrl_part_pkg::RndCnstDigestConstDefault::digest_const_array_t::digest_const_array_t::ScrmblKeyWidth + |vpiActual: + \_parameter: (otp_ctrl_part_pkg::ScrmblKeyWidth), line:505:17, endln:505:39, parent:otp_ctrl_part_pkg:: |vpiOperand: \_constant: , line:745:52, endln:745:53 |vpiConstType:9 @@ -23135,6 +23202,8 @@ design: (work@otp_ctrl) \_ref_obj: (otp_ctrl_part_pkg::RndCnstDigestIVDefault::digest_iv_array_t::NumDigestSets), line:754:18, endln:754:31 |vpiName:NumDigestSets |vpiFullName:otp_ctrl_part_pkg::RndCnstDigestIVDefault::digest_iv_array_t::NumDigestSets + |vpiActual: + \_parameter: (otp_ctrl_part_pkg::NumDigestSets), line:521:17, endln:521:34, parent:otp_ctrl_part_pkg:: |vpiOperand: \_constant: , line:754:32, endln:754:33 |vpiConstType:9 @@ -23156,6 +23225,17 @@ design: (work@otp_ctrl) \_ref_obj: (otp_ctrl_part_pkg::RndCnstDigestIVDefault::digest_iv_array_t::ScrmblBlockWidth), line:754:37, endln:754:53 |vpiName:ScrmblBlockWidth |vpiFullName:otp_ctrl_part_pkg::RndCnstDigestIVDefault::digest_iv_array_t::ScrmblBlockWidth + |vpiActual: + \_parameter: (otp_ctrl_part_pkg::ScrmblBlockWidth), line:506:17, endln:506:38, parent:otp_ctrl_part_pkg:: + |vpiName:ScrmblBlockWidth + |vpiFullName:otp_ctrl_part_pkg::ScrmblBlockWidth + |vpiImported:otp_ctrl_pkg + |UINT:64 + |vpiTypespec: + \_int_typespec: (ScrmblBlockWidth), line:506:13, endln:506:16, parent:otp_ctrl_part_pkg::ScrmblBlockWidth + |vpiName:ScrmblBlockWidth + |vpiInstance: + \_package: otp_ctrl_pkg (otp_ctrl_pkg::) dut.sv:438:1: , endln:766:26, parent:work@otp_ctrl |vpiOperand: \_constant: , line:754:54, endln:754:55 |vpiConstType:9 @@ -23180,6 +23260,8 @@ design: (work@otp_ctrl) \_ref_obj: (otp_ctrl_part_pkg::RndCnstDigestIVDefault::digest_iv_array_t::digest_iv_array_t::NumDigestSets), line:754:18, endln:754:31 |vpiName:NumDigestSets |vpiFullName:otp_ctrl_part_pkg::RndCnstDigestIVDefault::digest_iv_array_t::digest_iv_array_t::NumDigestSets + |vpiActual: + \_parameter: (otp_ctrl_part_pkg::NumDigestSets), line:521:17, endln:521:34, parent:otp_ctrl_part_pkg:: |vpiOperand: \_constant: , line:754:32, endln:754:33 |vpiConstType:9 @@ -23201,6 +23283,8 @@ design: (work@otp_ctrl) \_ref_obj: (otp_ctrl_part_pkg::RndCnstDigestIVDefault::digest_iv_array_t::digest_iv_array_t::ScrmblBlockWidth), line:754:37, endln:754:53 |vpiName:ScrmblBlockWidth |vpiFullName:otp_ctrl_part_pkg::RndCnstDigestIVDefault::digest_iv_array_t::digest_iv_array_t::ScrmblBlockWidth + |vpiActual: + \_parameter: (otp_ctrl_part_pkg::ScrmblBlockWidth), line:506:17, endln:506:38, parent:otp_ctrl_part_pkg:: |vpiOperand: \_constant: , line:754:54, endln:754:55 |vpiConstType:9 @@ -23234,6 +23318,8 @@ design: (work@otp_ctrl) \_ref_obj: (otp_ctrl_part_pkg::RndCnstKeyDefault::key_array_t::NumScrmblKeys), line:736:18, endln:736:31 |vpiName:NumScrmblKeys |vpiFullName:otp_ctrl_part_pkg::RndCnstKeyDefault::key_array_t::NumScrmblKeys + |vpiActual: + \_parameter: (otp_ctrl_part_pkg::NumScrmblKeys), line:520:17, endln:520:34, parent:otp_ctrl_part_pkg:: |vpiOperand: \_constant: , line:736:32, endln:736:33 |vpiConstType:9 @@ -23255,6 +23341,8 @@ design: (work@otp_ctrl) \_ref_obj: (otp_ctrl_part_pkg::RndCnstKeyDefault::key_array_t::ScrmblKeyWidth), line:736:37, endln:736:51 |vpiName:ScrmblKeyWidth |vpiFullName:otp_ctrl_part_pkg::RndCnstKeyDefault::key_array_t::ScrmblKeyWidth + |vpiActual: + \_parameter: (otp_ctrl_part_pkg::ScrmblKeyWidth), line:505:17, endln:505:39, parent:otp_ctrl_part_pkg:: |vpiOperand: \_constant: , line:736:52, endln:736:53 |vpiConstType:9 @@ -23279,6 +23367,8 @@ design: (work@otp_ctrl) \_ref_obj: (otp_ctrl_part_pkg::RndCnstKeyDefault::key_array_t::key_array_t::NumScrmblKeys), line:736:18, endln:736:31 |vpiName:NumScrmblKeys |vpiFullName:otp_ctrl_part_pkg::RndCnstKeyDefault::key_array_t::key_array_t::NumScrmblKeys + |vpiActual: + \_parameter: (otp_ctrl_part_pkg::NumScrmblKeys), line:520:17, endln:520:34, parent:otp_ctrl_part_pkg:: |vpiOperand: \_constant: , line:736:32, endln:736:33 |vpiConstType:9 @@ -23300,6 +23390,8 @@ design: (work@otp_ctrl) \_ref_obj: (otp_ctrl_part_pkg::RndCnstKeyDefault::key_array_t::key_array_t::ScrmblKeyWidth), line:736:37, endln:736:51 |vpiName:ScrmblKeyWidth |vpiFullName:otp_ctrl_part_pkg::RndCnstKeyDefault::key_array_t::key_array_t::ScrmblKeyWidth + |vpiActual: + \_parameter: (otp_ctrl_part_pkg::ScrmblKeyWidth), line:505:17, endln:505:39, parent:otp_ctrl_part_pkg:: |vpiOperand: \_constant: , line:736:52, endln:736:53 |vpiConstType:9 @@ -23335,6 +23427,8 @@ design: (work@otp_ctrl) \_ref_obj: (otp_ctrl_part_pkg::RndCnstLfsrPermDefault::lfsr_perm_t::LfsrWidth), line:730:18, endln:730:27 |vpiName:LfsrWidth |vpiFullName:otp_ctrl_part_pkg::RndCnstLfsrPermDefault::lfsr_perm_t::LfsrWidth + |vpiActual: + \_parameter: (otp_ctrl_part_pkg::LfsrWidth), line:728:18, endln:728:32, parent:otp_ctrl_part_pkg:: |vpiOperand: \_constant: , line:730:28, endln:730:29 |vpiConstType:9 @@ -23359,6 +23453,8 @@ design: (work@otp_ctrl) \_ref_obj: (otp_ctrl_part_pkg::RndCnstLfsrPermDefault::lfsr_perm_t::LfsrWidth), line:730:40, endln:730:49, parent:$clog2 |vpiName:LfsrWidth |vpiFullName:otp_ctrl_part_pkg::RndCnstLfsrPermDefault::lfsr_perm_t::LfsrWidth + |vpiActual: + \_parameter: (otp_ctrl_part_pkg::LfsrWidth), line:728:18, endln:728:32, parent:otp_ctrl_part_pkg:: |vpiOperand: \_constant: , line:730:51, endln:730:52 |vpiConstType:9 @@ -23383,6 +23479,8 @@ design: (work@otp_ctrl) \_ref_obj: (otp_ctrl_part_pkg::RndCnstLfsrPermDefault::lfsr_perm_t::lfsr_perm_t::LfsrWidth), line:730:18, endln:730:27 |vpiName:LfsrWidth |vpiFullName:otp_ctrl_part_pkg::RndCnstLfsrPermDefault::lfsr_perm_t::lfsr_perm_t::LfsrWidth + |vpiActual: + \_parameter: (otp_ctrl_part_pkg::LfsrWidth), line:728:18, endln:728:32, parent:otp_ctrl_part_pkg:: |vpiOperand: \_constant: , line:730:28, endln:730:29 |vpiConstType:9 @@ -23407,6 +23505,8 @@ design: (work@otp_ctrl) \_ref_obj: (otp_ctrl_part_pkg::RndCnstLfsrPermDefault::lfsr_perm_t::lfsr_perm_t::LfsrWidth), line:730:40, endln:730:49, parent:$clog2 |vpiName:LfsrWidth |vpiFullName:otp_ctrl_part_pkg::RndCnstLfsrPermDefault::lfsr_perm_t::lfsr_perm_t::LfsrWidth + |vpiActual: + \_parameter: (otp_ctrl_part_pkg::LfsrWidth), line:728:18, endln:728:32, parent:otp_ctrl_part_pkg:: |vpiOperand: \_constant: , line:730:51, endln:730:52 |vpiConstType:9 @@ -23442,6 +23542,8 @@ design: (work@otp_ctrl) \_ref_obj: (otp_ctrl_part_pkg::RndCnstLfsrSeedDefault::lfsr_seed_t::LfsrWidth), line:729:18, endln:729:27 |vpiName:LfsrWidth |vpiFullName:otp_ctrl_part_pkg::RndCnstLfsrSeedDefault::lfsr_seed_t::LfsrWidth + |vpiActual: + \_parameter: (otp_ctrl_part_pkg::LfsrWidth), line:728:18, endln:728:32, parent:otp_ctrl_part_pkg:: |vpiOperand: \_constant: , line:729:28, endln:729:29 |vpiConstType:9 @@ -23466,6 +23568,8 @@ design: (work@otp_ctrl) \_ref_obj: (otp_ctrl_part_pkg::RndCnstLfsrSeedDefault::lfsr_seed_t::lfsr_seed_t::LfsrWidth), line:729:18, endln:729:27 |vpiName:LfsrWidth |vpiFullName:otp_ctrl_part_pkg::RndCnstLfsrSeedDefault::lfsr_seed_t::lfsr_seed_t::LfsrWidth + |vpiActual: + \_parameter: (otp_ctrl_part_pkg::LfsrWidth), line:728:18, endln:728:32, parent:otp_ctrl_part_pkg:: |vpiOperand: \_constant: , line:729:28, endln:729:29 |vpiConstType:9 @@ -23506,26 +23610,8 @@ design: (work@otp_ctrl) \_package: otp_ctrl_pkg (otp_ctrl_pkg::) dut.sv:438:1: , endln:766:26, parent:work@otp_ctrl |vpiParameter: \_parameter: (otp_ctrl_part_pkg::ScrmblBlockWidth), line:506:17, endln:506:38, parent:otp_ctrl_part_pkg:: - |vpiName:ScrmblBlockWidth - |vpiFullName:otp_ctrl_part_pkg::ScrmblBlockWidth - |vpiImported:otp_ctrl_pkg - |UINT:64 - |vpiTypespec: - \_int_typespec: (ScrmblBlockWidth), line:506:13, endln:506:16, parent:otp_ctrl_part_pkg::ScrmblBlockWidth - |vpiName:ScrmblBlockWidth - |vpiInstance: - \_package: otp_ctrl_pkg (otp_ctrl_pkg::) dut.sv:438:1: , endln:766:26, parent:work@otp_ctrl |vpiParameter: \_parameter: (otp_ctrl_part_pkg::ScrmblKeyWidth), line:505:17, endln:505:39, parent:otp_ctrl_part_pkg:: - |vpiName:ScrmblKeyWidth - |vpiFullName:otp_ctrl_part_pkg::ScrmblKeyWidth - |vpiImported:otp_ctrl_pkg - |UINT:128 - |vpiTypespec: - \_int_typespec: (ScrmblKeyWidth), line:505:13, endln:505:16, parent:otp_ctrl_part_pkg::ScrmblKeyWidth - |vpiName:ScrmblKeyWidth - |vpiInstance: - \_package: otp_ctrl_pkg (otp_ctrl_pkg::) dut.sv:438:1: , endln:766:26, parent:work@otp_ctrl |vpiParameter: \_parameter: (otp_ctrl_part_pkg::Secret0DigestOffset), line:61:17, endln:61:43, parent:otp_ctrl_part_pkg:: |vpiName:Secret0DigestOffset @@ -32254,6 +32340,8 @@ design: (work@otp_ctrl) \_ref_obj: (otp_ctrl_part_pkg::lfsr_seed_t::LfsrWidth), line:729:18, endln:729:27 |vpiName:LfsrWidth |vpiFullName:otp_ctrl_part_pkg::lfsr_seed_t::LfsrWidth + |vpiActual: + \_parameter: (otp_ctrl_part_pkg::LfsrWidth), line:728:18, endln:728:32, parent:otp_ctrl_part_pkg:: |vpiOperand: \_constant: , line:729:28, endln:729:29 |vpiConstType:9 @@ -32278,6 +32366,8 @@ design: (work@otp_ctrl) \_ref_obj: (otp_ctrl_part_pkg::lfsr_seed_t::lfsr_seed_t::LfsrWidth), line:729:18, endln:729:27 |vpiName:LfsrWidth |vpiFullName:otp_ctrl_part_pkg::lfsr_seed_t::lfsr_seed_t::LfsrWidth + |vpiActual: + \_parameter: (otp_ctrl_part_pkg::LfsrWidth), line:728:18, endln:728:32, parent:otp_ctrl_part_pkg:: |vpiOperand: \_constant: , line:729:28, endln:729:29 |vpiConstType:9 @@ -32313,6 +32403,8 @@ design: (work@otp_ctrl) \_ref_obj: (otp_ctrl_part_pkg::RndCnstLfsrSeedDefault::lfsr_seed_t::LfsrWidth), line:729:18, endln:729:27 |vpiName:LfsrWidth |vpiFullName:otp_ctrl_part_pkg::RndCnstLfsrSeedDefault::lfsr_seed_t::LfsrWidth + |vpiActual: + \_parameter: (otp_ctrl_part_pkg::LfsrWidth), line:728:18, endln:728:32, parent:otp_ctrl_part_pkg:: |vpiOperand: \_constant: , line:729:28, endln:729:29 |vpiConstType:9 @@ -32337,6 +32429,8 @@ design: (work@otp_ctrl) \_ref_obj: (otp_ctrl_part_pkg::RndCnstLfsrSeedDefault::lfsr_seed_t::lfsr_seed_t::LfsrWidth), line:729:18, endln:729:27 |vpiName:LfsrWidth |vpiFullName:otp_ctrl_part_pkg::RndCnstLfsrSeedDefault::lfsr_seed_t::lfsr_seed_t::LfsrWidth + |vpiActual: + \_parameter: (otp_ctrl_part_pkg::LfsrWidth), line:728:18, endln:728:32, parent:otp_ctrl_part_pkg:: |vpiOperand: \_constant: , line:729:28, endln:729:29 |vpiConstType:9 @@ -32373,6 +32467,8 @@ design: (work@otp_ctrl) \_ref_obj: (otp_ctrl_part_pkg::lfsr_perm_t::LfsrWidth), line:730:18, endln:730:27 |vpiName:LfsrWidth |vpiFullName:otp_ctrl_part_pkg::lfsr_perm_t::LfsrWidth + |vpiActual: + \_parameter: (otp_ctrl_part_pkg::LfsrWidth), line:728:18, endln:728:32, parent:otp_ctrl_part_pkg:: |vpiOperand: \_constant: , line:730:28, endln:730:29 |vpiConstType:9 @@ -32397,6 +32493,8 @@ design: (work@otp_ctrl) \_ref_obj: (otp_ctrl_part_pkg::lfsr_perm_t::LfsrWidth), line:730:40, endln:730:49, parent:$clog2 |vpiName:LfsrWidth |vpiFullName:otp_ctrl_part_pkg::lfsr_perm_t::LfsrWidth + |vpiActual: + \_parameter: (otp_ctrl_part_pkg::LfsrWidth), line:728:18, endln:728:32, parent:otp_ctrl_part_pkg:: |vpiOperand: \_constant: , line:730:51, endln:730:52 |vpiConstType:9 @@ -32421,6 +32519,8 @@ design: (work@otp_ctrl) \_ref_obj: (otp_ctrl_part_pkg::lfsr_perm_t::lfsr_perm_t::LfsrWidth), line:730:18, endln:730:27 |vpiName:LfsrWidth |vpiFullName:otp_ctrl_part_pkg::lfsr_perm_t::lfsr_perm_t::LfsrWidth + |vpiActual: + \_parameter: (otp_ctrl_part_pkg::LfsrWidth), line:728:18, endln:728:32, parent:otp_ctrl_part_pkg:: |vpiOperand: \_constant: , line:730:28, endln:730:29 |vpiConstType:9 @@ -32445,6 +32545,8 @@ design: (work@otp_ctrl) \_ref_obj: (otp_ctrl_part_pkg::lfsr_perm_t::lfsr_perm_t::LfsrWidth), line:730:40, endln:730:49, parent:$clog2 |vpiName:LfsrWidth |vpiFullName:otp_ctrl_part_pkg::lfsr_perm_t::lfsr_perm_t::LfsrWidth + |vpiActual: + \_parameter: (otp_ctrl_part_pkg::LfsrWidth), line:728:18, endln:728:32, parent:otp_ctrl_part_pkg:: |vpiOperand: \_constant: , line:730:51, endln:730:52 |vpiConstType:9 @@ -32480,6 +32582,8 @@ design: (work@otp_ctrl) \_ref_obj: (otp_ctrl_part_pkg::RndCnstLfsrPermDefault::lfsr_perm_t::LfsrWidth), line:730:18, endln:730:27 |vpiName:LfsrWidth |vpiFullName:otp_ctrl_part_pkg::RndCnstLfsrPermDefault::lfsr_perm_t::LfsrWidth + |vpiActual: + \_parameter: (otp_ctrl_part_pkg::LfsrWidth), line:728:18, endln:728:32, parent:otp_ctrl_part_pkg:: |vpiOperand: \_constant: , line:730:28, endln:730:29 |vpiConstType:9 @@ -32504,6 +32608,8 @@ design: (work@otp_ctrl) \_ref_obj: (otp_ctrl_part_pkg::RndCnstLfsrPermDefault::lfsr_perm_t::LfsrWidth), line:730:40, endln:730:49, parent:$clog2 |vpiName:LfsrWidth |vpiFullName:otp_ctrl_part_pkg::RndCnstLfsrPermDefault::lfsr_perm_t::LfsrWidth + |vpiActual: + \_parameter: (otp_ctrl_part_pkg::LfsrWidth), line:728:18, endln:728:32, parent:otp_ctrl_part_pkg:: |vpiOperand: \_constant: , line:730:51, endln:730:52 |vpiConstType:9 @@ -32528,6 +32634,8 @@ design: (work@otp_ctrl) \_ref_obj: (otp_ctrl_part_pkg::RndCnstLfsrPermDefault::lfsr_perm_t::lfsr_perm_t::LfsrWidth), line:730:18, endln:730:27 |vpiName:LfsrWidth |vpiFullName:otp_ctrl_part_pkg::RndCnstLfsrPermDefault::lfsr_perm_t::lfsr_perm_t::LfsrWidth + |vpiActual: + \_parameter: (otp_ctrl_part_pkg::LfsrWidth), line:728:18, endln:728:32, parent:otp_ctrl_part_pkg:: |vpiOperand: \_constant: , line:730:28, endln:730:29 |vpiConstType:9 @@ -32552,6 +32660,8 @@ design: (work@otp_ctrl) \_ref_obj: (otp_ctrl_part_pkg::RndCnstLfsrPermDefault::lfsr_perm_t::lfsr_perm_t::LfsrWidth), line:730:40, endln:730:49, parent:$clog2 |vpiName:LfsrWidth |vpiFullName:otp_ctrl_part_pkg::RndCnstLfsrPermDefault::lfsr_perm_t::lfsr_perm_t::LfsrWidth + |vpiActual: + \_parameter: (otp_ctrl_part_pkg::LfsrWidth), line:728:18, endln:728:32, parent:otp_ctrl_part_pkg:: |vpiOperand: \_constant: , line:730:51, endln:730:52 |vpiConstType:9 @@ -32608,6 +32718,8 @@ design: (work@otp_ctrl) \_ref_obj: (otp_ctrl_part_pkg::RndCnstKeyDefault::key_array_t::NumScrmblKeys), line:736:18, endln:736:31 |vpiName:NumScrmblKeys |vpiFullName:otp_ctrl_part_pkg::RndCnstKeyDefault::key_array_t::NumScrmblKeys + |vpiActual: + \_parameter: (otp_ctrl_part_pkg::NumScrmblKeys), line:520:17, endln:520:34, parent:otp_ctrl_part_pkg:: |vpiOperand: \_constant: , line:736:32, endln:736:33 |vpiConstType:9 @@ -32629,6 +32741,8 @@ design: (work@otp_ctrl) \_ref_obj: (otp_ctrl_part_pkg::RndCnstKeyDefault::key_array_t::ScrmblKeyWidth), line:736:37, endln:736:51 |vpiName:ScrmblKeyWidth |vpiFullName:otp_ctrl_part_pkg::RndCnstKeyDefault::key_array_t::ScrmblKeyWidth + |vpiActual: + \_parameter: (otp_ctrl_part_pkg::ScrmblKeyWidth), line:505:17, endln:505:39, parent:otp_ctrl_part_pkg:: |vpiOperand: \_constant: , line:736:52, endln:736:53 |vpiConstType:9 @@ -32653,6 +32767,8 @@ design: (work@otp_ctrl) \_ref_obj: (otp_ctrl_part_pkg::RndCnstKeyDefault::key_array_t::key_array_t::NumScrmblKeys), line:736:18, endln:736:31 |vpiName:NumScrmblKeys |vpiFullName:otp_ctrl_part_pkg::RndCnstKeyDefault::key_array_t::key_array_t::NumScrmblKeys + |vpiActual: + \_parameter: (otp_ctrl_part_pkg::NumScrmblKeys), line:520:17, endln:520:34, parent:otp_ctrl_part_pkg:: |vpiOperand: \_constant: , line:736:32, endln:736:33 |vpiConstType:9 @@ -32674,6 +32790,8 @@ design: (work@otp_ctrl) \_ref_obj: (otp_ctrl_part_pkg::RndCnstKeyDefault::key_array_t::key_array_t::ScrmblKeyWidth), line:736:37, endln:736:51 |vpiName:ScrmblKeyWidth |vpiFullName:otp_ctrl_part_pkg::RndCnstKeyDefault::key_array_t::key_array_t::ScrmblKeyWidth + |vpiActual: + \_parameter: (otp_ctrl_part_pkg::ScrmblKeyWidth), line:505:17, endln:505:39, parent:otp_ctrl_part_pkg:: |vpiOperand: \_constant: , line:736:52, endln:736:53 |vpiConstType:9 @@ -32742,6 +32860,8 @@ design: (work@otp_ctrl) \_ref_obj: (otp_ctrl_part_pkg::RndCnstDigestConstDefault::digest_const_array_t::NumDigestSets), line:745:18, endln:745:31 |vpiName:NumDigestSets |vpiFullName:otp_ctrl_part_pkg::RndCnstDigestConstDefault::digest_const_array_t::NumDigestSets + |vpiActual: + \_parameter: (otp_ctrl_part_pkg::NumDigestSets), line:521:17, endln:521:34, parent:otp_ctrl_part_pkg:: |vpiOperand: \_constant: , line:745:32, endln:745:33 |vpiConstType:9 @@ -32763,6 +32883,8 @@ design: (work@otp_ctrl) \_ref_obj: (otp_ctrl_part_pkg::RndCnstDigestConstDefault::digest_const_array_t::ScrmblKeyWidth), line:745:37, endln:745:51 |vpiName:ScrmblKeyWidth |vpiFullName:otp_ctrl_part_pkg::RndCnstDigestConstDefault::digest_const_array_t::ScrmblKeyWidth + |vpiActual: + \_parameter: (otp_ctrl_part_pkg::ScrmblKeyWidth), line:505:17, endln:505:39, parent:otp_ctrl_part_pkg:: |vpiOperand: \_constant: , line:745:52, endln:745:53 |vpiConstType:9 @@ -32787,6 +32909,8 @@ design: (work@otp_ctrl) \_ref_obj: (otp_ctrl_part_pkg::RndCnstDigestConstDefault::digest_const_array_t::digest_const_array_t::NumDigestSets), line:745:18, endln:745:31 |vpiName:NumDigestSets |vpiFullName:otp_ctrl_part_pkg::RndCnstDigestConstDefault::digest_const_array_t::digest_const_array_t::NumDigestSets + |vpiActual: + \_parameter: (otp_ctrl_part_pkg::NumDigestSets), line:521:17, endln:521:34, parent:otp_ctrl_part_pkg:: |vpiOperand: \_constant: , line:745:32, endln:745:33 |vpiConstType:9 @@ -32808,6 +32932,8 @@ design: (work@otp_ctrl) \_ref_obj: (otp_ctrl_part_pkg::RndCnstDigestConstDefault::digest_const_array_t::digest_const_array_t::ScrmblKeyWidth), line:745:37, endln:745:51 |vpiName:ScrmblKeyWidth |vpiFullName:otp_ctrl_part_pkg::RndCnstDigestConstDefault::digest_const_array_t::digest_const_array_t::ScrmblKeyWidth + |vpiActual: + \_parameter: (otp_ctrl_part_pkg::ScrmblKeyWidth), line:505:17, endln:505:39, parent:otp_ctrl_part_pkg:: |vpiOperand: \_constant: , line:745:52, endln:745:53 |vpiConstType:9 @@ -32876,6 +33002,8 @@ design: (work@otp_ctrl) \_ref_obj: (otp_ctrl_part_pkg::RndCnstDigestIVDefault::digest_iv_array_t::NumDigestSets), line:754:18, endln:754:31 |vpiName:NumDigestSets |vpiFullName:otp_ctrl_part_pkg::RndCnstDigestIVDefault::digest_iv_array_t::NumDigestSets + |vpiActual: + \_parameter: (otp_ctrl_part_pkg::NumDigestSets), line:521:17, endln:521:34, parent:otp_ctrl_part_pkg:: |vpiOperand: \_constant: , line:754:32, endln:754:33 |vpiConstType:9 @@ -32897,6 +33025,8 @@ design: (work@otp_ctrl) \_ref_obj: (otp_ctrl_part_pkg::RndCnstDigestIVDefault::digest_iv_array_t::ScrmblBlockWidth), line:754:37, endln:754:53 |vpiName:ScrmblBlockWidth |vpiFullName:otp_ctrl_part_pkg::RndCnstDigestIVDefault::digest_iv_array_t::ScrmblBlockWidth + |vpiActual: + \_parameter: (otp_ctrl_part_pkg::ScrmblBlockWidth), line:506:17, endln:506:38, parent:otp_ctrl_part_pkg:: |vpiOperand: \_constant: , line:754:54, endln:754:55 |vpiConstType:9 @@ -32921,6 +33051,8 @@ design: (work@otp_ctrl) \_ref_obj: (otp_ctrl_part_pkg::RndCnstDigestIVDefault::digest_iv_array_t::digest_iv_array_t::NumDigestSets), line:754:18, endln:754:31 |vpiName:NumDigestSets |vpiFullName:otp_ctrl_part_pkg::RndCnstDigestIVDefault::digest_iv_array_t::digest_iv_array_t::NumDigestSets + |vpiActual: + \_parameter: (otp_ctrl_part_pkg::NumDigestSets), line:521:17, endln:521:34, parent:otp_ctrl_part_pkg:: |vpiOperand: \_constant: , line:754:32, endln:754:33 |vpiConstType:9 @@ -32942,6 +33074,8 @@ design: (work@otp_ctrl) \_ref_obj: (otp_ctrl_part_pkg::RndCnstDigestIVDefault::digest_iv_array_t::digest_iv_array_t::ScrmblBlockWidth), line:754:37, endln:754:53 |vpiName:ScrmblBlockWidth |vpiFullName:otp_ctrl_part_pkg::RndCnstDigestIVDefault::digest_iv_array_t::digest_iv_array_t::ScrmblBlockWidth + |vpiActual: + \_parameter: (otp_ctrl_part_pkg::ScrmblBlockWidth), line:506:17, endln:506:38, parent:otp_ctrl_part_pkg:: |vpiOperand: \_constant: , line:754:54, endln:754:55 |vpiConstType:9 @@ -41174,6 +41308,8 @@ design: (work@otp_ctrl) \_ref_obj: (otp_ctrl_pkg::RndCnstLfsrSeedDefault::lfsr_seed_t::LfsrWidth), line:729:18, endln:729:27 |vpiName:LfsrWidth |vpiFullName:otp_ctrl_pkg::RndCnstLfsrSeedDefault::lfsr_seed_t::LfsrWidth + |vpiActual: + \_parameter: (otp_ctrl_pkg::LfsrWidth), line:728:18, endln:728:32, parent:otp_ctrl_pkg:: |vpiOperand: \_constant: , line:729:28, endln:729:29 |vpiConstType:9 @@ -41197,6 +41333,8 @@ design: (work@otp_ctrl) |vpiOperand: \_ref_obj: (LfsrWidth), line:729:18, endln:729:27 |vpiName:LfsrWidth + |vpiActual: + \_parameter: (otp_ctrl_pkg::LfsrWidth), line:728:18, endln:728:32, parent:otp_ctrl_pkg:: |vpiOperand: \_constant: , line:729:28, endln:729:29 |vpiConstType:9 @@ -41231,6 +41369,8 @@ design: (work@otp_ctrl) \_ref_obj: (otp_ctrl_pkg::RndCnstLfsrPermDefault::lfsr_perm_t::LfsrWidth), line:730:18, endln:730:27 |vpiName:LfsrWidth |vpiFullName:otp_ctrl_pkg::RndCnstLfsrPermDefault::lfsr_perm_t::LfsrWidth + |vpiActual: + \_parameter: (otp_ctrl_pkg::LfsrWidth), line:728:18, endln:728:32, parent:otp_ctrl_pkg:: |vpiOperand: \_constant: , line:730:28, endln:730:29 |vpiConstType:9 @@ -41255,6 +41395,8 @@ design: (work@otp_ctrl) \_ref_obj: (otp_ctrl_pkg::RndCnstLfsrPermDefault::lfsr_perm_t::LfsrWidth), line:730:40, endln:730:49, parent:$clog2 |vpiName:LfsrWidth |vpiFullName:otp_ctrl_pkg::RndCnstLfsrPermDefault::lfsr_perm_t::LfsrWidth + |vpiActual: + \_parameter: (otp_ctrl_pkg::LfsrWidth), line:728:18, endln:728:32, parent:otp_ctrl_pkg:: |vpiOperand: \_constant: , line:730:51, endln:730:52 |vpiConstType:9 @@ -41278,6 +41420,8 @@ design: (work@otp_ctrl) |vpiOperand: \_ref_obj: (LfsrWidth), line:730:18, endln:730:27 |vpiName:LfsrWidth + |vpiActual: + \_parameter: (otp_ctrl_pkg::LfsrWidth), line:728:18, endln:728:32, parent:otp_ctrl_pkg:: |vpiOperand: \_constant: , line:730:28, endln:730:29 |vpiConstType:9 @@ -41345,6 +41489,8 @@ design: (work@otp_ctrl) \_ref_obj: (otp_ctrl_pkg::RndCnstKeyDefault::key_array_t::NumScrmblKeys), line:736:18, endln:736:31 |vpiName:NumScrmblKeys |vpiFullName:otp_ctrl_pkg::RndCnstKeyDefault::key_array_t::NumScrmblKeys + |vpiActual: + \_parameter: (otp_ctrl_pkg::NumScrmblKeys), line:520:17, endln:520:34, parent:otp_ctrl_pkg:: |vpiOperand: \_constant: , line:736:32, endln:736:33 |vpiConstType:9 @@ -41366,6 +41512,8 @@ design: (work@otp_ctrl) \_ref_obj: (otp_ctrl_pkg::RndCnstKeyDefault::key_array_t::ScrmblKeyWidth), line:736:37, endln:736:51 |vpiName:ScrmblKeyWidth |vpiFullName:otp_ctrl_pkg::RndCnstKeyDefault::key_array_t::ScrmblKeyWidth + |vpiActual: + \_parameter: (otp_ctrl_pkg::ScrmblKeyWidth), line:505:17, endln:505:39, parent:otp_ctrl_pkg:: |vpiOperand: \_constant: , line:736:52, endln:736:53 |vpiConstType:9 @@ -41389,6 +41537,8 @@ design: (work@otp_ctrl) |vpiOperand: \_ref_obj: (NumScrmblKeys), line:736:18, endln:736:31 |vpiName:NumScrmblKeys + |vpiActual: + \_parameter: (otp_ctrl_pkg::NumScrmblKeys), line:520:17, endln:520:34, parent:otp_ctrl_pkg:: |vpiOperand: \_constant: , line:736:32, endln:736:33 |vpiConstType:9 @@ -41409,6 +41559,8 @@ design: (work@otp_ctrl) |vpiOperand: \_ref_obj: (ScrmblKeyWidth), line:736:37, endln:736:51 |vpiName:ScrmblKeyWidth + |vpiActual: + \_parameter: (otp_ctrl_pkg::ScrmblKeyWidth), line:505:17, endln:505:39, parent:otp_ctrl_pkg:: |vpiOperand: \_constant: , line:736:52, endln:736:53 |vpiConstType:9 @@ -41441,6 +41593,8 @@ design: (work@otp_ctrl) \_ref_obj: (otp_ctrl_pkg::RndCnstDigestConstDefault::digest_const_array_t::NumDigestSets), line:745:18, endln:745:31 |vpiName:NumDigestSets |vpiFullName:otp_ctrl_pkg::RndCnstDigestConstDefault::digest_const_array_t::NumDigestSets + |vpiActual: + \_parameter: (otp_ctrl_pkg::NumDigestSets), line:521:17, endln:521:34, parent:otp_ctrl_pkg:: |vpiOperand: \_constant: , line:745:32, endln:745:33 |vpiConstType:9 @@ -41462,6 +41616,8 @@ design: (work@otp_ctrl) \_ref_obj: (otp_ctrl_pkg::RndCnstDigestConstDefault::digest_const_array_t::ScrmblKeyWidth), line:745:37, endln:745:51 |vpiName:ScrmblKeyWidth |vpiFullName:otp_ctrl_pkg::RndCnstDigestConstDefault::digest_const_array_t::ScrmblKeyWidth + |vpiActual: + \_parameter: (otp_ctrl_pkg::ScrmblKeyWidth), line:505:17, endln:505:39, parent:otp_ctrl_pkg:: |vpiOperand: \_constant: , line:745:52, endln:745:53 |vpiConstType:9 @@ -41485,6 +41641,8 @@ design: (work@otp_ctrl) |vpiOperand: \_ref_obj: (NumDigestSets), line:745:18, endln:745:31 |vpiName:NumDigestSets + |vpiActual: + \_parameter: (otp_ctrl_pkg::NumDigestSets), line:521:17, endln:521:34, parent:otp_ctrl_pkg:: |vpiOperand: \_constant: , line:745:32, endln:745:33 |vpiConstType:9 @@ -41505,6 +41663,8 @@ design: (work@otp_ctrl) |vpiOperand: \_ref_obj: (ScrmblKeyWidth), line:745:37, endln:745:51 |vpiName:ScrmblKeyWidth + |vpiActual: + \_parameter: (otp_ctrl_pkg::ScrmblKeyWidth), line:505:17, endln:505:39, parent:otp_ctrl_pkg:: |vpiOperand: \_constant: , line:745:52, endln:745:53 |vpiConstType:9 @@ -41537,6 +41697,8 @@ design: (work@otp_ctrl) \_ref_obj: (otp_ctrl_pkg::RndCnstDigestIVDefault::digest_iv_array_t::NumDigestSets), line:754:18, endln:754:31 |vpiName:NumDigestSets |vpiFullName:otp_ctrl_pkg::RndCnstDigestIVDefault::digest_iv_array_t::NumDigestSets + |vpiActual: + \_parameter: (otp_ctrl_pkg::NumDigestSets), line:521:17, endln:521:34, parent:otp_ctrl_pkg:: |vpiOperand: \_constant: , line:754:32, endln:754:33 |vpiConstType:9 @@ -41558,6 +41720,8 @@ design: (work@otp_ctrl) \_ref_obj: (otp_ctrl_pkg::RndCnstDigestIVDefault::digest_iv_array_t::ScrmblBlockWidth), line:754:37, endln:754:53 |vpiName:ScrmblBlockWidth |vpiFullName:otp_ctrl_pkg::RndCnstDigestIVDefault::digest_iv_array_t::ScrmblBlockWidth + |vpiActual: + \_parameter: (otp_ctrl_pkg::ScrmblBlockWidth), line:506:17, endln:506:38, parent:otp_ctrl_pkg:: |vpiOperand: \_constant: , line:754:54, endln:754:55 |vpiConstType:9 @@ -41581,6 +41745,8 @@ design: (work@otp_ctrl) |vpiOperand: \_ref_obj: (NumDigestSets), line:754:18, endln:754:31 |vpiName:NumDigestSets + |vpiActual: + \_parameter: (otp_ctrl_pkg::NumDigestSets), line:521:17, endln:521:34, parent:otp_ctrl_pkg:: |vpiOperand: \_constant: , line:754:32, endln:754:33 |vpiConstType:9 @@ -41601,6 +41767,8 @@ design: (work@otp_ctrl) |vpiOperand: \_ref_obj: (ScrmblBlockWidth), line:754:37, endln:754:53 |vpiName:ScrmblBlockWidth + |vpiActual: + \_parameter: (otp_ctrl_pkg::ScrmblBlockWidth), line:506:17, endln:506:38, parent:otp_ctrl_pkg:: |vpiOperand: \_constant: , line:754:54, endln:754:55 |vpiConstType:9 @@ -46320,6 +46488,8 @@ design: (work@otp_ctrl) |vpiOperand: \_ref_obj: (OtbnKeyWidth), line:636:18, endln:636:30 |vpiName:OtbnKeyWidth + |vpiActual: + \_parameter: (otp_ctrl_pkg::OtbnKeyWidth), line:631:17, endln:631:39, parent:otp_ctrl_pkg:: |vpiOperand: \_constant: , line:636:31, endln:636:32 |vpiConstType:9 @@ -46345,6 +46515,8 @@ design: (work@otp_ctrl) |vpiOperand: \_ref_obj: (OtbnNonceWidth), line:637:18, endln:637:32 |vpiName:OtbnNonceWidth + |vpiActual: + \_parameter: (otp_ctrl_pkg::OtbnNonceWidth), line:632:17, endln:632:39, parent:otp_ctrl_pkg:: |vpiOperand: \_constant: , line:637:33, endln:637:34 |vpiConstType:9 @@ -46398,6 +46570,8 @@ design: (work@otp_ctrl) \_ref_obj: (otbn_key_t.OtbnKeyWidth), line:636:18, endln:636:30 |vpiName:OtbnKeyWidth |vpiFullName:otbn_key_t.OtbnKeyWidth + |vpiActual: + \_parameter: (otp_ctrl_pkg::OtbnKeyWidth), line:631:17, endln:631:39, parent:otp_ctrl_pkg:: |vpiOperand: \_constant: , line:636:31, endln:636:32 |vpiConstType:9 @@ -46429,6 +46603,8 @@ design: (work@otp_ctrl) \_ref_obj: (otbn_nonce_t.OtbnNonceWidth), line:637:18, endln:637:32 |vpiName:OtbnNonceWidth |vpiFullName:otbn_nonce_t.OtbnNonceWidth + |vpiActual: + \_parameter: (otp_ctrl_pkg::OtbnNonceWidth), line:632:17, endln:632:39, parent:otp_ctrl_pkg:: |vpiOperand: \_constant: , line:637:33, endln:637:34 |vpiConstType:9 @@ -46889,6 +47065,8 @@ design: (work@otp_ctrl) |vpiOperand: \_ref_obj: (SramKeyWidth), line:634:18, endln:634:30 |vpiName:SramKeyWidth + |vpiActual: + \_parameter: (otp_ctrl_pkg::SramKeyWidth), line:629:17, endln:629:39, parent:otp_ctrl_pkg:: |vpiOperand: \_constant: , line:634:31, endln:634:32 |vpiConstType:9 @@ -46914,6 +47092,8 @@ design: (work@otp_ctrl) |vpiOperand: \_ref_obj: (SramNonceWidth), line:635:18, endln:635:32 |vpiName:SramNonceWidth + |vpiActual: + \_parameter: (otp_ctrl_pkg::SramNonceWidth), line:630:17, endln:630:38, parent:otp_ctrl_pkg:: |vpiOperand: \_constant: , line:635:33, endln:635:34 |vpiConstType:9 @@ -46967,6 +47147,8 @@ design: (work@otp_ctrl) \_ref_obj: (sram_key_t.SramKeyWidth), line:634:18, endln:634:30 |vpiName:SramKeyWidth |vpiFullName:sram_key_t.SramKeyWidth + |vpiActual: + \_parameter: (otp_ctrl_pkg::SramKeyWidth), line:629:17, endln:629:39, parent:otp_ctrl_pkg:: |vpiOperand: \_constant: , line:634:31, endln:634:32 |vpiConstType:9 @@ -46998,6 +47180,8 @@ design: (work@otp_ctrl) \_ref_obj: (sram_nonce_t.SramNonceWidth), line:635:18, endln:635:32 |vpiName:SramNonceWidth |vpiFullName:sram_nonce_t.SramNonceWidth + |vpiActual: + \_parameter: (otp_ctrl_pkg::SramNonceWidth), line:630:17, endln:630:38, parent:otp_ctrl_pkg:: |vpiOperand: \_constant: , line:635:33, endln:635:34 |vpiConstType:9 @@ -94514,6 +94698,8 @@ design: (work@otp_ctrl) \_ref_obj: (otp_ctrl_pkg::RndCnstLfsrSeedDefault::lfsr_seed_t::LfsrWidth), line:729:18, endln:729:27 |vpiName:LfsrWidth |vpiFullName:otp_ctrl_pkg::RndCnstLfsrSeedDefault::lfsr_seed_t::LfsrWidth + |vpiActual: + \_parameter: (otp_ctrl_pkg::LfsrWidth), line:728:18, endln:728:32, parent:otp_ctrl_pkg:: |vpiOperand: \_constant: , line:729:28, endln:729:29 |vpiConstType:9 @@ -94537,6 +94723,8 @@ design: (work@otp_ctrl) |vpiOperand: \_ref_obj: (LfsrWidth), line:729:18, endln:729:27 |vpiName:LfsrWidth + |vpiActual: + \_parameter: (otp_ctrl_pkg::LfsrWidth), line:728:18, endln:728:32, parent:otp_ctrl_pkg:: |vpiOperand: \_constant: , line:729:28, endln:729:29 |vpiConstType:9 @@ -94571,6 +94759,8 @@ design: (work@otp_ctrl) \_ref_obj: (otp_ctrl_pkg::RndCnstLfsrPermDefault::lfsr_perm_t::LfsrWidth), line:730:18, endln:730:27 |vpiName:LfsrWidth |vpiFullName:otp_ctrl_pkg::RndCnstLfsrPermDefault::lfsr_perm_t::LfsrWidth + |vpiActual: + \_parameter: (otp_ctrl_pkg::LfsrWidth), line:728:18, endln:728:32, parent:otp_ctrl_pkg:: |vpiOperand: \_constant: , line:730:28, endln:730:29 |vpiConstType:9 @@ -94595,6 +94785,8 @@ design: (work@otp_ctrl) \_ref_obj: (otp_ctrl_pkg::RndCnstLfsrPermDefault::lfsr_perm_t::LfsrWidth), line:730:40, endln:730:49, parent:$clog2 |vpiName:LfsrWidth |vpiFullName:otp_ctrl_pkg::RndCnstLfsrPermDefault::lfsr_perm_t::LfsrWidth + |vpiActual: + \_parameter: (otp_ctrl_pkg::LfsrWidth), line:728:18, endln:728:32, parent:otp_ctrl_pkg:: |vpiOperand: \_constant: , line:730:51, endln:730:52 |vpiConstType:9 @@ -94618,6 +94810,8 @@ design: (work@otp_ctrl) |vpiOperand: \_ref_obj: (LfsrWidth), line:730:18, endln:730:27 |vpiName:LfsrWidth + |vpiActual: + \_parameter: (otp_ctrl_pkg::LfsrWidth), line:728:18, endln:728:32, parent:otp_ctrl_pkg:: |vpiOperand: \_constant: , line:730:28, endln:730:29 |vpiConstType:9 @@ -94685,6 +94879,8 @@ design: (work@otp_ctrl) \_ref_obj: (otp_ctrl_pkg::RndCnstKeyDefault::key_array_t::NumScrmblKeys), line:736:18, endln:736:31 |vpiName:NumScrmblKeys |vpiFullName:otp_ctrl_pkg::RndCnstKeyDefault::key_array_t::NumScrmblKeys + |vpiActual: + \_parameter: (otp_ctrl_pkg::NumScrmblKeys), line:520:17, endln:520:34, parent:otp_ctrl_pkg:: |vpiOperand: \_constant: , line:736:32, endln:736:33 |vpiConstType:9 @@ -94706,6 +94902,8 @@ design: (work@otp_ctrl) \_ref_obj: (otp_ctrl_pkg::RndCnstKeyDefault::key_array_t::ScrmblKeyWidth), line:736:37, endln:736:51 |vpiName:ScrmblKeyWidth |vpiFullName:otp_ctrl_pkg::RndCnstKeyDefault::key_array_t::ScrmblKeyWidth + |vpiActual: + \_parameter: (otp_ctrl_pkg::ScrmblKeyWidth), line:505:17, endln:505:39, parent:otp_ctrl_pkg:: |vpiOperand: \_constant: , line:736:52, endln:736:53 |vpiConstType:9 @@ -94729,6 +94927,8 @@ design: (work@otp_ctrl) |vpiOperand: \_ref_obj: (NumScrmblKeys), line:736:18, endln:736:31 |vpiName:NumScrmblKeys + |vpiActual: + \_parameter: (otp_ctrl_pkg::NumScrmblKeys), line:520:17, endln:520:34, parent:otp_ctrl_pkg:: |vpiOperand: \_constant: , line:736:32, endln:736:33 |vpiConstType:9 @@ -94749,6 +94949,8 @@ design: (work@otp_ctrl) |vpiOperand: \_ref_obj: (ScrmblKeyWidth), line:736:37, endln:736:51 |vpiName:ScrmblKeyWidth + |vpiActual: + \_parameter: (otp_ctrl_pkg::ScrmblKeyWidth), line:505:17, endln:505:39, parent:otp_ctrl_pkg:: |vpiOperand: \_constant: , line:736:52, endln:736:53 |vpiConstType:9 @@ -94781,6 +94983,8 @@ design: (work@otp_ctrl) \_ref_obj: (otp_ctrl_pkg::RndCnstDigestConstDefault::digest_const_array_t::NumDigestSets), line:745:18, endln:745:31 |vpiName:NumDigestSets |vpiFullName:otp_ctrl_pkg::RndCnstDigestConstDefault::digest_const_array_t::NumDigestSets + |vpiActual: + \_parameter: (otp_ctrl_pkg::NumDigestSets), line:521:17, endln:521:34, parent:otp_ctrl_pkg:: |vpiOperand: \_constant: , line:745:32, endln:745:33 |vpiConstType:9 @@ -94802,6 +95006,8 @@ design: (work@otp_ctrl) \_ref_obj: (otp_ctrl_pkg::RndCnstDigestConstDefault::digest_const_array_t::ScrmblKeyWidth), line:745:37, endln:745:51 |vpiName:ScrmblKeyWidth |vpiFullName:otp_ctrl_pkg::RndCnstDigestConstDefault::digest_const_array_t::ScrmblKeyWidth + |vpiActual: + \_parameter: (otp_ctrl_pkg::ScrmblKeyWidth), line:505:17, endln:505:39, parent:otp_ctrl_pkg:: |vpiOperand: \_constant: , line:745:52, endln:745:53 |vpiConstType:9 @@ -94825,6 +95031,8 @@ design: (work@otp_ctrl) |vpiOperand: \_ref_obj: (NumDigestSets), line:745:18, endln:745:31 |vpiName:NumDigestSets + |vpiActual: + \_parameter: (otp_ctrl_pkg::NumDigestSets), line:521:17, endln:521:34, parent:otp_ctrl_pkg:: |vpiOperand: \_constant: , line:745:32, endln:745:33 |vpiConstType:9 @@ -94845,6 +95053,8 @@ design: (work@otp_ctrl) |vpiOperand: \_ref_obj: (ScrmblKeyWidth), line:745:37, endln:745:51 |vpiName:ScrmblKeyWidth + |vpiActual: + \_parameter: (otp_ctrl_pkg::ScrmblKeyWidth), line:505:17, endln:505:39, parent:otp_ctrl_pkg:: |vpiOperand: \_constant: , line:745:52, endln:745:53 |vpiConstType:9 @@ -94877,6 +95087,8 @@ design: (work@otp_ctrl) \_ref_obj: (otp_ctrl_pkg::RndCnstDigestIVDefault::digest_iv_array_t::NumDigestSets), line:754:18, endln:754:31 |vpiName:NumDigestSets |vpiFullName:otp_ctrl_pkg::RndCnstDigestIVDefault::digest_iv_array_t::NumDigestSets + |vpiActual: + \_parameter: (otp_ctrl_pkg::NumDigestSets), line:521:17, endln:521:34, parent:otp_ctrl_pkg:: |vpiOperand: \_constant: , line:754:32, endln:754:33 |vpiConstType:9 @@ -94898,6 +95110,8 @@ design: (work@otp_ctrl) \_ref_obj: (otp_ctrl_pkg::RndCnstDigestIVDefault::digest_iv_array_t::ScrmblBlockWidth), line:754:37, endln:754:53 |vpiName:ScrmblBlockWidth |vpiFullName:otp_ctrl_pkg::RndCnstDigestIVDefault::digest_iv_array_t::ScrmblBlockWidth + |vpiActual: + \_parameter: (otp_ctrl_pkg::ScrmblBlockWidth), line:506:17, endln:506:38, parent:otp_ctrl_pkg:: |vpiOperand: \_constant: , line:754:54, endln:754:55 |vpiConstType:9 @@ -94921,6 +95135,8 @@ design: (work@otp_ctrl) |vpiOperand: \_ref_obj: (NumDigestSets), line:754:18, endln:754:31 |vpiName:NumDigestSets + |vpiActual: + \_parameter: (otp_ctrl_pkg::NumDigestSets), line:521:17, endln:521:34, parent:otp_ctrl_pkg:: |vpiOperand: \_constant: , line:754:32, endln:754:33 |vpiConstType:9 @@ -94941,6 +95157,8 @@ design: (work@otp_ctrl) |vpiOperand: \_ref_obj: (ScrmblBlockWidth), line:754:37, endln:754:53 |vpiName:ScrmblBlockWidth + |vpiActual: + \_parameter: (otp_ctrl_pkg::ScrmblBlockWidth), line:506:17, endln:506:38, parent:otp_ctrl_pkg:: |vpiOperand: \_constant: , line:754:54, endln:754:55 |vpiConstType:9 @@ -99660,6 +99878,8 @@ design: (work@otp_ctrl) |vpiOperand: \_ref_obj: (OtbnKeyWidth), line:636:18, endln:636:30 |vpiName:OtbnKeyWidth + |vpiActual: + \_parameter: (otp_ctrl_pkg::OtbnKeyWidth), line:631:17, endln:631:39, parent:otp_ctrl_pkg:: |vpiOperand: \_constant: , line:636:31, endln:636:32 |vpiConstType:9 @@ -99685,6 +99905,8 @@ design: (work@otp_ctrl) |vpiOperand: \_ref_obj: (OtbnNonceWidth), line:637:18, endln:637:32 |vpiName:OtbnNonceWidth + |vpiActual: + \_parameter: (otp_ctrl_pkg::OtbnNonceWidth), line:632:17, endln:632:39, parent:otp_ctrl_pkg:: |vpiOperand: \_constant: , line:637:33, endln:637:34 |vpiConstType:9 @@ -99738,6 +99960,8 @@ design: (work@otp_ctrl) \_ref_obj: (otbn_key_t.OtbnKeyWidth), line:636:18, endln:636:30 |vpiName:OtbnKeyWidth |vpiFullName:otbn_key_t.OtbnKeyWidth + |vpiActual: + \_parameter: (otp_ctrl_pkg::OtbnKeyWidth), line:631:17, endln:631:39, parent:otp_ctrl_pkg:: |vpiOperand: \_constant: , line:636:31, endln:636:32 |vpiConstType:9 @@ -99769,6 +99993,8 @@ design: (work@otp_ctrl) \_ref_obj: (otbn_nonce_t.OtbnNonceWidth), line:637:18, endln:637:32 |vpiName:OtbnNonceWidth |vpiFullName:otbn_nonce_t.OtbnNonceWidth + |vpiActual: + \_parameter: (otp_ctrl_pkg::OtbnNonceWidth), line:632:17, endln:632:39, parent:otp_ctrl_pkg:: |vpiOperand: \_constant: , line:637:33, endln:637:34 |vpiConstType:9 @@ -100229,6 +100455,8 @@ design: (work@otp_ctrl) |vpiOperand: \_ref_obj: (SramKeyWidth), line:634:18, endln:634:30 |vpiName:SramKeyWidth + |vpiActual: + \_parameter: (otp_ctrl_pkg::SramKeyWidth), line:629:17, endln:629:39, parent:otp_ctrl_pkg:: |vpiOperand: \_constant: , line:634:31, endln:634:32 |vpiConstType:9 @@ -100254,6 +100482,8 @@ design: (work@otp_ctrl) |vpiOperand: \_ref_obj: (SramNonceWidth), line:635:18, endln:635:32 |vpiName:SramNonceWidth + |vpiActual: + \_parameter: (otp_ctrl_pkg::SramNonceWidth), line:630:17, endln:630:38, parent:otp_ctrl_pkg:: |vpiOperand: \_constant: , line:635:33, endln:635:34 |vpiConstType:9 @@ -100307,6 +100537,8 @@ design: (work@otp_ctrl) \_ref_obj: (sram_key_t.SramKeyWidth), line:634:18, endln:634:30 |vpiName:SramKeyWidth |vpiFullName:sram_key_t.SramKeyWidth + |vpiActual: + \_parameter: (otp_ctrl_pkg::SramKeyWidth), line:629:17, endln:629:39, parent:otp_ctrl_pkg:: |vpiOperand: \_constant: , line:634:31, endln:634:32 |vpiConstType:9 @@ -100338,6 +100570,8 @@ design: (work@otp_ctrl) \_ref_obj: (sram_nonce_t.SramNonceWidth), line:635:18, endln:635:32 |vpiName:SramNonceWidth |vpiFullName:sram_nonce_t.SramNonceWidth + |vpiActual: + \_parameter: (otp_ctrl_pkg::SramNonceWidth), line:630:17, endln:630:38, parent:otp_ctrl_pkg:: |vpiOperand: \_constant: , line:635:33, endln:635:34 |vpiConstType:9 @@ -102257,6 +102491,8 @@ design: (work@otp_ctrl) \_ref_obj: (work@otp_ctrl.RndCnstDigestConstDefault.digest_const_array_t.NumDigestSets), line:745:18, endln:745:31 |vpiName:NumDigestSets |vpiFullName:work@otp_ctrl.RndCnstDigestConstDefault.digest_const_array_t.NumDigestSets + |vpiActual: + \_parameter: (work@otp_ctrl.NumDigestSets), line:521:17, endln:521:34, parent:work@otp_ctrl |vpiOperand: \_constant: , line:745:32, endln:745:33 |vpiConstType:9 @@ -102278,6 +102514,17 @@ design: (work@otp_ctrl) \_ref_obj: (work@otp_ctrl.RndCnstDigestConstDefault.digest_const_array_t.ScrmblKeyWidth), line:745:37, endln:745:51 |vpiName:ScrmblKeyWidth |vpiFullName:work@otp_ctrl.RndCnstDigestConstDefault.digest_const_array_t.ScrmblKeyWidth + |vpiActual: + \_parameter: (work@otp_ctrl.ScrmblKeyWidth), line:505:17, endln:505:39, parent:work@otp_ctrl + |vpiName:ScrmblKeyWidth + |vpiFullName:work@otp_ctrl.ScrmblKeyWidth + |vpiImported:otp_ctrl_pkg + |UINT:128 + |vpiTypespec: + \_int_typespec: (ScrmblKeyWidth), line:505:13, endln:505:16, parent:work@otp_ctrl.ScrmblKeyWidth + |vpiName:ScrmblKeyWidth + |vpiInstance: + \_package: otp_ctrl_pkg (otp_ctrl_pkg::) dut.sv:438:1: , endln:766:26, parent:work@otp_ctrl |vpiOperand: \_constant: , line:745:52, endln:745:53 |vpiConstType:9 @@ -102302,6 +102549,8 @@ design: (work@otp_ctrl) \_ref_obj: (work@otp_ctrl.RndCnstDigestConstDefault.digest_const_array_t.digest_const_array_t.NumDigestSets), line:745:18, endln:745:31 |vpiName:NumDigestSets |vpiFullName:work@otp_ctrl.RndCnstDigestConstDefault.digest_const_array_t.digest_const_array_t.NumDigestSets + |vpiActual: + \_parameter: (work@otp_ctrl.NumDigestSets), line:521:17, endln:521:34, parent:work@otp_ctrl |vpiOperand: \_constant: , line:745:32, endln:745:33 |vpiConstType:9 @@ -102323,6 +102572,8 @@ design: (work@otp_ctrl) \_ref_obj: (work@otp_ctrl.RndCnstDigestConstDefault.digest_const_array_t.digest_const_array_t.ScrmblKeyWidth), line:745:37, endln:745:51 |vpiName:ScrmblKeyWidth |vpiFullName:work@otp_ctrl.RndCnstDigestConstDefault.digest_const_array_t.digest_const_array_t.ScrmblKeyWidth + |vpiActual: + \_parameter: (work@otp_ctrl.ScrmblKeyWidth), line:505:17, endln:505:39, parent:work@otp_ctrl |vpiOperand: \_constant: , line:745:52, endln:745:53 |vpiConstType:9 @@ -102356,6 +102607,8 @@ design: (work@otp_ctrl) \_ref_obj: (work@otp_ctrl.RndCnstDigestIVDefault.digest_iv_array_t.NumDigestSets), line:754:18, endln:754:31 |vpiName:NumDigestSets |vpiFullName:work@otp_ctrl.RndCnstDigestIVDefault.digest_iv_array_t.NumDigestSets + |vpiActual: + \_parameter: (work@otp_ctrl.NumDigestSets), line:521:17, endln:521:34, parent:work@otp_ctrl |vpiOperand: \_constant: , line:754:32, endln:754:33 |vpiConstType:9 @@ -102377,6 +102630,17 @@ design: (work@otp_ctrl) \_ref_obj: (work@otp_ctrl.RndCnstDigestIVDefault.digest_iv_array_t.ScrmblBlockWidth), line:754:37, endln:754:53 |vpiName:ScrmblBlockWidth |vpiFullName:work@otp_ctrl.RndCnstDigestIVDefault.digest_iv_array_t.ScrmblBlockWidth + |vpiActual: + \_parameter: (work@otp_ctrl.ScrmblBlockWidth), line:506:17, endln:506:38, parent:work@otp_ctrl + |vpiName:ScrmblBlockWidth + |vpiFullName:work@otp_ctrl.ScrmblBlockWidth + |vpiImported:otp_ctrl_pkg + |UINT:64 + |vpiTypespec: + \_int_typespec: (ScrmblBlockWidth), line:506:13, endln:506:16, parent:work@otp_ctrl.ScrmblBlockWidth + |vpiName:ScrmblBlockWidth + |vpiInstance: + \_package: otp_ctrl_pkg (otp_ctrl_pkg::) dut.sv:438:1: , endln:766:26, parent:work@otp_ctrl |vpiOperand: \_constant: , line:754:54, endln:754:55 |vpiConstType:9 @@ -102401,6 +102665,8 @@ design: (work@otp_ctrl) \_ref_obj: (work@otp_ctrl.RndCnstDigestIVDefault.digest_iv_array_t.digest_iv_array_t.NumDigestSets), line:754:18, endln:754:31 |vpiName:NumDigestSets |vpiFullName:work@otp_ctrl.RndCnstDigestIVDefault.digest_iv_array_t.digest_iv_array_t.NumDigestSets + |vpiActual: + \_parameter: (work@otp_ctrl.NumDigestSets), line:521:17, endln:521:34, parent:work@otp_ctrl |vpiOperand: \_constant: , line:754:32, endln:754:33 |vpiConstType:9 @@ -102422,6 +102688,8 @@ design: (work@otp_ctrl) \_ref_obj: (work@otp_ctrl.RndCnstDigestIVDefault.digest_iv_array_t.digest_iv_array_t.ScrmblBlockWidth), line:754:37, endln:754:53 |vpiName:ScrmblBlockWidth |vpiFullName:work@otp_ctrl.RndCnstDigestIVDefault.digest_iv_array_t.digest_iv_array_t.ScrmblBlockWidth + |vpiActual: + \_parameter: (work@otp_ctrl.ScrmblBlockWidth), line:506:17, endln:506:38, parent:work@otp_ctrl |vpiOperand: \_constant: , line:754:54, endln:754:55 |vpiConstType:9 @@ -102455,6 +102723,8 @@ design: (work@otp_ctrl) \_ref_obj: (work@otp_ctrl.RndCnstKeyDefault.key_array_t.NumScrmblKeys), line:736:18, endln:736:31 |vpiName:NumScrmblKeys |vpiFullName:work@otp_ctrl.RndCnstKeyDefault.key_array_t.NumScrmblKeys + |vpiActual: + \_parameter: (work@otp_ctrl.NumScrmblKeys), line:520:17, endln:520:34, parent:work@otp_ctrl |vpiOperand: \_constant: , line:736:32, endln:736:33 |vpiConstType:9 @@ -102476,6 +102746,8 @@ design: (work@otp_ctrl) \_ref_obj: (work@otp_ctrl.RndCnstKeyDefault.key_array_t.ScrmblKeyWidth), line:736:37, endln:736:51 |vpiName:ScrmblKeyWidth |vpiFullName:work@otp_ctrl.RndCnstKeyDefault.key_array_t.ScrmblKeyWidth + |vpiActual: + \_parameter: (work@otp_ctrl.ScrmblKeyWidth), line:505:17, endln:505:39, parent:work@otp_ctrl |vpiOperand: \_constant: , line:736:52, endln:736:53 |vpiConstType:9 @@ -102500,6 +102772,8 @@ design: (work@otp_ctrl) \_ref_obj: (work@otp_ctrl.RndCnstKeyDefault.key_array_t.key_array_t.NumScrmblKeys), line:736:18, endln:736:31 |vpiName:NumScrmblKeys |vpiFullName:work@otp_ctrl.RndCnstKeyDefault.key_array_t.key_array_t.NumScrmblKeys + |vpiActual: + \_parameter: (work@otp_ctrl.NumScrmblKeys), line:520:17, endln:520:34, parent:work@otp_ctrl |vpiOperand: \_constant: , line:736:32, endln:736:33 |vpiConstType:9 @@ -102521,6 +102795,8 @@ design: (work@otp_ctrl) \_ref_obj: (work@otp_ctrl.RndCnstKeyDefault.key_array_t.key_array_t.ScrmblKeyWidth), line:736:37, endln:736:51 |vpiName:ScrmblKeyWidth |vpiFullName:work@otp_ctrl.RndCnstKeyDefault.key_array_t.key_array_t.ScrmblKeyWidth + |vpiActual: + \_parameter: (work@otp_ctrl.ScrmblKeyWidth), line:505:17, endln:505:39, parent:work@otp_ctrl |vpiOperand: \_constant: , line:736:52, endln:736:53 |vpiConstType:9 @@ -102556,6 +102832,8 @@ design: (work@otp_ctrl) \_ref_obj: (work@otp_ctrl.RndCnstLfsrPermDefault.lfsr_perm_t.LfsrWidth), line:730:18, endln:730:27 |vpiName:LfsrWidth |vpiFullName:work@otp_ctrl.RndCnstLfsrPermDefault.lfsr_perm_t.LfsrWidth + |vpiActual: + \_parameter: (work@otp_ctrl.LfsrWidth), line:728:18, endln:728:32, parent:work@otp_ctrl |vpiOperand: \_constant: , line:730:28, endln:730:29 |vpiConstType:9 @@ -102580,6 +102858,8 @@ design: (work@otp_ctrl) \_ref_obj: (work@otp_ctrl.RndCnstLfsrPermDefault.lfsr_perm_t.LfsrWidth), line:730:40, endln:730:49, parent:$clog2 |vpiName:LfsrWidth |vpiFullName:work@otp_ctrl.RndCnstLfsrPermDefault.lfsr_perm_t.LfsrWidth + |vpiActual: + \_parameter: (work@otp_ctrl.LfsrWidth), line:728:18, endln:728:32, parent:work@otp_ctrl |vpiOperand: \_constant: , line:730:51, endln:730:52 |vpiConstType:9 @@ -102604,6 +102884,8 @@ design: (work@otp_ctrl) \_ref_obj: (work@otp_ctrl.RndCnstLfsrPermDefault.lfsr_perm_t.lfsr_perm_t.LfsrWidth), line:730:18, endln:730:27 |vpiName:LfsrWidth |vpiFullName:work@otp_ctrl.RndCnstLfsrPermDefault.lfsr_perm_t.lfsr_perm_t.LfsrWidth + |vpiActual: + \_parameter: (work@otp_ctrl.LfsrWidth), line:728:18, endln:728:32, parent:work@otp_ctrl |vpiOperand: \_constant: , line:730:28, endln:730:29 |vpiConstType:9 @@ -102628,6 +102910,8 @@ design: (work@otp_ctrl) \_ref_obj: (work@otp_ctrl.RndCnstLfsrPermDefault.lfsr_perm_t.lfsr_perm_t.LfsrWidth), line:730:40, endln:730:49, parent:$clog2 |vpiName:LfsrWidth |vpiFullName:work@otp_ctrl.RndCnstLfsrPermDefault.lfsr_perm_t.lfsr_perm_t.LfsrWidth + |vpiActual: + \_parameter: (work@otp_ctrl.LfsrWidth), line:728:18, endln:728:32, parent:work@otp_ctrl |vpiOperand: \_constant: , line:730:51, endln:730:52 |vpiConstType:9 @@ -102663,6 +102947,8 @@ design: (work@otp_ctrl) \_ref_obj: (work@otp_ctrl.RndCnstLfsrSeedDefault.lfsr_seed_t.LfsrWidth), line:729:18, endln:729:27 |vpiName:LfsrWidth |vpiFullName:work@otp_ctrl.RndCnstLfsrSeedDefault.lfsr_seed_t.LfsrWidth + |vpiActual: + \_parameter: (work@otp_ctrl.LfsrWidth), line:728:18, endln:728:32, parent:work@otp_ctrl |vpiOperand: \_constant: , line:729:28, endln:729:29 |vpiConstType:9 @@ -102687,6 +102973,8 @@ design: (work@otp_ctrl) \_ref_obj: (work@otp_ctrl.RndCnstLfsrSeedDefault.lfsr_seed_t.lfsr_seed_t.LfsrWidth), line:729:18, endln:729:27 |vpiName:LfsrWidth |vpiFullName:work@otp_ctrl.RndCnstLfsrSeedDefault.lfsr_seed_t.lfsr_seed_t.LfsrWidth + |vpiActual: + \_parameter: (work@otp_ctrl.LfsrWidth), line:728:18, endln:728:32, parent:work@otp_ctrl |vpiOperand: \_constant: , line:729:28, endln:729:29 |vpiConstType:9 @@ -102727,26 +103015,8 @@ design: (work@otp_ctrl) \_package: otp_ctrl_pkg (otp_ctrl_pkg::) dut.sv:438:1: , endln:766:26, parent:work@otp_ctrl |vpiParameter: \_parameter: (work@otp_ctrl.ScrmblBlockWidth), line:506:17, endln:506:38, parent:work@otp_ctrl - |vpiName:ScrmblBlockWidth - |vpiFullName:work@otp_ctrl.ScrmblBlockWidth - |vpiImported:otp_ctrl_pkg - |UINT:64 - |vpiTypespec: - \_int_typespec: (ScrmblBlockWidth), line:506:13, endln:506:16, parent:work@otp_ctrl.ScrmblBlockWidth - |vpiName:ScrmblBlockWidth - |vpiInstance: - \_package: otp_ctrl_pkg (otp_ctrl_pkg::) dut.sv:438:1: , endln:766:26, parent:work@otp_ctrl |vpiParameter: \_parameter: (work@otp_ctrl.ScrmblKeyWidth), line:505:17, endln:505:39, parent:work@otp_ctrl - |vpiName:ScrmblKeyWidth - |vpiFullName:work@otp_ctrl.ScrmblKeyWidth - |vpiImported:otp_ctrl_pkg - |UINT:128 - |vpiTypespec: - \_int_typespec: (ScrmblKeyWidth), line:505:13, endln:505:16, parent:work@otp_ctrl.ScrmblKeyWidth - |vpiName:ScrmblKeyWidth - |vpiInstance: - \_package: otp_ctrl_pkg (otp_ctrl_pkg::) dut.sv:438:1: , endln:766:26, parent:work@otp_ctrl |vpiParameter: \_parameter: (work@otp_ctrl.Secret0DigestOffset), line:61:17, endln:61:43, parent:work@otp_ctrl |vpiName:Secret0DigestOffset @@ -106763,6 +107033,8 @@ design: (work@otp_ctrl) \_ref_obj: (work@otp_ctrl.RndCnstDigestConstDefault.digest_const_array_t.NumDigestSets), line:745:18, endln:745:31 |vpiName:NumDigestSets |vpiFullName:work@otp_ctrl.RndCnstDigestConstDefault.digest_const_array_t.NumDigestSets + |vpiActual: + \_parameter: (work@otp_ctrl.NumDigestSets), line:521:17, endln:521:34, parent:work@otp_ctrl |vpiOperand: \_constant: , line:745:32, endln:745:33 |vpiConstType:9 @@ -106784,6 +107056,8 @@ design: (work@otp_ctrl) \_ref_obj: (work@otp_ctrl.RndCnstDigestConstDefault.digest_const_array_t.ScrmblKeyWidth), line:745:37, endln:745:51 |vpiName:ScrmblKeyWidth |vpiFullName:work@otp_ctrl.RndCnstDigestConstDefault.digest_const_array_t.ScrmblKeyWidth + |vpiActual: + \_parameter: (work@otp_ctrl.ScrmblKeyWidth), line:505:17, endln:505:39, parent:work@otp_ctrl |vpiOperand: \_constant: , line:745:52, endln:745:53 |vpiConstType:9 @@ -106808,6 +107082,8 @@ design: (work@otp_ctrl) \_ref_obj: (work@otp_ctrl.RndCnstDigestConstDefault.digest_const_array_t.digest_const_array_t.NumDigestSets), line:745:18, endln:745:31 |vpiName:NumDigestSets |vpiFullName:work@otp_ctrl.RndCnstDigestConstDefault.digest_const_array_t.digest_const_array_t.NumDigestSets + |vpiActual: + \_parameter: (work@otp_ctrl.NumDigestSets), line:521:17, endln:521:34, parent:work@otp_ctrl |vpiOperand: \_constant: , line:745:32, endln:745:33 |vpiConstType:9 @@ -106829,6 +107105,8 @@ design: (work@otp_ctrl) \_ref_obj: (work@otp_ctrl.RndCnstDigestConstDefault.digest_const_array_t.digest_const_array_t.ScrmblKeyWidth), line:745:37, endln:745:51 |vpiName:ScrmblKeyWidth |vpiFullName:work@otp_ctrl.RndCnstDigestConstDefault.digest_const_array_t.digest_const_array_t.ScrmblKeyWidth + |vpiActual: + \_parameter: (work@otp_ctrl.ScrmblKeyWidth), line:505:17, endln:505:39, parent:work@otp_ctrl |vpiOperand: \_constant: , line:745:52, endln:745:53 |vpiConstType:9 @@ -106862,6 +107140,8 @@ design: (work@otp_ctrl) \_ref_obj: (work@otp_ctrl.RndCnstDigestIVDefault.digest_iv_array_t.NumDigestSets), line:754:18, endln:754:31 |vpiName:NumDigestSets |vpiFullName:work@otp_ctrl.RndCnstDigestIVDefault.digest_iv_array_t.NumDigestSets + |vpiActual: + \_parameter: (work@otp_ctrl.NumDigestSets), line:521:17, endln:521:34, parent:work@otp_ctrl |vpiOperand: \_constant: , line:754:32, endln:754:33 |vpiConstType:9 @@ -106883,6 +107163,8 @@ design: (work@otp_ctrl) \_ref_obj: (work@otp_ctrl.RndCnstDigestIVDefault.digest_iv_array_t.ScrmblBlockWidth), line:754:37, endln:754:53 |vpiName:ScrmblBlockWidth |vpiFullName:work@otp_ctrl.RndCnstDigestIVDefault.digest_iv_array_t.ScrmblBlockWidth + |vpiActual: + \_parameter: (work@otp_ctrl.ScrmblBlockWidth), line:506:17, endln:506:38, parent:work@otp_ctrl |vpiOperand: \_constant: , line:754:54, endln:754:55 |vpiConstType:9 @@ -106907,6 +107189,8 @@ design: (work@otp_ctrl) \_ref_obj: (work@otp_ctrl.RndCnstDigestIVDefault.digest_iv_array_t.digest_iv_array_t.NumDigestSets), line:754:18, endln:754:31 |vpiName:NumDigestSets |vpiFullName:work@otp_ctrl.RndCnstDigestIVDefault.digest_iv_array_t.digest_iv_array_t.NumDigestSets + |vpiActual: + \_parameter: (work@otp_ctrl.NumDigestSets), line:521:17, endln:521:34, parent:work@otp_ctrl |vpiOperand: \_constant: , line:754:32, endln:754:33 |vpiConstType:9 @@ -106928,6 +107212,8 @@ design: (work@otp_ctrl) \_ref_obj: (work@otp_ctrl.RndCnstDigestIVDefault.digest_iv_array_t.digest_iv_array_t.ScrmblBlockWidth), line:754:37, endln:754:53 |vpiName:ScrmblBlockWidth |vpiFullName:work@otp_ctrl.RndCnstDigestIVDefault.digest_iv_array_t.digest_iv_array_t.ScrmblBlockWidth + |vpiActual: + \_parameter: (work@otp_ctrl.ScrmblBlockWidth), line:506:17, endln:506:38, parent:work@otp_ctrl |vpiOperand: \_constant: , line:754:54, endln:754:55 |vpiConstType:9 @@ -106961,6 +107247,8 @@ design: (work@otp_ctrl) \_ref_obj: (work@otp_ctrl.RndCnstKeyDefault.key_array_t.NumScrmblKeys), line:736:18, endln:736:31 |vpiName:NumScrmblKeys |vpiFullName:work@otp_ctrl.RndCnstKeyDefault.key_array_t.NumScrmblKeys + |vpiActual: + \_parameter: (work@otp_ctrl.NumScrmblKeys), line:520:17, endln:520:34, parent:work@otp_ctrl |vpiOperand: \_constant: , line:736:32, endln:736:33 |vpiConstType:9 @@ -106982,6 +107270,8 @@ design: (work@otp_ctrl) \_ref_obj: (work@otp_ctrl.RndCnstKeyDefault.key_array_t.ScrmblKeyWidth), line:736:37, endln:736:51 |vpiName:ScrmblKeyWidth |vpiFullName:work@otp_ctrl.RndCnstKeyDefault.key_array_t.ScrmblKeyWidth + |vpiActual: + \_parameter: (work@otp_ctrl.ScrmblKeyWidth), line:505:17, endln:505:39, parent:work@otp_ctrl |vpiOperand: \_constant: , line:736:52, endln:736:53 |vpiConstType:9 @@ -107006,6 +107296,8 @@ design: (work@otp_ctrl) \_ref_obj: (work@otp_ctrl.RndCnstKeyDefault.key_array_t.key_array_t.NumScrmblKeys), line:736:18, endln:736:31 |vpiName:NumScrmblKeys |vpiFullName:work@otp_ctrl.RndCnstKeyDefault.key_array_t.key_array_t.NumScrmblKeys + |vpiActual: + \_parameter: (work@otp_ctrl.NumScrmblKeys), line:520:17, endln:520:34, parent:work@otp_ctrl |vpiOperand: \_constant: , line:736:32, endln:736:33 |vpiConstType:9 @@ -107027,6 +107319,8 @@ design: (work@otp_ctrl) \_ref_obj: (work@otp_ctrl.RndCnstKeyDefault.key_array_t.key_array_t.ScrmblKeyWidth), line:736:37, endln:736:51 |vpiName:ScrmblKeyWidth |vpiFullName:work@otp_ctrl.RndCnstKeyDefault.key_array_t.key_array_t.ScrmblKeyWidth + |vpiActual: + \_parameter: (work@otp_ctrl.ScrmblKeyWidth), line:505:17, endln:505:39, parent:work@otp_ctrl |vpiOperand: \_constant: , line:736:52, endln:736:53 |vpiConstType:9 @@ -107062,6 +107356,8 @@ design: (work@otp_ctrl) \_ref_obj: (work@otp_ctrl.RndCnstLfsrPermDefault.lfsr_perm_t.LfsrWidth), line:730:18, endln:730:27 |vpiName:LfsrWidth |vpiFullName:work@otp_ctrl.RndCnstLfsrPermDefault.lfsr_perm_t.LfsrWidth + |vpiActual: + \_parameter: (work@otp_ctrl.LfsrWidth), line:728:18, endln:728:32, parent:work@otp_ctrl |vpiOperand: \_constant: , line:730:28, endln:730:29 |vpiConstType:9 @@ -107086,6 +107382,8 @@ design: (work@otp_ctrl) \_ref_obj: (work@otp_ctrl.RndCnstLfsrPermDefault.lfsr_perm_t.LfsrWidth), line:730:40, endln:730:49, parent:$clog2 |vpiName:LfsrWidth |vpiFullName:work@otp_ctrl.RndCnstLfsrPermDefault.lfsr_perm_t.LfsrWidth + |vpiActual: + \_parameter: (work@otp_ctrl.LfsrWidth), line:728:18, endln:728:32, parent:work@otp_ctrl |vpiOperand: \_constant: , line:730:51, endln:730:52 |vpiConstType:9 @@ -107110,6 +107408,8 @@ design: (work@otp_ctrl) \_ref_obj: (work@otp_ctrl.RndCnstLfsrPermDefault.lfsr_perm_t.lfsr_perm_t.LfsrWidth), line:730:18, endln:730:27 |vpiName:LfsrWidth |vpiFullName:work@otp_ctrl.RndCnstLfsrPermDefault.lfsr_perm_t.lfsr_perm_t.LfsrWidth + |vpiActual: + \_parameter: (work@otp_ctrl.LfsrWidth), line:728:18, endln:728:32, parent:work@otp_ctrl |vpiOperand: \_constant: , line:730:28, endln:730:29 |vpiConstType:9 @@ -107134,6 +107434,8 @@ design: (work@otp_ctrl) \_ref_obj: (work@otp_ctrl.RndCnstLfsrPermDefault.lfsr_perm_t.lfsr_perm_t.LfsrWidth), line:730:40, endln:730:49, parent:$clog2 |vpiName:LfsrWidth |vpiFullName:work@otp_ctrl.RndCnstLfsrPermDefault.lfsr_perm_t.lfsr_perm_t.LfsrWidth + |vpiActual: + \_parameter: (work@otp_ctrl.LfsrWidth), line:728:18, endln:728:32, parent:work@otp_ctrl |vpiOperand: \_constant: , line:730:51, endln:730:52 |vpiConstType:9 @@ -107169,6 +107471,8 @@ design: (work@otp_ctrl) \_ref_obj: (work@otp_ctrl.RndCnstLfsrSeedDefault.lfsr_seed_t.LfsrWidth), line:729:18, endln:729:27 |vpiName:LfsrWidth |vpiFullName:work@otp_ctrl.RndCnstLfsrSeedDefault.lfsr_seed_t.LfsrWidth + |vpiActual: + \_parameter: (work@otp_ctrl.LfsrWidth), line:728:18, endln:728:32, parent:work@otp_ctrl |vpiOperand: \_constant: , line:729:28, endln:729:29 |vpiConstType:9 @@ -107193,6 +107497,8 @@ design: (work@otp_ctrl) \_ref_obj: (work@otp_ctrl.RndCnstLfsrSeedDefault.lfsr_seed_t.lfsr_seed_t.LfsrWidth), line:729:18, endln:729:27 |vpiName:LfsrWidth |vpiFullName:work@otp_ctrl.RndCnstLfsrSeedDefault.lfsr_seed_t.lfsr_seed_t.LfsrWidth + |vpiActual: + \_parameter: (work@otp_ctrl.LfsrWidth), line:728:18, endln:728:32, parent:work@otp_ctrl |vpiOperand: \_constant: , line:729:28, endln:729:29 |vpiConstType:9 diff --git a/tests/BlackParrotParam/BlackParrotParam.log b/tests/BlackParrotParam/BlackParrotParam.log index 4eca270333..a1484161ef 100644 --- a/tests/BlackParrotParam/BlackParrotParam.log +++ b/tests/BlackParrotParam/BlackParrotParam.log @@ -16200,24 +16200,38 @@ design: (work@bp_be_ptw) |vpiOperand: \_ref_obj: (bp_inv_cfg_p), line:2524:6, endln:2524:18 |vpiName:bp_inv_cfg_p + |vpiActual: + \_parameter: (bp_common_aviary_pkg::bp_inv_cfg_p), line:2195:30, endln:2196:22, parent:bp_common_aviary_pkg:: |vpiOperand: \_ref_obj: (bp_half_core_cfg_p), line:2523:6, endln:2523:24 |vpiName:bp_half_core_cfg_p + |vpiActual: + \_parameter: (bp_common_aviary_pkg::bp_half_core_cfg_p), line:2198:30, endln:2247:8, parent:bp_common_aviary_pkg:: |vpiOperand: \_ref_obj: (bp_single_core_cfg_p), line:2522:6, endln:2522:26 |vpiName:bp_single_core_cfg_p + |vpiActual: + \_parameter: (bp_common_aviary_pkg::bp_single_core_cfg_p), line:2249:30, endln:2298:8, parent:bp_common_aviary_pkg:: |vpiOperand: \_ref_obj: (bp_dual_core_cfg_p), line:2521:6, endln:2521:24 |vpiName:bp_dual_core_cfg_p + |vpiActual: + \_parameter: (bp_common_aviary_pkg::bp_dual_core_cfg_p), line:2300:30, endln:2349:8, parent:bp_common_aviary_pkg:: |vpiOperand: \_ref_obj: (bp_quad_core_cfg_p), line:2520:6, endln:2520:24 |vpiName:bp_quad_core_cfg_p + |vpiActual: + \_parameter: (bp_common_aviary_pkg::bp_quad_core_cfg_p), line:2351:30, endln:2400:8, parent:bp_common_aviary_pkg:: |vpiOperand: \_ref_obj: (bp_oct_core_cfg_p), line:2519:6, endln:2519:23 |vpiName:bp_oct_core_cfg_p + |vpiActual: + \_parameter: (bp_common_aviary_pkg::bp_oct_core_cfg_p), line:2402:30, endln:2451:8, parent:bp_common_aviary_pkg:: |vpiOperand: \_ref_obj: (bp_sexta_core_cfg_p), line:2518:5, endln:2518:24 |vpiName:bp_sexta_core_cfg_p + |vpiActual: + \_parameter: (bp_common_aviary_pkg::bp_sexta_core_cfg_p), line:2453:30, endln:2502:8, parent:bp_common_aviary_pkg:: |vpiLhs: \_parameter: (bp_common_aviary_pkg::all_cfgs_gp), line:2516:44, endln:2525:4, parent:bp_common_aviary_pkg:: |vpiTypedef: @@ -22321,24 +22335,38 @@ design: (work@bp_be_ptw) |vpiOperand: \_ref_obj: (bp_inv_cfg_p), line:2524:6, endln:2524:18 |vpiName:bp_inv_cfg_p + |vpiActual: + \_parameter: (bp_common_aviary_pkg::bp_inv_cfg_p), line:2195:30, endln:2196:22, parent:bp_common_aviary_pkg:: |vpiOperand: \_ref_obj: (bp_half_core_cfg_p), line:2523:6, endln:2523:24 |vpiName:bp_half_core_cfg_p + |vpiActual: + \_parameter: (bp_common_aviary_pkg::bp_half_core_cfg_p), line:2198:30, endln:2247:8, parent:bp_common_aviary_pkg:: |vpiOperand: \_ref_obj: (bp_single_core_cfg_p), line:2522:6, endln:2522:26 |vpiName:bp_single_core_cfg_p + |vpiActual: + \_parameter: (bp_common_aviary_pkg::bp_single_core_cfg_p), line:2249:30, endln:2298:8, parent:bp_common_aviary_pkg:: |vpiOperand: \_ref_obj: (bp_dual_core_cfg_p), line:2521:6, endln:2521:24 |vpiName:bp_dual_core_cfg_p + |vpiActual: + \_parameter: (bp_common_aviary_pkg::bp_dual_core_cfg_p), line:2300:30, endln:2349:8, parent:bp_common_aviary_pkg:: |vpiOperand: \_ref_obj: (bp_quad_core_cfg_p), line:2520:6, endln:2520:24 |vpiName:bp_quad_core_cfg_p + |vpiActual: + \_parameter: (bp_common_aviary_pkg::bp_quad_core_cfg_p), line:2351:30, endln:2400:8, parent:bp_common_aviary_pkg:: |vpiOperand: \_ref_obj: (bp_oct_core_cfg_p), line:2519:6, endln:2519:23 |vpiName:bp_oct_core_cfg_p + |vpiActual: + \_parameter: (bp_common_aviary_pkg::bp_oct_core_cfg_p), line:2402:30, endln:2451:8, parent:bp_common_aviary_pkg:: |vpiOperand: \_ref_obj: (bp_sexta_core_cfg_p), line:2518:5, endln:2518:24 |vpiName:bp_sexta_core_cfg_p + |vpiActual: + \_parameter: (bp_common_aviary_pkg::bp_sexta_core_cfg_p), line:2453:30, endln:2502:8, parent:bp_common_aviary_pkg:: |vpiLhs: \_parameter: (bp_common_aviary_pkg::all_cfgs_gp), line:2516:44, endln:2525:4, parent:bp_common_aviary_pkg:: |vpiTypedef: @@ -43794,24 +43822,38 @@ design: (work@bp_be_ptw) |vpiOperand: \_ref_obj: (bp_inv_cfg_p), line:2524:6, endln:2524:18 |vpiName:bp_inv_cfg_p + |vpiActual: + \_parameter: (bp_common_aviary_pkg::bp_inv_cfg_p), line:2195:30, endln:2196:22, parent:bp_common_aviary_pkg:: |vpiOperand: \_ref_obj: (bp_half_core_cfg_p), line:2523:6, endln:2523:24 |vpiName:bp_half_core_cfg_p + |vpiActual: + \_parameter: (bp_common_aviary_pkg::bp_half_core_cfg_p), line:2198:30, endln:2247:8, parent:bp_common_aviary_pkg:: |vpiOperand: \_ref_obj: (bp_single_core_cfg_p), line:2522:6, endln:2522:26 |vpiName:bp_single_core_cfg_p + |vpiActual: + \_parameter: (bp_common_aviary_pkg::bp_single_core_cfg_p), line:2249:30, endln:2298:8, parent:bp_common_aviary_pkg:: |vpiOperand: \_ref_obj: (bp_dual_core_cfg_p), line:2521:6, endln:2521:24 |vpiName:bp_dual_core_cfg_p + |vpiActual: + \_parameter: (bp_common_aviary_pkg::bp_dual_core_cfg_p), line:2300:30, endln:2349:8, parent:bp_common_aviary_pkg:: |vpiOperand: \_ref_obj: (bp_quad_core_cfg_p), line:2520:6, endln:2520:24 |vpiName:bp_quad_core_cfg_p + |vpiActual: + \_parameter: (bp_common_aviary_pkg::bp_quad_core_cfg_p), line:2351:30, endln:2400:8, parent:bp_common_aviary_pkg:: |vpiOperand: \_ref_obj: (bp_oct_core_cfg_p), line:2519:6, endln:2519:23 |vpiName:bp_oct_core_cfg_p + |vpiActual: + \_parameter: (bp_common_aviary_pkg::bp_oct_core_cfg_p), line:2402:30, endln:2451:8, parent:bp_common_aviary_pkg:: |vpiOperand: \_ref_obj: (bp_sexta_core_cfg_p), line:2518:5, endln:2518:24 |vpiName:bp_sexta_core_cfg_p + |vpiActual: + \_parameter: (bp_common_aviary_pkg::bp_sexta_core_cfg_p), line:2453:30, endln:2502:8, parent:bp_common_aviary_pkg:: |vpiLhs: \_parameter: (bp_common_aviary_pkg::all_cfgs_gp), line:2516:44, endln:2525:4, parent:bp_common_aviary_pkg:: |vpiTypedef: @@ -47031,6 +47073,8 @@ design: (work@bp_be_ptw) \_ref_obj: (work@bp_be_ptw.coh_noc_cord_markers_pos_p.coh_noc_dims_p), line:4417:47, endln:4417:61 |vpiName:coh_noc_dims_p |vpiFullName:work@bp_be_ptw.coh_noc_cord_markers_pos_p.coh_noc_dims_p + |vpiActual: + \_parameter: (work@bp_be_ptw.coh_noc_dims_p), line:4415:16, endln:4415:42, parent:work@bp_be_ptw |vpiRightRange: \_constant: , line:4417:62, endln:4417:63 |vpiConstType:9 @@ -47133,6 +47177,8 @@ design: (work@bp_be_ptw) \_ref_obj: (work@bp_be_ptw.mem_noc_cord_markers_pos_p.mem_noc_dims_p), line:4437:47, endln:4437:61 |vpiName:mem_noc_dims_p |vpiFullName:work@bp_be_ptw.mem_noc_cord_markers_pos_p.mem_noc_dims_p + |vpiActual: + \_parameter: (work@bp_be_ptw.mem_noc_dims_p), line:4435:16, endln:4435:45, parent:work@bp_be_ptw |vpiRightRange: \_constant: , line:4437:62, endln:4437:63 |vpiConstType:9 @@ -47652,10 +47698,17 @@ design: (work@bp_be_ptw) \_ref_obj: (work@bp_be_ptw.coh_noc_x_cord_width_p), line:4418:31, endln:4418:53 |vpiName:coh_noc_x_cord_width_p |vpiFullName:work@bp_be_ptw.coh_noc_x_cord_width_p + |vpiActual: + \_parameter: (work@bp_be_ptw.coh_noc_x_cord_width_p), line:4411:16, endln:4411:75, parent:work@bp_be_ptw |vpiOperand: \_ref_obj: (work@bp_be_ptw.coh_noc_cord_width_p), line:4418:9, endln:4418:29 |vpiName:coh_noc_cord_width_p |vpiFullName:work@bp_be_ptw.coh_noc_cord_width_p + |vpiActual: + \_parameter: (work@bp_be_ptw.coh_noc_cord_width_p), line:4414:16, endln:4414:88, parent:work@bp_be_ptw + |vpiTypespec: + \_int_typespec: (coh_noc_cord_markers_pos_p), line:4417:16, endln:4417:19 + |vpiName:coh_noc_cord_markers_pos_p |vpiLhs: \_parameter: (work@bp_be_ptw.coh_noc_cord_markers_pos_p), line:4417:20, endln:4418:57, parent:work@bp_be_ptw |vpiParamAssign: @@ -47834,10 +47887,17 @@ design: (work@bp_be_ptw) \_ref_obj: (work@bp_be_ptw.mem_noc_x_cord_width_p), line:4438:31, endln:4438:53 |vpiName:mem_noc_x_cord_width_p |vpiFullName:work@bp_be_ptw.mem_noc_x_cord_width_p + |vpiActual: + \_parameter: (work@bp_be_ptw.mem_noc_x_cord_width_p), line:4431:16, endln:4431:78, parent:work@bp_be_ptw |vpiOperand: \_ref_obj: (work@bp_be_ptw.mem_noc_cord_width_p), line:4438:9, endln:4438:29 |vpiName:mem_noc_cord_width_p |vpiFullName:work@bp_be_ptw.mem_noc_cord_width_p + |vpiActual: + \_parameter: (work@bp_be_ptw.mem_noc_cord_width_p), line:4434:16, endln:4434:91, parent:work@bp_be_ptw + |vpiTypespec: + \_int_typespec: (mem_noc_cord_markers_pos_p), line:4437:16, endln:4437:19 + |vpiName:mem_noc_cord_markers_pos_p |vpiLhs: \_parameter: (work@bp_be_ptw.mem_noc_cord_markers_pos_p), line:4437:20, endln:4438:57, parent:work@bp_be_ptw |vpiParamAssign: @@ -48498,7 +48558,7 @@ design: (work@bp_be_ptw) |vpiOperand: \_tagged_pattern: |vpiPattern: - \_constant: , line:2196:16, endln:2196:21 + \_constant: , line:4449:32, endln:4449:57 |vpiConstType:6 |vpiDecompile:inv |vpiSize:3 @@ -51199,24 +51259,38 @@ design: (work@bp_be_ptw) |vpiOperand: \_ref_obj: (bp_inv_cfg_p), line:2524:6, endln:2524:18 |vpiName:bp_inv_cfg_p + |vpiActual: + \_parameter: (work@bp_be_ptw.bp_inv_cfg_p), line:2195:30, endln:2196:22, parent:work@bp_be_ptw |vpiOperand: \_ref_obj: (bp_half_core_cfg_p), line:2523:6, endln:2523:24 |vpiName:bp_half_core_cfg_p + |vpiActual: + \_parameter: (work@bp_be_ptw.bp_half_core_cfg_p), line:2198:30, endln:2247:8, parent:work@bp_be_ptw |vpiOperand: \_ref_obj: (bp_single_core_cfg_p), line:2522:6, endln:2522:26 |vpiName:bp_single_core_cfg_p + |vpiActual: + \_parameter: (work@bp_be_ptw.bp_single_core_cfg_p), line:2249:30, endln:2298:8, parent:work@bp_be_ptw |vpiOperand: \_ref_obj: (bp_dual_core_cfg_p), line:2521:6, endln:2521:24 |vpiName:bp_dual_core_cfg_p + |vpiActual: + \_parameter: (work@bp_be_ptw.bp_dual_core_cfg_p), line:2300:30, endln:2349:8, parent:work@bp_be_ptw |vpiOperand: \_ref_obj: (bp_quad_core_cfg_p), line:2520:6, endln:2520:24 |vpiName:bp_quad_core_cfg_p + |vpiActual: + \_parameter: (work@bp_be_ptw.bp_quad_core_cfg_p), line:2351:30, endln:2400:8, parent:work@bp_be_ptw |vpiOperand: \_ref_obj: (bp_oct_core_cfg_p), line:2519:6, endln:2519:23 |vpiName:bp_oct_core_cfg_p + |vpiActual: + \_parameter: (work@bp_be_ptw.bp_oct_core_cfg_p), line:2402:30, endln:2451:8, parent:work@bp_be_ptw |vpiOperand: \_ref_obj: (bp_sexta_core_cfg_p), line:2518:5, endln:2518:24 |vpiName:bp_sexta_core_cfg_p + |vpiActual: + \_parameter: (work@bp_be_ptw.bp_sexta_core_cfg_p), line:2453:30, endln:2502:8, parent:work@bp_be_ptw |vpiLhs: \_parameter: (work@bp_be_ptw.all_cfgs_gp), line:2516:44, endln:2525:4, parent:work@bp_be_ptw |vpiParamAssign: diff --git a/tests/BlackParrotStructParam/BlackParrotStructParam.log b/tests/BlackParrotStructParam/BlackParrotStructParam.log index d6a6faa46c..e637e45706 100644 --- a/tests/BlackParrotStructParam/BlackParrotStructParam.log +++ b/tests/BlackParrotStructParam/BlackParrotStructParam.log @@ -547,6 +547,8 @@ design: (work@top) |vpiRhs: \_ref_obj: (bp_default_cfg_p), line:15:48, endln:15:64 |vpiName:bp_default_cfg_p + |vpiActual: + \_parameter: (bp_common_aviary_pkg::bp_default_cfg_p), line:10:30, endln:13:8, parent:bp_common_aviary_pkg:: |vpiLhs: \_parameter: (bp_common_aviary_pkg::bp_unicore_cfg_p), line:15:29, endln:15:64, parent:bp_common_aviary_pkg:: |vpiParamAssign: @@ -612,6 +614,8 @@ design: (work@top) |vpiOperand: \_ref_obj: (bp_multicore_cce_ucode_half_cfg_p), line:45:5, endln:45:38 |vpiName:bp_multicore_cce_ucode_half_cfg_p + |vpiActual: + \_parameter: (bp_common_aviary_pkg::bp_multicore_cce_ucode_half_cfg_p), line:32:28, endln:40:10, parent:bp_common_aviary_pkg:: |vpiLhs: \_parameter: (bp_common_aviary_pkg::all_cfgs_gp), line:42:41, endln:46:4, parent:bp_common_aviary_pkg:: |vpiTypedef: @@ -1103,6 +1107,8 @@ design: (work@top) |vpiRhs: \_ref_obj: (bp_default_cfg_p), line:15:48, endln:15:64 |vpiName:bp_default_cfg_p + |vpiActual: + \_parameter: (bp_common_aviary_pkg::bp_default_cfg_p), line:10:30, endln:13:8, parent:bp_common_aviary_pkg:: |vpiLhs: \_parameter: (bp_common_aviary_pkg::bp_unicore_cfg_p), line:15:29, endln:15:64, parent:bp_common_aviary_pkg:: |vpiParamAssign: @@ -1168,6 +1174,8 @@ design: (work@top) |vpiOperand: \_ref_obj: (bp_multicore_cce_ucode_half_cfg_p), line:45:5, endln:45:38 |vpiName:bp_multicore_cce_ucode_half_cfg_p + |vpiActual: + \_parameter: (bp_common_aviary_pkg::bp_multicore_cce_ucode_half_cfg_p), line:32:28, endln:40:10, parent:bp_common_aviary_pkg:: |vpiLhs: \_parameter: (bp_common_aviary_pkg::all_cfgs_gp), line:42:41, endln:46:4, parent:bp_common_aviary_pkg:: |vpiTypedef: @@ -1771,6 +1779,8 @@ design: (work@top) |vpiRhs: \_ref_obj: (bp_default_cfg_p), line:15:48, endln:15:64 |vpiName:bp_default_cfg_p + |vpiActual: + \_parameter: (bp_common_aviary_pkg::bp_default_cfg_p), line:10:30, endln:13:8, parent:bp_common_aviary_pkg:: |vpiLhs: \_parameter: (bp_common_aviary_pkg::bp_unicore_cfg_p), line:15:29, endln:15:64, parent:bp_common_aviary_pkg:: |vpiParamAssign: @@ -1836,6 +1846,8 @@ design: (work@top) |vpiOperand: \_ref_obj: (bp_multicore_cce_ucode_half_cfg_p), line:45:5, endln:45:38 |vpiName:bp_multicore_cce_ucode_half_cfg_p + |vpiActual: + \_parameter: (bp_common_aviary_pkg::bp_multicore_cce_ucode_half_cfg_p), line:32:28, endln:40:10, parent:bp_common_aviary_pkg:: |vpiLhs: \_parameter: (bp_common_aviary_pkg::all_cfgs_gp), line:42:41, endln:46:4, parent:bp_common_aviary_pkg:: |vpiTypedef: @@ -2113,7 +2125,7 @@ design: (work@top) |vpiOperand: \_tagged_pattern: |vpiPattern: - \_constant: , line:28:21, endln:29:34 + \_constant: , line:12:18, endln:12:19 |vpiConstType:9 |vpiDecompile:1 |vpiSize:64 @@ -2140,7 +2152,7 @@ design: (work@top) |vpiOperand: \_tagged_pattern: |vpiPattern: - \_constant: , line:38:21, endln:39:34 + \_constant: , line:19:17, endln:19:22 |vpiConstType:6 |vpiDecompile:inv |vpiSize:3 @@ -2158,7 +2170,7 @@ design: (work@top) |vpiOperand: \_tagged_pattern: |vpiPattern: - \_constant: , line:36:21, endln:37:34 + \_constant: , line:24:15, endln:25:44 |vpiConstType:7 |vpiDecompile:1 |vpiSize:64 @@ -2176,7 +2188,7 @@ design: (work@top) |vpiOperand: \_tagged_pattern: |vpiPattern: - \_constant: , line:34:15, endln:35:44 + \_constant: , line:58:28, endln:58:50 |vpiConstType:7 |vpiDecompile:1 |vpiSize:64 @@ -2195,6 +2207,8 @@ design: (work@top) |vpiOperand: \_ref_obj: (bp_multicore_cce_ucode_half_cfg_p), line:45:5, endln:45:38 |vpiName:bp_multicore_cce_ucode_half_cfg_p + |vpiActual: + \_parameter: (work@top.bp_multicore_cce_ucode_half_cfg_p), line:32:28, endln:40:10, parent:work@top |vpiLhs: \_parameter: (work@top.all_cfgs_gp), line:42:41, endln:46:4, parent:work@top |vpiTypedef: diff --git a/tests/ClassFuncTask/ClassFuncTask.log b/tests/ClassFuncTask/ClassFuncTask.log index 3920f2d0b4..939088e50f 100644 --- a/tests/ClassFuncTask/ClassFuncTask.log +++ b/tests/ClassFuncTask/ClassFuncTask.log @@ -143,6 +143,12 @@ design: (unnamed) \_ref_obj: (pack::C::get::x), line:23:12, endln:23:13 |vpiName:x |vpiFullName:pack::C::get::x + |vpiActual: + \_int_var: (pack::C::x), line:13:7, endln:13:8, parent:pack::C + |vpiName:x + |vpiFullName:pack::C::x + |vpiAutomatic:1 + |vpiVisibility:1 |vpiVariables: \_int_var: (pack::C::x), line:13:7, endln:13:8, parent:pack::C |vpiName:x diff --git a/tests/ConcatOrder/ConcatOrder.log b/tests/ConcatOrder/ConcatOrder.log index f16906edf2..3d0bd60187 100644 --- a/tests/ConcatOrder/ConcatOrder.log +++ b/tests/ConcatOrder/ConcatOrder.log @@ -482,9 +482,13 @@ design: (work@testbench) |vpiOperand: \_ref_obj: (bp_default_cfg_p), line:16:6, endln:16:22 |vpiName:bp_default_cfg_p + |vpiActual: + \_parameter: (bp_common_pkg::bp_default_cfg_p), line:25:28, endln:28:8, parent:bp_common_pkg:: |vpiOperand: \_ref_obj: (bp_multicore_1_cce_ucode_cfg_p), line:15:5, endln:15:35 |vpiName:bp_multicore_1_cce_ucode_cfg_p + |vpiActual: + \_parameter: (bp_common_pkg::bp_multicore_1_cce_ucode_cfg_p), line:10:31, endln:11:27, parent:bp_common_pkg:: |vpiLhs: \_parameter: (bp_common_pkg::all_cfgs_gp), line:13:42, endln:17:4, parent:bp_common_pkg:: |vpiParamAssign: @@ -510,6 +514,8 @@ design: (work@testbench) |vpiRhs: \_ref_obj: (bp_default_cfg_p), line:30:47, endln:30:63 |vpiName:bp_default_cfg_p + |vpiActual: + \_parameter: (bp_common_pkg::bp_default_cfg_p), line:25:28, endln:28:8, parent:bp_common_pkg:: |vpiLhs: \_parameter: (bp_common_pkg::bp_unicore_cfg_p), line:30:28, endln:30:63, parent:bp_common_pkg:: |vpiTypedef: @@ -709,9 +715,13 @@ design: (work@testbench) |vpiOperand: \_ref_obj: (bp_default_cfg_p), line:16:6, endln:16:22 |vpiName:bp_default_cfg_p + |vpiActual: + \_parameter: (bp_common_pkg::bp_default_cfg_p), line:25:28, endln:28:8, parent:bp_common_pkg:: |vpiOperand: \_ref_obj: (bp_multicore_1_cce_ucode_cfg_p), line:15:5, endln:15:35 |vpiName:bp_multicore_1_cce_ucode_cfg_p + |vpiActual: + \_parameter: (bp_common_pkg::bp_multicore_1_cce_ucode_cfg_p), line:10:31, endln:11:27, parent:bp_common_pkg:: |vpiLhs: \_parameter: (bp_common_pkg::all_cfgs_gp), line:13:42, endln:17:4, parent:bp_common_pkg:: |vpiParamAssign: @@ -737,6 +747,8 @@ design: (work@testbench) |vpiRhs: \_ref_obj: (bp_default_cfg_p), line:30:47, endln:30:63 |vpiName:bp_default_cfg_p + |vpiActual: + \_parameter: (bp_common_pkg::bp_default_cfg_p), line:25:28, endln:28:8, parent:bp_common_pkg:: |vpiLhs: \_parameter: (bp_common_pkg::bp_unicore_cfg_p), line:30:28, endln:30:63, parent:bp_common_pkg:: |vpiTypedef: @@ -1252,9 +1264,13 @@ design: (work@testbench) |vpiOperand: \_ref_obj: (bp_default_cfg_p), line:16:6, endln:16:22 |vpiName:bp_default_cfg_p + |vpiActual: + \_parameter: (bp_common_pkg::bp_default_cfg_p), line:25:28, endln:28:8, parent:bp_common_pkg:: |vpiOperand: \_ref_obj: (bp_multicore_1_cce_ucode_cfg_p), line:15:5, endln:15:35 |vpiName:bp_multicore_1_cce_ucode_cfg_p + |vpiActual: + \_parameter: (bp_common_pkg::bp_multicore_1_cce_ucode_cfg_p), line:10:31, endln:11:27, parent:bp_common_pkg:: |vpiLhs: \_parameter: (bp_common_pkg::all_cfgs_gp), line:13:42, endln:17:4, parent:bp_common_pkg:: |vpiParamAssign: @@ -1280,6 +1296,8 @@ design: (work@testbench) |vpiRhs: \_ref_obj: (bp_default_cfg_p), line:30:47, endln:30:63 |vpiName:bp_default_cfg_p + |vpiActual: + \_parameter: (bp_common_pkg::bp_default_cfg_p), line:25:28, endln:28:8, parent:bp_common_pkg:: |vpiLhs: \_parameter: (bp_common_pkg::bp_unicore_cfg_p), line:30:28, endln:30:63, parent:bp_common_pkg:: |vpiTypedef: @@ -1554,7 +1572,7 @@ design: (work@testbench) |vpiOperand: \_tagged_pattern: |vpiPattern: - \_constant: , line:11:24, endln:11:25 + \_constant: , line:44:47, endln:44:70 |vpiConstType:9 |vpiDecompile:1 |vpiSize:64 @@ -1573,9 +1591,13 @@ design: (work@testbench) |vpiOperand: \_ref_obj: (bp_default_cfg_p), line:16:6, endln:16:22 |vpiName:bp_default_cfg_p + |vpiActual: + \_parameter: (work@testbench.bp_default_cfg_p), line:25:28, endln:28:8, parent:work@testbench |vpiOperand: \_ref_obj: (bp_multicore_1_cce_ucode_cfg_p), line:15:5, endln:15:35 |vpiName:bp_multicore_1_cce_ucode_cfg_p + |vpiActual: + \_parameter: (work@testbench.bp_multicore_1_cce_ucode_cfg_p), line:10:31, endln:11:27, parent:work@testbench |vpiLhs: \_parameter: (work@testbench.all_cfgs_gp), line:13:42, endln:17:4, parent:work@testbench |vpiParamAssign: diff --git a/tests/ConstantRange/ConstantRange.log b/tests/ConstantRange/ConstantRange.log index 9bc518c8f0..ac7f6fcf42 100644 --- a/tests/ConstantRange/ConstantRange.log +++ b/tests/ConstantRange/ConstantRange.log @@ -550,6 +550,8 @@ design: (work@dut) \_ref_obj: (work@dut.ConnectDioIn.ConnectDioIn.NMioPads), line:8:18, endln:8:26 |vpiName:NMioPads |vpiFullName:work@dut.ConnectDioIn.ConnectDioIn.NMioPads + |vpiActual: + \_parameter: (work@dut.NMioPads), line:7:17, endln:7:29, parent:work@dut |vpiOperand: \_constant: , line:8:29, endln:8:30 |vpiConstType:9 @@ -579,6 +581,8 @@ design: (work@dut) \_ref_obj: (work@dut.ConnectDioOut.ConnectDioOut.NMioPads), line:9:18, endln:9:26 |vpiName:NMioPads |vpiFullName:work@dut.ConnectDioOut.ConnectDioOut.NMioPads + |vpiActual: + \_parameter: (work@dut.NMioPads), line:7:17, endln:7:29, parent:work@dut |vpiOperand: \_constant: , line:9:29, endln:9:30 |vpiConstType:9 diff --git a/tests/FuncNoArgs/FuncNoArgs.log b/tests/FuncNoArgs/FuncNoArgs.log index d9f984e857..1a3dc812da 100644 --- a/tests/FuncNoArgs/FuncNoArgs.log +++ b/tests/FuncNoArgs/FuncNoArgs.log @@ -1064,6 +1064,37 @@ design: (work@my_opt_reduce_or) |vpiDecompile:0 |vpiSize:64 |UINT:0 + |vpiTypespec: + \_logic_typespec: (_TECHMAP_CONSTMSK_A_), line:5:15, endln:5:20 + |vpiName:_TECHMAP_CONSTMSK_A_ + |vpiRange: + \_range: , line:5:22, endln:5:25, parent:_TECHMAP_CONSTMSK_A_ + |vpiLeftRange: + \_constant: , line:5:22, endln:5:23 + |vpiConstType:9 + |vpiDecompile:1 + |vpiSize:64 + |UINT:1 + |vpiRightRange: + \_constant: , line:5:24, endln:5:25 + |vpiConstType:9 + |vpiDecompile:0 + |vpiSize:64 + |UINT:0 + |vpiRange: + \_range: , line:5:27, endln:5:30, parent:_TECHMAP_CONSTMSK_A_ + |vpiLeftRange: + \_constant: , line:5:27, endln:5:28 + |vpiConstType:9 + |vpiDecompile:2 + |vpiSize:64 + |UINT:2 + |vpiRightRange: + \_constant: , line:5:29, endln:5:30 + |vpiConstType:9 + |vpiDecompile:0 + |vpiSize:64 + |UINT:0 |vpiLhs: \_parameter: (work@my_opt_reduce_or._TECHMAP_CONSTMSK_A_), line:5:32, endln:5:62, parent:work@my_opt_reduce_or =================== diff --git a/tests/GateLevel/GateLevel.log b/tests/GateLevel/GateLevel.log index fefcde7844..cf23698979 100644 --- a/tests/GateLevel/GateLevel.log +++ b/tests/GateLevel/GateLevel.log @@ -1509,10 +1509,14 @@ design: (work@LogicGates) \_ref_obj: (work@LogicGates.a2.a), line:14:25, endln:14:26 |vpiName:a |vpiFullName:work@LogicGates.a2.a + |vpiActual: + \_logic_net: (work@LogicGates.a), line:1:19, endln:1:20, parent:work@LogicGates |vpiOperand: \_ref_obj: (work@LogicGates.a2.b), line:14:29, endln:14:30 |vpiName:b |vpiFullName:work@LogicGates.a2.b + |vpiActual: + \_logic_net: (work@LogicGates.b), line:1:21, endln:1:22, parent:work@LogicGates |vpiPrimitive: \_gate: work@nand (work@LogicGates.a3), line:15, parent:work@LogicGates |vpiDefName:work@nand diff --git a/tests/NegParam/NegParam.log b/tests/NegParam/NegParam.log index ac86f0e217..43784aef36 100644 --- a/tests/NegParam/NegParam.log +++ b/tests/NegParam/NegParam.log @@ -647,6 +647,8 @@ design: (work@top) \_ref_obj: (work@top.dram_base_addr_gp), line:6:23, endln:6:40 |vpiName:dram_base_addr_gp |vpiFullName:work@top.dram_base_addr_gp + |vpiActual: + \_parameter: (work@top.dram_base_addr_gp), line:2:13, endln:2:57, parent:work@top |vpiTypespec: \_string_typespec: (boot_pc), line:6:7, endln:6:14 |vpiName:boot_pc diff --git a/tests/NoParamSubs/NoParamSubs.log b/tests/NoParamSubs/NoParamSubs.log index c06d48f27b..20d89c33fa 100644 --- a/tests/NoParamSubs/NoParamSubs.log +++ b/tests/NoParamSubs/NoParamSubs.log @@ -470,7 +470,7 @@ design: (work@top) |vpiParamAssign: \_param_assign: , line:7:26, endln:7:37, parent:work@top |vpiRhs: - \_operation: , line:9:11, endln:9:12 + \_operation: , line:7:30, endln:7:37 |vpiOpType:75 |vpiReordered:1 |vpiOperand: @@ -485,6 +485,23 @@ design: (work@top) |vpiDecompile:0 |vpiSize:64 |UINT:0 + |vpiTypespec: + \_logic_typespec: (X), line:7:14, endln:7:19 + |vpiName:X + |vpiRange: + \_range: , line:7:21, endln:7:24, parent:X + |vpiLeftRange: + \_constant: , line:7:21, endln:7:22 + |vpiConstType:9 + |vpiDecompile:1 + |vpiSize:64 + |UINT:1 + |vpiRightRange: + \_constant: , line:7:23, endln:7:24 + |vpiConstType:9 + |vpiDecompile:0 + |vpiSize:64 + |UINT:0 |vpiLhs: \_parameter: (work@top.X), line:7:26, endln:7:37, parent:work@top =================== diff --git a/tests/PAssignType/PAssignType.log b/tests/PAssignType/PAssignType.log index cc746d7896..1cc0c547ff 100644 --- a/tests/PAssignType/PAssignType.log +++ b/tests/PAssignType/PAssignType.log @@ -690,7 +690,7 @@ design: (work@aes_core) \_string_typespec: (manual_operation), line:7:3, endln:7:19 |vpiName:manual_operation |vpiTypespec: - \_struct_typespec: (aes_pkg::ctrl_reg_t), line:2:9, endln:2:15, parent:work@aes_core.CTRL_RESET + \_struct_typespec: (aes_pkg::ctrl_reg_t), line:2:9, endln:2:15 |vpiPacked:1 |vpiName:aes_pkg::ctrl_reg_t |vpiTypespecMember: diff --git a/tests/PackageType/PackageType.log b/tests/PackageType/PackageType.log index dbb0391c1c..50e3c80d0c 100644 --- a/tests/PackageType/PackageType.log +++ b/tests/PackageType/PackageType.log @@ -293,6 +293,8 @@ design: (unnamed) |vpiOperand: \_ref_obj: (bp_inv_cfg_p), line:20:4, endln:20:16 |vpiName:bp_inv_cfg_p + |vpiActual: + \_parameter: (bp_common_aviary_pkg::bp_inv_cfg_p), line:13:30, endln:14:22, parent:bp_common_aviary_pkg:: |vpiLhs: \_parameter: (bp_common_aviary_pkg::all_cfgs_gp), line:18:44, endln:21:4, parent:bp_common_aviary_pkg:: |vpiTypedef: diff --git a/tests/ParamArraySelect/ParamArraySelect.log b/tests/ParamArraySelect/ParamArraySelect.log index b0e036e241..dd1c1b66ad 100644 --- a/tests/ParamArraySelect/ParamArraySelect.log +++ b/tests/ParamArraySelect/ParamArraySelect.log @@ -1762,7 +1762,7 @@ design: (work@top) |vpiOperand: \_tagged_pattern: |vpiPattern: - \_constant: , line:62:75, endln:62:91 + \_constant: , line:39:33, endln:39:42 |vpiConstType:9 |vpiDecompile:56 |vpiSize:64 @@ -1773,7 +1773,7 @@ design: (work@top) |vpiOperand: \_tagged_pattern: |vpiPattern: - \_constant: , line:61:75, endln:61:93 + \_constant: , line:20:19, endln:20:22 |vpiConstType:9 |vpiDecompile:200 |vpiSize:64 @@ -2049,6 +2049,10 @@ design: (work@top) \_range: , line:58:10, endln:58:39 |vpiLeftRange: \_constant: , line:62:75, endln:62:91 + |vpiConstType:9 + |vpiDecompile:56 + |vpiSize:64 + |UINT:56 |vpiRightRange: \_constant: , line:58:38, endln:58:39 |vpiConstType:9 diff --git a/tests/ParamComplex/ParamComplex.log b/tests/ParamComplex/ParamComplex.log index bd50761743..a37ef0a2d9 100644 --- a/tests/ParamComplex/ParamComplex.log +++ b/tests/ParamComplex/ParamComplex.log @@ -768,6 +768,8 @@ design: (work@top) \_ref_obj: (work@top.asgn0.init.init.size), line:19:20, endln:19:24 |vpiName:size |vpiFullName:work@top.asgn0.init.init.size + |vpiActual: + \_parameter: (work@top.asgn0.size), line:18:19, endln:18:27, parent:work@top.asgn0 |vpiOperand: \_constant: , line:19:25, endln:19:26 |vpiConstType:9 @@ -824,7 +826,7 @@ design: (work@top) \_string_typespec: (b), line:10:52, endln:10:53 |vpiName:b |vpiTypespec: - \_struct_typespec: (pkg::complex_t), line:2:11, endln:2:17, parent:work@top.init_val + \_struct_typespec: (pkg::complex_t), line:2:11, endln:2:17 |vpiPacked:1 |vpiName:pkg::complex_t |vpiTypespecMember: @@ -962,6 +964,40 @@ design: (work@top) |vpiTypespec: \_string_typespec: (b), line:10:52, endln:10:53 |vpiName:b + |vpiTypespec: + \_struct_typespec: (pkg::complex_t), line:2:11, endln:2:17 + |vpiPacked:1 + |vpiName:pkg::complex_t + |vpiTypespecMember: + \_typespec_member: (a), line:3:11, endln:3:12, parent:pkg::complex_t + |vpiName:a + |vpiTypespec: + \_logic_typespec: , line:3:5, endln:3:10, parent:a + |vpiInstance: + \_package: pkg (pkg::) dut.sv:1:1: , endln:6:11, parent:work@top + |vpiTypespecMember: + \_typespec_member: (b), line:4:17, endln:4:18, parent:pkg::complex_t + |vpiName:b + |vpiTypespec: + \_logic_typespec: , line:4:5, endln:4:10, parent:b + |vpiRange: + \_range: , line:4:12, endln:4:15 + |vpiLeftRange: + \_constant: , line:4:12, endln:4:13 + |vpiConstType:9 + |vpiDecompile:2 + |vpiSize:64 + |UINT:2 + |vpiRightRange: + \_constant: , line:4:14, endln:4:15 + |vpiConstType:9 + |vpiDecompile:0 + |vpiSize:64 + |UINT:0 + |vpiInstance: + \_package: pkg (pkg::) dut.sv:1:1: , endln:6:11, parent:work@top + |vpiInstance: + \_package: pkg (pkg::) dut.sv:1:1: , endln:6:11, parent:work@top |vpiLhs: \_parameter: (work@top.init_val), line:10:30, endln:10:58, parent:work@top =================== diff --git a/tests/ParamComplexVerilator/ParamComplexVerilator.log b/tests/ParamComplexVerilator/ParamComplexVerilator.log index 830de31406..0840c4fa08 100644 --- a/tests/ParamComplexVerilator/ParamComplexVerilator.log +++ b/tests/ParamComplexVerilator/ParamComplexVerilator.log @@ -768,6 +768,8 @@ design: (work@top) \_ref_obj: (work@top.asgn0.init.init.size), line:19:20, endln:19:24 |vpiName:size |vpiFullName:work@top.asgn0.init.init.size + |vpiActual: + \_parameter: (work@top.asgn0.size), line:18:19, endln:18:27, parent:work@top.asgn0 |vpiOperand: \_constant: , line:19:25, endln:19:26 |vpiConstType:9 @@ -908,6 +910,40 @@ design: (work@top) |vpiTypespec: \_string_typespec: (b), line:10:52, endln:10:53 |vpiName:b + |vpiTypespec: + \_struct_typespec: (pkg::complex_t), line:2:11, endln:2:17 + |vpiPacked:1 + |vpiName:pkg::complex_t + |vpiTypespecMember: + \_typespec_member: (a), line:3:11, endln:3:12, parent:pkg::complex_t + |vpiName:a + |vpiTypespec: + \_logic_typespec: , line:3:5, endln:3:10, parent:a + |vpiInstance: + \_package: pkg (pkg::) dut.sv:1:1: , endln:6:11, parent:work@top + |vpiTypespecMember: + \_typespec_member: (b), line:4:17, endln:4:18, parent:pkg::complex_t + |vpiName:b + |vpiTypespec: + \_logic_typespec: , line:4:5, endln:4:10, parent:b + |vpiRange: + \_range: , line:4:12, endln:4:15 + |vpiLeftRange: + \_constant: , line:4:12, endln:4:13 + |vpiConstType:9 + |vpiDecompile:2 + |vpiSize:64 + |UINT:2 + |vpiRightRange: + \_constant: , line:4:14, endln:4:15 + |vpiConstType:9 + |vpiDecompile:0 + |vpiSize:64 + |UINT:0 + |vpiInstance: + \_package: pkg (pkg::) dut.sv:1:1: , endln:6:11, parent:work@top + |vpiInstance: + \_package: pkg (pkg::) dut.sv:1:1: , endln:6:11, parent:work@top |vpiLhs: \_parameter: (work@top.init_val), line:10:30, endln:10:58, parent:work@top =================== diff --git a/tests/ParamConcat/ParamConcat.log b/tests/ParamConcat/ParamConcat.log index 72e3035c9f..08d7740f5a 100644 --- a/tests/ParamConcat/ParamConcat.log +++ b/tests/ParamConcat/ParamConcat.log @@ -655,7 +655,7 @@ design: (work@top) \_string_typespec: (mie), line:15:43, endln:15:46 |vpiName:mie |vpiTypespec: - \_struct_typespec: (status_t), line:9:11, endln:9:17, parent:work@top.MSTATUS_RST_VAL + \_struct_typespec: (status_t), line:9:11, endln:9:17 |vpiPacked:1 |vpiName:status_t |vpiTypespecMember: @@ -716,6 +716,20 @@ design: (work@top) |vpiTypespec: \_string_typespec: (mie), line:15:43, endln:15:46 |vpiName:mie + |vpiTypespec: + \_struct_typespec: (status_t), line:9:11, endln:9:17 + |vpiPacked:1 + |vpiName:status_t + |vpiTypespecMember: + \_typespec_member: (mie), line:10:11, endln:10:14, parent:status_t + |vpiName:mie + |vpiTypespec: + \_logic_typespec: , line:10:5, endln:10:10, parent:mie + |vpiTypespecMember: + \_typespec_member: (mpie), line:11:11, endln:11:15, parent:status_t + |vpiName:mpie + |vpiTypespec: + \_logic_typespec: , line:11:5, endln:11:10, parent:mpie |vpiLhs: \_parameter: (work@top.MSTATUS_RST_VAL), line:14:23, endln:15:53, parent:work@top |vpiTypedef: diff --git a/tests/ParamNoDefault/ParamNoDefault.log b/tests/ParamNoDefault/ParamNoDefault.log index 6a937af19a..8c363da18c 100644 --- a/tests/ParamNoDefault/ParamNoDefault.log +++ b/tests/ParamNoDefault/ParamNoDefault.log @@ -315,7 +315,7 @@ design: (work@top) \_string_typespec: (b), line:14:36, endln:14:37 |vpiName:b |vpiTypespec: - \_struct_typespec: (struct_1), line:7:12, endln:7:18, parent:work@top.X + \_struct_typespec: (struct_1), line:7:12, endln:7:18 |vpiPacked:1 |vpiName:struct_1 |vpiTypespecMember: @@ -393,6 +393,29 @@ design: (work@top) |vpiTypespec: \_string_typespec: (b), line:14:36, endln:14:37 |vpiName:b + |vpiTypespec: + \_struct_typespec: (struct_1), line:7:12, endln:7:18 + |vpiPacked:1 + |vpiName:struct_1 + |vpiTypespecMember: + \_typespec_member: (a), line:8:19, endln:8:20, parent:struct_1 + |vpiName:a + |vpiTypespec: + \_logic_typespec: , line:8:7, endln:8:12, parent:a + |vpiRange: + \_range: , line:8:14, endln:8:17 + |vpiLeftRange: + \_constant: , line:8:14, endln:8:15 + |vpiConstType:9 + |vpiDecompile:1 + |vpiSize:64 + |UINT:1 + |vpiRightRange: + \_constant: , line:8:16, endln:8:17 + |vpiConstType:9 + |vpiDecompile:0 + |vpiSize:64 + |UINT:0 |vpiLhs: \_parameter: (work@top.X), line:14:23, endln:14:42, parent:work@top |vpiTypedef: diff --git a/tests/ParamNoSubst/ParamNoSubst.log b/tests/ParamNoSubst/ParamNoSubst.log index 84c8e7476d..19d9687ff8 100644 --- a/tests/ParamNoSubst/ParamNoSubst.log +++ b/tests/ParamNoSubst/ParamNoSubst.log @@ -227,11 +227,11 @@ n<> u<181> t l<1:1> el<27:1> [INF:UH0711] Decompiling UHDM... ====== UHDM ======= -design: (work@aes_core), id:74 +design: (work@aes_core), id:78 |vpiName:work@aes_core |vpiElaborated:1 |uhdmallPackages: -\_package: aes_pkg (aes_pkg::), id:0 dut.sv:1:1: , endln:9:11, parent:work@aes_core, parID:74 +\_package: aes_pkg (aes_pkg::), id:0 dut.sv:1:1: , endln:9:11, parent:work@aes_core, parID:78 |vpiDefName:aes_pkg |vpiName:aes_pkg |vpiFullName:aes_pkg:: @@ -263,9 +263,9 @@ design: (work@aes_core), id:74 |vpiSize:64 |UINT:0 |vpiInstance: - \_package: aes_pkg (aes_pkg::), id:0 dut.sv:1:1: , endln:9:11, parent:work@aes_core, parID:74 + \_package: aes_pkg (aes_pkg::), id:0 dut.sv:1:1: , endln:9:11, parent:work@aes_core, parID:78 |vpiInstance: - \_package: aes_pkg (aes_pkg::), id:0 dut.sv:1:1: , endln:9:11, parent:work@aes_core, parID:74 + \_package: aes_pkg (aes_pkg::), id:0 dut.sv:1:1: , endln:9:11, parent:work@aes_core, parID:78 |vpiParamAssign: \_param_assign: , id:12, line:6:22, endln:8:2, parent:aes_pkg::, parID:0 |vpiRhs: @@ -287,15 +287,15 @@ design: (work@aes_core), id:74 |vpiTypedef: \_struct_typespec: (aes_pkg::ctrl_reg_t), id:1, line:2:9, endln:2:15, parent:aes_pkg::CTRL_RESET, parID:11 |uhdmallModules: -\_module: work@aes_core (work@aes_core), id:75 dut.sv:22:1: , endln:26:10, parent:work@aes_core, parID:74 +\_module: work@aes_core (work@aes_core), id:79 dut.sv:22:1: , endln:26:10, parent:work@aes_core, parID:78 |vpiDefName:work@aes_core |vpiFullName:work@aes_core |vpiNet: - \_logic_net: (work@aes_core.ctrl_q), id:76, line:24:14, parent:work@aes_core, parID:75 + \_logic_net: (work@aes_core.ctrl_q), id:80, line:24:14, parent:work@aes_core, parID:79 |vpiName:ctrl_q |vpiFullName:work@aes_core.ctrl_q |vpiParameter: - \_parameter: (work@aes_core.CTRL_RESET), id:17, line:6:22, endln:8:2, parent:work@aes_core, parID:75 + \_parameter: (work@aes_core.CTRL_RESET), id:17, line:6:22, endln:8:2, parent:work@aes_core, parID:79 |vpiName:CTRL_RESET |vpiFullName:work@aes_core.CTRL_RESET |vpiImported:aes_pkg @@ -323,7 +323,7 @@ design: (work@aes_core), id:74 |vpiSize:64 |UINT:0 |vpiInstance: - \_package: aes_pkg (aes_pkg::), id:0 dut.sv:1:1: , endln:9:11, parent:work@aes_core, parID:74 + \_package: aes_pkg (aes_pkg::), id:0 dut.sv:1:1: , endln:9:11, parent:work@aes_core, parID:78 |vpiDefName:aes_pkg |vpiName:aes_pkg |vpiFullName:aes_pkg:: @@ -355,9 +355,9 @@ design: (work@aes_core), id:74 |vpiSize:64 |UINT:0 |vpiInstance: - \_package: aes_pkg (aes_pkg::), id:0 dut.sv:1:1: , endln:9:11, parent:work@aes_core, parID:74 + \_package: aes_pkg (aes_pkg::), id:0 dut.sv:1:1: , endln:9:11, parent:work@aes_core, parID:78 |vpiInstance: - \_package: aes_pkg (aes_pkg::), id:0 dut.sv:1:1: , endln:9:11, parent:work@aes_core, parID:74 + \_package: aes_pkg (aes_pkg::), id:0 dut.sv:1:1: , endln:9:11, parent:work@aes_core, parID:78 |vpiParamAssign: \_param_assign: , id:12, line:6:22, endln:8:2, parent:aes_pkg::, parID:0 |vpiRhs: @@ -379,9 +379,9 @@ design: (work@aes_core), id:74 |vpiTypedef: \_struct_typespec: (aes_pkg::ctrl_reg_t), id:1, line:2:9, endln:2:15, parent:aes_pkg::CTRL_RESET, parID:11 |vpiInstance: - \_package: aes_pkg (aes_pkg::), id:0 dut.sv:1:1: , endln:9:11, parent:work@aes_core, parID:74 + \_package: aes_pkg (aes_pkg::), id:0 dut.sv:1:1: , endln:9:11, parent:work@aes_core, parID:78 |vpiParamAssign: - \_param_assign: , id:24, line:6:22, endln:8:2, parent:work@aes_core, parID:75 + \_param_assign: , id:24, line:6:22, endln:8:2, parent:work@aes_core, parID:79 |vpiRhs: \_operation: , id:25, line:6:35, endln:8:2, parID:24 |vpiOpType:75 @@ -425,19 +425,19 @@ design: (work@aes_core), id:74 |vpiSize:64 |UINT:0 |vpiInstance: - \_package: aes_pkg (aes_pkg::), id:0 dut.sv:1:1: , endln:9:11, parent:work@aes_core, parID:74 + \_package: aes_pkg (aes_pkg::), id:0 dut.sv:1:1: , endln:9:11, parent:work@aes_core, parID:78 |vpiInstance: - \_package: aes_pkg (aes_pkg::), id:0 dut.sv:1:1: , endln:9:11, parent:work@aes_core, parID:74 + \_package: aes_pkg (aes_pkg::), id:0 dut.sv:1:1: , endln:9:11, parent:work@aes_core, parID:78 |vpiTypedef: \_struct_typespec: (aes_pkg::ctrl_reg_t), id:1, line:2:9, endln:2:15, parent:aes_pkg::CTRL_RESET, parID:11 |vpiTypedef: \_import: (aes_pkg), id:36, line:23:10, endln:23:20 |uhdmallModules: -\_module: work@prim_subreg (work@prim_subreg), id:77 dut.sv:11:1: , endln:14:10, parent:work@aes_core, parID:74 +\_module: work@prim_subreg (work@prim_subreg), id:81 dut.sv:11:1: , endln:14:10, parent:work@aes_core, parID:78 |vpiDefName:work@prim_subreg |vpiFullName:work@prim_subreg |vpiParameter: - \_parameter: (work@prim_subreg.RESVAL), id:42, line:12:26, endln:12:37, parent:work@prim_subreg, parID:77 + \_parameter: (work@prim_subreg.RESVAL), id:42, line:12:26, endln:12:37, parent:work@prim_subreg, parID:81 |vpiName:RESVAL |vpiFullName:work@prim_subreg.RESVAL |BIN:0 @@ -459,7 +459,7 @@ design: (work@aes_core), id:74 |vpiSize:64 |UINT:0 |vpiParamAssign: - \_param_assign: , id:43, line:12:26, endln:12:37, parent:work@prim_subreg, parID:77 + \_param_assign: , id:43, line:12:26, endln:12:37, parent:work@prim_subreg, parID:81 |vpiRhs: \_constant: , id:44, line:12:35, endln:12:37 |vpiConstType:3 @@ -469,13 +469,13 @@ design: (work@aes_core), id:74 |vpiTypespec: \_logic_typespec: (RESVAL), id:41, line:12:13, endln:12:18, parent:work@prim_subreg.RESVAL, parID:42 |vpiLhs: - \_parameter: (work@prim_subreg.RESVAL), id:42, line:12:26, endln:12:37, parent:work@prim_subreg, parID:77 + \_parameter: (work@prim_subreg.RESVAL), id:42, line:12:26, endln:12:37, parent:work@prim_subreg, parID:81 |uhdmallModules: -\_module: work@prim_subreg_shadow (work@prim_subreg_shadow), id:78 dut.sv:16:1: , endln:20:10, parent:work@aes_core, parID:74 +\_module: work@prim_subreg_shadow (work@prim_subreg_shadow), id:82 dut.sv:16:1: , endln:20:10, parent:work@aes_core, parID:78 |vpiDefName:work@prim_subreg_shadow |vpiFullName:work@prim_subreg_shadow |vpiParameter: - \_parameter: (work@prim_subreg_shadow.RESVAL), id:49, line:17:26, endln:17:37, parent:work@prim_subreg_shadow, parID:78 + \_parameter: (work@prim_subreg_shadow.RESVAL), id:49, line:17:26, endln:17:37, parent:work@prim_subreg_shadow, parID:82 |vpiName:RESVAL |vpiFullName:work@prim_subreg_shadow.RESVAL |BIN:0 @@ -497,7 +497,7 @@ design: (work@aes_core), id:74 |vpiSize:64 |UINT:0 |vpiParamAssign: - \_param_assign: , id:50, line:17:26, endln:17:37, parent:work@prim_subreg_shadow, parID:78 + \_param_assign: , id:50, line:17:26, endln:17:37, parent:work@prim_subreg_shadow, parID:82 |vpiRhs: \_constant: , id:51, line:17:35, endln:17:37 |vpiConstType:3 @@ -507,53 +507,53 @@ design: (work@aes_core), id:74 |vpiTypespec: \_logic_typespec: (RESVAL), id:48, line:17:13, endln:17:18, parent:work@prim_subreg_shadow.RESVAL, parID:49 |vpiLhs: - \_parameter: (work@prim_subreg_shadow.RESVAL), id:49, line:17:26, endln:17:37, parent:work@prim_subreg_shadow, parID:78 + \_parameter: (work@prim_subreg_shadow.RESVAL), id:49, line:17:26, endln:17:37, parent:work@prim_subreg_shadow, parID:82 |uhdmtopModules: -\_module: work@aes_core (work@aes_core), id:79 dut.sv:22:1: , endln:26:10 +\_module: work@aes_core (work@aes_core), id:83 dut.sv:22:1: , endln:26:10 |vpiDefName:work@aes_core |vpiName:work@aes_core |vpiModule: - \_module: work@prim_subreg_shadow (work@aes_core.ctrl_shadowed_reg), id:87 dut.sv:25:3: , endln:25:65, parent:work@aes_core, parID:79 + \_module: work@prim_subreg_shadow (work@aes_core.ctrl_shadowed_reg), id:91 dut.sv:25:3: , endln:25:65, parent:work@aes_core, parID:83 |vpiDefName:work@prim_subreg_shadow |vpiDefFile:dut.sv |vpiDefLineNo:16 |vpiName:ctrl_shadowed_reg |vpiFullName:work@aes_core.ctrl_shadowed_reg |vpiModule: - \_module: work@prim_subreg (work@aes_core.ctrl_shadowed_reg.committed_reg), id:93 dut.sv:19:3: , endln:19:50, parent:work@aes_core.ctrl_shadowed_reg, parID:87 + \_module: work@prim_subreg (work@aes_core.ctrl_shadowed_reg.committed_reg), id:97 dut.sv:19:3: , endln:19:50, parent:work@aes_core.ctrl_shadowed_reg, parID:91 |vpiDefName:work@prim_subreg |vpiDefFile:dut.sv |vpiDefLineNo:11 |vpiName:committed_reg |vpiFullName:work@aes_core.ctrl_shadowed_reg.committed_reg |vpiInstance: - \_module: work@prim_subreg_shadow (work@aes_core.ctrl_shadowed_reg), id:87 dut.sv:25:3: , endln:25:65, parent:work@aes_core, parID:79 + \_module: work@prim_subreg_shadow (work@aes_core.ctrl_shadowed_reg), id:91 dut.sv:25:3: , endln:25:65, parent:work@aes_core, parID:83 |vpiParameter: - \_parameter: (work@aes_core.ctrl_shadowed_reg.committed_reg.RESVAL), id:94, line:12:26, endln:12:37, parent:work@aes_core.ctrl_shadowed_reg.committed_reg, parID:93 + \_parameter: (work@aes_core.ctrl_shadowed_reg.committed_reg.RESVAL), id:98, line:12:26, endln:12:37, parent:work@aes_core.ctrl_shadowed_reg.committed_reg, parID:97 |vpiName:RESVAL |vpiFullName:work@aes_core.ctrl_shadowed_reg.committed_reg.RESVAL |BIN:0 |vpiTypespec: - \_logic_typespec: (RESVAL), id:95, line:12:13, endln:12:18, parent:work@aes_core.ctrl_shadowed_reg.committed_reg.RESVAL, parID:94 + \_logic_typespec: (RESVAL), id:99, line:12:13, endln:12:18, parent:work@aes_core.ctrl_shadowed_reg.committed_reg.RESVAL, parID:98 |vpiName:RESVAL |vpiRange: - \_range: , id:96, line:12:20, endln:12:24, parent:RESVAL, parID:95 + \_range: , id:100, line:12:20, endln:12:24, parent:RESVAL, parID:99 |vpiLeftRange: - \_constant: , id:97, line:12:20, endln:12:22, parID:96 + \_constant: , id:101, line:12:20, endln:12:22, parID:100 |vpiConstType:9 |vpiDecompile:31 |vpiSize:64 |UINT:31 |vpiRightRange: - \_constant: , id:98, line:12:23, endln:12:24, parID:96 + \_constant: , id:102, line:12:23, endln:12:24, parID:100 |vpiConstType:9 |vpiDecompile:0 |vpiSize:64 |UINT:0 |vpiParamAssign: - \_param_assign: , id:73, line:12:26, endln:12:37, parent:work@aes_core.ctrl_shadowed_reg.committed_reg, parID:93 + \_param_assign: , id:77, line:12:26, endln:12:37, parent:work@aes_core.ctrl_shadowed_reg.committed_reg, parID:97 |vpiRhs: - \_ref_obj: (CTRL_RESET), id:72, line:19:25, endln:19:31 + \_ref_obj: (CTRL_RESET), id:76, line:19:25, endln:19:31 |vpiName:CTRL_RESET |vpiActual: \_parameter: (work@aes_core.CTRL_RESET), id:61, line:6:22, endln:8:2, parID:56 @@ -584,7 +584,7 @@ design: (work@aes_core), id:74 |vpiSize:64 |UINT:0 |vpiInstance: - \_package: aes_pkg (aes_pkg::), id:0 dut.sv:1:1: , endln:9:11, parent:work@aes_core, parID:74 + \_package: aes_pkg (aes_pkg::), id:0 dut.sv:1:1: , endln:9:11, parent:work@aes_core, parID:78 |vpiDefName:aes_pkg |vpiName:aes_pkg |vpiFullName:aes_pkg:: @@ -616,9 +616,9 @@ design: (work@aes_core), id:74 |vpiSize:64 |UINT:0 |vpiInstance: - \_package: aes_pkg (aes_pkg::), id:0 dut.sv:1:1: , endln:9:11, parent:work@aes_core, parID:74 + \_package: aes_pkg (aes_pkg::), id:0 dut.sv:1:1: , endln:9:11, parent:work@aes_core, parID:78 |vpiInstance: - \_package: aes_pkg (aes_pkg::), id:0 dut.sv:1:1: , endln:9:11, parent:work@aes_core, parID:74 + \_package: aes_pkg (aes_pkg::), id:0 dut.sv:1:1: , endln:9:11, parent:work@aes_core, parID:78 |vpiParamAssign: \_param_assign: , id:12, line:6:22, endln:8:2, parent:aes_pkg::, parID:0 |vpiRhs: @@ -640,7 +640,7 @@ design: (work@aes_core), id:74 |vpiTypedef: \_struct_typespec: (aes_pkg::ctrl_reg_t), id:1, line:2:9, endln:2:15, parent:aes_pkg::CTRL_RESET, parID:11 |vpiInstance: - \_package: aes_pkg (aes_pkg::), id:0 dut.sv:1:1: , endln:9:11, parent:work@aes_core, parID:74 + \_package: aes_pkg (aes_pkg::), id:0 dut.sv:1:1: , endln:9:11, parent:work@aes_core, parID:78 |vpiTypespec: \_logic_typespec: (RESVAL), id:48, line:17:13, endln:17:18, parent:work@prim_subreg_shadow.RESVAL, parID:49 |vpiName:RESVAL @@ -659,96 +659,96 @@ design: (work@aes_core), id:74 |vpiSize:64 |UINT:0 |vpiLhs: - \_parameter: (work@aes_core.ctrl_shadowed_reg.committed_reg.RESVAL), id:94, line:12:26, endln:12:37, parent:work@aes_core.ctrl_shadowed_reg.committed_reg, parID:93 + \_parameter: (work@aes_core.ctrl_shadowed_reg.committed_reg.RESVAL), id:98, line:12:26, endln:12:37, parent:work@aes_core.ctrl_shadowed_reg.committed_reg, parID:97 |vpiInstance: - \_module: work@aes_core (work@aes_core), id:79 dut.sv:22:1: , endln:26:10 + \_module: work@aes_core (work@aes_core), id:83 dut.sv:22:1: , endln:26:10 |vpiParameter: - \_parameter: (work@aes_core.ctrl_shadowed_reg.RESVAL), id:88, line:17:26, endln:17:37, parent:work@aes_core.ctrl_shadowed_reg, parID:87 + \_parameter: (work@aes_core.ctrl_shadowed_reg.RESVAL), id:92, line:17:26, endln:17:37, parent:work@aes_core.ctrl_shadowed_reg, parID:91 |vpiName:RESVAL |vpiFullName:work@aes_core.ctrl_shadowed_reg.RESVAL |BIN:0 |vpiTypespec: - \_logic_typespec: (RESVAL), id:89, line:17:13, endln:17:18, parent:work@aes_core.ctrl_shadowed_reg.RESVAL, parID:88 + \_logic_typespec: (RESVAL), id:93, line:17:13, endln:17:18, parent:work@aes_core.ctrl_shadowed_reg.RESVAL, parID:92 |vpiName:RESVAL |vpiRange: - \_range: , id:90, line:17:20, endln:17:24, parent:RESVAL, parID:89 + \_range: , id:94, line:17:20, endln:17:24, parent:RESVAL, parID:93 |vpiLeftRange: - \_constant: , id:91, line:17:20, endln:17:22, parID:90 + \_constant: , id:95, line:17:20, endln:17:22, parID:94 |vpiConstType:9 |vpiDecompile:31 |vpiSize:64 |UINT:31 |vpiRightRange: - \_constant: , id:92, line:17:23, endln:17:24, parID:90 + \_constant: , id:96, line:17:23, endln:17:24, parID:94 |vpiConstType:9 |vpiDecompile:0 |vpiSize:64 |UINT:0 |vpiParamAssign: - \_param_assign: , id:71, line:17:26, endln:17:37, parent:work@aes_core.ctrl_shadowed_reg, parID:87 + \_param_assign: , id:75, line:17:26, endln:17:37, parent:work@aes_core.ctrl_shadowed_reg, parID:91 |vpiRhs: - \_ref_obj: (CTRL_RESET), id:70, line:25:32, endln:25:42 + \_ref_obj: (CTRL_RESET), id:74, line:25:32, endln:25:42 |vpiName:CTRL_RESET |vpiActual: \_parameter: (work@aes_core.CTRL_RESET), id:61, line:6:22, endln:8:2, parID:56 |vpiLhs: - \_parameter: (work@aes_core.ctrl_shadowed_reg.RESVAL), id:88, line:17:26, endln:17:37, parent:work@aes_core.ctrl_shadowed_reg, parID:87 + \_parameter: (work@aes_core.ctrl_shadowed_reg.RESVAL), id:92, line:17:26, endln:17:37, parent:work@aes_core.ctrl_shadowed_reg, parID:91 |vpiNet: - \_struct_net: (work@aes_core.ctrl_q), id:68, line:24:14, endln:24:20, parent:work@aes_core, parID:79 + \_struct_net: (work@aes_core.ctrl_q), id:72, line:24:14, endln:24:20, parent:work@aes_core, parID:83 |vpiName:ctrl_q |vpiFullName:work@aes_core.ctrl_q |vpiTypespec: \_struct_typespec: (aes_pkg::ctrl_reg_t), id:1, line:2:9, endln:2:15, parent:aes_pkg::CTRL_RESET, parID:11 |vpiParameter: - \_parameter: (work@aes_core.CTRL_RESET), id:80, line:6:22, endln:8:2, parent:work@aes_core, parID:79 + \_parameter: (work@aes_core.CTRL_RESET), id:84, line:6:22, endln:8:2, parent:work@aes_core, parID:83 |vpiName:CTRL_RESET |vpiFullName:work@aes_core.CTRL_RESET |vpiImported:aes_pkg |vpiTypespec: - \_struct_typespec: (aes_pkg::ctrl_reg_t), id:81, line:2:9, endln:2:15, parent:work@aes_core.CTRL_RESET, parID:80 + \_struct_typespec: (aes_pkg::ctrl_reg_t), id:85, line:2:9, endln:2:15, parent:work@aes_core.CTRL_RESET, parID:84 |vpiPacked:1 |vpiName:aes_pkg::ctrl_reg_t |vpiTypespecMember: - \_typespec_member: (manual_operation), id:82, line:3:15, endln:3:31, parent:aes_pkg::ctrl_reg_t, parID:81 + \_typespec_member: (manual_operation), id:86, line:3:15, endln:3:31, parent:aes_pkg::ctrl_reg_t, parID:85 |vpiName:manual_operation |vpiTypespec: - \_logic_typespec: , id:83, line:3:3, endln:3:8, parent:manual_operation, parID:82 + \_logic_typespec: , id:87, line:3:3, endln:3:8, parent:manual_operation, parID:86 |vpiRange: - \_range: , id:84, line:3:9, endln:3:13, parID:83 + \_range: , id:88, line:3:9, endln:3:13, parID:87 |vpiLeftRange: - \_constant: , id:85, line:3:9, endln:3:11, parID:84 + \_constant: , id:89, line:3:9, endln:3:11, parID:88 |vpiConstType:9 |vpiDecompile:31 |vpiSize:64 |UINT:31 |vpiRightRange: - \_constant: , id:86, line:3:12, endln:3:13, parID:84 + \_constant: , id:90, line:3:12, endln:3:13, parID:88 |vpiConstType:9 |vpiDecompile:0 |vpiSize:64 |UINT:0 |vpiInstance: - \_package: aes_pkg (aes_pkg::), id:0 dut.sv:1:1: , endln:9:11, parent:work@aes_core, parID:74 + \_package: aes_pkg (aes_pkg::), id:0 dut.sv:1:1: , endln:9:11, parent:work@aes_core, parID:78 |vpiInstance: - \_package: aes_pkg (aes_pkg::), id:0 dut.sv:1:1: , endln:9:11, parent:work@aes_core, parID:74 + \_package: aes_pkg (aes_pkg::), id:0 dut.sv:1:1: , endln:9:11, parent:work@aes_core, parID:78 |vpiParamAssign: - \_param_assign: , id:56, line:6:22, endln:8:2, parent:work@aes_core, parID:79 + \_param_assign: , id:56, line:6:22, endln:8:2, parent:work@aes_core, parID:83 |vpiRhs: - \_operation: , id:57, line:6:35, endln:8:2, parID:56 + \_operation: , id:68, line:6:35, endln:8:2, parID:56 |vpiOpType:75 |vpiOperand: - \_tagged_pattern: , id:58, parID:57 + \_tagged_pattern: , id:69, parID:68 |vpiPattern: - \_constant: , id:60, line:7:21, endln:7:23, parID:58 + \_constant: , id:71, line:7:21, endln:7:23, parID:69 |vpiConstType:3 |vpiDecompile:'0 |vpiSize:-1 |BIN:0 |vpiTypespec: - \_string_typespec: (manual_operation), id:59, line:7:3, endln:7:19, parID:58 + \_string_typespec: (manual_operation), id:70, line:7:3, endln:7:19, parID:69 |vpiName:manual_operation |vpiLhs: - \_parameter: (work@aes_core.CTRL_RESET), id:80, line:6:22, endln:8:2, parent:work@aes_core, parID:79 + \_parameter: (work@aes_core.CTRL_RESET), id:84, line:6:22, endln:8:2, parent:work@aes_core, parID:83 |vpiTypedef: \_struct_typespec: (aes_pkg::ctrl_reg_t), id:1, line:2:9, endln:2:15, parent:aes_pkg::CTRL_RESET, parID:11 |vpiTypedef: diff --git a/tests/ParamOverloading/ParamOverloading.log b/tests/ParamOverloading/ParamOverloading.log new file mode 100644 index 0000000000..f75a09b681 --- /dev/null +++ b/tests/ParamOverloading/ParamOverloading.log @@ -0,0 +1,1020 @@ +[INF:CM0023] Creating log file ../../build/tests/ParamOverloading/slpp_all/surelog.log. + +LIB: work +FILE: dut.sv +n<> u<0> t p<288> s<287> l<2:1> el<1:2> +n<> u<1> t p<3> s<2> l<2:1> el<2:7> +n u<2> t p<3> l<2:8> el<2:19> +n<> u<3> t p<55> c<1> s<31> l<2:1> el<2:20> +n<> u<4> t p<15> s<14> l<3:14> el<3:19> +n<4> u<5> t p<6> l<3:21> el<3:22> +n<> u<6> t p<7> c<5> l<3:21> el<3:22> +n<> u<7> t p<8> c<6> l<3:21> el<3:22> +n<> u<8> t p<13> c<7> s<12> l<3:21> el<3:22> +n<0> u<9> t p<10> l<3:23> el<3:24> +n<> u<10> t p<11> c<9> l<3:23> el<3:24> +n<> u<11> t p<12> c<10> l<3:23> el<3:24> +n<> u<12> t p<13> c<11> l<3:23> el<3:24> +n<> u<13> t p<14> c<8> l<3:21> el<3:24> +n<> u<14> t p<15> c<13> l<3:20> el<3:25> +n<> u<15> t p<16> c<4> l<3:14> el<3:25> +n<> u<16> t p<26> c<15> s<25> l<3:14> el<3:25> +n u<17> t p<24> s<23> l<3:26> el<3:32> +n<> u<18> t p<19> l<3:35> el<3:37> +n<> u<19> t p<20> c<18> l<3:35> el<3:37> +n<> u<20> t p<21> c<19> l<3:35> el<3:37> +n<> u<21> t p<22> c<20> l<3:35> el<3:37> +n<> u<22> t p<23> c<21> l<3:35> el<3:37> +n<> u<23> t p<24> c<22> l<3:35> el<3:37> +n<> u<24> t p<25> c<17> l<3:26> el<3:37> +n<> u<25> t p<26> c<24> l<3:26> el<3:37> +n<> u<26> t p<27> c<16> l<3:4> el<3:37> +n<> u<27> t p<28> c<26> l<3:4> el<3:38> +n<> u<28> t p<29> c<27> l<3:4> el<3:38> +n<> u<29> t p<30> c<28> l<3:4> el<3:38> +n<> u<30> t p<31> c<29> l<3:4> el<3:38> +n<> u<31> t p<55> c<30> s<54> l<3:4> el<3:38> +n<> u<32> t p<33> l<4:4> el<4:7> +n<> u<33> t p<48> c<32> s<47> l<4:4> el<4:7> +n u<34> t p<46> s<45> l<4:8> el<4:9> +n<> u<35> t p<36> l<4:12> el<4:15> +n<> u<36> t p<37> c<35> l<4:12> el<4:15> +n<> u<37> t p<38> c<36> l<4:12> el<4:15> +n<> u<38> t p<43> c<37> s<42> l<4:12> el<4:15> +n u<39> t p<40> l<4:17> el<4:23> +n<> u<40> t p<41> c<39> l<4:17> el<4:23> +n<> u<41> t p<42> c<40> l<4:17> el<4:23> +n<> u<42> t p<43> c<41> l<4:17> el<4:23> +n<> u<43> t p<44> c<38> l<4:12> el<4:24> +n<> u<44> t p<45> c<43> l<4:12> el<4:24> +n<> u<45> t p<46> c<44> l<4:12> el<4:24> +n<> u<46> t p<47> c<34> l<4:8> el<4:24> +n<> u<47> t p<48> c<46> l<4:8> el<4:24> +n<> u<48> t p<49> c<33> l<4:4> el<4:25> +n<> u<49> t p<50> c<48> l<4:4> el<4:25> +n<> u<50> t p<51> c<49> l<4:4> el<4:25> +n<> u<51> t p<52> c<50> l<4:4> el<4:25> +n<> u<52> t p<53> c<51> l<4:4> el<4:25> +n<> u<53> t p<54> c<52> l<4:4> el<4:25> +n<> u<54> t p<55> c<53> l<4:4> el<4:25> +n<> u<55> t p<56> c<3> l<2:1> el<5:10> +n<> u<56> t p<287> c<55> s<157> l<2:1> el<5:10> +n<> u<57> t p<59> s<58> l<7:1> el<7:7> +n u<58> t p<59> l<7:8> el<7:26> +n<> u<59> t p<156> c<57> s<105> l<7:1> el<7:27> +n<> u<60> t p<61> l<8:12> el<8:18> +n<> u<61> t p<97> c<60> s<62> l<8:12> el<8:18> +n<> u<62> t p<97> s<79> l<8:19> el<8:25> +n<> u<63> t p<74> s<73> l<9:7> el<9:12> +n<2> u<64> t p<65> l<9:14> el<9:15> +n<> u<65> t p<66> c<64> l<9:14> el<9:15> +n<> u<66> t p<67> c<65> l<9:14> el<9:15> +n<> u<67> t p<72> c<66> s<71> l<9:14> el<9:15> +n<0> u<68> t p<69> l<9:16> el<9:17> +n<> u<69> t p<70> c<68> l<9:16> el<9:17> +n<> u<70> t p<71> c<69> l<9:16> el<9:17> +n<> u<71> t p<72> c<70> l<9:16> el<9:17> +n<> u<72> t p<73> c<67> l<9:14> el<9:17> +n<> u<73> t p<74> c<72> l<9:13> el<9:18> +n<> u<74> t p<75> c<63> l<9:7> el<9:18> +n<> u<75> t p<79> c<74> s<78> l<9:7> el<9:18> +n u<76> t p<77> l<9:19> el<9:20> +n<> u<77> t p<78> c<76> l<9:19> el<9:20> +n<> u<78> t p<79> c<77> l<9:19> el<9:20> +n<> u<79> t p<97> c<75> s<96> l<9:7> el<9:21> +n<> u<80> t p<91> s<90> l<10:7> el<10:12> +n<1> u<81> t p<82> l<10:14> el<10:15> +n<> u<82> t p<83> c<81> l<10:14> el<10:15> +n<> u<83> t p<84> c<82> l<10:14> el<10:15> +n<> u<84> t p<89> c<83> s<88> l<10:14> el<10:15> +n<0> u<85> t p<86> l<10:16> el<10:17> +n<> u<86> t p<87> c<85> l<10:16> el<10:17> +n<> u<87> t p<88> c<86> l<10:16> el<10:17> +n<> u<88> t p<89> c<87> l<10:16> el<10:17> +n<> u<89> t p<90> c<84> l<10:14> el<10:17> +n<> u<90> t p<91> c<89> l<10:13> el<10:18> +n<> u<91> t p<92> c<80> l<10:7> el<10:18> +n<> u<92> t p<96> c<91> s<95> l<10:7> el<10:18> +n u<93> t p<94> l<10:19> el<10:20> +n<> u<94> t p<95> c<93> l<10:19> el<10:20> +n<> u<95> t p<96> c<94> l<10:19> el<10:20> +n<> u<96> t p<97> c<92> l<10:7> el<10:21> +n<> u<97> t p<99> c<61> s<98> l<8:12> el<11:5> +n u<98> t p<99> l<11:6> el<11:15> +n<> u<99> t p<100> c<97> l<8:4> el<11:16> +n<> u<100> t p<101> c<99> l<8:4> el<11:16> +n<> u<101> t p<102> c<100> l<8:4> el<11:16> +n<> u<102> t p<103> c<101> l<8:4> el<11:16> +n<> u<103> t p<104> c<102> l<8:4> el<11:16> +n<> u<104> t p<105> c<103> l<8:4> el<11:16> +n<> u<105> t p<156> c<104> s<136> l<8:4> el<11:16> +n u<106> t p<107> l<13:14> el<13:23> +n<> u<107> t p<108> c<106> l<13:14> el<13:23> +n<> u<108> t p<131> c<107> s<130> l<13:14> el<13:23> +n u<109> t p<129> s<128> l<13:24> el<13:30> +n u<110> t p<111> l<14:7> el<14:8> +n<> u<111> t p<122> c<110> s<115> l<14:7> el<14:8> +n<> u<112> t p<113> l<14:10> el<14:12> +n<> u<113> t p<114> c<112> l<14:10> el<14:12> +n<> u<114> t p<115> c<113> l<14:10> el<14:12> +n<> u<115> t p<122> c<114> s<117> l<14:10> el<14:12> +n u<116> t p<117> l<15:7> el<15:8> +n<> u<117> t p<122> c<116> s<121> l<15:7> el<15:8> +n<> u<118> t p<119> l<15:10> el<15:12> +n<> u<119> t p<120> c<118> l<15:10> el<15:12> +n<> u<120> t p<121> c<119> l<15:10> el<15:12> +n<> u<121> t p<122> c<120> l<15:10> el<15:12> +n<> u<122> t p<123> c<111> l<13:33> el<16:5> +n<> u<123> t p<124> c<122> l<13:33> el<16:5> +n<> u<124> t p<125> c<123> l<13:33> el<16:5> +n<> u<125> t p<126> c<124> l<13:33> el<16:5> +n<> u<126> t p<127> c<125> l<13:33> el<16:5> +n<> u<127> t p<128> c<126> l<13:33> el<16:5> +n<> u<128> t p<129> c<127> l<13:33> el<16:5> +n<> u<129> t p<130> c<109> l<13:24> el<16:5> +n<> u<130> t p<131> c<129> l<13:24> el<16:5> +n<> u<131> t p<132> c<108> l<13:4> el<16:5> +n<> u<132> t p<133> c<131> l<13:4> el<16:6> +n<> u<133> t p<134> c<132> l<13:4> el<16:6> +n<> u<134> t p<135> c<133> l<13:4> el<16:6> +n<> u<135> t p<136> c<134> l<13:4> el<16:6> +n<> u<136> t p<156> c<135> s<155> l<13:4> el<16:6> +n u<137> t p<153> s<147> l<18:4> el<18:15> +n u<138> t p<145> s<144> l<19:8> el<19:14> +n u<139> t p<140> l<19:15> el<19:21> +n<> u<140> t p<141> c<139> l<19:15> el<19:21> +n<> u<141> t p<142> c<140> l<19:15> el<19:21> +n<> u<142> t p<143> c<141> l<19:15> el<19:21> +n<> u<143> t p<144> c<142> l<19:15> el<19:21> +n<> u<144> t p<145> c<143> l<19:15> el<19:21> +n<> u<145> t p<146> c<138> l<19:7> el<19:22> +n<> u<146> t p<147> c<145> l<19:7> el<19:22> +n<> u<147> t p<153> c<146> s<152> l<18:16> el<20:5> +n u<148> t p<149> l<20:6> el<20:16> +n<> u<149> t p<152> c<148> s<151> l<20:6> el<20:16> +n<> u<150> t p<151> l<20:17> el<20:17> +n<> u<151> t p<152> c<150> l<20:17> el<20:17> +n<> u<152> t p<153> c<149> l<20:6> el<20:18> +n<> u<153> t p<154> c<137> l<18:4> el<20:19> +n<> u<154> t p<155> c<153> l<18:4> el<20:19> +n<> u<155> t p<156> c<154> l<18:4> el<20:19> +n<> u<156> t p<157> c<59> l<7:1> el<22:10> +n<> u<157> t p<287> c<156> s<286> l<7:1> el<22:10> +n<> u<158> t p<160> s<159> l<24:1> el<24:7> +n u<159> t p<160> l<24:8> el<24:11> +n<> u<160> t p<285> c<158> s<206> l<24:1> el<24:12> +n<> u<161> t p<162> l<25:12> el<25:18> +n<> u<162> t p<198> c<161> s<163> l<25:12> el<25:18> +n<> u<163> t p<198> s<180> l<25:19> el<25:25> +n<> u<164> t p<175> s<174> l<26:7> el<26:12> +n<1> u<165> t p<166> l<26:14> el<26:15> +n<> u<166> t p<167> c<165> l<26:14> el<26:15> +n<> u<167> t p<168> c<166> l<26:14> el<26:15> +n<> u<168> t p<173> c<167> s<172> l<26:14> el<26:15> +n<0> u<169> t p<170> l<26:16> el<26:17> +n<> u<170> t p<171> c<169> l<26:16> el<26:17> +n<> u<171> t p<172> c<170> l<26:16> el<26:17> +n<> u<172> t p<173> c<171> l<26:16> el<26:17> +n<> u<173> t p<174> c<168> l<26:14> el<26:17> +n<> u<174> t p<175> c<173> l<26:13> el<26:18> +n<> u<175> t p<176> c<164> l<26:7> el<26:18> +n<> u<176> t p<180> c<175> s<179> l<26:7> el<26:18> +n u<177> t p<178> l<26:19> el<26:20> +n<> u<178> t p<179> c<177> l<26:19> el<26:20> +n<> u<179> t p<180> c<178> l<26:19> el<26:20> +n<> u<180> t p<198> c<176> s<197> l<26:7> el<26:21> +n<> u<181> t p<192> s<191> l<27:7> el<27:12> +n<2> u<182> t p<183> l<27:14> el<27:15> +n<> u<183> t p<184> c<182> l<27:14> el<27:15> +n<> u<184> t p<185> c<183> l<27:14> el<27:15> +n<> u<185> t p<190> c<184> s<189> l<27:14> el<27:15> +n<0> u<186> t p<187> l<27:16> el<27:17> +n<> u<187> t p<188> c<186> l<27:16> el<27:17> +n<> u<188> t p<189> c<187> l<27:16> el<27:17> +n<> u<189> t p<190> c<188> l<27:16> el<27:17> +n<> u<190> t p<191> c<185> l<27:14> el<27:17> +n<> u<191> t p<192> c<190> l<27:13> el<27:18> +n<> u<192> t p<193> c<181> l<27:7> el<27:18> +n<> u<193> t p<197> c<192> s<196> l<27:7> el<27:18> +n u<194> t p<195> l<27:19> el<27:20> +n<> u<195> t p<196> c<194> l<27:19> el<27:20> +n<> u<196> t p<197> c<195> l<27:19> el<27:20> +n<> u<197> t p<198> c<193> l<27:7> el<27:21> +n<> u<198> t p<200> c<162> s<199> l<25:12> el<28:5> +n u<199> t p<200> l<28:6> el<28:15> +n<> u<200> t p<201> c<198> l<25:4> el<28:16> +n<> u<201> t p<202> c<200> l<25:4> el<28:16> +n<> u<202> t p<203> c<201> l<25:4> el<28:16> +n<> u<203> t p<204> c<202> l<25:4> el<28:16> +n<> u<204> t p<205> c<203> l<25:4> el<28:16> +n<> u<205> t p<206> c<204> l<25:4> el<28:16> +n<> u<206> t p<285> c<205> s<222> l<25:4> el<28:16> +n<> u<207> t p<217> s<216> l<29:14> el<29:14> +n u<208> t p<215> s<214> l<29:14> el<29:16> +n<1> u<209> t p<210> l<29:19> el<29:20> +n<> u<210> t p<211> c<209> l<29:19> el<29:20> +n<> u<211> t p<212> c<210> l<29:19> el<29:20> +n<> u<212> t p<213> c<211> l<29:19> el<29:20> +n<> u<213> t p<214> c<212> l<29:19> el<29:20> +n<> u<214> t p<215> c<213> l<29:19> el<29:20> +n<> u<215> t p<216> c<208> l<29:14> el<29:20> +n<> u<216> t p<217> c<215> l<29:14> el<29:20> +n<> u<217> t p<218> c<207> l<29:4> el<29:20> +n<> u<218> t p<219> c<217> l<29:4> el<29:21> +n<> u<219> t p<220> c<218> l<29:4> el<29:21> +n<> u<220> t p<221> c<219> l<29:4> el<29:21> +n<> u<221> t p<222> c<220> l<29:4> el<29:21> +n<> u<222> t p<285> c<221> s<238> l<29:4> el<29:21> +n<> u<223> t p<233> s<232> l<30:14> el<30:14> +n u<224> t p<231> s<230> l<30:14> el<30:16> +n<0> u<225> t p<226> l<30:19> el<30:20> +n<> u<226> t p<227> c<225> l<30:19> el<30:20> +n<> u<227> t p<228> c<226> l<30:19> el<30:20> +n<> u<228> t p<229> c<227> l<30:19> el<30:20> +n<> u<229> t p<230> c<228> l<30:19> el<30:20> +n<> u<230> t p<231> c<229> l<30:19> el<30:20> +n<> u<231> t p<232> c<224> l<30:14> el<30:20> +n<> u<232> t p<233> c<231> l<30:14> el<30:20> +n<> u<233> t p<234> c<223> l<30:4> el<30:20> +n<> u<234> t p<235> c<233> l<30:4> el<30:21> +n<> u<235> t p<236> c<234> l<30:4> el<30:21> +n<> u<236> t p<237> c<235> l<30:4> el<30:21> +n<> u<237> t p<238> c<236> l<30:4> el<30:21> +n<> u<238> t p<285> c<237> s<265> l<30:4> el<30:21> +n u<239> t p<240> l<32:14> el<32:23> +n<> u<240> t p<241> c<239> l<32:14> el<32:23> +n<> u<241> t p<260> c<240> s<259> l<32:14> el<32:23> +n u<242> t p<258> s<257> l<32:24> el<32:34> +n u<243> t p<244> l<35:5> el<35:7> +n<> u<244> t p<245> c<243> l<35:5> el<35:7> +n<> u<245> t p<246> c<244> l<35:5> el<35:7> +n<> u<246> t p<251> c<245> s<250> l<35:5> el<35:7> +n u<247> t p<248> l<35:9> el<35:11> +n<> u<248> t p<249> c<247> l<35:9> el<35:11> +n<> u<249> t p<250> c<248> l<35:9> el<35:11> +n<> u<250> t p<251> c<249> l<35:9> el<35:11> +n<> u<251> t p<252> c<246> l<32:37> el<36:5> +n<> u<252> t p<253> c<251> l<32:37> el<36:5> +n<> u<253> t p<254> c<252> l<32:37> el<36:5> +n<> u<254> t p<255> c<253> l<32:37> el<36:5> +n<> u<255> t p<256> c<254> l<32:37> el<36:5> +n<> u<256> t p<257> c<255> l<32:37> el<36:5> +n<> u<257> t p<258> c<256> l<32:37> el<36:5> +n<> u<258> t p<259> c<242> l<32:24> el<36:5> +n<> u<259> t p<260> c<258> l<32:24> el<36:5> +n<> u<260> t p<261> c<241> l<32:4> el<36:5> +n<> u<261> t p<262> c<260> l<32:4> el<36:6> +n<> u<262> t p<263> c<261> l<32:4> el<36:6> +n<> u<263> t p<264> c<262> l<32:4> el<36:6> +n<> u<264> t p<265> c<263> l<32:4> el<36:6> +n<> u<265> t p<285> c<264> s<284> l<32:4> el<36:6> +n u<266> t p<282> s<276> l<38:4> el<38:22> +n u<267> t p<274> s<273> l<39:8> el<39:14> +n u<268> t p<269> l<39:15> el<39:25> +n<> u<269> t p<270> c<268> l<39:15> el<39:25> +n<> u<270> t p<271> c<269> l<39:15> el<39:25> +n<> u<271> t p<272> c<270> l<39:15> el<39:25> +n<> u<272> t p<273> c<271> l<39:15> el<39:25> +n<> u<273> t p<274> c<272> l<39:15> el<39:25> +n<> u<274> t p<275> c<267> l<39:7> el<39:26> +n<> u<275> t p<276> c<274> l<39:7> el<39:26> +n<> u<276> t p<282> c<275> s<281> l<38:23> el<40:5> +n u<277> t p<278> l<40:6> el<40:25> +n<> u<278> t p<281> c<277> s<280> l<40:6> el<40:25> +n<> u<279> t p<280> l<40:26> el<40:26> +n<> u<280> t p<281> c<279> l<40:26> el<40:26> +n<> u<281> t p<282> c<278> l<40:6> el<40:27> +n<> u<282> t p<283> c<266> l<38:4> el<40:28> +n<> u<283> t p<284> c<282> l<38:4> el<40:28> +n<> u<284> t p<285> c<283> l<38:4> el<40:28> +n<> u<285> t p<286> c<160> l<24:1> el<41:10> +n<> u<286> t p<287> c<285> l<24:1> el<41:10> +n<> u<287> t p<288> c<56> l<2:1> el<41:10> +n<> u<288> t l<2:1> el<44:1> +[WRN:PA0205] dut.sv:2: No timescale set for "prim_subreg". + +[WRN:PA0205] dut.sv:7: No timescale set for "prim_subreg_shadow". + +[WRN:PA0205] dut.sv:24: No timescale set for "top". + +[INF:CP0300] Compilation... + +[INF:CP0303] dut.sv:2: Compile module "work@prim_subreg". + +[INF:CP0303] dut.sv:7: Compile module "work@prim_subreg_shadow". + +[INF:CP0303] dut.sv:24: Compile module "work@top". + +[INF:EL0526] Design Elaboration... + +[NTE:EL0503] dut.sv:24: Top level module "work@top". + +[NTE:EL0508] Nb Top level modules: 1. + +[NTE:EL0509] Max instance depth: 3. + +[NTE:EL0510] Nb instances: 3. + +[NTE:EL0511] Nb leaf instances: 1. + +[INF:UH0706] Creating UHDM Model... + +[INF:UH0707] Elaborating UHDM... + +[INF:UH0708] Writing UHDM DB: ../../build/tests/ParamOverloading/slpp_all//surelog.uhdm... + +[INF:UH0709] Writing UHDM Html Coverage: ../../build/tests/ParamOverloading/slpp_all//surelog.uhdm.chk.html... + +[INF:UH0710] Loading UHDM DB: ../../build/tests/ParamOverloading/slpp_all//surelog.uhdm... + +[INF:UH0711] Decompiling UHDM... + +====== UHDM ======= +design: (work@top) +|vpiName:work@top +|vpiElaborated:1 +|uhdmallModules: +\_module: work@prim_subreg (work@prim_subreg) dut.sv:2:1: , endln:5:10, parent:work@top + |vpiDefName:work@prim_subreg + |vpiFullName:work@prim_subreg + |vpiNet: + \_logic_net: (work@prim_subreg.a), line:4:8, parent:work@prim_subreg + |vpiName:a + |vpiFullName:work@prim_subreg.a + |vpiParameter: + \_parameter: (work@prim_subreg.RESVAL), line:3:26, endln:3:37, parent:work@prim_subreg + |vpiName:RESVAL + |vpiFullName:work@prim_subreg.RESVAL + |BIN:0 + |vpiTypespec: + \_logic_typespec: (RESVAL), line:3:14, endln:3:19, parent:work@prim_subreg.RESVAL + |vpiName:RESVAL + |vpiRange: + \_range: , line:3:21, endln:3:24 + |vpiLeftRange: + \_constant: , line:3:21, endln:3:22 + |vpiConstType:9 + |vpiDecompile:4 + |vpiSize:64 + |UINT:4 + |vpiRightRange: + \_constant: , line:3:23, endln:3:24 + |vpiConstType:9 + |vpiDecompile:0 + |vpiSize:64 + |UINT:0 + |vpiParamAssign: + \_param_assign: , line:3:26, endln:3:37, parent:work@prim_subreg + |vpiRhs: + \_constant: , line:3:35, endln:3:37 + |vpiConstType:3 + |vpiDecompile:'0 + |vpiSize:-1 + |BIN:0 + |vpiTypespec: + \_logic_typespec: (RESVAL), line:3:14, endln:3:19, parent:work@prim_subreg.RESVAL + |vpiLhs: + \_parameter: (work@prim_subreg.RESVAL), line:3:26, endln:3:37, parent:work@prim_subreg +|uhdmallModules: +\_module: work@prim_subreg_shadow (work@prim_subreg_shadow) dut.sv:7:1: , endln:22:10, parent:work@top + |vpiDefName:work@prim_subreg_shadow + |vpiFullName:work@prim_subreg_shadow + |vpiParameter: + \_parameter: (work@prim_subreg_shadow.RESVAL), line:13:24, endln:16:5, parent:work@prim_subreg_shadow + |vpiName:RESVAL + |vpiFullName:work@prim_subreg_shadow.RESVAL + |vpiTypespec: + \_struct_typespec: (struct_ab), line:8:12, endln:8:18, parent:work@prim_subreg_shadow.RESVAL + |vpiPacked:1 + |vpiName:struct_ab + |vpiTypespecMember: + \_typespec_member: (a), line:9:19, endln:9:20 + |vpiName:a + |vpiTypespec: + \_logic_typespec: , line:9:7, endln:9:12 + |vpiRange: + \_range: , line:9:14, endln:9:17, parent:struct_ab + |vpiLeftRange: + \_constant: , line:9:14, endln:9:15 + |vpiConstType:9 + |vpiDecompile:2 + |vpiSize:64 + |UINT:2 + |vpiRightRange: + \_constant: , line:9:16, endln:9:17 + |vpiConstType:9 + |vpiDecompile:0 + |vpiSize:64 + |UINT:0 + |vpiTypespecMember: + \_typespec_member: (b), line:10:19, endln:10:20 + |vpiName:b + |vpiTypespec: + \_logic_typespec: , line:10:7, endln:10:12 + |vpiRange: + \_range: , line:10:14, endln:10:17, parent:struct_ab + |vpiLeftRange: + \_constant: , line:10:14, endln:10:15 + |vpiConstType:9 + |vpiDecompile:1 + |vpiSize:64 + |UINT:1 + |vpiRightRange: + \_constant: , line:10:16, endln:10:17 + |vpiConstType:9 + |vpiDecompile:0 + |vpiSize:64 + |UINT:0 + |vpiParamAssign: + \_param_assign: , line:13:24, endln:16:5, parent:work@prim_subreg_shadow + |vpiRhs: + \_operation: , line:13:33, endln:16:5 + |vpiOpType:75 + |vpiOperand: + \_tagged_pattern: + |vpiPattern: + \_constant: , line:14:10, endln:14:12 + |vpiConstType:3 + |vpiDecompile:'0 + |vpiSize:-1 + |BIN:0 + |vpiTypespec: + \_string_typespec: (a), line:14:7, endln:14:8 + |vpiName:a + |vpiOperand: + \_tagged_pattern: + |vpiPattern: + \_constant: , line:15:10, endln:15:12 + |vpiConstType:3 + |vpiDecompile:'1 + |vpiSize:-1 + |BIN:1 + |vpiTypespec: + \_string_typespec: (b), line:15:7, endln:15:8 + |vpiName:b + |vpiLhs: + \_parameter: (work@prim_subreg_shadow.RESVAL), line:13:24, endln:16:5, parent:work@prim_subreg_shadow + |vpiTypedef: + \_struct_typespec: (struct_ab), line:8:12, endln:8:18, parent:work@prim_subreg_shadow.RESVAL +|uhdmallModules: +\_module: work@top (work@top) dut.sv:24:1: , endln:41:10, parent:work@top + |vpiDefName:work@top + |vpiFullName:work@top + |vpiParameter: + \_parameter: (work@top.v1), line:29:14, endln:29:20, parent:work@top + |vpiName:v1 + |vpiFullName:work@top.v1 + |UINT:1 + |vpiParameter: + \_parameter: (work@top.v2), line:30:14, endln:30:20, parent:work@top + |vpiName:v2 + |vpiFullName:work@top.v2 + |UINT:0 + |vpiParameter: + \_parameter: (work@top.CTRL_RESET), line:32:24, endln:36:5, parent:work@top + |vpiName:CTRL_RESET + |vpiFullName:work@top.CTRL_RESET + |vpiTypespec: + \_struct_typespec: (struct_ab), line:25:12, endln:25:18, parent:work@top.CTRL_RESET + |vpiPacked:1 + |vpiName:struct_ab + |vpiTypespecMember: + \_typespec_member: (a), line:26:19, endln:26:20 + |vpiName:a + |vpiTypespec: + \_logic_typespec: , line:26:7, endln:26:12 + |vpiRange: + \_range: , line:26:14, endln:26:17, parent:struct_ab + |vpiLeftRange: + \_constant: , line:26:14, endln:26:15 + |vpiConstType:9 + |vpiDecompile:1 + |vpiSize:64 + |UINT:1 + |vpiRightRange: + \_constant: , line:26:16, endln:26:17 + |vpiConstType:9 + |vpiDecompile:0 + |vpiSize:64 + |UINT:0 + |vpiTypespecMember: + \_typespec_member: (b), line:27:19, endln:27:20 + |vpiName:b + |vpiTypespec: + \_logic_typespec: , line:27:7, endln:27:12 + |vpiRange: + \_range: , line:27:14, endln:27:17, parent:struct_ab + |vpiLeftRange: + \_constant: , line:27:14, endln:27:15 + |vpiConstType:9 + |vpiDecompile:2 + |vpiSize:64 + |UINT:2 + |vpiRightRange: + \_constant: , line:27:16, endln:27:17 + |vpiConstType:9 + |vpiDecompile:0 + |vpiSize:64 + |UINT:0 + |vpiParamAssign: + \_param_assign: , line:29:14, endln:29:20, parent:work@top + |vpiRhs: + \_constant: , line:29:19, endln:29:20 + |vpiConstType:9 + |vpiDecompile:1 + |vpiSize:64 + |UINT:1 + |vpiLhs: + \_parameter: (work@top.v1), line:29:14, endln:29:20, parent:work@top + |vpiParamAssign: + \_param_assign: , line:30:14, endln:30:20, parent:work@top + |vpiRhs: + \_constant: , line:30:19, endln:30:20 + |vpiConstType:9 + |vpiDecompile:0 + |vpiSize:64 + |UINT:0 + |vpiLhs: + \_parameter: (work@top.v2), line:30:14, endln:30:20, parent:work@top + |vpiParamAssign: + \_param_assign: , line:32:24, endln:36:5, parent:work@top + |vpiRhs: + \_operation: , line:32:37, endln:36:5 + |vpiOpType:75 + |vpiOperand: + \_ref_obj: (v1), line:35:5, endln:35:7 + |vpiName:v1 + |vpiActual: + \_parameter: (work@top.v1), line:29:14, endln:29:20, parent:work@top + |vpiName:v1 + |vpiFullName:work@top.v1 + |UINT:1 + |vpiOperand: + \_ref_obj: (v2), line:35:9, endln:35:11 + |vpiName:v2 + |vpiActual: + \_parameter: (work@top.v2), line:30:14, endln:30:20, parent:work@top + |vpiName:v2 + |vpiFullName:work@top.v2 + |UINT:0 + |vpiLhs: + \_parameter: (work@top.CTRL_RESET), line:32:24, endln:36:5, parent:work@top + |vpiTypedef: + \_struct_typespec: (struct_ab), line:25:12, endln:25:18, parent:work@top.CTRL_RESET +|uhdmtopModules: +\_module: work@top (work@top) dut.sv:24:1: , endln:41:10 + |vpiDefName:work@top + |vpiName:work@top + |vpiModule: + \_module: work@prim_subreg_shadow (work@top.u_ctrl_reg_shadowed) dut.sv:38:4: , endln:40:28, parent:work@top + |vpiDefName:work@prim_subreg_shadow + |vpiDefFile:dut.sv + |vpiDefLineNo:7 + |vpiName:u_ctrl_reg_shadowed + |vpiFullName:work@top.u_ctrl_reg_shadowed + |vpiModule: + \_module: work@prim_subreg (work@top.u_ctrl_reg_shadowed.staged_reg) dut.sv:18:4: , endln:20:19, parent:work@top.u_ctrl_reg_shadowed + |vpiDefName:work@prim_subreg + |vpiDefFile:dut.sv + |vpiDefLineNo:2 + |vpiName:staged_reg + |vpiFullName:work@top.u_ctrl_reg_shadowed.staged_reg + |vpiInstance: + \_module: work@prim_subreg_shadow (work@top.u_ctrl_reg_shadowed) dut.sv:38:4: , endln:40:28, parent:work@top + |vpiVariables: + \_int_var: (work@top.u_ctrl_reg_shadowed.staged_reg.a), line:4:8, endln:4:9, parent:work@top.u_ctrl_reg_shadowed.staged_reg + |vpiName:a + |vpiFullName:work@top.u_ctrl_reg_shadowed.staged_reg.a + |vpiAutomatic:1 + |vpiVisibility:1 + |vpiExpr: + \_operation: , line:4:12, endln:4:24 + |vpiOpType:67 + |vpiOperand: + \_ref_obj: (RESVAL), line:4:17, endln:4:23 + |vpiName:RESVAL + |vpiActual: + \_parameter: (work@top.u_ctrl_reg_shadowed.staged_reg.RESVAL), line:3:26, endln:3:37, parent:work@top.u_ctrl_reg_shadowed.staged_reg + |vpiName:RESVAL + |vpiFullName:work@top.u_ctrl_reg_shadowed.staged_reg.RESVAL + |BIN:0 + |vpiTypespec: + \_logic_typespec: (RESVAL), line:3:14, endln:3:19, parent:work@top.u_ctrl_reg_shadowed.staged_reg.RESVAL + |vpiName:RESVAL + |vpiRange: + \_range: , line:3:21, endln:3:24, parent:RESVAL + |vpiLeftRange: + \_constant: , line:3:21, endln:3:22 + |vpiConstType:9 + |vpiDecompile:4 + |vpiSize:64 + |UINT:4 + |vpiRightRange: + \_constant: , line:3:23, endln:3:24 + |vpiConstType:9 + |vpiDecompile:0 + |vpiSize:64 + |UINT:0 + |vpiTypespec: + \_int_typespec: , line:4:12, endln:4:15 + |vpiParameter: + \_parameter: (work@top.u_ctrl_reg_shadowed.staged_reg.RESVAL), line:3:26, endln:3:37, parent:work@top.u_ctrl_reg_shadowed.staged_reg + |vpiParamAssign: + \_param_assign: , line:3:26, endln:3:37, parent:work@top.u_ctrl_reg_shadowed.staged_reg + |vpiRhs: + \_operation: , line:19:15, endln:19:21 + |vpiOpType:75 + |vpiReordered:1 + |vpiOperand: + \_ref_obj: (work@top.u_ctrl_reg_shadowed.staged_reg.v2), line:35:9, endln:35:11 + |vpiName:v2 + |vpiFullName:work@top.u_ctrl_reg_shadowed.staged_reg.v2 + |vpiActual: + \_parameter: (work@top.v2), line:30:14, endln:30:20, parent:work@top + |vpiName:v2 + |vpiFullName:work@top.v2 + |UINT:0 + |vpiOperand: + \_ref_obj: (work@top.u_ctrl_reg_shadowed.staged_reg.v1), line:35:5, endln:35:7 + |vpiName:v1 + |vpiFullName:work@top.u_ctrl_reg_shadowed.staged_reg.v1 + |vpiActual: + \_parameter: (work@top.v1), line:29:14, endln:29:20, parent:work@top + |vpiName:v1 + |vpiFullName:work@top.v1 + |UINT:1 + |vpiTypespec: + \_struct_typespec: (struct_ab), line:25:12, endln:25:18 + |vpiPacked:1 + |vpiName:struct_ab + |vpiTypespecMember: + \_typespec_member: (a), line:26:19, endln:26:20, parent:struct_ab + |vpiName:a + |vpiTypespec: + \_logic_typespec: , line:26:7, endln:26:12, parent:a + |vpiRange: + \_range: , line:26:14, endln:26:17 + |vpiLeftRange: + \_constant: , line:26:14, endln:26:15 + |vpiConstType:9 + |vpiDecompile:1 + |vpiSize:64 + |UINT:1 + |vpiRightRange: + \_constant: , line:26:16, endln:26:17 + |vpiConstType:9 + |vpiDecompile:0 + |vpiSize:64 + |UINT:0 + |vpiTypespecMember: + \_typespec_member: (b), line:27:19, endln:27:20, parent:struct_ab + |vpiName:b + |vpiTypespec: + \_logic_typespec: , line:27:7, endln:27:12, parent:b + |vpiRange: + \_range: , line:27:14, endln:27:17 + |vpiLeftRange: + \_constant: , line:27:14, endln:27:15 + |vpiConstType:9 + |vpiDecompile:2 + |vpiSize:64 + |UINT:2 + |vpiRightRange: + \_constant: , line:27:16, endln:27:17 + |vpiConstType:9 + |vpiDecompile:0 + |vpiSize:64 + |UINT:0 + |vpiLhs: + \_parameter: (work@top.u_ctrl_reg_shadowed.staged_reg.RESVAL), line:3:26, endln:3:37, parent:work@top.u_ctrl_reg_shadowed.staged_reg + |vpiInstance: + \_module: work@top (work@top) dut.sv:24:1: , endln:41:10 + |vpiParameter: + \_parameter: (work@top.u_ctrl_reg_shadowed.RESVAL), line:13:24, endln:16:5, parent:work@top.u_ctrl_reg_shadowed + |vpiName:RESVAL + |vpiFullName:work@top.u_ctrl_reg_shadowed.RESVAL + |vpiTypespec: + \_struct_typespec: (struct_ab), line:8:12, endln:8:18, parent:work@top.u_ctrl_reg_shadowed.RESVAL + |vpiPacked:1 + |vpiName:struct_ab + |vpiTypespecMember: + \_typespec_member: (a), line:9:19, endln:9:20, parent:struct_ab + |vpiName:a + |vpiTypespec: + \_logic_typespec: , line:9:7, endln:9:12, parent:a + |vpiRange: + \_range: , line:9:14, endln:9:17 + |vpiLeftRange: + \_constant: , line:9:14, endln:9:15 + |vpiConstType:9 + |vpiDecompile:2 + |vpiSize:64 + |UINT:2 + |vpiRightRange: + \_constant: , line:9:16, endln:9:17 + |vpiConstType:9 + |vpiDecompile:0 + |vpiSize:64 + |UINT:0 + |vpiTypespecMember: + \_typespec_member: (b), line:10:19, endln:10:20, parent:struct_ab + |vpiName:b + |vpiTypespec: + \_logic_typespec: , line:10:7, endln:10:12, parent:b + |vpiRange: + \_range: , line:10:14, endln:10:17 + |vpiLeftRange: + \_constant: , line:10:14, endln:10:15 + |vpiConstType:9 + |vpiDecompile:1 + |vpiSize:64 + |UINT:1 + |vpiRightRange: + \_constant: , line:10:16, endln:10:17 + |vpiConstType:9 + |vpiDecompile:0 + |vpiSize:64 + |UINT:0 + |vpiParamAssign: + \_param_assign: , line:13:24, endln:16:5, parent:work@top.u_ctrl_reg_shadowed + |vpiRhs: + \_operation: , line:39:15, endln:39:25 + |vpiOpType:75 + |vpiOperand: + \_ref_obj: (work@top.u_ctrl_reg_shadowed.v1), line:35:5, endln:35:7 + |vpiName:v1 + |vpiFullName:work@top.u_ctrl_reg_shadowed.v1 + |vpiActual: + \_parameter: (work@top.v1), line:29:14, endln:29:20, parent:work@top + |vpiOperand: + \_ref_obj: (work@top.u_ctrl_reg_shadowed.v2), line:35:9, endln:35:11 + |vpiName:v2 + |vpiFullName:work@top.u_ctrl_reg_shadowed.v2 + |vpiActual: + \_parameter: (work@top.v2), line:30:14, endln:30:20, parent:work@top + |vpiTypespec: + \_struct_typespec: (struct_ab), line:25:12, endln:25:18 + |vpiPacked:1 + |vpiName:struct_ab + |vpiTypespecMember: + \_typespec_member: (a), line:26:19, endln:26:20, parent:struct_ab + |vpiName:a + |vpiTypespec: + \_logic_typespec: , line:26:7, endln:26:12, parent:a + |vpiRange: + \_range: , line:26:14, endln:26:17 + |vpiLeftRange: + \_constant: , line:26:14, endln:26:15 + |vpiConstType:9 + |vpiDecompile:1 + |vpiSize:64 + |UINT:1 + |vpiRightRange: + \_constant: , line:26:16, endln:26:17 + |vpiConstType:9 + |vpiDecompile:0 + |vpiSize:64 + |UINT:0 + |vpiTypespecMember: + \_typespec_member: (b), line:27:19, endln:27:20, parent:struct_ab + |vpiName:b + |vpiTypespec: + \_logic_typespec: , line:27:7, endln:27:12, parent:b + |vpiRange: + \_range: , line:27:14, endln:27:17 + |vpiLeftRange: + \_constant: , line:27:14, endln:27:15 + |vpiConstType:9 + |vpiDecompile:2 + |vpiSize:64 + |UINT:2 + |vpiRightRange: + \_constant: , line:27:16, endln:27:17 + |vpiConstType:9 + |vpiDecompile:0 + |vpiSize:64 + |UINT:0 + |vpiLhs: + \_parameter: (work@top.u_ctrl_reg_shadowed.RESVAL), line:13:24, endln:16:5, parent:work@top.u_ctrl_reg_shadowed + |vpiTypedef: + \_struct_typespec: (struct_ab), line:8:12, endln:8:18, parent:work@prim_subreg_shadow.RESVAL + |vpiPacked:1 + |vpiName:struct_ab + |vpiTypespecMember: + \_typespec_member: (a), line:9:19, endln:9:20 + |vpiName:a + |vpiTypespec: + \_logic_typespec: , line:9:7, endln:9:12 + |vpiRange: + \_range: , line:9:14, endln:9:17, parent:struct_ab + |vpiLeftRange: + \_constant: , line:9:14, endln:9:15 + |vpiConstType:9 + |vpiDecompile:2 + |vpiSize:64 + |UINT:2 + |vpiRightRange: + \_constant: , line:9:16, endln:9:17 + |vpiConstType:9 + |vpiDecompile:0 + |vpiSize:64 + |UINT:0 + |vpiTypespecMember: + \_typespec_member: (b), line:10:19, endln:10:20 + |vpiName:b + |vpiTypespec: + \_logic_typespec: , line:10:7, endln:10:12 + |vpiRange: + \_range: , line:10:14, endln:10:17, parent:struct_ab + |vpiLeftRange: + \_constant: , line:10:14, endln:10:15 + |vpiConstType:9 + |vpiDecompile:1 + |vpiSize:64 + |UINT:1 + |vpiRightRange: + \_constant: , line:10:16, endln:10:17 + |vpiConstType:9 + |vpiDecompile:0 + |vpiSize:64 + |UINT:0 + |vpiParameter: + \_parameter: (work@top.v1), line:29:14, endln:29:20, parent:work@top + |vpiParameter: + \_parameter: (work@top.v2), line:30:14, endln:30:20, parent:work@top + |vpiParameter: + \_parameter: (work@top.CTRL_RESET), line:32:24, endln:36:5, parent:work@top + |vpiName:CTRL_RESET + |vpiFullName:work@top.CTRL_RESET + |vpiTypespec: + \_struct_typespec: (struct_ab), line:25:12, endln:25:18, parent:work@top.CTRL_RESET + |vpiPacked:1 + |vpiName:struct_ab + |vpiTypespecMember: + \_typespec_member: (a), line:26:19, endln:26:20, parent:struct_ab + |vpiName:a + |vpiTypespec: + \_logic_typespec: , line:26:7, endln:26:12, parent:a + |vpiRange: + \_range: , line:26:14, endln:26:17 + |vpiLeftRange: + \_constant: , line:26:14, endln:26:15 + |vpiConstType:9 + |vpiDecompile:1 + |vpiSize:64 + |UINT:1 + |vpiRightRange: + \_constant: , line:26:16, endln:26:17 + |vpiConstType:9 + |vpiDecompile:0 + |vpiSize:64 + |UINT:0 + |vpiTypespecMember: + \_typespec_member: (b), line:27:19, endln:27:20, parent:struct_ab + |vpiName:b + |vpiTypespec: + \_logic_typespec: , line:27:7, endln:27:12, parent:b + |vpiRange: + \_range: , line:27:14, endln:27:17 + |vpiLeftRange: + \_constant: , line:27:14, endln:27:15 + |vpiConstType:9 + |vpiDecompile:2 + |vpiSize:64 + |UINT:2 + |vpiRightRange: + \_constant: , line:27:16, endln:27:17 + |vpiConstType:9 + |vpiDecompile:0 + |vpiSize:64 + |UINT:0 + |vpiParamAssign: + \_param_assign: , line:29:14, endln:29:20, parent:work@top + |vpiRhs: + \_constant: , line:29:19, endln:29:20 + |vpiConstType:9 + |vpiDecompile:1 + |vpiSize:64 + |UINT:1 + |vpiLhs: + \_parameter: (work@top.v1), line:29:14, endln:29:20, parent:work@top + |vpiParamAssign: + \_param_assign: , line:30:14, endln:30:20, parent:work@top + |vpiRhs: + \_constant: , line:30:19, endln:30:20 + |vpiConstType:9 + |vpiDecompile:0 + |vpiSize:64 + |UINT:0 + |vpiLhs: + \_parameter: (work@top.v2), line:30:14, endln:30:20, parent:work@top + |vpiParamAssign: + \_param_assign: , line:32:24, endln:36:5, parent:work@top + |vpiRhs: + \_operation: , line:32:37, endln:36:5 + |vpiOpType:75 + |vpiOperand: + \_ref_obj: (work@top.v1), line:35:5, endln:35:7 + |vpiName:v1 + |vpiFullName:work@top.v1 + |vpiActual: + \_parameter: (work@top.v1), line:29:14, endln:29:20, parent:work@top + |vpiOperand: + \_ref_obj: (work@top.v2), line:35:9, endln:35:11 + |vpiName:v2 + |vpiFullName:work@top.v2 + |vpiActual: + \_parameter: (work@top.v2), line:30:14, endln:30:20, parent:work@top + |vpiTypespec: + \_struct_typespec: (struct_ab), line:25:12, endln:25:18 + |vpiPacked:1 + |vpiName:struct_ab + |vpiTypespecMember: + \_typespec_member: (a), line:26:19, endln:26:20, parent:struct_ab + |vpiName:a + |vpiTypespec: + \_logic_typespec: , line:26:7, endln:26:12, parent:a + |vpiRange: + \_range: , line:26:14, endln:26:17 + |vpiLeftRange: + \_constant: , line:26:14, endln:26:15 + |vpiConstType:9 + |vpiDecompile:1 + |vpiSize:64 + |UINT:1 + |vpiRightRange: + \_constant: , line:26:16, endln:26:17 + |vpiConstType:9 + |vpiDecompile:0 + |vpiSize:64 + |UINT:0 + |vpiTypespecMember: + \_typespec_member: (b), line:27:19, endln:27:20, parent:struct_ab + |vpiName:b + |vpiTypespec: + \_logic_typespec: , line:27:7, endln:27:12, parent:b + |vpiRange: + \_range: , line:27:14, endln:27:17 + |vpiLeftRange: + \_constant: , line:27:14, endln:27:15 + |vpiConstType:9 + |vpiDecompile:2 + |vpiSize:64 + |UINT:2 + |vpiRightRange: + \_constant: , line:27:16, endln:27:17 + |vpiConstType:9 + |vpiDecompile:0 + |vpiSize:64 + |UINT:0 + |vpiLhs: + \_parameter: (work@top.CTRL_RESET), line:32:24, endln:36:5, parent:work@top + |vpiTypedef: + \_struct_typespec: (struct_ab), line:25:12, endln:25:18, parent:work@top.CTRL_RESET + |vpiPacked:1 + |vpiName:struct_ab + |vpiTypespecMember: + \_typespec_member: (a), line:26:19, endln:26:20 + |vpiName:a + |vpiTypespec: + \_logic_typespec: , line:26:7, endln:26:12 + |vpiRange: + \_range: , line:26:14, endln:26:17, parent:struct_ab + |vpiLeftRange: + \_constant: , line:26:14, endln:26:15 + |vpiConstType:9 + |vpiDecompile:1 + |vpiSize:64 + |UINT:1 + |vpiRightRange: + \_constant: , line:26:16, endln:26:17 + |vpiConstType:9 + |vpiDecompile:0 + |vpiSize:64 + |UINT:0 + |vpiTypespecMember: + \_typespec_member: (b), line:27:19, endln:27:20 + |vpiName:b + |vpiTypespec: + \_logic_typespec: , line:27:7, endln:27:12 + |vpiRange: + \_range: , line:27:14, endln:27:17, parent:struct_ab + |vpiLeftRange: + \_constant: , line:27:14, endln:27:15 + |vpiConstType:9 + |vpiDecompile:2 + |vpiSize:64 + |UINT:2 + |vpiRightRange: + \_constant: , line:27:16, endln:27:17 + |vpiConstType:9 + |vpiDecompile:0 + |vpiSize:64 + |UINT:0 +=================== +[ FATAL] : 0 +[ SYNTAX] : 0 +[ ERROR] : 0 +[WARNING] : 3 +[ NOTE] : 5 + diff --git a/tests/ParamOverloading/ParamOverloading.sl b/tests/ParamOverloading/ParamOverloading.sl new file mode 100644 index 0000000000..b461620aca --- /dev/null +++ b/tests/ParamOverloading/ParamOverloading.sl @@ -0,0 +1 @@ +-parse -d uhdm -d coveruhdm -elabuhdm -d ast dut.sv -nobuiltin diff --git a/tests/ParamOverloading/dut.sv b/tests/ParamOverloading/dut.sv new file mode 100644 index 0000000000..b65b805a46 --- /dev/null +++ b/tests/ParamOverloading/dut.sv @@ -0,0 +1,43 @@ + +module prim_subreg; + parameter logic [4:0] RESVAL = '0; + int a = int'(RESVAL); +endmodule // prim_subreg + +module prim_subreg_shadow; + typedef struct packed { + logic [2:0] a; + logic [1:0] b; + } struct_ab; + + parameter struct_ab RESVAL = '{ + a: '0, + b: '1 + }; + + prim_subreg #( + .RESVAL(RESVAL) + ) staged_reg(); + +endmodule // prim_subreg_shadow + +module top; + typedef struct packed { + logic [1:0] a; + logic [2:0] b; + } struct_ab; + parameter v1 = 1; + parameter v2 = 0; + + parameter struct_ab CTRL_RESET = '{ + // a: '1, + // b: '0 + v1, v2 + }; + + prim_subreg_shadow #( + .RESVAL(CTRL_RESET) + ) u_ctrl_reg_shadowed(); +endmodule // top + + diff --git a/tests/PartSelect4/PartSelect4.log b/tests/PartSelect4/PartSelect4.log index 5c036c7ac3..09c22eacd9 100644 --- a/tests/PartSelect4/PartSelect4.log +++ b/tests/PartSelect4/PartSelect4.log @@ -793,6 +793,8 @@ design: (work@xbar_main) \_ref_obj: (work@xbar_main.u_s1n_25.DReqDepth.DReqDepth.N), line:5:66, endln:5:67 |vpiName:N |vpiFullName:work@xbar_main.u_s1n_25.DReqDepth.DReqDepth.N + |vpiActual: + \_parameter: (work@xbar_main.u_s1n_25.N), line:5:48, endln:5:49, parent:work@xbar_main.u_s1n_25 |vpiOperand: \_constant: , line:5:68, endln:5:69 |vpiConstType:9 diff --git a/tests/PatAssignOp/PatAssignOp.log b/tests/PatAssignOp/PatAssignOp.log index a488a3c921..0e2c485e7d 100644 --- a/tests/PatAssignOp/PatAssignOp.log +++ b/tests/PatAssignOp/PatAssignOp.log @@ -839,6 +839,8 @@ design: (work@top) \_ref_obj: (work@top.coh_noc_cord_markers_pos_p.coh_noc_dims_p), line:7:45, endln:7:59 |vpiName:coh_noc_dims_p |vpiFullName:work@top.coh_noc_cord_markers_pos_p.coh_noc_dims_p + |vpiActual: + \_parameter: (work@top.coh_noc_dims_p), line:3:14, endln:3:40, parent:work@top |vpiRightRange: \_constant: , line:7:60, endln:7:61 |vpiConstType:9 @@ -906,21 +908,24 @@ design: (work@top) |vpiSize:64 |UINT:0 |vpiOperand: - \_ref_obj: (coh_noc_x_cord_width_p), line:8:58, endln:8:80 + \_ref_obj: (work@top.coh_noc_x_cord_width_p), line:8:58, endln:8:80 |vpiName:coh_noc_x_cord_width_p + |vpiFullName:work@top.coh_noc_x_cord_width_p |vpiActual: \_parameter: (work@top.coh_noc_x_cord_width_p), line:5:15, endln:5:42, parent:work@top |vpiOperand: \_operation: , line:9:39, endln:9:65 |vpiOpType:24 |vpiOperand: - \_ref_obj: (coh_noc_y_cord_width_p), line:8:11, endln:8:33 + \_ref_obj: (work@top.coh_noc_y_cord_width_p), line:8:11, endln:8:33 |vpiName:coh_noc_y_cord_width_p + |vpiFullName:work@top.coh_noc_y_cord_width_p |vpiActual: \_parameter: (work@top.coh_noc_y_cord_width_p), line:6:15, endln:6:42, parent:work@top |vpiOperand: - \_ref_obj: (coh_noc_x_cord_width_p), line:8:34, endln:8:56 + \_ref_obj: (work@top.coh_noc_x_cord_width_p), line:8:34, endln:8:56 |vpiName:coh_noc_x_cord_width_p + |vpiFullName:work@top.coh_noc_x_cord_width_p |vpiActual: \_parameter: (work@top.coh_noc_x_cord_width_p), line:5:15, endln:5:42, parent:work@top |vpiLhs: diff --git a/tests/ReorderPatt/ReorderPatt.log b/tests/ReorderPatt/ReorderPatt.log index d4a218fb79..e0c51f56ff 100644 --- a/tests/ReorderPatt/ReorderPatt.log +++ b/tests/ReorderPatt/ReorderPatt.log @@ -252,7 +252,7 @@ design: (work@top) |vpiOpType:75 |vpiReordered:1 |vpiOperand: - \_constant: , line:2:34, endln:2:36 + \_constant: , line:3:18, endln:3:22 |vpiConstType:9 |vpiDecompile:11 |vpiSize:64 @@ -263,6 +263,9 @@ design: (work@top) |vpiDecompile:10 |vpiSize:64 |UINT:10 + |vpiTypespec: + \_int_typespec: (x), line:2:14, endln:2:17 + |vpiName:x |vpiLhs: \_parameter: (work@top.x), line:2:18, endln:2:37, parent:work@top |vpiParamAssign: diff --git a/tests/Rom/Rom.log b/tests/Rom/Rom.log index 2a5cb04f22..5653bf87aa 100644 --- a/tests/Rom/Rom.log +++ b/tests/Rom/Rom.log @@ -842,6 +842,9 @@ design: (work@top) |vpiDecompile:300 |vpiSize:64 |UINT:300 + |vpiTypespec: + \_int_typespec: (RhoOffset), line:13:14, endln:13:17 + |vpiName:RhoOffset |vpiLhs: \_parameter: (work@top.RhoOffset), line:13:18, endln:17:7, parent:work@top =================== diff --git a/tests/Selects/Selects.log b/tests/Selects/Selects.log index 7283f71666..63bf82a85c 100644 --- a/tests/Selects/Selects.log +++ b/tests/Selects/Selects.log @@ -309,6 +309,8 @@ design: (work@t) |vpiActual: \_ref_obj: (reg2hw) |vpiName:reg2hw + |vpiActual: + \_struct_var: (work@t.reg2hw), line:11:19, endln:11:25, parent:work@t |vpiActual: \_bit_select: (sw_rst_ctrl_n) |vpiName:sw_rst_ctrl_n diff --git a/tests/StructAccess/StructAccess.log b/tests/StructAccess/StructAccess.log index 91b164f690..1dc4e1a3cb 100644 --- a/tests/StructAccess/StructAccess.log +++ b/tests/StructAccess/StructAccess.log @@ -892,6 +892,8 @@ design: (work@top) |vpiActual: \_ref_obj: (csr_pmp_cfg_ie) |vpiName:csr_pmp_cfg_ie + |vpiActual: + \_struct_var: (work@top.csr_pmp_cfg_ie), line:17:17, endln:17:31, parent:work@top |vpiActual: \_bit_select: (mode) |vpiName:mode @@ -913,6 +915,8 @@ design: (work@top) |vpiActual: \_ref_obj: (csr_pmp_cfg_ie) |vpiName:csr_pmp_cfg_ie + |vpiActual: + \_struct_var: (work@top.csr_pmp_cfg_ie), line:17:17, endln:17:31, parent:work@top |vpiActual: \_ref_obj: (struct_var) |vpiName:struct_var diff --git a/tests/TestInstall/CMakeLists.txt b/tests/TestInstall/CMakeLists.txt index 577b16b5e1..93a845e4c4 100644 --- a/tests/TestInstall/CMakeLists.txt +++ b/tests/TestInstall/CMakeLists.txt @@ -54,8 +54,7 @@ endif() add_executable(test_hellosureworld ${PROJECT_SOURCE_DIR}/../../src/hellosureworld.cpp) target_include_directories(test_hellosureworld PRIVATE ${INSTALL_DIR}/include/surelog - ${INSTALL_DIR}/include/uhdm - ${INSTALL_DIR}/include/uhdm/include + ${INSTALL_DIR}/include ${Python3_INCLUDE_DIRS} ) target_link_directories(test_hellosureworld diff --git a/tests/TypespecExpr/TypespecExpr.log b/tests/TypespecExpr/TypespecExpr.log index 957aaf6faf..72753165bc 100644 --- a/tests/TypespecExpr/TypespecExpr.log +++ b/tests/TypespecExpr/TypespecExpr.log @@ -434,7 +434,7 @@ design: (work@Mod2) \_string_typespec: (x), line:7:33, endln:7:34 |vpiName:x |vpiTypespec: - \_struct_typespec: (Struct), line:6:12, endln:6:18, parent:work@Mod2.STRUCT + \_struct_typespec: (Struct), line:6:12, endln:6:18 |vpiPacked:1 |vpiName:Struct |vpiTypespecMember: @@ -521,6 +521,29 @@ design: (work@Mod2) |vpiTypespec: \_string_typespec: (x), line:7:33, endln:7:34 |vpiName:x + |vpiTypespec: + \_struct_typespec: (Struct), line:6:12, endln:6:18 + |vpiPacked:1 + |vpiName:Struct + |vpiTypespecMember: + \_typespec_member: (x), line:6:40, endln:6:41, parent:Struct + |vpiName:x + |vpiTypespec: + \_logic_typespec: , line:6:28, endln:6:33, parent:x + |vpiRange: + \_range: , line:6:34, endln:6:38 + |vpiLeftRange: + \_constant: , line:6:34, endln:6:36 + |vpiConstType:9 + |vpiDecompile:31 + |vpiSize:64 + |UINT:31 + |vpiRightRange: + \_constant: , line:6:37, endln:6:38 + |vpiConstType:9 + |vpiDecompile:0 + |vpiSize:64 + |UINT:0 |vpiLhs: \_parameter: (work@Mod2.STRUCT), line:7:21, endln:7:40, parent:work@Mod2 |vpiTypedef: diff --git a/third_party/UHDM b/third_party/UHDM index 5d26f78306..32d9ea38bb 160000 --- a/third_party/UHDM +++ b/third_party/UHDM @@ -1 +1 @@ -Subproject commit 5d26f783064f60a228bb31452b6c700379d2f236 +Subproject commit 32d9ea38bb77e8acad6ff22bffb483479fdcd086 diff --git a/third_party/antlr4 b/third_party/antlr4 new file mode 160000 index 0000000000..3fcc7dd9b8 --- /dev/null +++ b/third_party/antlr4 @@ -0,0 +1 @@ +Subproject commit 3fcc7dd9b859b58cdc65dbdcd5cd1b166aa946a7 diff --git a/third_party/tests/AzadiRTL/AzadiRTL.log b/third_party/tests/AzadiRTL/AzadiRTL.log index f9469ad7ba..39b7c9df6e 100644 --- a/third_party/tests/AzadiRTL/AzadiRTL.log +++ b/third_party/tests/AzadiRTL/AzadiRTL.log @@ -1675,184 +1675,14 @@ there are 1 more instances of this message. [INF:CP0335] fpnew_opgroup_block.sv:80: Compile generate block "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[0]". -[INF:CP0335] fpnew_opgroup_block.sv:87: Compile generate block "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[0].active_format". - -[INF:CP0335] fpnew_opgroup_fmt_slice.sv:79: Compile generate block "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[0].active_format.i_fmt_slice.gen_num_lanes[0]". - -[INF:CP0335] fpnew_opgroup_fmt_slice.sv:84: Compile generate block "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[0].active_format.i_fmt_slice.gen_num_lanes[0].active_lane". - -[INF:CP0303] fpnew_opgroup_fmt_slice.sv:161: Compile module "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[0].active_format.i_fmt_slice.gen_num_lanes[0].active_lane.lane_instance". - -[INF:CP0335] fpnew_opgroup_fmt_slice.sv:216: Compile generate block "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[0].active_format.i_fmt_slice.gen_num_lanes[0].vectorial_class". - -[INF:CP0335] fpnew_opgroup_fmt_slice.sv:79: Compile generate block "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[0].active_format.i_fmt_slice.gen_num_lanes[1]". - -[INF:CP0335] fpnew_opgroup_fmt_slice.sv:84: Compile generate block "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[0].active_format.i_fmt_slice.gen_num_lanes[1].active_lane". - -[INF:CP0303] fpnew_opgroup_fmt_slice.sv:161: Compile module "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[0].active_format.i_fmt_slice.gen_num_lanes[1].active_lane.lane_instance". - -[INF:CP0335] fpnew_opgroup_fmt_slice.sv:216: Compile generate block "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[0].active_format.i_fmt_slice.gen_num_lanes[1].vectorial_class". - -[INF:CP0335] fpnew_opgroup_fmt_slice.sv:250: Compile generate block "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[0].active_format.i_fmt_slice.pad_vectorial_class". - [INF:CP0335] fpnew_opgroup_block.sv:80: Compile generate block "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[1]". -[INF:CP0335] fpnew_opgroup_block.sv:87: Compile generate block "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[1].active_format". - -[INF:CP0335] fpnew_opgroup_fmt_slice.sv:79: Compile generate block "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[1].active_format.i_fmt_slice.gen_num_lanes[0]". - -[INF:CP0335] fpnew_opgroup_fmt_slice.sv:84: Compile generate block "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[1].active_format.i_fmt_slice.gen_num_lanes[0].active_lane". - -[INF:CP0303] fpnew_opgroup_fmt_slice.sv:161: Compile module "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[1].active_format.i_fmt_slice.gen_num_lanes[0].active_lane.lane_instance". - -[INF:CP0335] fpnew_opgroup_fmt_slice.sv:216: Compile generate block "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[1].active_format.i_fmt_slice.gen_num_lanes[0].vectorial_class". - -[INF:CP0335] fpnew_opgroup_fmt_slice.sv:250: Compile generate block "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[1].active_format.i_fmt_slice.pad_vectorial_class". - [INF:CP0335] fpnew_opgroup_block.sv:80: Compile generate block "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[2]". -[INF:CP0335] fpnew_opgroup_block.sv:87: Compile generate block "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[2].active_format". - -[INF:CP0335] fpnew_opgroup_fmt_slice.sv:79: Compile generate block "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice.gen_num_lanes[0]". - -[INF:CP0335] fpnew_opgroup_fmt_slice.sv:84: Compile generate block "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice.gen_num_lanes[0].active_lane". - -[INF:CP0303] fpnew_opgroup_fmt_slice.sv:161: Compile module "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice.gen_num_lanes[0].active_lane.lane_instance". - -[INF:CP0335] fpnew_opgroup_fmt_slice.sv:216: Compile generate block "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice.gen_num_lanes[0].vectorial_class". - -[INF:CP0335] fpnew_opgroup_fmt_slice.sv:79: Compile generate block "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice.gen_num_lanes[1]". - -[INF:CP0335] fpnew_opgroup_fmt_slice.sv:84: Compile generate block "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice.gen_num_lanes[1].active_lane". - -[INF:CP0303] fpnew_opgroup_fmt_slice.sv:161: Compile module "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice.gen_num_lanes[1].active_lane.lane_instance". - -[INF:CP0335] fpnew_opgroup_fmt_slice.sv:216: Compile generate block "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice.gen_num_lanes[1].vectorial_class". - -[INF:CP0335] fpnew_opgroup_fmt_slice.sv:79: Compile generate block "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice.gen_num_lanes[2]". - -[INF:CP0335] fpnew_opgroup_fmt_slice.sv:84: Compile generate block "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice.gen_num_lanes[2].active_lane". - -[INF:CP0303] fpnew_opgroup_fmt_slice.sv:161: Compile module "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice.gen_num_lanes[2].active_lane.lane_instance". - -[INF:CP0335] fpnew_opgroup_fmt_slice.sv:216: Compile generate block "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice.gen_num_lanes[2].vectorial_class". - -[INF:CP0335] fpnew_opgroup_fmt_slice.sv:79: Compile generate block "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice.gen_num_lanes[3]". - -[INF:CP0335] fpnew_opgroup_fmt_slice.sv:84: Compile generate block "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice.gen_num_lanes[3].active_lane". - -[INF:CP0303] fpnew_opgroup_fmt_slice.sv:161: Compile module "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice.gen_num_lanes[3].active_lane.lane_instance". - -[INF:CP0335] fpnew_opgroup_fmt_slice.sv:216: Compile generate block "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice.gen_num_lanes[3].vectorial_class". - -[INF:CP0335] fpnew_opgroup_fmt_slice.sv:250: Compile generate block "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice.pad_vectorial_class". - [INF:CP0335] fpnew_opgroup_block.sv:80: Compile generate block "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3]". -[INF:CP0335] fpnew_opgroup_block.sv:87: Compile generate block "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].active_format". - -[INF:CP0335] fpnew_opgroup_fmt_slice.sv:79: Compile generate block "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[0]". - -[INF:CP0335] fpnew_opgroup_fmt_slice.sv:84: Compile generate block "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[0].active_lane". - -[INF:CP0303] fpnew_opgroup_fmt_slice.sv:161: Compile module "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[0].active_lane.lane_instance". - -[INF:CP0335] fpnew_opgroup_fmt_slice.sv:216: Compile generate block "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[0].vectorial_class". - -[INF:CP0335] fpnew_opgroup_fmt_slice.sv:79: Compile generate block "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[1]". - -[INF:CP0335] fpnew_opgroup_fmt_slice.sv:84: Compile generate block "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[1].active_lane". - -[INF:CP0303] fpnew_opgroup_fmt_slice.sv:161: Compile module "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[1].active_lane.lane_instance". - -[INF:CP0335] fpnew_opgroup_fmt_slice.sv:216: Compile generate block "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[1].vectorial_class". - -[INF:CP0335] fpnew_opgroup_fmt_slice.sv:79: Compile generate block "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[2]". - -[INF:CP0335] fpnew_opgroup_fmt_slice.sv:84: Compile generate block "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[2].active_lane". - -[INF:CP0303] fpnew_opgroup_fmt_slice.sv:161: Compile module "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[2].active_lane.lane_instance". - -[INF:CP0335] fpnew_opgroup_fmt_slice.sv:216: Compile generate block "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[2].vectorial_class". - -[INF:CP0335] fpnew_opgroup_fmt_slice.sv:79: Compile generate block "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[3]". - -[INF:CP0335] fpnew_opgroup_fmt_slice.sv:84: Compile generate block "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[3].active_lane". - -[INF:CP0303] fpnew_opgroup_fmt_slice.sv:161: Compile module "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[3].active_lane.lane_instance". - -[INF:CP0335] fpnew_opgroup_fmt_slice.sv:216: Compile generate block "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[3].vectorial_class". - -[INF:CP0335] fpnew_opgroup_fmt_slice.sv:79: Compile generate block "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[4]". - -[INF:CP0335] fpnew_opgroup_fmt_slice.sv:84: Compile generate block "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[4].active_lane". - -[INF:CP0303] fpnew_opgroup_fmt_slice.sv:161: Compile module "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[4].active_lane.lane_instance". - -[INF:CP0335] fpnew_opgroup_fmt_slice.sv:216: Compile generate block "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[4].vectorial_class". - -[INF:CP0335] fpnew_opgroup_fmt_slice.sv:79: Compile generate block "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[5]". - -[INF:CP0335] fpnew_opgroup_fmt_slice.sv:84: Compile generate block "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[5].active_lane". - -[INF:CP0303] fpnew_opgroup_fmt_slice.sv:161: Compile module "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[5].active_lane.lane_instance". - -[INF:CP0335] fpnew_opgroup_fmt_slice.sv:216: Compile generate block "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[5].vectorial_class". - -[INF:CP0335] fpnew_opgroup_fmt_slice.sv:79: Compile generate block "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[6]". - -[INF:CP0335] fpnew_opgroup_fmt_slice.sv:84: Compile generate block "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[6].active_lane". - -[INF:CP0303] fpnew_opgroup_fmt_slice.sv:161: Compile module "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[6].active_lane.lane_instance". - -[INF:CP0335] fpnew_opgroup_fmt_slice.sv:216: Compile generate block "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[6].vectorial_class". - -[INF:CP0335] fpnew_opgroup_fmt_slice.sv:79: Compile generate block "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[7]". - -[INF:CP0335] fpnew_opgroup_fmt_slice.sv:84: Compile generate block "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[7].active_lane". - -[INF:CP0303] fpnew_opgroup_fmt_slice.sv:161: Compile module "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[7].active_lane.lane_instance". - -[INF:CP0335] fpnew_opgroup_fmt_slice.sv:216: Compile generate block "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[7].vectorial_class". - [INF:CP0335] fpnew_opgroup_block.sv:80: Compile generate block "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[4]". -[INF:CP0335] fpnew_opgroup_block.sv:87: Compile generate block "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[4].active_format". - -[INF:CP0335] fpnew_opgroup_fmt_slice.sv:79: Compile generate block "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.gen_num_lanes[0]". - -[INF:CP0335] fpnew_opgroup_fmt_slice.sv:84: Compile generate block "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.gen_num_lanes[0].active_lane". - -[INF:CP0303] fpnew_opgroup_fmt_slice.sv:161: Compile module "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.gen_num_lanes[0].active_lane.lane_instance". - -[INF:CP0335] fpnew_opgroup_fmt_slice.sv:216: Compile generate block "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.gen_num_lanes[0].vectorial_class". - -[INF:CP0335] fpnew_opgroup_fmt_slice.sv:79: Compile generate block "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.gen_num_lanes[1]". - -[INF:CP0335] fpnew_opgroup_fmt_slice.sv:84: Compile generate block "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.gen_num_lanes[1].active_lane". - -[INF:CP0303] fpnew_opgroup_fmt_slice.sv:161: Compile module "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.gen_num_lanes[1].active_lane.lane_instance". - -[INF:CP0335] fpnew_opgroup_fmt_slice.sv:216: Compile generate block "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.gen_num_lanes[1].vectorial_class". - -[INF:CP0335] fpnew_opgroup_fmt_slice.sv:79: Compile generate block "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.gen_num_lanes[2]". - -[INF:CP0335] fpnew_opgroup_fmt_slice.sv:84: Compile generate block "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.gen_num_lanes[2].active_lane". - -[INF:CP0303] fpnew_opgroup_fmt_slice.sv:161: Compile module "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.gen_num_lanes[2].active_lane.lane_instance". - -[INF:CP0335] fpnew_opgroup_fmt_slice.sv:216: Compile generate block "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.gen_num_lanes[2].vectorial_class". - -[INF:CP0335] fpnew_opgroup_fmt_slice.sv:79: Compile generate block "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.gen_num_lanes[3]". - -[INF:CP0335] fpnew_opgroup_fmt_slice.sv:84: Compile generate block "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.gen_num_lanes[3].active_lane". - -[INF:CP0303] fpnew_opgroup_fmt_slice.sv:161: Compile module "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.gen_num_lanes[3].active_lane.lane_instance". - -[INF:CP0335] fpnew_opgroup_fmt_slice.sv:216: Compile generate block "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.gen_num_lanes[3].vectorial_class". - -[INF:CP0335] fpnew_opgroup_fmt_slice.sv:250: Compile generate block "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.pad_vectorial_class". - [INF:CP0335] rr_arb_tree.sv:126: Compile generate block "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.i_arbiter.gen_arbiter". [INF:CP0335] rr_arb_tree.sv:146: Compile generate block "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.i_arbiter.gen_arbiter.gen_int_rr". @@ -2159,146 +1989,14 @@ there are 1 more instances of this message. [INF:CP0335] fpnew_opgroup_block.sv:80: Compile generate block "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[0]". -[INF:CP0335] fpnew_opgroup_block.sv:87: Compile generate block "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[0].active_format". - -[INF:CP0335] fpnew_opgroup_fmt_slice.sv:79: Compile generate block "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[0].active_format.i_fmt_slice.gen_num_lanes[0]". - -[INF:CP0335] fpnew_opgroup_fmt_slice.sv:84: Compile generate block "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[0].active_format.i_fmt_slice.gen_num_lanes[0].active_lane". - -[INF:CP0335] fpnew_opgroup_fmt_slice.sv:216: Compile generate block "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[0].active_format.i_fmt_slice.gen_num_lanes[0].vectorial_class". - -[INF:CP0335] fpnew_opgroup_fmt_slice.sv:79: Compile generate block "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[0].active_format.i_fmt_slice.gen_num_lanes[1]". - -[INF:CP0335] fpnew_opgroup_fmt_slice.sv:84: Compile generate block "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[0].active_format.i_fmt_slice.gen_num_lanes[1].active_lane". - -[INF:CP0335] fpnew_opgroup_fmt_slice.sv:216: Compile generate block "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[0].active_format.i_fmt_slice.gen_num_lanes[1].vectorial_class". - -[INF:CP0335] fpnew_opgroup_fmt_slice.sv:250: Compile generate block "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[0].active_format.i_fmt_slice.pad_vectorial_class". - [INF:CP0335] fpnew_opgroup_block.sv:80: Compile generate block "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[1]". -[INF:CP0335] fpnew_opgroup_block.sv:87: Compile generate block "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[1].active_format". - -[INF:CP0335] fpnew_opgroup_fmt_slice.sv:79: Compile generate block "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[1].active_format.i_fmt_slice.gen_num_lanes[0]". - -[INF:CP0335] fpnew_opgroup_fmt_slice.sv:84: Compile generate block "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[1].active_format.i_fmt_slice.gen_num_lanes[0].active_lane". - -[INF:CP0335] fpnew_opgroup_fmt_slice.sv:216: Compile generate block "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[1].active_format.i_fmt_slice.gen_num_lanes[0].vectorial_class". - -[INF:CP0335] fpnew_opgroup_fmt_slice.sv:250: Compile generate block "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[1].active_format.i_fmt_slice.pad_vectorial_class". - [INF:CP0335] fpnew_opgroup_block.sv:80: Compile generate block "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[2]". -[INF:CP0335] fpnew_opgroup_block.sv:87: Compile generate block "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[2].active_format". - -[INF:CP0335] fpnew_opgroup_fmt_slice.sv:79: Compile generate block "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice.gen_num_lanes[0]". - -[INF:CP0335] fpnew_opgroup_fmt_slice.sv:84: Compile generate block "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice.gen_num_lanes[0].active_lane". - -[INF:CP0335] fpnew_opgroup_fmt_slice.sv:216: Compile generate block "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice.gen_num_lanes[0].vectorial_class". - -[INF:CP0335] fpnew_opgroup_fmt_slice.sv:79: Compile generate block "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice.gen_num_lanes[1]". - -[INF:CP0335] fpnew_opgroup_fmt_slice.sv:84: Compile generate block "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice.gen_num_lanes[1].active_lane". - -[INF:CP0335] fpnew_opgroup_fmt_slice.sv:216: Compile generate block "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice.gen_num_lanes[1].vectorial_class". - -[INF:CP0335] fpnew_opgroup_fmt_slice.sv:79: Compile generate block "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice.gen_num_lanes[2]". - -[INF:CP0335] fpnew_opgroup_fmt_slice.sv:84: Compile generate block "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice.gen_num_lanes[2].active_lane". - -[INF:CP0335] fpnew_opgroup_fmt_slice.sv:216: Compile generate block "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice.gen_num_lanes[2].vectorial_class". - -[INF:CP0335] fpnew_opgroup_fmt_slice.sv:79: Compile generate block "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice.gen_num_lanes[3]". - -[INF:CP0335] fpnew_opgroup_fmt_slice.sv:84: Compile generate block "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice.gen_num_lanes[3].active_lane". - -[INF:CP0335] fpnew_opgroup_fmt_slice.sv:216: Compile generate block "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice.gen_num_lanes[3].vectorial_class". - -[INF:CP0335] fpnew_opgroup_fmt_slice.sv:250: Compile generate block "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice.pad_vectorial_class". - [INF:CP0335] fpnew_opgroup_block.sv:80: Compile generate block "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3]". -[INF:CP0335] fpnew_opgroup_block.sv:87: Compile generate block "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3].active_format". - -[INF:CP0335] fpnew_opgroup_fmt_slice.sv:79: Compile generate block "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[0]". - -[INF:CP0335] fpnew_opgroup_fmt_slice.sv:84: Compile generate block "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[0].active_lane". - -[INF:CP0335] fpnew_opgroup_fmt_slice.sv:216: Compile generate block "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[0].vectorial_class". - -[INF:CP0335] fpnew_opgroup_fmt_slice.sv:79: Compile generate block "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[1]". - -[INF:CP0335] fpnew_opgroup_fmt_slice.sv:84: Compile generate block "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[1].active_lane". - -[INF:CP0335] fpnew_opgroup_fmt_slice.sv:216: Compile generate block "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[1].vectorial_class". - -[INF:CP0335] fpnew_opgroup_fmt_slice.sv:79: Compile generate block "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[2]". - -[INF:CP0335] fpnew_opgroup_fmt_slice.sv:84: Compile generate block "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[2].active_lane". - -[INF:CP0335] fpnew_opgroup_fmt_slice.sv:216: Compile generate block "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[2].vectorial_class". - -[INF:CP0335] fpnew_opgroup_fmt_slice.sv:79: Compile generate block "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[3]". - -[INF:CP0335] fpnew_opgroup_fmt_slice.sv:84: Compile generate block "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[3].active_lane". - -[INF:CP0335] fpnew_opgroup_fmt_slice.sv:216: Compile generate block "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[3].vectorial_class". - -[INF:CP0335] fpnew_opgroup_fmt_slice.sv:79: Compile generate block "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[4]". - -[INF:CP0335] fpnew_opgroup_fmt_slice.sv:84: Compile generate block "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[4].active_lane". - -[INF:CP0335] fpnew_opgroup_fmt_slice.sv:216: Compile generate block "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[4].vectorial_class". - -[INF:CP0335] fpnew_opgroup_fmt_slice.sv:79: Compile generate block "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[5]". - -[INF:CP0335] fpnew_opgroup_fmt_slice.sv:84: Compile generate block "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[5].active_lane". - -[INF:CP0335] fpnew_opgroup_fmt_slice.sv:216: Compile generate block "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[5].vectorial_class". - -[INF:CP0335] fpnew_opgroup_fmt_slice.sv:79: Compile generate block "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[6]". - -[INF:CP0335] fpnew_opgroup_fmt_slice.sv:84: Compile generate block "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[6].active_lane". - -[INF:CP0335] fpnew_opgroup_fmt_slice.sv:216: Compile generate block "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[6].vectorial_class". - -[INF:CP0335] fpnew_opgroup_fmt_slice.sv:79: Compile generate block "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[7]". - -[INF:CP0335] fpnew_opgroup_fmt_slice.sv:84: Compile generate block "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[7].active_lane". - -[INF:CP0335] fpnew_opgroup_fmt_slice.sv:216: Compile generate block "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[7].vectorial_class". - [INF:CP0335] fpnew_opgroup_block.sv:80: Compile generate block "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[4]". -[INF:CP0335] fpnew_opgroup_block.sv:87: Compile generate block "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[4].active_format". - -[INF:CP0335] fpnew_opgroup_fmt_slice.sv:79: Compile generate block "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.gen_num_lanes[0]". - -[INF:CP0335] fpnew_opgroup_fmt_slice.sv:84: Compile generate block "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.gen_num_lanes[0].active_lane". - -[INF:CP0335] fpnew_opgroup_fmt_slice.sv:216: Compile generate block "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.gen_num_lanes[0].vectorial_class". - -[INF:CP0335] fpnew_opgroup_fmt_slice.sv:79: Compile generate block "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.gen_num_lanes[1]". - -[INF:CP0335] fpnew_opgroup_fmt_slice.sv:84: Compile generate block "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.gen_num_lanes[1].active_lane". - -[INF:CP0335] fpnew_opgroup_fmt_slice.sv:216: Compile generate block "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.gen_num_lanes[1].vectorial_class". - -[INF:CP0335] fpnew_opgroup_fmt_slice.sv:79: Compile generate block "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.gen_num_lanes[2]". - -[INF:CP0335] fpnew_opgroup_fmt_slice.sv:84: Compile generate block "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.gen_num_lanes[2].active_lane". - -[INF:CP0335] fpnew_opgroup_fmt_slice.sv:216: Compile generate block "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.gen_num_lanes[2].vectorial_class". - -[INF:CP0335] fpnew_opgroup_fmt_slice.sv:79: Compile generate block "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.gen_num_lanes[3]". - -[INF:CP0335] fpnew_opgroup_fmt_slice.sv:84: Compile generate block "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.gen_num_lanes[3].active_lane". - -[INF:CP0335] fpnew_opgroup_fmt_slice.sv:216: Compile generate block "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.gen_num_lanes[3].vectorial_class". - -[INF:CP0335] fpnew_opgroup_fmt_slice.sv:250: Compile generate block "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.pad_vectorial_class". - [INF:CP0335] rr_arb_tree.sv:126: Compile generate block "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.i_arbiter.gen_arbiter". [INF:CP0335] rr_arb_tree.sv:146: Compile generate block "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.i_arbiter.gen_arbiter.gen_int_rr". @@ -9352,11 +9050,6 @@ Instance tree: [SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[3].active_format work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[3].active_format [SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[4].active_format work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[4].active_format [SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[0].i_opgroup_block.i_arbiter.gen_arbiter work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[0].i_opgroup_block.i_arbiter.gen_arbiter -[SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[0].active_format work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[0].active_format -[SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[1].active_format work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[1].active_format -[SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[2].active_format work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[2].active_format -[SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].active_format work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].active_format -[SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[4].active_format work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[4].active_format [SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.i_arbiter.gen_arbiter work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.i_arbiter.gen_arbiter [SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[0].active_format work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[0].active_format [SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[1].active_format work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[1].active_format @@ -9364,11 +9057,6 @@ Instance tree: [SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[3].active_format work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[3].active_format [SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[4].active_format work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[4].active_format [SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[2].i_opgroup_block.i_arbiter.gen_arbiter work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[2].i_opgroup_block.i_arbiter.gen_arbiter -[SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[0].active_format work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[0].active_format -[SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[1].active_format work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[1].active_format -[SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[2].active_format work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[2].active_format -[SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3].active_format work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3].active_format -[SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[4].active_format work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[4].active_format [SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.i_arbiter.gen_arbiter work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.i_arbiter.gen_arbiter [SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.i_arbiter.gen_arbiter.gen_int_rr.gen_no_lock work@azadi_soc_top.u_top.u_core.i_fpnew_top.i_arbiter.gen_arbiter.gen_int_rr.gen_no_lock [SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.i_arbiter.gen_arbiter.gen_int_rr.gen_fair_arb work@azadi_soc_top.u_top.u_core.i_fpnew_top.i_arbiter.gen_arbiter.gen_int_rr.gen_fair_arb @@ -9699,11 +9387,6 @@ Instance tree: [MOD] work@fpnew_opgroup_fmt_slice work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice [SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[0].i_opgroup_block.i_arbiter.gen_arbiter.gen_int_rr work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[0].i_opgroup_block.i_arbiter.gen_arbiter.gen_int_rr [SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[0].i_opgroup_block.i_arbiter.gen_arbiter.p_assert work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[0].i_opgroup_block.i_arbiter.gen_arbiter.p_assert -[MOD] work@fpnew_opgroup_fmt_slice work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[0].active_format.i_fmt_slice -[MOD] work@fpnew_opgroup_fmt_slice work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[1].active_format.i_fmt_slice -[MOD] work@fpnew_opgroup_fmt_slice work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice -[MOD] work@fpnew_opgroup_fmt_slice work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice -[MOD] work@fpnew_opgroup_fmt_slice work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice [SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.i_arbiter.gen_arbiter.gen_int_rr work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.i_arbiter.gen_arbiter.gen_int_rr [SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.i_arbiter.gen_arbiter.p_assert work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.i_arbiter.gen_arbiter.p_assert [MOD] work@fpnew_opgroup_fmt_slice work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[0].active_format.i_fmt_slice @@ -9713,11 +9396,6 @@ Instance tree: [MOD] work@fpnew_opgroup_fmt_slice work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice [SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[2].i_opgroup_block.i_arbiter.gen_arbiter.gen_int_rr work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[2].i_opgroup_block.i_arbiter.gen_arbiter.gen_int_rr [SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[2].i_opgroup_block.i_arbiter.gen_arbiter.p_assert work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[2].i_opgroup_block.i_arbiter.gen_arbiter.p_assert -[MOD] work@fpnew_opgroup_fmt_slice work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[0].active_format.i_fmt_slice -[MOD] work@fpnew_opgroup_fmt_slice work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[1].active_format.i_fmt_slice -[MOD] work@fpnew_opgroup_fmt_slice work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice -[MOD] work@fpnew_opgroup_fmt_slice work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice -[MOD] work@fpnew_opgroup_fmt_slice work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice [SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.i_arbiter.gen_arbiter.gen_int_rr work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.i_arbiter.gen_arbiter.gen_int_rr [SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.i_arbiter.gen_arbiter.p_assert work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.i_arbiter.gen_arbiter.p_assert [SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.i_arbiter.gen_arbiter.gen_int_rr.gen_fair_arb.gen_mask[0] work@azadi_soc_top.u_top.u_core.i_fpnew_top.i_arbiter.gen_arbiter.gen_int_rr.gen_fair_arb.gen_mask[0] @@ -9836,34 +9514,6 @@ Instance tree: [SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[0].i_opgroup_block.i_arbiter.gen_arbiter.gen_int_rr.gen_no_lock work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[0].i_opgroup_block.i_arbiter.gen_arbiter.gen_int_rr.gen_no_lock [SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[0].i_opgroup_block.i_arbiter.gen_arbiter.gen_int_rr.gen_fair_arb work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[0].i_opgroup_block.i_arbiter.gen_arbiter.gen_int_rr.gen_fair_arb [SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[0].i_opgroup_block.i_arbiter.gen_arbiter.gen_int_rr.p_rr_regs work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[0].i_opgroup_block.i_arbiter.gen_arbiter.gen_int_rr.p_rr_regs -[SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[0].active_format.i_fmt_slice.gen_num_lanes[0] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[0].active_format.i_fmt_slice.gen_num_lanes[0] -[SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[0].active_format.i_fmt_slice.gen_num_lanes[1] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[0].active_format.i_fmt_slice.gen_num_lanes[1] -[SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[0].active_format.i_fmt_slice.pad_vectorial_class work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[0].active_format.i_fmt_slice.pad_vectorial_class -[SCO] work@fpnew_opgroup_fmt_slice.output_processing work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[0].active_format.i_fmt_slice.output_processing -[SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[1].active_format.i_fmt_slice.gen_num_lanes[0] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[1].active_format.i_fmt_slice.gen_num_lanes[0] -[SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[1].active_format.i_fmt_slice.pad_vectorial_class work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[1].active_format.i_fmt_slice.pad_vectorial_class -[SCO] work@fpnew_opgroup_fmt_slice.output_processing work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[1].active_format.i_fmt_slice.output_processing -[SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice.gen_num_lanes[0] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice.gen_num_lanes[0] -[SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice.gen_num_lanes[1] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice.gen_num_lanes[1] -[SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice.gen_num_lanes[2] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice.gen_num_lanes[2] -[SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice.gen_num_lanes[3] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice.gen_num_lanes[3] -[SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice.pad_vectorial_class work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice.pad_vectorial_class -[SCO] work@fpnew_opgroup_fmt_slice.output_processing work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice.output_processing -[SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[0] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[0] -[SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[1] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[1] -[SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[2] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[2] -[SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[3] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[3] -[SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[4] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[4] -[SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[5] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[5] -[SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[6] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[6] -[SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[7] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[7] -[SCO] work@fpnew_opgroup_fmt_slice.output_processing work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.output_processing -[SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.gen_num_lanes[0] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.gen_num_lanes[0] -[SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.gen_num_lanes[1] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.gen_num_lanes[1] -[SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.gen_num_lanes[2] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.gen_num_lanes[2] -[SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.gen_num_lanes[3] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.gen_num_lanes[3] -[SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.pad_vectorial_class work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.pad_vectorial_class -[SCO] work@fpnew_opgroup_fmt_slice.output_processing work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.output_processing [SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.i_arbiter.gen_arbiter.gen_int_rr.gen_no_lock work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.i_arbiter.gen_arbiter.gen_int_rr.gen_no_lock [SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.i_arbiter.gen_arbiter.gen_int_rr.gen_fair_arb work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.i_arbiter.gen_arbiter.gen_int_rr.gen_fair_arb [SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.i_arbiter.gen_arbiter.gen_int_rr.p_rr_regs work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.i_arbiter.gen_arbiter.gen_int_rr.p_rr_regs @@ -9898,34 +9548,6 @@ Instance tree: [SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[2].i_opgroup_block.i_arbiter.gen_arbiter.gen_int_rr.gen_no_lock work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[2].i_opgroup_block.i_arbiter.gen_arbiter.gen_int_rr.gen_no_lock [SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[2].i_opgroup_block.i_arbiter.gen_arbiter.gen_int_rr.gen_fair_arb work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[2].i_opgroup_block.i_arbiter.gen_arbiter.gen_int_rr.gen_fair_arb [SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[2].i_opgroup_block.i_arbiter.gen_arbiter.gen_int_rr.p_rr_regs work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[2].i_opgroup_block.i_arbiter.gen_arbiter.gen_int_rr.p_rr_regs -[SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[0].active_format.i_fmt_slice.gen_num_lanes[0] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[0].active_format.i_fmt_slice.gen_num_lanes[0] -[SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[0].active_format.i_fmt_slice.gen_num_lanes[1] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[0].active_format.i_fmt_slice.gen_num_lanes[1] -[SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[0].active_format.i_fmt_slice.pad_vectorial_class work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[0].active_format.i_fmt_slice.pad_vectorial_class -[SCO] work@fpnew_opgroup_fmt_slice.output_processing work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[0].active_format.i_fmt_slice.output_processing -[SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[1].active_format.i_fmt_slice.gen_num_lanes[0] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[1].active_format.i_fmt_slice.gen_num_lanes[0] -[SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[1].active_format.i_fmt_slice.pad_vectorial_class work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[1].active_format.i_fmt_slice.pad_vectorial_class -[SCO] work@fpnew_opgroup_fmt_slice.output_processing work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[1].active_format.i_fmt_slice.output_processing -[SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice.gen_num_lanes[0] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice.gen_num_lanes[0] -[SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice.gen_num_lanes[1] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice.gen_num_lanes[1] -[SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice.gen_num_lanes[2] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice.gen_num_lanes[2] -[SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice.gen_num_lanes[3] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice.gen_num_lanes[3] -[SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice.pad_vectorial_class work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice.pad_vectorial_class -[SCO] work@fpnew_opgroup_fmt_slice.output_processing work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice.output_processing -[SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[0] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[0] -[SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[1] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[1] -[SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[2] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[2] -[SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[3] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[3] -[SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[4] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[4] -[SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[5] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[5] -[SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[6] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[6] -[SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[7] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[7] -[SCO] work@fpnew_opgroup_fmt_slice.output_processing work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.output_processing -[SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.gen_num_lanes[0] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.gen_num_lanes[0] -[SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.gen_num_lanes[1] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.gen_num_lanes[1] -[SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.gen_num_lanes[2] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.gen_num_lanes[2] -[SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.gen_num_lanes[3] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.gen_num_lanes[3] -[SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.pad_vectorial_class work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.pad_vectorial_class -[SCO] work@fpnew_opgroup_fmt_slice.output_processing work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.output_processing [SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.i_arbiter.gen_arbiter.gen_int_rr.gen_no_lock work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.i_arbiter.gen_arbiter.gen_int_rr.gen_no_lock [SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.i_arbiter.gen_arbiter.gen_int_rr.gen_fair_arb work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.i_arbiter.gen_arbiter.gen_int_rr.gen_fair_arb [SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.i_arbiter.gen_arbiter.gen_int_rr.p_rr_regs work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.i_arbiter.gen_arbiter.gen_int_rr.p_rr_regs @@ -10015,44 +9637,6 @@ Instance tree: [MOD] work@lzc work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[0].i_opgroup_block.i_arbiter.gen_arbiter.gen_int_rr.gen_fair_arb.i_lzc_lower [SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[0].i_opgroup_block.i_arbiter.gen_arbiter.gen_int_rr.p_rr_regs.UNNAMED work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[0].i_opgroup_block.i_arbiter.gen_arbiter.gen_int_rr.p_rr_regs.UNNAMED [SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[0].i_opgroup_block.i_arbiter.gen_arbiter.gen_int_rr.p_rr_regs.UNNAMED work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[0].i_opgroup_block.i_arbiter.gen_arbiter.gen_int_rr.p_rr_regs.UNNAMED -[SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[0].active_format.i_fmt_slice.gen_num_lanes[0].active_lane work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[0].active_format.i_fmt_slice.gen_num_lanes[0].active_lane -[SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[0].active_format.i_fmt_slice.gen_num_lanes[0].vectorial_class work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[0].active_format.i_fmt_slice.gen_num_lanes[0].vectorial_class -[SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[0].active_format.i_fmt_slice.gen_num_lanes[1].active_lane work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[0].active_format.i_fmt_slice.gen_num_lanes[1].active_lane -[SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[0].active_format.i_fmt_slice.gen_num_lanes[1].vectorial_class work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[0].active_format.i_fmt_slice.gen_num_lanes[1].vectorial_class -[SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[1].active_format.i_fmt_slice.gen_num_lanes[0].active_lane work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[1].active_format.i_fmt_slice.gen_num_lanes[0].active_lane -[SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[1].active_format.i_fmt_slice.gen_num_lanes[0].vectorial_class work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[1].active_format.i_fmt_slice.gen_num_lanes[0].vectorial_class -[SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice.gen_num_lanes[0].active_lane work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice.gen_num_lanes[0].active_lane -[SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice.gen_num_lanes[0].vectorial_class work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice.gen_num_lanes[0].vectorial_class -[SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice.gen_num_lanes[1].active_lane work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice.gen_num_lanes[1].active_lane -[SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice.gen_num_lanes[1].vectorial_class work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice.gen_num_lanes[1].vectorial_class -[SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice.gen_num_lanes[2].active_lane work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice.gen_num_lanes[2].active_lane -[SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice.gen_num_lanes[2].vectorial_class work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice.gen_num_lanes[2].vectorial_class -[SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice.gen_num_lanes[3].active_lane work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice.gen_num_lanes[3].active_lane -[SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice.gen_num_lanes[3].vectorial_class work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice.gen_num_lanes[3].vectorial_class -[SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[0].active_lane work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[0].active_lane -[SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[0].vectorial_class work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[0].vectorial_class -[SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[1].active_lane work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[1].active_lane -[SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[1].vectorial_class work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[1].vectorial_class -[SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[2].active_lane work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[2].active_lane -[SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[2].vectorial_class work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[2].vectorial_class -[SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[3].active_lane work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[3].active_lane -[SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[3].vectorial_class work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[3].vectorial_class -[SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[4].active_lane work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[4].active_lane -[SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[4].vectorial_class work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[4].vectorial_class -[SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[5].active_lane work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[5].active_lane -[SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[5].vectorial_class work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[5].vectorial_class -[SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[6].active_lane work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[6].active_lane -[SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[6].vectorial_class work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[6].vectorial_class -[SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[7].active_lane work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[7].active_lane -[SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[7].vectorial_class work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[7].vectorial_class -[SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.gen_num_lanes[0].active_lane work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.gen_num_lanes[0].active_lane -[SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.gen_num_lanes[0].vectorial_class work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.gen_num_lanes[0].vectorial_class -[SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.gen_num_lanes[1].active_lane work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.gen_num_lanes[1].active_lane -[SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.gen_num_lanes[1].vectorial_class work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.gen_num_lanes[1].vectorial_class -[SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.gen_num_lanes[2].active_lane work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.gen_num_lanes[2].active_lane -[SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.gen_num_lanes[2].vectorial_class work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.gen_num_lanes[2].vectorial_class -[SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.gen_num_lanes[3].active_lane work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.gen_num_lanes[3].active_lane -[SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.gen_num_lanes[3].vectorial_class work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.gen_num_lanes[3].vectorial_class [SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.i_arbiter.gen_arbiter.gen_int_rr.gen_fair_arb.gen_mask[0] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.i_arbiter.gen_arbiter.gen_int_rr.gen_fair_arb.gen_mask[0] [SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.i_arbiter.gen_arbiter.gen_int_rr.gen_fair_arb.gen_mask[1] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.i_arbiter.gen_arbiter.gen_int_rr.gen_fair_arb.gen_mask[1] [SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.i_arbiter.gen_arbiter.gen_int_rr.gen_fair_arb.gen_mask[2] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.i_arbiter.gen_arbiter.gen_int_rr.gen_fair_arb.gen_mask[2] @@ -10109,44 +9693,6 @@ Instance tree: [MOD] work@lzc work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[2].i_opgroup_block.i_arbiter.gen_arbiter.gen_int_rr.gen_fair_arb.i_lzc_lower [SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[2].i_opgroup_block.i_arbiter.gen_arbiter.gen_int_rr.p_rr_regs.UNNAMED work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[2].i_opgroup_block.i_arbiter.gen_arbiter.gen_int_rr.p_rr_regs.UNNAMED [SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[2].i_opgroup_block.i_arbiter.gen_arbiter.gen_int_rr.p_rr_regs.UNNAMED work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[2].i_opgroup_block.i_arbiter.gen_arbiter.gen_int_rr.p_rr_regs.UNNAMED -[SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[0].active_format.i_fmt_slice.gen_num_lanes[0].active_lane work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[0].active_format.i_fmt_slice.gen_num_lanes[0].active_lane -[SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[0].active_format.i_fmt_slice.gen_num_lanes[0].vectorial_class work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[0].active_format.i_fmt_slice.gen_num_lanes[0].vectorial_class -[SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[0].active_format.i_fmt_slice.gen_num_lanes[1].active_lane work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[0].active_format.i_fmt_slice.gen_num_lanes[1].active_lane -[SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[0].active_format.i_fmt_slice.gen_num_lanes[1].vectorial_class work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[0].active_format.i_fmt_slice.gen_num_lanes[1].vectorial_class -[SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[1].active_format.i_fmt_slice.gen_num_lanes[0].active_lane work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[1].active_format.i_fmt_slice.gen_num_lanes[0].active_lane -[SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[1].active_format.i_fmt_slice.gen_num_lanes[0].vectorial_class work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[1].active_format.i_fmt_slice.gen_num_lanes[0].vectorial_class -[SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice.gen_num_lanes[0].active_lane work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice.gen_num_lanes[0].active_lane -[SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice.gen_num_lanes[0].vectorial_class work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice.gen_num_lanes[0].vectorial_class -[SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice.gen_num_lanes[1].active_lane work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice.gen_num_lanes[1].active_lane -[SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice.gen_num_lanes[1].vectorial_class work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice.gen_num_lanes[1].vectorial_class -[SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice.gen_num_lanes[2].active_lane work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice.gen_num_lanes[2].active_lane -[SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice.gen_num_lanes[2].vectorial_class work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice.gen_num_lanes[2].vectorial_class -[SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice.gen_num_lanes[3].active_lane work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice.gen_num_lanes[3].active_lane -[SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice.gen_num_lanes[3].vectorial_class work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice.gen_num_lanes[3].vectorial_class -[SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[0].active_lane work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[0].active_lane -[SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[0].vectorial_class work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[0].vectorial_class -[SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[1].active_lane work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[1].active_lane -[SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[1].vectorial_class work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[1].vectorial_class -[SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[2].active_lane work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[2].active_lane -[SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[2].vectorial_class work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[2].vectorial_class -[SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[3].active_lane work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[3].active_lane -[SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[3].vectorial_class work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[3].vectorial_class -[SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[4].active_lane work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[4].active_lane -[SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[4].vectorial_class work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[4].vectorial_class -[SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[5].active_lane work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[5].active_lane -[SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[5].vectorial_class work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[5].vectorial_class -[SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[6].active_lane work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[6].active_lane -[SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[6].vectorial_class work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[6].vectorial_class -[SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[7].active_lane work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[7].active_lane -[SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[7].vectorial_class work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[7].vectorial_class -[SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.gen_num_lanes[0].active_lane work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.gen_num_lanes[0].active_lane -[SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.gen_num_lanes[0].vectorial_class work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.gen_num_lanes[0].vectorial_class -[SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.gen_num_lanes[1].active_lane work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.gen_num_lanes[1].active_lane -[SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.gen_num_lanes[1].vectorial_class work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.gen_num_lanes[1].vectorial_class -[SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.gen_num_lanes[2].active_lane work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.gen_num_lanes[2].active_lane -[SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.gen_num_lanes[2].vectorial_class work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.gen_num_lanes[2].vectorial_class -[SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.gen_num_lanes[3].active_lane work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.gen_num_lanes[3].active_lane -[SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.gen_num_lanes[3].vectorial_class work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.gen_num_lanes[3].vectorial_class [SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.i_arbiter.gen_arbiter.gen_int_rr.gen_fair_arb.gen_mask[0] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.i_arbiter.gen_arbiter.gen_int_rr.gen_fair_arb.gen_mask[0] [SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.i_arbiter.gen_arbiter.gen_int_rr.gen_fair_arb.gen_mask[1] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.i_arbiter.gen_arbiter.gen_int_rr.gen_fair_arb.gen_mask[1] [SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.i_arbiter.gen_arbiter.gen_int_rr.gen_fair_arb.gen_mask[2] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.i_arbiter.gen_arbiter.gen_int_rr.gen_fair_arb.gen_mask[2] @@ -10209,44 +9755,6 @@ Instance tree: [SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[0].i_opgroup_block.i_arbiter.gen_arbiter.gen_int_rr.gen_fair_arb.i_lzc_lower.gen_lzc work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[0].i_opgroup_block.i_arbiter.gen_arbiter.gen_int_rr.gen_fair_arb.i_lzc_lower.gen_lzc [SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[0].i_opgroup_block.i_arbiter.gen_arbiter.gen_int_rr.p_rr_regs.UNNAMED.UNNAMED work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[0].i_opgroup_block.i_arbiter.gen_arbiter.gen_int_rr.p_rr_regs.UNNAMED.UNNAMED [SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[0].i_opgroup_block.i_arbiter.gen_arbiter.gen_int_rr.p_rr_regs.UNNAMED.UNNAMED work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[0].i_opgroup_block.i_arbiter.gen_arbiter.gen_int_rr.p_rr_regs.UNNAMED.UNNAMED -[SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[0].active_format.i_fmt_slice.gen_num_lanes[0].active_lane.prepare_input work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[0].active_format.i_fmt_slice.gen_num_lanes[0].active_lane.prepare_input -[SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[0].active_format.i_fmt_slice.gen_num_lanes[0].active_lane.lane_instance work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[0].active_format.i_fmt_slice.gen_num_lanes[0].active_lane.lane_instance -[SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[0].active_format.i_fmt_slice.gen_num_lanes[1].active_lane.prepare_input work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[0].active_format.i_fmt_slice.gen_num_lanes[1].active_lane.prepare_input -[SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[0].active_format.i_fmt_slice.gen_num_lanes[1].active_lane.lane_instance work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[0].active_format.i_fmt_slice.gen_num_lanes[1].active_lane.lane_instance -[SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[1].active_format.i_fmt_slice.gen_num_lanes[0].active_lane.prepare_input work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[1].active_format.i_fmt_slice.gen_num_lanes[0].active_lane.prepare_input -[SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[1].active_format.i_fmt_slice.gen_num_lanes[0].active_lane.lane_instance work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[1].active_format.i_fmt_slice.gen_num_lanes[0].active_lane.lane_instance -[SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice.gen_num_lanes[0].active_lane.prepare_input work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice.gen_num_lanes[0].active_lane.prepare_input -[SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice.gen_num_lanes[0].active_lane.lane_instance work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice.gen_num_lanes[0].active_lane.lane_instance -[SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice.gen_num_lanes[1].active_lane.prepare_input work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice.gen_num_lanes[1].active_lane.prepare_input -[SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice.gen_num_lanes[1].active_lane.lane_instance work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice.gen_num_lanes[1].active_lane.lane_instance -[SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice.gen_num_lanes[2].active_lane.prepare_input work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice.gen_num_lanes[2].active_lane.prepare_input -[SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice.gen_num_lanes[2].active_lane.lane_instance work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice.gen_num_lanes[2].active_lane.lane_instance -[SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice.gen_num_lanes[3].active_lane.prepare_input work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice.gen_num_lanes[3].active_lane.prepare_input -[SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice.gen_num_lanes[3].active_lane.lane_instance work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice.gen_num_lanes[3].active_lane.lane_instance -[SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[0].active_lane.prepare_input work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[0].active_lane.prepare_input -[SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[0].active_lane.lane_instance work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[0].active_lane.lane_instance -[SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[1].active_lane.prepare_input work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[1].active_lane.prepare_input -[SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[1].active_lane.lane_instance work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[1].active_lane.lane_instance -[SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[2].active_lane.prepare_input work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[2].active_lane.prepare_input -[SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[2].active_lane.lane_instance work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[2].active_lane.lane_instance -[SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[3].active_lane.prepare_input work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[3].active_lane.prepare_input -[SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[3].active_lane.lane_instance work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[3].active_lane.lane_instance -[SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[4].active_lane.prepare_input work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[4].active_lane.prepare_input -[SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[4].active_lane.lane_instance work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[4].active_lane.lane_instance -[SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[5].active_lane.prepare_input work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[5].active_lane.prepare_input -[SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[5].active_lane.lane_instance work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[5].active_lane.lane_instance -[SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[6].active_lane.prepare_input work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[6].active_lane.prepare_input -[SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[6].active_lane.lane_instance work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[6].active_lane.lane_instance -[SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[7].active_lane.prepare_input work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[7].active_lane.prepare_input -[SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[7].active_lane.lane_instance work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[7].active_lane.lane_instance -[SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.gen_num_lanes[0].active_lane.prepare_input work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.gen_num_lanes[0].active_lane.prepare_input -[SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.gen_num_lanes[0].active_lane.lane_instance work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.gen_num_lanes[0].active_lane.lane_instance -[SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.gen_num_lanes[1].active_lane.prepare_input work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.gen_num_lanes[1].active_lane.prepare_input -[SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.gen_num_lanes[1].active_lane.lane_instance work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.gen_num_lanes[1].active_lane.lane_instance -[SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.gen_num_lanes[2].active_lane.prepare_input work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.gen_num_lanes[2].active_lane.prepare_input -[SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.gen_num_lanes[2].active_lane.lane_instance work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.gen_num_lanes[2].active_lane.lane_instance -[SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.gen_num_lanes[3].active_lane.prepare_input work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.gen_num_lanes[3].active_lane.prepare_input -[SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.gen_num_lanes[3].active_lane.lane_instance work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.gen_num_lanes[3].active_lane.lane_instance [SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.i_arbiter.gen_arbiter.gen_int_rr.gen_fair_arb.i_lzc_upper.gen_lzc work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.i_arbiter.gen_arbiter.gen_int_rr.gen_fair_arb.i_lzc_upper.gen_lzc [SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.i_arbiter.gen_arbiter.gen_int_rr.gen_fair_arb.i_lzc_lower.gen_lzc work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.i_arbiter.gen_arbiter.gen_int_rr.gen_fair_arb.i_lzc_lower.gen_lzc [SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.i_arbiter.gen_arbiter.gen_int_rr.p_rr_regs.UNNAMED.UNNAMED work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.i_arbiter.gen_arbiter.gen_int_rr.p_rr_regs.UNNAMED.UNNAMED @@ -10293,25 +9801,6 @@ Instance tree: [SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[2].i_opgroup_block.i_arbiter.gen_arbiter.gen_int_rr.gen_fair_arb.i_lzc_lower.gen_lzc work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[2].i_opgroup_block.i_arbiter.gen_arbiter.gen_int_rr.gen_fair_arb.i_lzc_lower.gen_lzc [SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[2].i_opgroup_block.i_arbiter.gen_arbiter.gen_int_rr.p_rr_regs.UNNAMED.UNNAMED work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[2].i_opgroup_block.i_arbiter.gen_arbiter.gen_int_rr.p_rr_regs.UNNAMED.UNNAMED [SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[2].i_opgroup_block.i_arbiter.gen_arbiter.gen_int_rr.p_rr_regs.UNNAMED.UNNAMED work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[2].i_opgroup_block.i_arbiter.gen_arbiter.gen_int_rr.p_rr_regs.UNNAMED.UNNAMED -[SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[0].active_format.i_fmt_slice.gen_num_lanes[0].active_lane.prepare_input work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[0].active_format.i_fmt_slice.gen_num_lanes[0].active_lane.prepare_input -[SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[0].active_format.i_fmt_slice.gen_num_lanes[1].active_lane.prepare_input work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[0].active_format.i_fmt_slice.gen_num_lanes[1].active_lane.prepare_input -[SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[1].active_format.i_fmt_slice.gen_num_lanes[0].active_lane.prepare_input work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[1].active_format.i_fmt_slice.gen_num_lanes[0].active_lane.prepare_input -[SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice.gen_num_lanes[0].active_lane.prepare_input work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice.gen_num_lanes[0].active_lane.prepare_input -[SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice.gen_num_lanes[1].active_lane.prepare_input work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice.gen_num_lanes[1].active_lane.prepare_input -[SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice.gen_num_lanes[2].active_lane.prepare_input work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice.gen_num_lanes[2].active_lane.prepare_input -[SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice.gen_num_lanes[3].active_lane.prepare_input work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice.gen_num_lanes[3].active_lane.prepare_input -[SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[0].active_lane.prepare_input work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[0].active_lane.prepare_input -[SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[1].active_lane.prepare_input work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[1].active_lane.prepare_input -[SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[2].active_lane.prepare_input work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[2].active_lane.prepare_input -[SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[3].active_lane.prepare_input work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[3].active_lane.prepare_input -[SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[4].active_lane.prepare_input work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[4].active_lane.prepare_input -[SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[5].active_lane.prepare_input work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[5].active_lane.prepare_input -[SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[6].active_lane.prepare_input work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[6].active_lane.prepare_input -[SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[7].active_lane.prepare_input work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[7].active_lane.prepare_input -[SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.gen_num_lanes[0].active_lane.prepare_input work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.gen_num_lanes[0].active_lane.prepare_input -[SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.gen_num_lanes[1].active_lane.prepare_input work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.gen_num_lanes[1].active_lane.prepare_input -[SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.gen_num_lanes[2].active_lane.prepare_input work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.gen_num_lanes[2].active_lane.prepare_input -[SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.gen_num_lanes[3].active_lane.prepare_input work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.gen_num_lanes[3].active_lane.prepare_input [SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.i_arbiter.gen_arbiter.gen_int_rr.gen_fair_arb.i_lzc_upper.gen_lzc work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.i_arbiter.gen_arbiter.gen_int_rr.gen_fair_arb.i_lzc_upper.gen_lzc [SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.i_arbiter.gen_arbiter.gen_int_rr.gen_fair_arb.i_lzc_lower.gen_lzc work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.i_arbiter.gen_arbiter.gen_int_rr.gen_fair_arb.i_lzc_lower.gen_lzc [SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.i_arbiter.gen_arbiter.gen_int_rr.p_rr_regs.UNNAMED.UNNAMED work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.i_arbiter.gen_arbiter.gen_int_rr.p_rr_regs.UNNAMED.UNNAMED @@ -10360,25 +9849,6 @@ Instance tree: [SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[0].i_opgroup_block.i_arbiter.gen_arbiter.gen_int_rr.gen_fair_arb.i_lzc_upper.gen_lzc.flip_vector work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[0].i_opgroup_block.i_arbiter.gen_arbiter.gen_int_rr.gen_fair_arb.i_lzc_upper.gen_lzc.flip_vector [SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[0].i_opgroup_block.i_arbiter.gen_arbiter.gen_int_rr.gen_fair_arb.i_lzc_lower.gen_lzc.UNNAMED work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[0].i_opgroup_block.i_arbiter.gen_arbiter.gen_int_rr.gen_fair_arb.i_lzc_lower.gen_lzc.UNNAMED [SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[0].i_opgroup_block.i_arbiter.gen_arbiter.gen_int_rr.gen_fair_arb.i_lzc_lower.gen_lzc.flip_vector work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[0].i_opgroup_block.i_arbiter.gen_arbiter.gen_int_rr.gen_fair_arb.i_lzc_lower.gen_lzc.flip_vector -[SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[0].active_format.i_fmt_slice.gen_num_lanes[0].active_lane.prepare_input.UNNAMED work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[0].active_format.i_fmt_slice.gen_num_lanes[0].active_lane.prepare_input.UNNAMED -[SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[0].active_format.i_fmt_slice.gen_num_lanes[1].active_lane.prepare_input.UNNAMED work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[0].active_format.i_fmt_slice.gen_num_lanes[1].active_lane.prepare_input.UNNAMED -[SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[1].active_format.i_fmt_slice.gen_num_lanes[0].active_lane.prepare_input.UNNAMED work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[1].active_format.i_fmt_slice.gen_num_lanes[0].active_lane.prepare_input.UNNAMED -[SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice.gen_num_lanes[0].active_lane.prepare_input.UNNAMED work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice.gen_num_lanes[0].active_lane.prepare_input.UNNAMED -[SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice.gen_num_lanes[1].active_lane.prepare_input.UNNAMED work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice.gen_num_lanes[1].active_lane.prepare_input.UNNAMED -[SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice.gen_num_lanes[2].active_lane.prepare_input.UNNAMED work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice.gen_num_lanes[2].active_lane.prepare_input.UNNAMED -[SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice.gen_num_lanes[3].active_lane.prepare_input.UNNAMED work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice.gen_num_lanes[3].active_lane.prepare_input.UNNAMED -[SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[0].active_lane.prepare_input.UNNAMED work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[0].active_lane.prepare_input.UNNAMED -[SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[1].active_lane.prepare_input.UNNAMED work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[1].active_lane.prepare_input.UNNAMED -[SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[2].active_lane.prepare_input.UNNAMED work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[2].active_lane.prepare_input.UNNAMED -[SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[3].active_lane.prepare_input.UNNAMED work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[3].active_lane.prepare_input.UNNAMED -[SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[4].active_lane.prepare_input.UNNAMED work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[4].active_lane.prepare_input.UNNAMED -[SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[5].active_lane.prepare_input.UNNAMED work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[5].active_lane.prepare_input.UNNAMED -[SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[6].active_lane.prepare_input.UNNAMED work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[6].active_lane.prepare_input.UNNAMED -[SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[7].active_lane.prepare_input.UNNAMED work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[7].active_lane.prepare_input.UNNAMED -[SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.gen_num_lanes[0].active_lane.prepare_input.UNNAMED work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.gen_num_lanes[0].active_lane.prepare_input.UNNAMED -[SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.gen_num_lanes[1].active_lane.prepare_input.UNNAMED work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.gen_num_lanes[1].active_lane.prepare_input.UNNAMED -[SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.gen_num_lanes[2].active_lane.prepare_input.UNNAMED work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.gen_num_lanes[2].active_lane.prepare_input.UNNAMED -[SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.gen_num_lanes[3].active_lane.prepare_input.UNNAMED work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.gen_num_lanes[3].active_lane.prepare_input.UNNAMED [SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.i_arbiter.gen_arbiter.gen_int_rr.gen_fair_arb.i_lzc_upper.gen_lzc.UNNAMED work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.i_arbiter.gen_arbiter.gen_int_rr.gen_fair_arb.i_lzc_upper.gen_lzc.UNNAMED [SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.i_arbiter.gen_arbiter.gen_int_rr.gen_fair_arb.i_lzc_upper.gen_lzc.flip_vector work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.i_arbiter.gen_arbiter.gen_int_rr.gen_fair_arb.i_lzc_upper.gen_lzc.flip_vector [SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.i_arbiter.gen_arbiter.gen_int_rr.gen_fair_arb.i_lzc_lower.gen_lzc.UNNAMED work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.i_arbiter.gen_arbiter.gen_int_rr.gen_fair_arb.i_lzc_lower.gen_lzc.UNNAMED @@ -10425,25 +9895,6 @@ Instance tree: [SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[2].i_opgroup_block.i_arbiter.gen_arbiter.gen_int_rr.gen_fair_arb.i_lzc_upper.gen_lzc.flip_vector work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[2].i_opgroup_block.i_arbiter.gen_arbiter.gen_int_rr.gen_fair_arb.i_lzc_upper.gen_lzc.flip_vector [SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[2].i_opgroup_block.i_arbiter.gen_arbiter.gen_int_rr.gen_fair_arb.i_lzc_lower.gen_lzc.UNNAMED work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[2].i_opgroup_block.i_arbiter.gen_arbiter.gen_int_rr.gen_fair_arb.i_lzc_lower.gen_lzc.UNNAMED [SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[2].i_opgroup_block.i_arbiter.gen_arbiter.gen_int_rr.gen_fair_arb.i_lzc_lower.gen_lzc.flip_vector work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[2].i_opgroup_block.i_arbiter.gen_arbiter.gen_int_rr.gen_fair_arb.i_lzc_lower.gen_lzc.flip_vector -[SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[0].active_format.i_fmt_slice.gen_num_lanes[0].active_lane.prepare_input.UNNAMED work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[0].active_format.i_fmt_slice.gen_num_lanes[0].active_lane.prepare_input.UNNAMED -[SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[0].active_format.i_fmt_slice.gen_num_lanes[1].active_lane.prepare_input.UNNAMED work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[0].active_format.i_fmt_slice.gen_num_lanes[1].active_lane.prepare_input.UNNAMED -[SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[1].active_format.i_fmt_slice.gen_num_lanes[0].active_lane.prepare_input.UNNAMED work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[1].active_format.i_fmt_slice.gen_num_lanes[0].active_lane.prepare_input.UNNAMED -[SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice.gen_num_lanes[0].active_lane.prepare_input.UNNAMED work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice.gen_num_lanes[0].active_lane.prepare_input.UNNAMED -[SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice.gen_num_lanes[1].active_lane.prepare_input.UNNAMED work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice.gen_num_lanes[1].active_lane.prepare_input.UNNAMED -[SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice.gen_num_lanes[2].active_lane.prepare_input.UNNAMED work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice.gen_num_lanes[2].active_lane.prepare_input.UNNAMED -[SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice.gen_num_lanes[3].active_lane.prepare_input.UNNAMED work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice.gen_num_lanes[3].active_lane.prepare_input.UNNAMED -[SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[0].active_lane.prepare_input.UNNAMED work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[0].active_lane.prepare_input.UNNAMED -[SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[1].active_lane.prepare_input.UNNAMED work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[1].active_lane.prepare_input.UNNAMED -[SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[2].active_lane.prepare_input.UNNAMED work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[2].active_lane.prepare_input.UNNAMED -[SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[3].active_lane.prepare_input.UNNAMED work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[3].active_lane.prepare_input.UNNAMED -[SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[4].active_lane.prepare_input.UNNAMED work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[4].active_lane.prepare_input.UNNAMED -[SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[5].active_lane.prepare_input.UNNAMED work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[5].active_lane.prepare_input.UNNAMED -[SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[6].active_lane.prepare_input.UNNAMED work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[6].active_lane.prepare_input.UNNAMED -[SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[7].active_lane.prepare_input.UNNAMED work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[7].active_lane.prepare_input.UNNAMED -[SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.gen_num_lanes[0].active_lane.prepare_input.UNNAMED work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.gen_num_lanes[0].active_lane.prepare_input.UNNAMED -[SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.gen_num_lanes[1].active_lane.prepare_input.UNNAMED work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.gen_num_lanes[1].active_lane.prepare_input.UNNAMED -[SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.gen_num_lanes[2].active_lane.prepare_input.UNNAMED work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.gen_num_lanes[2].active_lane.prepare_input.UNNAMED -[SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.gen_num_lanes[3].active_lane.prepare_input.UNNAMED work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.gen_num_lanes[3].active_lane.prepare_input.UNNAMED [SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.i_arbiter.gen_arbiter.gen_int_rr.gen_fair_arb.i_lzc_upper.gen_lzc.UNNAMED work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.i_arbiter.gen_arbiter.gen_int_rr.gen_fair_arb.i_lzc_upper.gen_lzc.UNNAMED [SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.i_arbiter.gen_arbiter.gen_int_rr.gen_fair_arb.i_lzc_upper.gen_lzc.flip_vector work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.i_arbiter.gen_arbiter.gen_int_rr.gen_fair_arb.i_lzc_upper.gen_lzc.flip_vector [SCO] work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.i_arbiter.gen_arbiter.gen_int_rr.gen_fair_arb.i_lzc_lower.gen_lzc.UNNAMED work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.i_arbiter.gen_arbiter.gen_int_rr.gen_fair_arb.i_lzc_lower.gen_lzc.UNNAMED @@ -11636,7 +11087,7 @@ Instance tree: [NTE:EL0509] Max instance depth: 14. -[NTE:EL0510] Nb instances: 891. +[NTE:EL0510] Nb instances: 881. [NTE:EL0511] Nb leaf instances: 18. @@ -21704,16 +21155,6 @@ Instance tree: [NTE:EL0522] rr_arb_tree.sv:126: Scope "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[0].i_opgroup_block.i_arbiter.gen_arbiter". -[NTE:EL0522] fpnew_opgroup_block.sv:87: Scope "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[0].active_format". - -[NTE:EL0522] fpnew_opgroup_block.sv:87: Scope "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[1].active_format". - -[NTE:EL0522] fpnew_opgroup_block.sv:87: Scope "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[2].active_format". - -[NTE:EL0522] fpnew_opgroup_block.sv:87: Scope "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].active_format". - -[NTE:EL0522] fpnew_opgroup_block.sv:87: Scope "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[4].active_format". - [NTE:EL0522] rr_arb_tree.sv:126: Scope "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.i_arbiter.gen_arbiter". [NTE:EL0522] fpnew_opgroup_block.sv:87: Scope "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[0].active_format". @@ -21728,16 +21169,6 @@ Instance tree: [NTE:EL0522] rr_arb_tree.sv:126: Scope "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[2].i_opgroup_block.i_arbiter.gen_arbiter". -[NTE:EL0522] fpnew_opgroup_block.sv:87: Scope "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[0].active_format". - -[NTE:EL0522] fpnew_opgroup_block.sv:87: Scope "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[1].active_format". - -[NTE:EL0522] fpnew_opgroup_block.sv:87: Scope "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[2].active_format". - -[NTE:EL0522] fpnew_opgroup_block.sv:87: Scope "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3].active_format". - -[NTE:EL0522] fpnew_opgroup_block.sv:87: Scope "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[4].active_format". - [NTE:EL0522] rr_arb_tree.sv:126: Scope "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.i_arbiter.gen_arbiter". [NTE:EL0522] rr_arb_tree.sv:196: Scope "work@azadi_soc_top.u_top.u_core.i_fpnew_top.i_arbiter.gen_arbiter.gen_int_rr.gen_no_lock". @@ -22398,16 +21829,6 @@ Instance tree: [NTE:EL0522] rr_arb_tree.sv:309: Scope "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[0].i_opgroup_block.i_arbiter.gen_arbiter.p_assert". -[NTE:EL0523] fpnew_opgroup_block.sv:93: Instance "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[0].active_format.i_fmt_slice". - -[NTE:EL0523] fpnew_opgroup_block.sv:93: Instance "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[1].active_format.i_fmt_slice". - -[NTE:EL0523] fpnew_opgroup_block.sv:93: Instance "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice". - -[NTE:EL0523] fpnew_opgroup_block.sv:93: Instance "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice". - -[NTE:EL0523] fpnew_opgroup_block.sv:93: Instance "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice". - [NTE:EL0522] rr_arb_tree.sv:146: Scope "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.i_arbiter.gen_arbiter.gen_int_rr". [NTE:EL0522] rr_arb_tree.sv:309: Scope "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.i_arbiter.gen_arbiter.p_assert". @@ -22426,16 +21847,6 @@ Instance tree: [NTE:EL0522] rr_arb_tree.sv:309: Scope "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[2].i_opgroup_block.i_arbiter.gen_arbiter.p_assert". -[NTE:EL0523] fpnew_opgroup_block.sv:93: Instance "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[0].active_format.i_fmt_slice". - -[NTE:EL0523] fpnew_opgroup_block.sv:93: Instance "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[1].active_format.i_fmt_slice". - -[NTE:EL0523] fpnew_opgroup_block.sv:93: Instance "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice". - -[NTE:EL0523] fpnew_opgroup_block.sv:93: Instance "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice". - -[NTE:EL0523] fpnew_opgroup_block.sv:93: Instance "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice". - [NTE:EL0522] rr_arb_tree.sv:146: Scope "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.i_arbiter.gen_arbiter.gen_int_rr". [NTE:EL0522] rr_arb_tree.sv:309: Scope "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.i_arbiter.gen_arbiter.p_assert". @@ -22672,62 +22083,6 @@ Instance tree: [NTE:EL0522] rr_arb_tree.sv:236: Scope "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[0].i_opgroup_block.i_arbiter.gen_arbiter.gen_int_rr.p_rr_regs". -[NTE:EL0522] fpnew_opgroup_fmt_slice.sv:79: Scope "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[0].active_format.i_fmt_slice.gen_num_lanes[0]". - -[NTE:EL0522] fpnew_opgroup_fmt_slice.sv:79: Scope "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[0].active_format.i_fmt_slice.gen_num_lanes[1]". - -[NTE:EL0522] fpnew_opgroup_fmt_slice.sv:250: Scope "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[0].active_format.i_fmt_slice.pad_vectorial_class". - -[NTE:EL0522] fpnew_opgroup_fmt_slice.sv:268: Scope "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[0].active_format.i_fmt_slice.output_processing". - -[NTE:EL0522] fpnew_opgroup_fmt_slice.sv:79: Scope "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[1].active_format.i_fmt_slice.gen_num_lanes[0]". - -[NTE:EL0522] fpnew_opgroup_fmt_slice.sv:250: Scope "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[1].active_format.i_fmt_slice.pad_vectorial_class". - -[NTE:EL0522] fpnew_opgroup_fmt_slice.sv:268: Scope "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[1].active_format.i_fmt_slice.output_processing". - -[NTE:EL0522] fpnew_opgroup_fmt_slice.sv:79: Scope "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice.gen_num_lanes[0]". - -[NTE:EL0522] fpnew_opgroup_fmt_slice.sv:79: Scope "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice.gen_num_lanes[1]". - -[NTE:EL0522] fpnew_opgroup_fmt_slice.sv:79: Scope "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice.gen_num_lanes[2]". - -[NTE:EL0522] fpnew_opgroup_fmt_slice.sv:79: Scope "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice.gen_num_lanes[3]". - -[NTE:EL0522] fpnew_opgroup_fmt_slice.sv:250: Scope "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice.pad_vectorial_class". - -[NTE:EL0522] fpnew_opgroup_fmt_slice.sv:268: Scope "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice.output_processing". - -[NTE:EL0522] fpnew_opgroup_fmt_slice.sv:79: Scope "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[0]". - -[NTE:EL0522] fpnew_opgroup_fmt_slice.sv:79: Scope "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[1]". - -[NTE:EL0522] fpnew_opgroup_fmt_slice.sv:79: Scope "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[2]". - -[NTE:EL0522] fpnew_opgroup_fmt_slice.sv:79: Scope "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[3]". - -[NTE:EL0522] fpnew_opgroup_fmt_slice.sv:79: Scope "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[4]". - -[NTE:EL0522] fpnew_opgroup_fmt_slice.sv:79: Scope "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[5]". - -[NTE:EL0522] fpnew_opgroup_fmt_slice.sv:79: Scope "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[6]". - -[NTE:EL0522] fpnew_opgroup_fmt_slice.sv:79: Scope "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[7]". - -[NTE:EL0522] fpnew_opgroup_fmt_slice.sv:268: Scope "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.output_processing". - -[NTE:EL0522] fpnew_opgroup_fmt_slice.sv:79: Scope "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.gen_num_lanes[0]". - -[NTE:EL0522] fpnew_opgroup_fmt_slice.sv:79: Scope "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.gen_num_lanes[1]". - -[NTE:EL0522] fpnew_opgroup_fmt_slice.sv:79: Scope "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.gen_num_lanes[2]". - -[NTE:EL0522] fpnew_opgroup_fmt_slice.sv:79: Scope "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.gen_num_lanes[3]". - -[NTE:EL0522] fpnew_opgroup_fmt_slice.sv:250: Scope "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.pad_vectorial_class". - -[NTE:EL0522] fpnew_opgroup_fmt_slice.sv:268: Scope "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.output_processing". - [NTE:EL0522] rr_arb_tree.sv:196: Scope "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.i_arbiter.gen_arbiter.gen_int_rr.gen_no_lock". [NTE:EL0522] rr_arb_tree.sv:200: Scope "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.i_arbiter.gen_arbiter.gen_int_rr.gen_fair_arb". @@ -22796,62 +22151,6 @@ Instance tree: [NTE:EL0522] rr_arb_tree.sv:236: Scope "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[2].i_opgroup_block.i_arbiter.gen_arbiter.gen_int_rr.p_rr_regs". -[NTE:EL0522] fpnew_opgroup_fmt_slice.sv:79: Scope "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[0].active_format.i_fmt_slice.gen_num_lanes[0]". - -[NTE:EL0522] fpnew_opgroup_fmt_slice.sv:79: Scope "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[0].active_format.i_fmt_slice.gen_num_lanes[1]". - -[NTE:EL0522] fpnew_opgroup_fmt_slice.sv:250: Scope "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[0].active_format.i_fmt_slice.pad_vectorial_class". - -[NTE:EL0522] fpnew_opgroup_fmt_slice.sv:268: Scope "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[0].active_format.i_fmt_slice.output_processing". - -[NTE:EL0522] fpnew_opgroup_fmt_slice.sv:79: Scope "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[1].active_format.i_fmt_slice.gen_num_lanes[0]". - -[NTE:EL0522] fpnew_opgroup_fmt_slice.sv:250: Scope "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[1].active_format.i_fmt_slice.pad_vectorial_class". - -[NTE:EL0522] fpnew_opgroup_fmt_slice.sv:268: Scope "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[1].active_format.i_fmt_slice.output_processing". - -[NTE:EL0522] fpnew_opgroup_fmt_slice.sv:79: Scope "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice.gen_num_lanes[0]". - -[NTE:EL0522] fpnew_opgroup_fmt_slice.sv:79: Scope "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice.gen_num_lanes[1]". - -[NTE:EL0522] fpnew_opgroup_fmt_slice.sv:79: Scope "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice.gen_num_lanes[2]". - -[NTE:EL0522] fpnew_opgroup_fmt_slice.sv:79: Scope "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice.gen_num_lanes[3]". - -[NTE:EL0522] fpnew_opgroup_fmt_slice.sv:250: Scope "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice.pad_vectorial_class". - -[NTE:EL0522] fpnew_opgroup_fmt_slice.sv:268: Scope "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice.output_processing". - -[NTE:EL0522] fpnew_opgroup_fmt_slice.sv:79: Scope "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[0]". - -[NTE:EL0522] fpnew_opgroup_fmt_slice.sv:79: Scope "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[1]". - -[NTE:EL0522] fpnew_opgroup_fmt_slice.sv:79: Scope "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[2]". - -[NTE:EL0522] fpnew_opgroup_fmt_slice.sv:79: Scope "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[3]". - -[NTE:EL0522] fpnew_opgroup_fmt_slice.sv:79: Scope "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[4]". - -[NTE:EL0522] fpnew_opgroup_fmt_slice.sv:79: Scope "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[5]". - -[NTE:EL0522] fpnew_opgroup_fmt_slice.sv:79: Scope "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[6]". - -[NTE:EL0522] fpnew_opgroup_fmt_slice.sv:79: Scope "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[7]". - -[NTE:EL0522] fpnew_opgroup_fmt_slice.sv:268: Scope "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.output_processing". - -[NTE:EL0522] fpnew_opgroup_fmt_slice.sv:79: Scope "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.gen_num_lanes[0]". - -[NTE:EL0522] fpnew_opgroup_fmt_slice.sv:79: Scope "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.gen_num_lanes[1]". - -[NTE:EL0522] fpnew_opgroup_fmt_slice.sv:79: Scope "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.gen_num_lanes[2]". - -[NTE:EL0522] fpnew_opgroup_fmt_slice.sv:79: Scope "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.gen_num_lanes[3]". - -[NTE:EL0522] fpnew_opgroup_fmt_slice.sv:250: Scope "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.pad_vectorial_class". - -[NTE:EL0522] fpnew_opgroup_fmt_slice.sv:268: Scope "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.output_processing". - [NTE:EL0522] rr_arb_tree.sv:196: Scope "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.i_arbiter.gen_arbiter.gen_int_rr.gen_no_lock". [NTE:EL0522] rr_arb_tree.sv:200: Scope "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.i_arbiter.gen_arbiter.gen_int_rr.gen_fair_arb". @@ -23030,82 +22329,6 @@ Instance tree: [NTE:EL0522] rr_arb_tree.sv:239: Scope "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[0].i_opgroup_block.i_arbiter.gen_arbiter.gen_int_rr.p_rr_regs.UNNAMED". -[NTE:EL0522] fpnew_opgroup_fmt_slice.sv:84: Scope "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[0].active_format.i_fmt_slice.gen_num_lanes[0].active_lane". - -[NTE:EL0522] fpnew_opgroup_fmt_slice.sv:216: Scope "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[0].active_format.i_fmt_slice.gen_num_lanes[0].vectorial_class". - -[NTE:EL0522] fpnew_opgroup_fmt_slice.sv:84: Scope "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[0].active_format.i_fmt_slice.gen_num_lanes[1].active_lane". - -[NTE:EL0522] fpnew_opgroup_fmt_slice.sv:216: Scope "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[0].active_format.i_fmt_slice.gen_num_lanes[1].vectorial_class". - -[NTE:EL0522] fpnew_opgroup_fmt_slice.sv:84: Scope "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[1].active_format.i_fmt_slice.gen_num_lanes[0].active_lane". - -[NTE:EL0522] fpnew_opgroup_fmt_slice.sv:216: Scope "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[1].active_format.i_fmt_slice.gen_num_lanes[0].vectorial_class". - -[NTE:EL0522] fpnew_opgroup_fmt_slice.sv:84: Scope "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice.gen_num_lanes[0].active_lane". - -[NTE:EL0522] fpnew_opgroup_fmt_slice.sv:216: Scope "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice.gen_num_lanes[0].vectorial_class". - -[NTE:EL0522] fpnew_opgroup_fmt_slice.sv:84: Scope "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice.gen_num_lanes[1].active_lane". - -[NTE:EL0522] fpnew_opgroup_fmt_slice.sv:216: Scope "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice.gen_num_lanes[1].vectorial_class". - -[NTE:EL0522] fpnew_opgroup_fmt_slice.sv:84: Scope "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice.gen_num_lanes[2].active_lane". - -[NTE:EL0522] fpnew_opgroup_fmt_slice.sv:216: Scope "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice.gen_num_lanes[2].vectorial_class". - -[NTE:EL0522] fpnew_opgroup_fmt_slice.sv:84: Scope "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice.gen_num_lanes[3].active_lane". - -[NTE:EL0522] fpnew_opgroup_fmt_slice.sv:216: Scope "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice.gen_num_lanes[3].vectorial_class". - -[NTE:EL0522] fpnew_opgroup_fmt_slice.sv:84: Scope "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[0].active_lane". - -[NTE:EL0522] fpnew_opgroup_fmt_slice.sv:216: Scope "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[0].vectorial_class". - -[NTE:EL0522] fpnew_opgroup_fmt_slice.sv:84: Scope "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[1].active_lane". - -[NTE:EL0522] fpnew_opgroup_fmt_slice.sv:216: Scope "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[1].vectorial_class". - -[NTE:EL0522] fpnew_opgroup_fmt_slice.sv:84: Scope "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[2].active_lane". - -[NTE:EL0522] fpnew_opgroup_fmt_slice.sv:216: Scope "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[2].vectorial_class". - -[NTE:EL0522] fpnew_opgroup_fmt_slice.sv:84: Scope "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[3].active_lane". - -[NTE:EL0522] fpnew_opgroup_fmt_slice.sv:216: Scope "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[3].vectorial_class". - -[NTE:EL0522] fpnew_opgroup_fmt_slice.sv:84: Scope "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[4].active_lane". - -[NTE:EL0522] fpnew_opgroup_fmt_slice.sv:216: Scope "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[4].vectorial_class". - -[NTE:EL0522] fpnew_opgroup_fmt_slice.sv:84: Scope "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[5].active_lane". - -[NTE:EL0522] fpnew_opgroup_fmt_slice.sv:216: Scope "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[5].vectorial_class". - -[NTE:EL0522] fpnew_opgroup_fmt_slice.sv:84: Scope "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[6].active_lane". - -[NTE:EL0522] fpnew_opgroup_fmt_slice.sv:216: Scope "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[6].vectorial_class". - -[NTE:EL0522] fpnew_opgroup_fmt_slice.sv:84: Scope "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[7].active_lane". - -[NTE:EL0522] fpnew_opgroup_fmt_slice.sv:216: Scope "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[7].vectorial_class". - -[NTE:EL0522] fpnew_opgroup_fmt_slice.sv:84: Scope "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.gen_num_lanes[0].active_lane". - -[NTE:EL0522] fpnew_opgroup_fmt_slice.sv:216: Scope "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.gen_num_lanes[0].vectorial_class". - -[NTE:EL0522] fpnew_opgroup_fmt_slice.sv:84: Scope "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.gen_num_lanes[1].active_lane". - -[NTE:EL0522] fpnew_opgroup_fmt_slice.sv:216: Scope "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.gen_num_lanes[1].vectorial_class". - -[NTE:EL0522] fpnew_opgroup_fmt_slice.sv:84: Scope "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.gen_num_lanes[2].active_lane". - -[NTE:EL0522] fpnew_opgroup_fmt_slice.sv:216: Scope "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.gen_num_lanes[2].vectorial_class". - -[NTE:EL0522] fpnew_opgroup_fmt_slice.sv:84: Scope "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.gen_num_lanes[3].active_lane". - -[NTE:EL0522] fpnew_opgroup_fmt_slice.sv:216: Scope "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.gen_num_lanes[3].vectorial_class". - [NTE:EL0522] rr_arb_tree.sv:205: Scope "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.i_arbiter.gen_arbiter.gen_int_rr.gen_fair_arb.gen_mask[0]". [NTE:EL0522] rr_arb_tree.sv:205: Scope "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.i_arbiter.gen_arbiter.gen_int_rr.gen_fair_arb.gen_mask[1]". @@ -23218,82 +22441,6 @@ Instance tree: [NTE:EL0522] rr_arb_tree.sv:239: Scope "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[2].i_opgroup_block.i_arbiter.gen_arbiter.gen_int_rr.p_rr_regs.UNNAMED". -[NTE:EL0522] fpnew_opgroup_fmt_slice.sv:84: Scope "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[0].active_format.i_fmt_slice.gen_num_lanes[0].active_lane". - -[NTE:EL0522] fpnew_opgroup_fmt_slice.sv:216: Scope "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[0].active_format.i_fmt_slice.gen_num_lanes[0].vectorial_class". - -[NTE:EL0522] fpnew_opgroup_fmt_slice.sv:84: Scope "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[0].active_format.i_fmt_slice.gen_num_lanes[1].active_lane". - -[NTE:EL0522] fpnew_opgroup_fmt_slice.sv:216: Scope "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[0].active_format.i_fmt_slice.gen_num_lanes[1].vectorial_class". - -[NTE:EL0522] fpnew_opgroup_fmt_slice.sv:84: Scope "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[1].active_format.i_fmt_slice.gen_num_lanes[0].active_lane". - -[NTE:EL0522] fpnew_opgroup_fmt_slice.sv:216: Scope "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[1].active_format.i_fmt_slice.gen_num_lanes[0].vectorial_class". - -[NTE:EL0522] fpnew_opgroup_fmt_slice.sv:84: Scope "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice.gen_num_lanes[0].active_lane". - -[NTE:EL0522] fpnew_opgroup_fmt_slice.sv:216: Scope "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice.gen_num_lanes[0].vectorial_class". - -[NTE:EL0522] fpnew_opgroup_fmt_slice.sv:84: Scope "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice.gen_num_lanes[1].active_lane". - -[NTE:EL0522] fpnew_opgroup_fmt_slice.sv:216: Scope "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice.gen_num_lanes[1].vectorial_class". - -[NTE:EL0522] fpnew_opgroup_fmt_slice.sv:84: Scope "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice.gen_num_lanes[2].active_lane". - -[NTE:EL0522] fpnew_opgroup_fmt_slice.sv:216: Scope "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice.gen_num_lanes[2].vectorial_class". - -[NTE:EL0522] fpnew_opgroup_fmt_slice.sv:84: Scope "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice.gen_num_lanes[3].active_lane". - -[NTE:EL0522] fpnew_opgroup_fmt_slice.sv:216: Scope "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice.gen_num_lanes[3].vectorial_class". - -[NTE:EL0522] fpnew_opgroup_fmt_slice.sv:84: Scope "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[0].active_lane". - -[NTE:EL0522] fpnew_opgroup_fmt_slice.sv:216: Scope "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[0].vectorial_class". - -[NTE:EL0522] fpnew_opgroup_fmt_slice.sv:84: Scope "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[1].active_lane". - -[NTE:EL0522] fpnew_opgroup_fmt_slice.sv:216: Scope "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[1].vectorial_class". - -[NTE:EL0522] fpnew_opgroup_fmt_slice.sv:84: Scope "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[2].active_lane". - -[NTE:EL0522] fpnew_opgroup_fmt_slice.sv:216: Scope "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[2].vectorial_class". - -[NTE:EL0522] fpnew_opgroup_fmt_slice.sv:84: Scope "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[3].active_lane". - -[NTE:EL0522] fpnew_opgroup_fmt_slice.sv:216: Scope "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[3].vectorial_class". - -[NTE:EL0522] fpnew_opgroup_fmt_slice.sv:84: Scope "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[4].active_lane". - -[NTE:EL0522] fpnew_opgroup_fmt_slice.sv:216: Scope "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[4].vectorial_class". - -[NTE:EL0522] fpnew_opgroup_fmt_slice.sv:84: Scope "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[5].active_lane". - -[NTE:EL0522] fpnew_opgroup_fmt_slice.sv:216: Scope "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[5].vectorial_class". - -[NTE:EL0522] fpnew_opgroup_fmt_slice.sv:84: Scope "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[6].active_lane". - -[NTE:EL0522] fpnew_opgroup_fmt_slice.sv:216: Scope "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[6].vectorial_class". - -[NTE:EL0522] fpnew_opgroup_fmt_slice.sv:84: Scope "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[7].active_lane". - -[NTE:EL0522] fpnew_opgroup_fmt_slice.sv:216: Scope "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[7].vectorial_class". - -[NTE:EL0522] fpnew_opgroup_fmt_slice.sv:84: Scope "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.gen_num_lanes[0].active_lane". - -[NTE:EL0522] fpnew_opgroup_fmt_slice.sv:216: Scope "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.gen_num_lanes[0].vectorial_class". - -[NTE:EL0522] fpnew_opgroup_fmt_slice.sv:84: Scope "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.gen_num_lanes[1].active_lane". - -[NTE:EL0522] fpnew_opgroup_fmt_slice.sv:216: Scope "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.gen_num_lanes[1].vectorial_class". - -[NTE:EL0522] fpnew_opgroup_fmt_slice.sv:84: Scope "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.gen_num_lanes[2].active_lane". - -[NTE:EL0522] fpnew_opgroup_fmt_slice.sv:216: Scope "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.gen_num_lanes[2].vectorial_class". - -[NTE:EL0522] fpnew_opgroup_fmt_slice.sv:84: Scope "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.gen_num_lanes[3].active_lane". - -[NTE:EL0522] fpnew_opgroup_fmt_slice.sv:216: Scope "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.gen_num_lanes[3].vectorial_class". - [NTE:EL0522] rr_arb_tree.sv:205: Scope "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.i_arbiter.gen_arbiter.gen_int_rr.gen_fair_arb.gen_mask[0]". [NTE:EL0522] rr_arb_tree.sv:205: Scope "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.i_arbiter.gen_arbiter.gen_int_rr.gen_fair_arb.gen_mask[1]". @@ -23418,82 +22565,6 @@ Instance tree: [NTE:EL0522] rr_arb_tree.sv:242: Scope "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[0].i_opgroup_block.i_arbiter.gen_arbiter.gen_int_rr.p_rr_regs.UNNAMED.UNNAMED". -[NTE:EL0522] fpnew_opgroup_fmt_slice.sv:93: Scope "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[0].active_format.i_fmt_slice.gen_num_lanes[0].active_lane.prepare_input". - -[NTE:EL0522] fpnew_opgroup_fmt_slice.sv:161: Scope "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[0].active_format.i_fmt_slice.gen_num_lanes[0].active_lane.lane_instance". - -[NTE:EL0522] fpnew_opgroup_fmt_slice.sv:93: Scope "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[0].active_format.i_fmt_slice.gen_num_lanes[1].active_lane.prepare_input". - -[NTE:EL0522] fpnew_opgroup_fmt_slice.sv:161: Scope "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[0].active_format.i_fmt_slice.gen_num_lanes[1].active_lane.lane_instance". - -[NTE:EL0522] fpnew_opgroup_fmt_slice.sv:93: Scope "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[1].active_format.i_fmt_slice.gen_num_lanes[0].active_lane.prepare_input". - -[NTE:EL0522] fpnew_opgroup_fmt_slice.sv:161: Scope "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[1].active_format.i_fmt_slice.gen_num_lanes[0].active_lane.lane_instance". - -[NTE:EL0522] fpnew_opgroup_fmt_slice.sv:93: Scope "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice.gen_num_lanes[0].active_lane.prepare_input". - -[NTE:EL0522] fpnew_opgroup_fmt_slice.sv:161: Scope "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice.gen_num_lanes[0].active_lane.lane_instance". - -[NTE:EL0522] fpnew_opgroup_fmt_slice.sv:93: Scope "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice.gen_num_lanes[1].active_lane.prepare_input". - -[NTE:EL0522] fpnew_opgroup_fmt_slice.sv:161: Scope "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice.gen_num_lanes[1].active_lane.lane_instance". - -[NTE:EL0522] fpnew_opgroup_fmt_slice.sv:93: Scope "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice.gen_num_lanes[2].active_lane.prepare_input". - -[NTE:EL0522] fpnew_opgroup_fmt_slice.sv:161: Scope "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice.gen_num_lanes[2].active_lane.lane_instance". - -[NTE:EL0522] fpnew_opgroup_fmt_slice.sv:93: Scope "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice.gen_num_lanes[3].active_lane.prepare_input". - -[NTE:EL0522] fpnew_opgroup_fmt_slice.sv:161: Scope "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice.gen_num_lanes[3].active_lane.lane_instance". - -[NTE:EL0522] fpnew_opgroup_fmt_slice.sv:93: Scope "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[0].active_lane.prepare_input". - -[NTE:EL0522] fpnew_opgroup_fmt_slice.sv:161: Scope "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[0].active_lane.lane_instance". - -[NTE:EL0522] fpnew_opgroup_fmt_slice.sv:93: Scope "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[1].active_lane.prepare_input". - -[NTE:EL0522] fpnew_opgroup_fmt_slice.sv:161: Scope "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[1].active_lane.lane_instance". - -[NTE:EL0522] fpnew_opgroup_fmt_slice.sv:93: Scope "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[2].active_lane.prepare_input". - -[NTE:EL0522] fpnew_opgroup_fmt_slice.sv:161: Scope "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[2].active_lane.lane_instance". - -[NTE:EL0522] fpnew_opgroup_fmt_slice.sv:93: Scope "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[3].active_lane.prepare_input". - -[NTE:EL0522] fpnew_opgroup_fmt_slice.sv:161: Scope "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[3].active_lane.lane_instance". - -[NTE:EL0522] fpnew_opgroup_fmt_slice.sv:93: Scope "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[4].active_lane.prepare_input". - -[NTE:EL0522] fpnew_opgroup_fmt_slice.sv:161: Scope "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[4].active_lane.lane_instance". - -[NTE:EL0522] fpnew_opgroup_fmt_slice.sv:93: Scope "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[5].active_lane.prepare_input". - -[NTE:EL0522] fpnew_opgroup_fmt_slice.sv:161: Scope "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[5].active_lane.lane_instance". - -[NTE:EL0522] fpnew_opgroup_fmt_slice.sv:93: Scope "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[6].active_lane.prepare_input". - -[NTE:EL0522] fpnew_opgroup_fmt_slice.sv:161: Scope "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[6].active_lane.lane_instance". - -[NTE:EL0522] fpnew_opgroup_fmt_slice.sv:93: Scope "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[7].active_lane.prepare_input". - -[NTE:EL0522] fpnew_opgroup_fmt_slice.sv:161: Scope "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[7].active_lane.lane_instance". - -[NTE:EL0522] fpnew_opgroup_fmt_slice.sv:93: Scope "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.gen_num_lanes[0].active_lane.prepare_input". - -[NTE:EL0522] fpnew_opgroup_fmt_slice.sv:161: Scope "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.gen_num_lanes[0].active_lane.lane_instance". - -[NTE:EL0522] fpnew_opgroup_fmt_slice.sv:93: Scope "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.gen_num_lanes[1].active_lane.prepare_input". - -[NTE:EL0522] fpnew_opgroup_fmt_slice.sv:161: Scope "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.gen_num_lanes[1].active_lane.lane_instance". - -[NTE:EL0522] fpnew_opgroup_fmt_slice.sv:93: Scope "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.gen_num_lanes[2].active_lane.prepare_input". - -[NTE:EL0522] fpnew_opgroup_fmt_slice.sv:161: Scope "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.gen_num_lanes[2].active_lane.lane_instance". - -[NTE:EL0522] fpnew_opgroup_fmt_slice.sv:93: Scope "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.gen_num_lanes[3].active_lane.prepare_input". - -[NTE:EL0522] fpnew_opgroup_fmt_slice.sv:161: Scope "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.gen_num_lanes[3].active_lane.lane_instance". - [NTE:EL0522] lzc.sv:48: Scope "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.i_arbiter.gen_arbiter.gen_int_rr.gen_fair_arb.i_lzc_upper.gen_lzc". [NTE:EL0522] lzc.sv:48: Scope "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.i_arbiter.gen_arbiter.gen_int_rr.gen_fair_arb.i_lzc_lower.gen_lzc". @@ -23586,44 +22657,6 @@ Instance tree: [NTE:EL0522] rr_arb_tree.sv:242: Scope "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[2].i_opgroup_block.i_arbiter.gen_arbiter.gen_int_rr.p_rr_regs.UNNAMED.UNNAMED". -[NTE:EL0522] fpnew_opgroup_fmt_slice.sv:93: Scope "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[0].active_format.i_fmt_slice.gen_num_lanes[0].active_lane.prepare_input". - -[NTE:EL0522] fpnew_opgroup_fmt_slice.sv:93: Scope "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[0].active_format.i_fmt_slice.gen_num_lanes[1].active_lane.prepare_input". - -[NTE:EL0522] fpnew_opgroup_fmt_slice.sv:93: Scope "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[1].active_format.i_fmt_slice.gen_num_lanes[0].active_lane.prepare_input". - -[NTE:EL0522] fpnew_opgroup_fmt_slice.sv:93: Scope "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice.gen_num_lanes[0].active_lane.prepare_input". - -[NTE:EL0522] fpnew_opgroup_fmt_slice.sv:93: Scope "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice.gen_num_lanes[1].active_lane.prepare_input". - -[NTE:EL0522] fpnew_opgroup_fmt_slice.sv:93: Scope "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice.gen_num_lanes[2].active_lane.prepare_input". - -[NTE:EL0522] fpnew_opgroup_fmt_slice.sv:93: Scope "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice.gen_num_lanes[3].active_lane.prepare_input". - -[NTE:EL0522] fpnew_opgroup_fmt_slice.sv:93: Scope "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[0].active_lane.prepare_input". - -[NTE:EL0522] fpnew_opgroup_fmt_slice.sv:93: Scope "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[1].active_lane.prepare_input". - -[NTE:EL0522] fpnew_opgroup_fmt_slice.sv:93: Scope "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[2].active_lane.prepare_input". - -[NTE:EL0522] fpnew_opgroup_fmt_slice.sv:93: Scope "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[3].active_lane.prepare_input". - -[NTE:EL0522] fpnew_opgroup_fmt_slice.sv:93: Scope "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[4].active_lane.prepare_input". - -[NTE:EL0522] fpnew_opgroup_fmt_slice.sv:93: Scope "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[5].active_lane.prepare_input". - -[NTE:EL0522] fpnew_opgroup_fmt_slice.sv:93: Scope "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[6].active_lane.prepare_input". - -[NTE:EL0522] fpnew_opgroup_fmt_slice.sv:93: Scope "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[7].active_lane.prepare_input". - -[NTE:EL0522] fpnew_opgroup_fmt_slice.sv:93: Scope "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.gen_num_lanes[0].active_lane.prepare_input". - -[NTE:EL0522] fpnew_opgroup_fmt_slice.sv:93: Scope "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.gen_num_lanes[1].active_lane.prepare_input". - -[NTE:EL0522] fpnew_opgroup_fmt_slice.sv:93: Scope "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.gen_num_lanes[2].active_lane.prepare_input". - -[NTE:EL0522] fpnew_opgroup_fmt_slice.sv:93: Scope "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.gen_num_lanes[3].active_lane.prepare_input". - [NTE:EL0522] lzc.sv:48: Scope "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.i_arbiter.gen_arbiter.gen_int_rr.gen_fair_arb.i_lzc_upper.gen_lzc". [NTE:EL0522] lzc.sv:48: Scope "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.i_arbiter.gen_arbiter.gen_int_rr.gen_fair_arb.i_lzc_lower.gen_lzc". @@ -23720,44 +22753,6 @@ Instance tree: [NTE:EL0522] lzc.sv:65: Scope "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[0].i_opgroup_block.i_arbiter.gen_arbiter.gen_int_rr.gen_fair_arb.i_lzc_lower.gen_lzc.flip_vector". -[NTE:EL0522] fpnew_opgroup_fmt_slice.sv:94: Scope "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[0].active_format.i_fmt_slice.gen_num_lanes[0].active_lane.prepare_input.UNNAMED". - -[NTE:EL0522] fpnew_opgroup_fmt_slice.sv:94: Scope "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[0].active_format.i_fmt_slice.gen_num_lanes[1].active_lane.prepare_input.UNNAMED". - -[NTE:EL0522] fpnew_opgroup_fmt_slice.sv:94: Scope "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[1].active_format.i_fmt_slice.gen_num_lanes[0].active_lane.prepare_input.UNNAMED". - -[NTE:EL0522] fpnew_opgroup_fmt_slice.sv:94: Scope "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice.gen_num_lanes[0].active_lane.prepare_input.UNNAMED". - -[NTE:EL0522] fpnew_opgroup_fmt_slice.sv:94: Scope "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice.gen_num_lanes[1].active_lane.prepare_input.UNNAMED". - -[NTE:EL0522] fpnew_opgroup_fmt_slice.sv:94: Scope "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice.gen_num_lanes[2].active_lane.prepare_input.UNNAMED". - -[NTE:EL0522] fpnew_opgroup_fmt_slice.sv:94: Scope "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice.gen_num_lanes[3].active_lane.prepare_input.UNNAMED". - -[NTE:EL0522] fpnew_opgroup_fmt_slice.sv:94: Scope "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[0].active_lane.prepare_input.UNNAMED". - -[NTE:EL0522] fpnew_opgroup_fmt_slice.sv:94: Scope "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[1].active_lane.prepare_input.UNNAMED". - -[NTE:EL0522] fpnew_opgroup_fmt_slice.sv:94: Scope "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[2].active_lane.prepare_input.UNNAMED". - -[NTE:EL0522] fpnew_opgroup_fmt_slice.sv:94: Scope "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[3].active_lane.prepare_input.UNNAMED". - -[NTE:EL0522] fpnew_opgroup_fmt_slice.sv:94: Scope "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[4].active_lane.prepare_input.UNNAMED". - -[NTE:EL0522] fpnew_opgroup_fmt_slice.sv:94: Scope "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[5].active_lane.prepare_input.UNNAMED". - -[NTE:EL0522] fpnew_opgroup_fmt_slice.sv:94: Scope "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[6].active_lane.prepare_input.UNNAMED". - -[NTE:EL0522] fpnew_opgroup_fmt_slice.sv:94: Scope "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[7].active_lane.prepare_input.UNNAMED". - -[NTE:EL0522] fpnew_opgroup_fmt_slice.sv:94: Scope "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.gen_num_lanes[0].active_lane.prepare_input.UNNAMED". - -[NTE:EL0522] fpnew_opgroup_fmt_slice.sv:94: Scope "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.gen_num_lanes[1].active_lane.prepare_input.UNNAMED". - -[NTE:EL0522] fpnew_opgroup_fmt_slice.sv:94: Scope "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.gen_num_lanes[2].active_lane.prepare_input.UNNAMED". - -[NTE:EL0522] fpnew_opgroup_fmt_slice.sv:94: Scope "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.gen_num_lanes[3].active_lane.prepare_input.UNNAMED". - [NTE:EL0522] lzc.sv:53: Scope "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.i_arbiter.gen_arbiter.gen_int_rr.gen_fair_arb.i_lzc_upper.gen_lzc.UNNAMED". [NTE:EL0522] lzc.sv:65: Scope "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[1].i_opgroup_block.i_arbiter.gen_arbiter.gen_int_rr.gen_fair_arb.i_lzc_upper.gen_lzc.flip_vector". @@ -23850,44 +22845,6 @@ Instance tree: [NTE:EL0522] lzc.sv:65: Scope "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[2].i_opgroup_block.i_arbiter.gen_arbiter.gen_int_rr.gen_fair_arb.i_lzc_lower.gen_lzc.flip_vector". -[NTE:EL0522] fpnew_opgroup_fmt_slice.sv:94: Scope "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[0].active_format.i_fmt_slice.gen_num_lanes[0].active_lane.prepare_input.UNNAMED". - -[NTE:EL0522] fpnew_opgroup_fmt_slice.sv:94: Scope "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[0].active_format.i_fmt_slice.gen_num_lanes[1].active_lane.prepare_input.UNNAMED". - -[NTE:EL0522] fpnew_opgroup_fmt_slice.sv:94: Scope "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[1].active_format.i_fmt_slice.gen_num_lanes[0].active_lane.prepare_input.UNNAMED". - -[NTE:EL0522] fpnew_opgroup_fmt_slice.sv:94: Scope "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice.gen_num_lanes[0].active_lane.prepare_input.UNNAMED". - -[NTE:EL0522] fpnew_opgroup_fmt_slice.sv:94: Scope "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice.gen_num_lanes[1].active_lane.prepare_input.UNNAMED". - -[NTE:EL0522] fpnew_opgroup_fmt_slice.sv:94: Scope "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice.gen_num_lanes[2].active_lane.prepare_input.UNNAMED". - -[NTE:EL0522] fpnew_opgroup_fmt_slice.sv:94: Scope "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice.gen_num_lanes[3].active_lane.prepare_input.UNNAMED". - -[NTE:EL0522] fpnew_opgroup_fmt_slice.sv:94: Scope "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[0].active_lane.prepare_input.UNNAMED". - -[NTE:EL0522] fpnew_opgroup_fmt_slice.sv:94: Scope "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[1].active_lane.prepare_input.UNNAMED". - -[NTE:EL0522] fpnew_opgroup_fmt_slice.sv:94: Scope "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[2].active_lane.prepare_input.UNNAMED". - -[NTE:EL0522] fpnew_opgroup_fmt_slice.sv:94: Scope "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[3].active_lane.prepare_input.UNNAMED". - -[NTE:EL0522] fpnew_opgroup_fmt_slice.sv:94: Scope "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[4].active_lane.prepare_input.UNNAMED". - -[NTE:EL0522] fpnew_opgroup_fmt_slice.sv:94: Scope "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[5].active_lane.prepare_input.UNNAMED". - -[NTE:EL0522] fpnew_opgroup_fmt_slice.sv:94: Scope "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[6].active_lane.prepare_input.UNNAMED". - -[NTE:EL0522] fpnew_opgroup_fmt_slice.sv:94: Scope "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[7].active_lane.prepare_input.UNNAMED". - -[NTE:EL0522] fpnew_opgroup_fmt_slice.sv:94: Scope "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.gen_num_lanes[0].active_lane.prepare_input.UNNAMED". - -[NTE:EL0522] fpnew_opgroup_fmt_slice.sv:94: Scope "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.gen_num_lanes[1].active_lane.prepare_input.UNNAMED". - -[NTE:EL0522] fpnew_opgroup_fmt_slice.sv:94: Scope "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.gen_num_lanes[2].active_lane.prepare_input.UNNAMED". - -[NTE:EL0522] fpnew_opgroup_fmt_slice.sv:94: Scope "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.gen_num_lanes[3].active_lane.prepare_input.UNNAMED". - [NTE:EL0522] lzc.sv:53: Scope "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.i_arbiter.gen_arbiter.gen_int_rr.gen_fair_arb.i_lzc_upper.gen_lzc.UNNAMED". [NTE:EL0522] lzc.sv:65: Scope "work@azadi_soc_top.u_top.u_core.i_fpnew_top.gen_operation_groups[3].i_opgroup_block.i_arbiter.gen_arbiter.gen_int_rr.gen_fair_arb.i_lzc_upper.gen_lzc.flip_vector". @@ -26240,5 +25197,5 @@ Instance tree: [ SYNTAX] : 0 [ ERROR] : 0 [WARNING] : 6 -[ NOTE] : 7317 +[ NOTE] : 7070 diff --git a/third_party/tests/ariane/Ariane.log b/third_party/tests/ariane/Ariane.log index 23e7dbe572..aa073ca1fa 100644 --- a/third_party/tests/ariane/Ariane.log +++ b/third_party/tests/ariane/Ariane.log @@ -3946,32 +3946,20 @@ there are 1 more instances of this message. [INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:100: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[0].active_format.i_fmt_slice.gen_num_lanes[0].active_lane.lane_instance". +[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_fma.sv:122: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[0].active_format.i_fmt_slice.gen_num_lanes[0].active_lane.lane_instance.i_fma.gen_input_pipeline[0]". + [INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_classifier.sv:36: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[0].active_format.i_fmt_slice.gen_num_lanes[0].active_lane.lane_instance.i_fma.i_class_inputs.gen_num_values[0]". [INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_classifier.sv:36: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[0].active_format.i_fmt_slice.gen_num_lanes[0].active_lane.lane_instance.i_fma.i_class_inputs.gen_num_values[1]". [INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_classifier.sv:36: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[0].active_format.i_fmt_slice.gen_num_lanes[0].active_lane.lane_instance.i_fma.i_class_inputs.gen_num_values[2]". +[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_fma.sv:431: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[0].active_format.i_fmt_slice.gen_num_lanes[0].active_lane.lane_instance.i_fma.gen_inside_pipeline[0]". + [INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/common_cells/src/lzc.sv:43: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[0].active_format.i_fmt_slice.gen_num_lanes[0].active_lane.lane_instance.i_fma.i_lzc.gen_lzc". [INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:216: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[0].active_format.i_fmt_slice.gen_num_lanes[0].vectorial_class". -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:79: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[0].active_format.i_fmt_slice.gen_num_lanes[1]". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:84: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[0].active_format.i_fmt_slice.gen_num_lanes[1].active_lane". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:100: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[0].active_format.i_fmt_slice.gen_num_lanes[1].active_lane.lane_instance". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_classifier.sv:36: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[0].active_format.i_fmt_slice.gen_num_lanes[1].active_lane.lane_instance.i_fma.i_class_inputs.gen_num_values[0]". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_classifier.sv:36: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[0].active_format.i_fmt_slice.gen_num_lanes[1].active_lane.lane_instance.i_fma.i_class_inputs.gen_num_values[1]". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_classifier.sv:36: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[0].active_format.i_fmt_slice.gen_num_lanes[1].active_lane.lane_instance.i_fma.i_class_inputs.gen_num_values[2]". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/common_cells/src/lzc.sv:43: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[0].active_format.i_fmt_slice.gen_num_lanes[1].active_lane.lane_instance.i_fma.i_lzc.gen_lzc". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:216: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[0].active_format.i_fmt_slice.gen_num_lanes[1].vectorial_class". - [INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:250: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[0].active_format.i_fmt_slice.pad_vectorial_class". [INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_block.sv:80: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[1]". @@ -3984,289 +3972,35 @@ there are 1 more instances of this message. [INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:100: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[1].active_format.i_fmt_slice.gen_num_lanes[0].active_lane.lane_instance". +[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_fma.sv:122: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[1].active_format.i_fmt_slice.gen_num_lanes[0].active_lane.lane_instance.i_fma.gen_input_pipeline[0]". + [INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_classifier.sv:36: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[1].active_format.i_fmt_slice.gen_num_lanes[0].active_lane.lane_instance.i_fma.i_class_inputs.gen_num_values[0]". [INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_classifier.sv:36: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[1].active_format.i_fmt_slice.gen_num_lanes[0].active_lane.lane_instance.i_fma.i_class_inputs.gen_num_values[1]". [INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_classifier.sv:36: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[1].active_format.i_fmt_slice.gen_num_lanes[0].active_lane.lane_instance.i_fma.i_class_inputs.gen_num_values[2]". +[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_fma.sv:431: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[1].active_format.i_fmt_slice.gen_num_lanes[0].active_lane.lane_instance.i_fma.gen_inside_pipeline[0]". + [INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/common_cells/src/lzc.sv:43: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[1].active_format.i_fmt_slice.gen_num_lanes[0].active_lane.lane_instance.i_fma.i_lzc.gen_lzc". +[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_fma.sv:646: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[1].active_format.i_fmt_slice.gen_num_lanes[0].active_lane.lane_instance.i_fma.gen_output_pipeline[0]". + [INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:216: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[1].active_format.i_fmt_slice.gen_num_lanes[0].vectorial_class". [INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:250: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[1].active_format.i_fmt_slice.pad_vectorial_class". [INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_block.sv:80: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[2]". -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_block.sv:87: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[2].active_format". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:79: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice.gen_num_lanes[0]". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:84: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice.gen_num_lanes[0].active_lane". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:100: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice.gen_num_lanes[0].active_lane.lane_instance". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_classifier.sv:36: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice.gen_num_lanes[0].active_lane.lane_instance.i_fma.i_class_inputs.gen_num_values[0]". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_classifier.sv:36: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice.gen_num_lanes[0].active_lane.lane_instance.i_fma.i_class_inputs.gen_num_values[1]". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_classifier.sv:36: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice.gen_num_lanes[0].active_lane.lane_instance.i_fma.i_class_inputs.gen_num_values[2]". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/common_cells/src/lzc.sv:43: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice.gen_num_lanes[0].active_lane.lane_instance.i_fma.i_lzc.gen_lzc". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:216: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice.gen_num_lanes[0].vectorial_class". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:79: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice.gen_num_lanes[1]". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:84: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice.gen_num_lanes[1].active_lane". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:100: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice.gen_num_lanes[1].active_lane.lane_instance". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_classifier.sv:36: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice.gen_num_lanes[1].active_lane.lane_instance.i_fma.i_class_inputs.gen_num_values[0]". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_classifier.sv:36: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice.gen_num_lanes[1].active_lane.lane_instance.i_fma.i_class_inputs.gen_num_values[1]". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_classifier.sv:36: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice.gen_num_lanes[1].active_lane.lane_instance.i_fma.i_class_inputs.gen_num_values[2]". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/common_cells/src/lzc.sv:43: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice.gen_num_lanes[1].active_lane.lane_instance.i_fma.i_lzc.gen_lzc". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:216: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice.gen_num_lanes[1].vectorial_class". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:79: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice.gen_num_lanes[2]". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:84: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice.gen_num_lanes[2].active_lane". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:100: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice.gen_num_lanes[2].active_lane.lane_instance". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_classifier.sv:36: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice.gen_num_lanes[2].active_lane.lane_instance.i_fma.i_class_inputs.gen_num_values[0]". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_classifier.sv:36: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice.gen_num_lanes[2].active_lane.lane_instance.i_fma.i_class_inputs.gen_num_values[1]". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_classifier.sv:36: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice.gen_num_lanes[2].active_lane.lane_instance.i_fma.i_class_inputs.gen_num_values[2]". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/common_cells/src/lzc.sv:43: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice.gen_num_lanes[2].active_lane.lane_instance.i_fma.i_lzc.gen_lzc". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:216: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice.gen_num_lanes[2].vectorial_class". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:79: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice.gen_num_lanes[3]". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:84: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice.gen_num_lanes[3].active_lane". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:100: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice.gen_num_lanes[3].active_lane.lane_instance". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_classifier.sv:36: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice.gen_num_lanes[3].active_lane.lane_instance.i_fma.i_class_inputs.gen_num_values[0]". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_classifier.sv:36: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice.gen_num_lanes[3].active_lane.lane_instance.i_fma.i_class_inputs.gen_num_values[1]". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_classifier.sv:36: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice.gen_num_lanes[3].active_lane.lane_instance.i_fma.i_class_inputs.gen_num_values[2]". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/common_cells/src/lzc.sv:43: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice.gen_num_lanes[3].active_lane.lane_instance.i_fma.i_lzc.gen_lzc". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:216: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice.gen_num_lanes[3].vectorial_class". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:250: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice.pad_vectorial_class". +[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_block.sv:139: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[2].disable_fmt". [INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_block.sv:80: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[3]". -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_block.sv:87: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[3].active_format". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:79: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[0]". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:84: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[0].active_lane". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:100: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[0].active_lane.lane_instance". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_classifier.sv:36: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[0].active_lane.lane_instance.i_fma.i_class_inputs.gen_num_values[0]". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_classifier.sv:36: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[0].active_lane.lane_instance.i_fma.i_class_inputs.gen_num_values[1]". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_classifier.sv:36: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[0].active_lane.lane_instance.i_fma.i_class_inputs.gen_num_values[2]". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/common_cells/src/lzc.sv:43: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[0].active_lane.lane_instance.i_fma.i_lzc.gen_lzc". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:216: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[0].vectorial_class". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:79: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[1]". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:84: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[1].active_lane". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:100: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[1].active_lane.lane_instance". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_classifier.sv:36: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[1].active_lane.lane_instance.i_fma.i_class_inputs.gen_num_values[0]". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_classifier.sv:36: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[1].active_lane.lane_instance.i_fma.i_class_inputs.gen_num_values[1]". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_classifier.sv:36: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[1].active_lane.lane_instance.i_fma.i_class_inputs.gen_num_values[2]". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/common_cells/src/lzc.sv:43: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[1].active_lane.lane_instance.i_fma.i_lzc.gen_lzc". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:216: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[1].vectorial_class". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:79: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[2]". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:84: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[2].active_lane". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:100: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[2].active_lane.lane_instance". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_classifier.sv:36: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[2].active_lane.lane_instance.i_fma.i_class_inputs.gen_num_values[0]". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_classifier.sv:36: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[2].active_lane.lane_instance.i_fma.i_class_inputs.gen_num_values[1]". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_classifier.sv:36: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[2].active_lane.lane_instance.i_fma.i_class_inputs.gen_num_values[2]". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/common_cells/src/lzc.sv:43: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[2].active_lane.lane_instance.i_fma.i_lzc.gen_lzc". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:216: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[2].vectorial_class". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:79: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[3]". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:84: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[3].active_lane". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:100: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[3].active_lane.lane_instance". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_classifier.sv:36: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[3].active_lane.lane_instance.i_fma.i_class_inputs.gen_num_values[0]". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_classifier.sv:36: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[3].active_lane.lane_instance.i_fma.i_class_inputs.gen_num_values[1]". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_classifier.sv:36: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[3].active_lane.lane_instance.i_fma.i_class_inputs.gen_num_values[2]". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/common_cells/src/lzc.sv:43: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[3].active_lane.lane_instance.i_fma.i_lzc.gen_lzc". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:216: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[3].vectorial_class". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:79: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[4]". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:84: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[4].active_lane". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:100: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[4].active_lane.lane_instance". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_classifier.sv:36: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[4].active_lane.lane_instance.i_fma.i_class_inputs.gen_num_values[0]". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_classifier.sv:36: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[4].active_lane.lane_instance.i_fma.i_class_inputs.gen_num_values[1]". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_classifier.sv:36: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[4].active_lane.lane_instance.i_fma.i_class_inputs.gen_num_values[2]". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/common_cells/src/lzc.sv:43: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[4].active_lane.lane_instance.i_fma.i_lzc.gen_lzc". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:216: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[4].vectorial_class". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:79: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[5]". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:84: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[5].active_lane". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:100: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[5].active_lane.lane_instance". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_classifier.sv:36: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[5].active_lane.lane_instance.i_fma.i_class_inputs.gen_num_values[0]". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_classifier.sv:36: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[5].active_lane.lane_instance.i_fma.i_class_inputs.gen_num_values[1]". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_classifier.sv:36: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[5].active_lane.lane_instance.i_fma.i_class_inputs.gen_num_values[2]". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/common_cells/src/lzc.sv:43: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[5].active_lane.lane_instance.i_fma.i_lzc.gen_lzc". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:216: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[5].vectorial_class". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:79: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[6]". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:84: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[6].active_lane". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:100: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[6].active_lane.lane_instance". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_classifier.sv:36: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[6].active_lane.lane_instance.i_fma.i_class_inputs.gen_num_values[0]". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_classifier.sv:36: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[6].active_lane.lane_instance.i_fma.i_class_inputs.gen_num_values[1]". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_classifier.sv:36: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[6].active_lane.lane_instance.i_fma.i_class_inputs.gen_num_values[2]". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/common_cells/src/lzc.sv:43: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[6].active_lane.lane_instance.i_fma.i_lzc.gen_lzc". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:216: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[6].vectorial_class". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:79: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[7]". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:84: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[7].active_lane". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:100: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[7].active_lane.lane_instance". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_classifier.sv:36: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[7].active_lane.lane_instance.i_fma.i_class_inputs.gen_num_values[0]". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_classifier.sv:36: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[7].active_lane.lane_instance.i_fma.i_class_inputs.gen_num_values[1]". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_classifier.sv:36: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[7].active_lane.lane_instance.i_fma.i_class_inputs.gen_num_values[2]". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/common_cells/src/lzc.sv:43: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[7].active_lane.lane_instance.i_fma.i_lzc.gen_lzc". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:216: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[7].vectorial_class". +[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_block.sv:139: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[3].disable_fmt". [INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_block.sv:80: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[4]". -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_block.sv:87: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[4].active_format". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:79: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.gen_num_lanes[0]". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:84: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.gen_num_lanes[0].active_lane". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:100: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.gen_num_lanes[0].active_lane.lane_instance". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_classifier.sv:36: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.gen_num_lanes[0].active_lane.lane_instance.i_fma.i_class_inputs.gen_num_values[0]". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_classifier.sv:36: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.gen_num_lanes[0].active_lane.lane_instance.i_fma.i_class_inputs.gen_num_values[1]". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_classifier.sv:36: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.gen_num_lanes[0].active_lane.lane_instance.i_fma.i_class_inputs.gen_num_values[2]". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/common_cells/src/lzc.sv:43: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.gen_num_lanes[0].active_lane.lane_instance.i_fma.i_lzc.gen_lzc". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:216: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.gen_num_lanes[0].vectorial_class". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:79: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.gen_num_lanes[1]". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:84: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.gen_num_lanes[1].active_lane". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:100: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.gen_num_lanes[1].active_lane.lane_instance". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_classifier.sv:36: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.gen_num_lanes[1].active_lane.lane_instance.i_fma.i_class_inputs.gen_num_values[0]". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_classifier.sv:36: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.gen_num_lanes[1].active_lane.lane_instance.i_fma.i_class_inputs.gen_num_values[1]". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_classifier.sv:36: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.gen_num_lanes[1].active_lane.lane_instance.i_fma.i_class_inputs.gen_num_values[2]". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/common_cells/src/lzc.sv:43: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.gen_num_lanes[1].active_lane.lane_instance.i_fma.i_lzc.gen_lzc". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:216: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.gen_num_lanes[1].vectorial_class". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:79: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.gen_num_lanes[2]". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:84: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.gen_num_lanes[2].active_lane". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:100: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.gen_num_lanes[2].active_lane.lane_instance". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_classifier.sv:36: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.gen_num_lanes[2].active_lane.lane_instance.i_fma.i_class_inputs.gen_num_values[0]". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_classifier.sv:36: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.gen_num_lanes[2].active_lane.lane_instance.i_fma.i_class_inputs.gen_num_values[1]". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_classifier.sv:36: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.gen_num_lanes[2].active_lane.lane_instance.i_fma.i_class_inputs.gen_num_values[2]". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/common_cells/src/lzc.sv:43: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.gen_num_lanes[2].active_lane.lane_instance.i_fma.i_lzc.gen_lzc". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:216: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.gen_num_lanes[2].vectorial_class". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:79: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.gen_num_lanes[3]". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:84: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.gen_num_lanes[3].active_lane". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:100: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.gen_num_lanes[3].active_lane.lane_instance". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_classifier.sv:36: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.gen_num_lanes[3].active_lane.lane_instance.i_fma.i_class_inputs.gen_num_values[0]". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_classifier.sv:36: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.gen_num_lanes[3].active_lane.lane_instance.i_fma.i_class_inputs.gen_num_values[1]". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_classifier.sv:36: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.gen_num_lanes[3].active_lane.lane_instance.i_fma.i_class_inputs.gen_num_values[2]". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/common_cells/src/lzc.sv:43: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.gen_num_lanes[3].active_lane.lane_instance.i_fma.i_lzc.gen_lzc". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:216: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.gen_num_lanes[3].vectorial_class". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:250: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.pad_vectorial_class". +[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_block.sv:139: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[4].disable_fmt". [INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/common_cells/src/rr_arb_tree.sv:73: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[0].i_opgroup_block.i_arbiter.genblk1". @@ -4278,183 +4012,19 @@ there are 1 more instances of this message. [INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_block.sv:80: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[0]". -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_block.sv:87: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[0].active_format". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:79: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[0].active_format.i_fmt_slice.gen_num_lanes[0]". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:84: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[0].active_format.i_fmt_slice.gen_num_lanes[0].active_lane". - -[INF:CP0303] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:161: Compile module "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[0].active_format.i_fmt_slice.gen_num_lanes[0].active_lane.lane_instance". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:216: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[0].active_format.i_fmt_slice.gen_num_lanes[0].vectorial_class". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:79: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[0].active_format.i_fmt_slice.gen_num_lanes[1]". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:84: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[0].active_format.i_fmt_slice.gen_num_lanes[1].active_lane". - -[INF:CP0303] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:161: Compile module "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[0].active_format.i_fmt_slice.gen_num_lanes[1].active_lane.lane_instance". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:216: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[0].active_format.i_fmt_slice.gen_num_lanes[1].vectorial_class". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:250: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[0].active_format.i_fmt_slice.pad_vectorial_class". - [INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_block.sv:80: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[1]". -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_block.sv:87: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[1].active_format". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:79: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[1].active_format.i_fmt_slice.gen_num_lanes[0]". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:84: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[1].active_format.i_fmt_slice.gen_num_lanes[0].active_lane". - -[INF:CP0303] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:161: Compile module "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[1].active_format.i_fmt_slice.gen_num_lanes[0].active_lane.lane_instance". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:216: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[1].active_format.i_fmt_slice.gen_num_lanes[0].vectorial_class". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:250: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[1].active_format.i_fmt_slice.pad_vectorial_class". - [INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_block.sv:80: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[2]". -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_block.sv:87: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[2].active_format". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:79: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice.gen_num_lanes[0]". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:84: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice.gen_num_lanes[0].active_lane". - -[INF:CP0303] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:161: Compile module "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice.gen_num_lanes[0].active_lane.lane_instance". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:216: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice.gen_num_lanes[0].vectorial_class". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:79: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice.gen_num_lanes[1]". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:84: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice.gen_num_lanes[1].active_lane". - -[INF:CP0303] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:161: Compile module "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice.gen_num_lanes[1].active_lane.lane_instance". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:216: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice.gen_num_lanes[1].vectorial_class". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:79: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice.gen_num_lanes[2]". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:84: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice.gen_num_lanes[2].active_lane". - -[INF:CP0303] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:161: Compile module "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice.gen_num_lanes[2].active_lane.lane_instance". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:216: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice.gen_num_lanes[2].vectorial_class". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:79: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice.gen_num_lanes[3]". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:84: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice.gen_num_lanes[3].active_lane". - -[INF:CP0303] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:161: Compile module "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice.gen_num_lanes[3].active_lane.lane_instance". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:216: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice.gen_num_lanes[3].vectorial_class". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:250: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice.pad_vectorial_class". +[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_block.sv:139: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[2].disable_fmt". [INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_block.sv:80: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3]". -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_block.sv:87: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].active_format". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:79: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[0]". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:84: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[0].active_lane". - -[INF:CP0303] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:161: Compile module "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[0].active_lane.lane_instance". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:216: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[0].vectorial_class". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:79: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[1]". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:84: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[1].active_lane". - -[INF:CP0303] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:161: Compile module "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[1].active_lane.lane_instance". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:216: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[1].vectorial_class". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:79: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[2]". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:84: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[2].active_lane". - -[INF:CP0303] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:161: Compile module "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[2].active_lane.lane_instance". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:216: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[2].vectorial_class". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:79: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[3]". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:84: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[3].active_lane". - -[INF:CP0303] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:161: Compile module "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[3].active_lane.lane_instance". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:216: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[3].vectorial_class". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:79: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[4]". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:84: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[4].active_lane". - -[INF:CP0303] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:161: Compile module "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[4].active_lane.lane_instance". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:216: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[4].vectorial_class". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:79: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[5]". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:84: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[5].active_lane". - -[INF:CP0303] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:161: Compile module "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[5].active_lane.lane_instance". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:216: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[5].vectorial_class". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:79: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[6]". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:84: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[6].active_lane". - -[INF:CP0303] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:161: Compile module "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[6].active_lane.lane_instance". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:216: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[6].vectorial_class". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:79: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[7]". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:84: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[7].active_lane". - -[INF:CP0303] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:161: Compile module "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[7].active_lane.lane_instance". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:216: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[7].vectorial_class". +[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_block.sv:139: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[3].disable_fmt". [INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_block.sv:80: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[4]". -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_block.sv:87: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[4].active_format". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:79: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.gen_num_lanes[0]". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:84: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.gen_num_lanes[0].active_lane". - -[INF:CP0303] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:161: Compile module "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.gen_num_lanes[0].active_lane.lane_instance". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:216: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.gen_num_lanes[0].vectorial_class". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:79: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.gen_num_lanes[1]". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:84: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.gen_num_lanes[1].active_lane". - -[INF:CP0303] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:161: Compile module "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.gen_num_lanes[1].active_lane.lane_instance". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:216: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.gen_num_lanes[1].vectorial_class". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:79: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.gen_num_lanes[2]". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:84: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.gen_num_lanes[2].active_lane". - -[INF:CP0303] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:161: Compile module "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.gen_num_lanes[2].active_lane.lane_instance". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:216: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.gen_num_lanes[2].vectorial_class". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:79: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.gen_num_lanes[3]". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:84: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.gen_num_lanes[3].active_lane". - -[INF:CP0303] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:161: Compile module "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.gen_num_lanes[3].active_lane.lane_instance". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:216: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.gen_num_lanes[3].vectorial_class". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:250: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.pad_vectorial_class". +[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_block.sv:139: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.gen_parallel_slices[4].disable_fmt". [INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/common_cells/src/rr_arb_tree.sv:73: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[1].i_opgroup_block.i_arbiter.genblk1". @@ -4480,18 +4050,6 @@ there are 1 more instances of this message. [INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:216: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[0].active_format.i_fmt_slice.gen_num_lanes[0].vectorial_class". -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:79: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[0].active_format.i_fmt_slice.gen_num_lanes[1]". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:84: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[0].active_format.i_fmt_slice.gen_num_lanes[1].active_lane". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:162: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[0].active_format.i_fmt_slice.gen_num_lanes[1].active_lane.lane_instance". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_classifier.sv:36: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[0].active_format.i_fmt_slice.gen_num_lanes[1].active_lane.lane_instance.i_noncomp.i_class_a.gen_num_values[0]". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_classifier.sv:36: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[0].active_format.i_fmt_slice.gen_num_lanes[1].active_lane.lane_instance.i_noncomp.i_class_a.gen_num_values[1]". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:216: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[0].active_format.i_fmt_slice.gen_num_lanes[1].vectorial_class". - [INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:250: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[0].active_format.i_fmt_slice.pad_vectorial_class". [INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_block.sv:80: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[1]". @@ -4514,211 +4072,15 @@ there are 1 more instances of this message. [INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_block.sv:80: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[2]". -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_block.sv:87: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[2].active_format". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:79: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice.gen_num_lanes[0]". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:84: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice.gen_num_lanes[0].active_lane". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:162: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice.gen_num_lanes[0].active_lane.lane_instance". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_classifier.sv:36: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice.gen_num_lanes[0].active_lane.lane_instance.i_noncomp.i_class_a.gen_num_values[0]". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_classifier.sv:36: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice.gen_num_lanes[0].active_lane.lane_instance.i_noncomp.i_class_a.gen_num_values[1]". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:216: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice.gen_num_lanes[0].vectorial_class". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:79: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice.gen_num_lanes[1]". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:84: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice.gen_num_lanes[1].active_lane". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:162: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice.gen_num_lanes[1].active_lane.lane_instance". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_classifier.sv:36: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice.gen_num_lanes[1].active_lane.lane_instance.i_noncomp.i_class_a.gen_num_values[0]". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_classifier.sv:36: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice.gen_num_lanes[1].active_lane.lane_instance.i_noncomp.i_class_a.gen_num_values[1]". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:216: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice.gen_num_lanes[1].vectorial_class". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:79: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice.gen_num_lanes[2]". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:84: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice.gen_num_lanes[2].active_lane". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:162: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice.gen_num_lanes[2].active_lane.lane_instance". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_classifier.sv:36: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice.gen_num_lanes[2].active_lane.lane_instance.i_noncomp.i_class_a.gen_num_values[0]". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_classifier.sv:36: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice.gen_num_lanes[2].active_lane.lane_instance.i_noncomp.i_class_a.gen_num_values[1]". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:216: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice.gen_num_lanes[2].vectorial_class". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:79: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice.gen_num_lanes[3]". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:84: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice.gen_num_lanes[3].active_lane". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:162: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice.gen_num_lanes[3].active_lane.lane_instance". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_classifier.sv:36: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice.gen_num_lanes[3].active_lane.lane_instance.i_noncomp.i_class_a.gen_num_values[0]". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_classifier.sv:36: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice.gen_num_lanes[3].active_lane.lane_instance.i_noncomp.i_class_a.gen_num_values[1]". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:216: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice.gen_num_lanes[3].vectorial_class". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:250: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice.pad_vectorial_class". +[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_block.sv:139: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[2].disable_fmt". [INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_block.sv:80: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[3]". -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_block.sv:87: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[3].active_format". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:79: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[0]". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:84: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[0].active_lane". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:162: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[0].active_lane.lane_instance". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_classifier.sv:36: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[0].active_lane.lane_instance.i_noncomp.i_class_a.gen_num_values[0]". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_classifier.sv:36: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[0].active_lane.lane_instance.i_noncomp.i_class_a.gen_num_values[1]". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:216: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[0].vectorial_class". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:79: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[1]". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:84: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[1].active_lane". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:162: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[1].active_lane.lane_instance". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_classifier.sv:36: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[1].active_lane.lane_instance.i_noncomp.i_class_a.gen_num_values[0]". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_classifier.sv:36: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[1].active_lane.lane_instance.i_noncomp.i_class_a.gen_num_values[1]". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:216: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[1].vectorial_class". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:79: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[2]". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:84: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[2].active_lane". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:162: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[2].active_lane.lane_instance". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_classifier.sv:36: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[2].active_lane.lane_instance.i_noncomp.i_class_a.gen_num_values[0]". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_classifier.sv:36: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[2].active_lane.lane_instance.i_noncomp.i_class_a.gen_num_values[1]". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:216: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[2].vectorial_class". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:79: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[3]". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:84: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[3].active_lane". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:162: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[3].active_lane.lane_instance". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_classifier.sv:36: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[3].active_lane.lane_instance.i_noncomp.i_class_a.gen_num_values[0]". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_classifier.sv:36: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[3].active_lane.lane_instance.i_noncomp.i_class_a.gen_num_values[1]". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:216: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[3].vectorial_class". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:79: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[4]". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:84: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[4].active_lane". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:162: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[4].active_lane.lane_instance". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_classifier.sv:36: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[4].active_lane.lane_instance.i_noncomp.i_class_a.gen_num_values[0]". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_classifier.sv:36: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[4].active_lane.lane_instance.i_noncomp.i_class_a.gen_num_values[1]". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:216: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[4].vectorial_class". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:79: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[5]". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:84: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[5].active_lane". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:162: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[5].active_lane.lane_instance". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_classifier.sv:36: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[5].active_lane.lane_instance.i_noncomp.i_class_a.gen_num_values[0]". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_classifier.sv:36: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[5].active_lane.lane_instance.i_noncomp.i_class_a.gen_num_values[1]". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:216: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[5].vectorial_class". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:79: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[6]". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:84: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[6].active_lane". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:162: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[6].active_lane.lane_instance". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_classifier.sv:36: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[6].active_lane.lane_instance.i_noncomp.i_class_a.gen_num_values[0]". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_classifier.sv:36: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[6].active_lane.lane_instance.i_noncomp.i_class_a.gen_num_values[1]". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:216: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[6].vectorial_class". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:79: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[7]". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:84: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[7].active_lane". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:162: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[7].active_lane.lane_instance". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_classifier.sv:36: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[7].active_lane.lane_instance.i_noncomp.i_class_a.gen_num_values[0]". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_classifier.sv:36: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[7].active_lane.lane_instance.i_noncomp.i_class_a.gen_num_values[1]". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:216: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[7].vectorial_class". +[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_block.sv:139: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[3].disable_fmt". [INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_block.sv:80: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[4]". -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_block.sv:87: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[4].active_format". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:79: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.gen_num_lanes[0]". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:84: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.gen_num_lanes[0].active_lane". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:162: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.gen_num_lanes[0].active_lane.lane_instance". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_classifier.sv:36: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.gen_num_lanes[0].active_lane.lane_instance.i_noncomp.i_class_a.gen_num_values[0]". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_classifier.sv:36: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.gen_num_lanes[0].active_lane.lane_instance.i_noncomp.i_class_a.gen_num_values[1]". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:216: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.gen_num_lanes[0].vectorial_class". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:79: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.gen_num_lanes[1]". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:84: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.gen_num_lanes[1].active_lane". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:162: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.gen_num_lanes[1].active_lane.lane_instance". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_classifier.sv:36: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.gen_num_lanes[1].active_lane.lane_instance.i_noncomp.i_class_a.gen_num_values[0]". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_classifier.sv:36: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.gen_num_lanes[1].active_lane.lane_instance.i_noncomp.i_class_a.gen_num_values[1]". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:216: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.gen_num_lanes[1].vectorial_class". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:79: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.gen_num_lanes[2]". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:84: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.gen_num_lanes[2].active_lane". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:162: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.gen_num_lanes[2].active_lane.lane_instance". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_classifier.sv:36: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.gen_num_lanes[2].active_lane.lane_instance.i_noncomp.i_class_a.gen_num_values[0]". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_classifier.sv:36: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.gen_num_lanes[2].active_lane.lane_instance.i_noncomp.i_class_a.gen_num_values[1]". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:216: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.gen_num_lanes[2].vectorial_class". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:79: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.gen_num_lanes[3]". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:84: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.gen_num_lanes[3].active_lane". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:162: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.gen_num_lanes[3].active_lane.lane_instance". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_classifier.sv:36: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.gen_num_lanes[3].active_lane.lane_instance.i_noncomp.i_class_a.gen_num_values[0]". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_classifier.sv:36: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.gen_num_lanes[3].active_lane.lane_instance.i_noncomp.i_class_a.gen_num_values[1]". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:216: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.gen_num_lanes[3].vectorial_class". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:250: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.pad_vectorial_class". +[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_block.sv:139: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.gen_parallel_slices[4].disable_fmt". [INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/common_cells/src/rr_arb_tree.sv:73: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[2].i_opgroup_block.i_arbiter.genblk1". @@ -4730,145 +4092,19 @@ there are 1 more instances of this message. [INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_block.sv:80: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[0]". -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_block.sv:87: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[0].active_format". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:79: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[0].active_format.i_fmt_slice.gen_num_lanes[0]". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:84: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[0].active_format.i_fmt_slice.gen_num_lanes[0].active_lane". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:216: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[0].active_format.i_fmt_slice.gen_num_lanes[0].vectorial_class". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:79: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[0].active_format.i_fmt_slice.gen_num_lanes[1]". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:84: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[0].active_format.i_fmt_slice.gen_num_lanes[1].active_lane". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:216: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[0].active_format.i_fmt_slice.gen_num_lanes[1].vectorial_class". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:250: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[0].active_format.i_fmt_slice.pad_vectorial_class". - [INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_block.sv:80: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[1]". -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_block.sv:87: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[1].active_format". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:79: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[1].active_format.i_fmt_slice.gen_num_lanes[0]". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:84: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[1].active_format.i_fmt_slice.gen_num_lanes[0].active_lane". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:216: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[1].active_format.i_fmt_slice.gen_num_lanes[0].vectorial_class". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:250: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[1].active_format.i_fmt_slice.pad_vectorial_class". - [INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_block.sv:80: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[2]". -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_block.sv:87: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[2].active_format". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:79: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice.gen_num_lanes[0]". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:84: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice.gen_num_lanes[0].active_lane". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:216: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice.gen_num_lanes[0].vectorial_class". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:79: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice.gen_num_lanes[1]". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:84: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice.gen_num_lanes[1].active_lane". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:216: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice.gen_num_lanes[1].vectorial_class". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:79: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice.gen_num_lanes[2]". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:84: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice.gen_num_lanes[2].active_lane". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:216: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice.gen_num_lanes[2].vectorial_class". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:79: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice.gen_num_lanes[3]". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:84: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice.gen_num_lanes[3].active_lane". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:216: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice.gen_num_lanes[3].vectorial_class". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:250: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice.pad_vectorial_class". +[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_block.sv:139: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[2].disable_fmt". [INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_block.sv:80: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3]". -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_block.sv:87: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3].active_format". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:79: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[0]". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:84: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[0].active_lane". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:216: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[0].vectorial_class". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:79: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[1]". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:84: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[1].active_lane". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:216: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[1].vectorial_class". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:79: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[2]". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:84: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[2].active_lane". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:216: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[2].vectorial_class". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:79: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[3]". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:84: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[3].active_lane". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:216: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[3].vectorial_class". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:79: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[4]". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:84: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[4].active_lane". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:216: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[4].vectorial_class". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:79: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[5]". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:84: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[5].active_lane". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:216: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[5].vectorial_class". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:79: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[6]". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:84: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[6].active_lane". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:216: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[6].vectorial_class". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:79: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[7]". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:84: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[7].active_lane". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:216: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3].active_format.i_fmt_slice.gen_num_lanes[7].vectorial_class". +[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_block.sv:139: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[3].disable_fmt". [INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_block.sv:80: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[4]". -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_block.sv:87: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[4].active_format". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:79: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.gen_num_lanes[0]". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:84: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.gen_num_lanes[0].active_lane". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:216: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.gen_num_lanes[0].vectorial_class". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:79: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.gen_num_lanes[1]". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:84: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.gen_num_lanes[1].active_lane". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:216: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.gen_num_lanes[1].vectorial_class". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:79: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.gen_num_lanes[2]". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:84: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.gen_num_lanes[2].active_lane". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:216: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.gen_num_lanes[2].vectorial_class". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:79: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.gen_num_lanes[3]". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:84: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.gen_num_lanes[3].active_lane". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:216: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.gen_num_lanes[3].vectorial_class". - -[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv:250: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[4].active_format.i_fmt_slice.pad_vectorial_class". +[INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_block.sv:139: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.gen_parallel_slices[4].disable_fmt". [INF:CP0335] ${SURELOG_DIR}/third_party/tests/ariane/src/common_cells/src/rr_arb_tree.sv:73: Compile generate block "work@ariane_testharness.i_ariane.ex_stage_i.fpu_gen.fpu_i.fpu_gen.i_fpnew_bulk.gen_operation_groups[3].i_opgroup_block.i_arbiter.genblk1". @@ -5440,7 +4676,7 @@ there are 1 more instances of this message. [NTE:EL0509] Max instance depth: 17. -[NTE:EL0510] Nb instances: 817. +[NTE:EL0510] Nb instances: 699. [NTE:EL0511] Nb leaf instances: 23.