Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

Preprocessor Documentation issue #2215

Open
a-kest opened this issue Jul 13, 2024 · 2 comments
Open

Preprocessor Documentation issue #2215

a-kest opened this issue Jul 13, 2024 · 2 comments
Labels
rejects-valid syntax If the parser wrongly rejects syntactically valid code (according to SV-2017).

Comments

@a-kest
Copy link
Contributor

a-kest commented Jul 13, 2024

Hello in issues there wasnt a selection for the preprocessor so I took the closest I found.

Describe the bug

In https:/chipsalliance/verible/tree/master/verilog/tools/preprocessor README it says to use the +include+ flag to include directories, however this does not work. Instead +incdir+ should be used I guess.

@a-kest a-kest added the rejects-valid syntax If the parser wrongly rejects syntactically valid code (according to SV-2017). label Jul 13, 2024
@hzeller
Copy link
Collaborator

hzeller commented Jul 15, 2024

Yes, looks like a typo. Can you send a pull request fixing it ? It is indeed +incdir+.

@a-kest
Copy link
Contributor Author

a-kest commented Jul 18, 2024

Done. Thank you.

Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
rejects-valid syntax If the parser wrongly rejects syntactically valid code (according to SV-2017).
Projects
None yet
Development

No branches or pull requests

2 participants