Skip to content

Commit

Permalink
Regenerate RDL using updated peakrdl version
Browse files Browse the repository at this point in the history
Same as commits:
17c0ce9459583a2250c6f292e0e468fbbf7c34
2c4b61f
at user/dev/michnorris/lint_fix
  • Loading branch information
calebofearth committed Mar 30, 2024
1 parent fae37d0 commit c9f70d8
Show file tree
Hide file tree
Showing 25 changed files with 3,644 additions and 2,544 deletions.
111 changes: 68 additions & 43 deletions src/datavault/rtl/dv_reg.sv

Large diffs are not rendered by default.

4 changes: 4 additions & 0 deletions src/datavault/rtl/dv_reg_pkg.sv
Original file line number Diff line number Diff line change
Expand Up @@ -2,6 +2,10 @@
// https:/SystemRDL/PeakRDL-regblock

package dv_reg_pkg;

localparam DV_REG_DATA_WIDTH = 32;
localparam DV_REG_MIN_ADDR_WIDTH = 11;

typedef struct packed{
logic swwel;
} dv_reg__StickyDataVaultCtrl__lock_entry__in_t;
Expand Down
483 changes: 280 additions & 203 deletions src/doe/rtl/doe_reg.sv

Large diffs are not rendered by default.

31 changes: 21 additions & 10 deletions src/doe/rtl/doe_reg_pkg.sv
Original file line number Diff line number Diff line change
Expand Up @@ -2,6 +2,10 @@
// https:/SystemRDL/PeakRDL-regblock

package doe_reg_pkg;

localparam DOE_REG_DATA_WIDTH = 32;
localparam DOE_REG_MIN_ADDR_WIDTH = 12;

typedef struct packed{
logic hwclr;
} doe_reg__DOE_IV__IV__in_t;
Expand Down Expand Up @@ -50,33 +54,33 @@ package doe_reg_pkg;

typedef struct packed{
logic hwset;
} doe_reg__error_intr_t__error0_sts_enable_528ccada_next_b1018582_resetsignal_f7aac87a__in_t;
} doe_reg__error_intr_t_error0_sts_5ee134bf_error1_sts_aad9583f_error2_sts_6cad4575_error3_sts_735bbeba__error0_sts_enable_528ccada_next_b1018582_resetsignal_f7aac87a__in_t;

typedef struct packed{
logic hwset;
} doe_reg__error_intr_t__error1_sts_enable_938cafef_next_f460eb81_resetsignal_f7aac87a__in_t;
} doe_reg__error_intr_t_error0_sts_5ee134bf_error1_sts_aad9583f_error2_sts_6cad4575_error3_sts_735bbeba__error1_sts_enable_938cafef_next_f460eb81_resetsignal_f7aac87a__in_t;

typedef struct packed{
logic hwset;
} doe_reg__error_intr_t__error2_sts_enable_0dacf7a6_next_4b5b9e74_resetsignal_f7aac87a__in_t;
} doe_reg__error_intr_t_error0_sts_5ee134bf_error1_sts_aad9583f_error2_sts_6cad4575_error3_sts_735bbeba__error2_sts_enable_0dacf7a6_next_4b5b9e74_resetsignal_f7aac87a__in_t;

typedef struct packed{
logic hwset;
} doe_reg__error_intr_t__error3_sts_enable_fc3af94b_next_c3125d40_resetsignal_f7aac87a__in_t;
} doe_reg__error_intr_t_error0_sts_5ee134bf_error1_sts_aad9583f_error2_sts_6cad4575_error3_sts_735bbeba__error3_sts_enable_fc3af94b_next_c3125d40_resetsignal_f7aac87a__in_t;

typedef struct packed{
doe_reg__error_intr_t__error0_sts_enable_528ccada_next_b1018582_resetsignal_f7aac87a__in_t error0_sts;
doe_reg__error_intr_t__error1_sts_enable_938cafef_next_f460eb81_resetsignal_f7aac87a__in_t error1_sts;
doe_reg__error_intr_t__error2_sts_enable_0dacf7a6_next_4b5b9e74_resetsignal_f7aac87a__in_t error2_sts;
doe_reg__error_intr_t__error3_sts_enable_fc3af94b_next_c3125d40_resetsignal_f7aac87a__in_t error3_sts;
doe_reg__error_intr_t_error0_sts_5ee134bf_error1_sts_aad9583f_error2_sts_6cad4575_error3_sts_735bbeba__error0_sts_enable_528ccada_next_b1018582_resetsignal_f7aac87a__in_t error0_sts;
doe_reg__error_intr_t_error0_sts_5ee134bf_error1_sts_aad9583f_error2_sts_6cad4575_error3_sts_735bbeba__error1_sts_enable_938cafef_next_f460eb81_resetsignal_f7aac87a__in_t error1_sts;
doe_reg__error_intr_t_error0_sts_5ee134bf_error1_sts_aad9583f_error2_sts_6cad4575_error3_sts_735bbeba__error2_sts_enable_0dacf7a6_next_4b5b9e74_resetsignal_f7aac87a__in_t error2_sts;
doe_reg__error_intr_t_error0_sts_5ee134bf_error1_sts_aad9583f_error2_sts_6cad4575_error3_sts_735bbeba__error3_sts_enable_fc3af94b_next_c3125d40_resetsignal_f7aac87a__in_t error3_sts;
} doe_reg__error_intr_t_error0_sts_5ee134bf_error1_sts_aad9583f_error2_sts_6cad4575_error3_sts_735bbeba__in_t;

typedef struct packed{
logic hwset;
} doe_reg__notif_intr_t__notif_cmd_done_sts_enable_dabe0b8b_next_540fa3b7__in_t;
} doe_reg__notif_intr_t_notif_cmd_done_sts_1c68637e__notif_cmd_done_sts_enable_dabe0b8b_next_540fa3b7__in_t;

typedef struct packed{
doe_reg__notif_intr_t__notif_cmd_done_sts_enable_dabe0b8b_next_540fa3b7__in_t notif_cmd_done_sts;
doe_reg__notif_intr_t_notif_cmd_done_sts_1c68637e__notif_cmd_done_sts_enable_dabe0b8b_next_540fa3b7__in_t notif_cmd_done_sts;
} doe_reg__notif_intr_t_notif_cmd_done_sts_1c68637e__in_t;

typedef struct packed{
Expand Down Expand Up @@ -145,6 +149,13 @@ package doe_reg_pkg;
doe_reg__intr_block_t__out_t intr_block_rf;
} doe_reg__out_t;

typedef enum {
doe_reg__DOE_CTRL__CMD__doe_cmd_e__DOE_IDLE = 'h0,
doe_reg__DOE_CTRL__CMD__doe_cmd_e__DOE_UDS = 'h1,
doe_reg__DOE_CTRL__CMD__doe_cmd_e__DOE_FE = 'h2,
doe_reg__DOE_CTRL__CMD__doe_cmd_e__DOE_CLEAR_OBF_SECRETS = 'h3
} doe_reg__DOE_CTRL__CMD__doe_cmd_e_e;

localparam DOE_REG_ADDR_WIDTH = 32'd12;

endpackage
533 changes: 317 additions & 216 deletions src/ecc/rtl/ecc_reg.sv

Large diffs are not rendered by default.

46 changes: 28 additions & 18 deletions src/ecc/rtl/ecc_reg_pkg.sv
Original file line number Diff line number Diff line change
Expand Up @@ -2,6 +2,10 @@
// https:/SystemRDL/PeakRDL-regblock

package ecc_reg_pkg;

localparam ECC_REG_DATA_WIDTH = 32;
localparam ECC_REG_MIN_ADDR_WIDTH = 12;

typedef struct packed{
logic [31:0] next;
} ecc_reg__ECC_NAME__NAME__in_t;
Expand Down Expand Up @@ -156,7 +160,7 @@ package ecc_reg_pkg;

typedef struct packed{
kv_read_ctrl_reg__read_en__in_t read_en;
} __kv_read_ctrl_reg__in_t;
} kv_read_ctrl_reg__in_t;

typedef struct packed{
logic next;
Expand All @@ -175,30 +179,30 @@ package ecc_reg_pkg;
kv_status_reg__READY__in_t READY;
kv_status_reg__VALID__in_t VALID;
kv_status_reg__ERROR__in_t ERROR;
} __kv_status_reg__in_t;
} kv_status_reg__in_t;

typedef struct packed{
logic hwclr;
} kv_write_ctrl_reg__write_en__in_t;

typedef struct packed{
kv_write_ctrl_reg__write_en__in_t write_en;
} __kv_write_ctrl_reg__in_t;
} kv_write_ctrl_reg__in_t;

typedef struct packed{
logic hwset;
} ecc_reg__intr_block_t__error_intr_t__error_internal_sts_enable_d33001bb_next_52b75ffa_resetsignal_0d7eaa27__in_t;
} ecc_reg__intr_block_t__error_intr_t_error_internal_sts_83adab02__error_internal_sts_enable_d33001bb_next_52b75ffa_resetsignal_0d7eaa27__in_t;

typedef struct packed{
ecc_reg__intr_block_t__error_intr_t__error_internal_sts_enable_d33001bb_next_52b75ffa_resetsignal_0d7eaa27__in_t error_internal_sts;
ecc_reg__intr_block_t__error_intr_t_error_internal_sts_83adab02__error_internal_sts_enable_d33001bb_next_52b75ffa_resetsignal_0d7eaa27__in_t error_internal_sts;
} ecc_reg__intr_block_t__error_intr_t_error_internal_sts_83adab02__in_t;

typedef struct packed{
logic hwset;
} ecc_reg__intr_block_t__notif_intr_t__notif_cmd_done_sts_enable_dabe0b8b_next_540fa3b7__in_t;
} ecc_reg__intr_block_t__notif_intr_t_notif_cmd_done_sts_1c68637e__notif_cmd_done_sts_enable_dabe0b8b_next_540fa3b7__in_t;

typedef struct packed{
ecc_reg__intr_block_t__notif_intr_t__notif_cmd_done_sts_enable_dabe0b8b_next_540fa3b7__in_t notif_cmd_done_sts;
ecc_reg__intr_block_t__notif_intr_t_notif_cmd_done_sts_1c68637e__notif_cmd_done_sts_enable_dabe0b8b_next_540fa3b7__in_t notif_cmd_done_sts;
} ecc_reg__intr_block_t__notif_intr_t_notif_cmd_done_sts_1c68637e__in_t;

typedef struct packed{
Expand All @@ -225,12 +229,12 @@ package ecc_reg_pkg;
ecc_reg__ECC_IV__in_t [12-1:0]ECC_IV;
ecc_reg__ECC_NONCE__in_t [12-1:0]ECC_NONCE;
ecc_reg__ECC_PRIVKEY_IN__in_t [12-1:0]ECC_PRIVKEY_IN;
__kv_read_ctrl_reg__in_t ecc_kv_rd_pkey_ctrl;
__kv_status_reg__in_t ecc_kv_rd_pkey_status;
__kv_read_ctrl_reg__in_t ecc_kv_rd_seed_ctrl;
__kv_status_reg__in_t ecc_kv_rd_seed_status;
__kv_write_ctrl_reg__in_t ecc_kv_wr_pkey_ctrl;
__kv_status_reg__in_t ecc_kv_wr_pkey_status;
kv_read_ctrl_reg__in_t ecc_kv_rd_pkey_ctrl;
kv_status_reg__in_t ecc_kv_rd_pkey_status;
kv_read_ctrl_reg__in_t ecc_kv_rd_seed_ctrl;
kv_status_reg__in_t ecc_kv_rd_seed_status;
kv_write_ctrl_reg__in_t ecc_kv_wr_pkey_ctrl;
kv_status_reg__in_t ecc_kv_wr_pkey_status;
ecc_reg__intr_block_t__in_t intr_block_rf;
} ecc_reg__in_t;

Expand Down Expand Up @@ -353,7 +357,7 @@ package ecc_reg_pkg;
kv_read_ctrl_reg__read_entry__out_t read_entry;
kv_read_ctrl_reg__pcr_hash_extend__out_t pcr_hash_extend;
kv_read_ctrl_reg__rsvd__out_t rsvd;
} __kv_read_ctrl_reg__out_t;
} kv_read_ctrl_reg__out_t;

typedef struct packed{
logic value;
Expand Down Expand Up @@ -396,7 +400,7 @@ package ecc_reg_pkg;
kv_write_ctrl_reg__ecc_pkey_dest_valid__out_t ecc_pkey_dest_valid;
kv_write_ctrl_reg__ecc_seed_dest_valid__out_t ecc_seed_dest_valid;
kv_write_ctrl_reg__rsvd__out_t rsvd;
} __kv_write_ctrl_reg__out_t;
} kv_write_ctrl_reg__out_t;

typedef struct packed{
logic intr;
Expand Down Expand Up @@ -433,12 +437,18 @@ package ecc_reg_pkg;
ecc_reg__ECC_IV__out_t [12-1:0]ECC_IV;
ecc_reg__ECC_NONCE__out_t [12-1:0]ECC_NONCE;
ecc_reg__ECC_PRIVKEY_IN__out_t [12-1:0]ECC_PRIVKEY_IN;
__kv_read_ctrl_reg__out_t ecc_kv_rd_pkey_ctrl;
__kv_read_ctrl_reg__out_t ecc_kv_rd_seed_ctrl;
__kv_write_ctrl_reg__out_t ecc_kv_wr_pkey_ctrl;
kv_read_ctrl_reg__out_t ecc_kv_rd_pkey_ctrl;
kv_read_ctrl_reg__out_t ecc_kv_rd_seed_ctrl;
kv_write_ctrl_reg__out_t ecc_kv_wr_pkey_ctrl;
ecc_reg__intr_block_t__out_t intr_block_rf;
} ecc_reg__out_t;

typedef enum {
kv_status_reg__ERROR__kv_error_e__SUCCESS = 'h0,
kv_status_reg__ERROR__kv_error_e__KV_READ_FAIL = 'h1,
kv_status_reg__ERROR__kv_error_e__KV_WRITE_FAIL = 'h2
} kv_status_reg__ERROR__kv_error_e_e;

localparam ECC_REG_ADDR_WIDTH = 32'd12;

endpackage
Loading

0 comments on commit c9f70d8

Please sign in to comment.